>PPA00300 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:1980306:1980667:-1 gene:PPA00300 transcript:PPA00300 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDHQSVARFHLTAFLHVANPIAIPDPTDSASRKTHIAHCAKTRAFILRAHEFFKENNIADMTPRFLSAMLSIHQDTVHRKNQGEIQ >PPA00163 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:936760:937479:1 gene:PPA00163 transcript:PPA00163 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVSAFGVNQPFILALIGIIMMLGMTVYLVGGDSPDDDVYLEVVAHDQNPEQRATIIAANADRAKKDALTTRHRL >PPA00211 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:1336474:1337307:-1 gene:PPA00211 transcript:PPA00211 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYNLYIFNKDGTCIFYREWKREKQSGLPRSEEYKLMFGMLISLRSFAERLSTKDGEIPDGSLCYATTYSEQDIVPRMPFGNIKYSWNTPNEDVAPILT >PPA00021 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:78507:78918:-1 gene:PPA00021 transcript:PPA00021 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSKKEGGTEPNGGSHDFDSELSGSPLPTSTIGGASSLQALLAQVASTPSTPPPAVTPSLSMTPSLPPTTPSNGLSTPMKTQCTECGKHFRKGTSFIVVQSLRR >PPA00078 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:461346:463862:-1 gene:PPA00078 transcript:PPA00078 gene_biotype:protein_coding transcript_biotype:protein_coding MSECRGSCSADNVDNDSGEDNDVSADHNDRSTYDDDQCRSICVSPCDGGFTKQPGTFDYSKDSGKFAGYFKDLWSCGETCTRLESYGLLPCLGFSYRTSDGRCLVLQYSLPTLIDGSTNGGEVLYTSCNNTFPPTTTSPPVGSTTTAAPVTTAPGCHSTYGPPITGVIPPRGNGTKFGGYFTTVDACKELCTNFYSYGLLPCYGFTYAPSVRGYCTVIQYPITMRSSHHRHRHYIDDASHSKHDHLDDSSSRYNDHHENGSADDDDYYCSYDHDYAENNHDIDHDGSACYYDNSDDDDVCSANDDDNDRQEGSKHRPYDVCDKGFKLVDEHADMDSFGQGKFGGYFTYEDSCRELCATNYGLRACIGYGFEPDNRGKCTLFQYDISSHSSNADSTARLAVKC >PPA00258 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1694634:1699448:1 gene:PPA00258 transcript:PPA00258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-alr-1 METINMNLIQSLNMNKIMKEQLDKMGGMGILSSLFPFAQHQQPEAKSPTAPSQPCSIPSPSAITAAVSAAVAASKPAAPSFSIASLTQIREDPPKEQQDLAAALSSLAAQHNIPLPQQADGSGILNRDPSDEARLLDGHSPDGSGSPDDGKRKQRRYRTTFSAFQLDELEKVFARTHYPDVYTREELAARVSLTEARVQVWFQNRRAKFRKQERHHGIMPYAHPALGPNGMTSSLHGIPMHMLAAGSGQPDAQYAMLAAAAAAAQAAAAGQPDGAAAAAIVAAMQQANAQAMEHLAAAARGSPPSPRASSVSPLPPAARAAAAAAPAAAAGMPDAASLAMMMGLGGQNPFEYFAKLQMLQMHNNFAAAAAAHQASSSCDKKDVPVITTTSPDADGTESEEHEKSPAPTTVVLTPSPSTSRHEDECSPKGTVDLSTALSMAAAKQDE >PPA00465 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2985059:2986115:1 gene:PPA00465 transcript:PPA00465 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRSVGMRYIDRHQLNQVSPNLLILPTSPFTRSIVGVRAIPRRTAARTASIVVLHCAPKRRDNELSSQPESPFIDRPRPSSRFRTRPRPLPLSPPLAPPLAPPPLPIPPPPRALPLFASHRFPLLLHYDERQPKIGGPGKVVEIDESAFSKRKYNRGKRMAAQQWIFGGVERRDKTKLFAIPVAKRDANTLLPLIVKHIAPGTEIQSDCWAAYHRISNIGKYTHLTVNHSVTFKDKVTGACTNGVEGMWQRLKLGHKRKKPIDRNPSIPASLAHRSCRILHSPRVGGSIRGHATCHL >PPA00321 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2111030:2115262:1 gene:PPA00321 transcript:PPA00321 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDLLGGSVKLANGREIPMVGLGTSAIKFSAQDAEQMSVAIEAALRAGYRLIDTAHGYGNERVIGDALKKHLPALGIARDEVFITTKVPIKNDDTDTVTRAMIDQSLRDLDVGYIDLVLVHYPRHLIGGGADLDPINKEKRRMVYGILEEYVGKESIRSIGVSNYEIFHLLEMDDYAKVKPCVNQCEYHPHHTQNTLREYCRQKGVFFQAFSSLCSGKGTILEEKTIVELAVKYATSAQTILLAFGLATGVGILPKSTNPARIEKNLRDTVAVKLTEDEVAELLKLDQRKNYVGICAPWRTI >PPA00242 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1564987:1570465:-1 gene:PPA00242 transcript:PPA00242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pix-1 MDHGITTPDRKSFYARSQHAFCGKNNDELSFGIGDVIAITQQVEGGWWEGTLNGETGWFPSGYVTMIADKVNGFNASDGNSTQKQFISQVLESFLKSEGEYILSLKNIIENYLAPLSRSNVVSQGEFNTIEGEISAIFALQANILVLITDMQSTDLSLQRIGGVLISSAPELKQRLTDYCANHPDAVDVIKHRRDELDGFFTSLDGNMRTFIQGLSEPFRHLKMYPPVLQELHRMMPESHADRGDLQRSVVVYRDLMELCEWVRRQREVQTDFLRTPRVSQFVRDEAPGQIFIVGGASVSREEEAEDRTVSLFSDYVVIFEMTPNGEDYILKDMIPVSNLSTRRMTARNAIVMKGIDEVTFEFPSSYDCDRWVDAMRKGKVPFEEEINGKASTPIPAGPPVAVTMRSRKKSMDESLDQMDAALAADSLQFARLRNKNSSHQMASTPTSSTSSTSLRKPKTPLDDTLPFRINHELEMIMPEGLDDDEREDGRGGGRRNESAFYMRHYPPFRSPSNMVDLGKRGVKMRKDPSSARDHGDATLLAIVEGYLNVGGEDNDRGGGSLKRADQPQLIVAEDEKLLIEETVDGQTVMTEKSLVDVVYALKDQMDRMVEIQCTVDLKSSDRQL >PPA00084 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:502439:505143:1 gene:PPA00084 transcript:PPA00084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ags-3 MLHTISPSLIVAVSVGSIRSRRSILARLPHYASKKLQQATRGASKTSTPSASSLRSTKKGDGGGRGGQEGGFKTPSLSSLRSFSPPREATMNGSGVAHARPPLQHSSQQQRLAQSTSVLEQSSITTISEDGDGMGRGEAGAAPSPSHSTSTGSRSFVNHLPGGGMFKMPSFTRRSKSKKLSTSASLPEGPGTTSEQRRHSLYARPAEVQEVTTGGGGPGTNGPEAIMDLIMSMQGRRMEEQRAPLLPGCQDQRILQKIANDPDCVKDGTGGGAEEIDDHLYELVLNAQRGRIDDQRSELGGRRASESAAQEASRRPNTIPEDDISELVARMQAGRMDEQRAVLPPSPSAAAAAATPAAGTAAAQ >PPA00056 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:316627:318276:-1 gene:PPA00056 transcript:PPA00056 gene_biotype:protein_coding transcript_biotype:protein_coding MQNGTSASGTNGLEIDVKTGDLFKQTADVIAFSVDERLHGPMRDAFAKIVGVKVFEDAFTKAAATYKRTVMQGEILAVDLTGQSPNFKYAILVVRPLGCHLKMAYKAILQFAISKNLTVVAIPGLGCGGTDVDPQVSAGKLRDVIKEWQGGFNGVPKQLTIVDRNAATVDEFKAAFGEWRKDDQFCKFENLRRMSNP >PPA00352 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2261150:2263491:-1 gene:PPA00352 transcript:PPA00352 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPKGATARHLRASVRHLQRAHGPALNRPAPPSALLHHSARPLLRLPSAPYHFHLPHRYARSDCHVLCLYLHYRCLARLGLHVPAQPHAGSHHLLLPVIEAAAVVEDSEELHPLRPSSPSRPQADAGADHLPFPFHPFPERQPRTTAVMVAIDPADIANNSILRRRRLAVHGAKNRVVDPSCSSNQLGDIILEVCGTGEFSYVAHTHTFCQRRKGDITCYAFVPIHNKDFR >PPA00104 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:600595:601178:-1 gene:PPA00104 transcript:PPA00104 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALKKLTRKDYNKYVVDTMGEQYGVQVVRTPPYMAEYAPIEFGWSAMKRAQHDLITHTDDGRKRIEEGALTFSPSLSTEEIVAAAEDIIDEADEQPVEDLEELLYMSDDEEDEYSELL >PPA00359 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2302570:2306673:-1 gene:PPA00359 transcript:PPA00359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:H3DSH8] MSGCRRLLQLQQKRHASSDYGQFHVKNLKFVRTPAPKPIPTETPAFGTVSTDHMLEIDYKNGAWQTPVIRPVEDLRLHPLSKVLHYCPTIFEGLKAFRGVDNEIRMFRTERNMARMVTSAKRAALPDFCPLQLEHMIEDLVRKDQEWIPSGEGTSLYIRPLLMGVDPSLGVTRSTEAKLLVICCPVGPYYASGWKPIKLYANPAHIRAAPGGVGAFKMGCNYAPTIDVTDGAAQYGAQQCLWLWGDKQRITETGTSNLFLFWKNSNDDVELITPPTSGGLILPGVTRESILTLAKEWGEFLVTERYPTMKEVKEAAAEGRILEFFGAGTAAVVAPCEQIVYVENPNEPPVLIDIPERKDGKPLYRRLYETITGIQNGKIDRPEWVRIVN >PPA00202 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1300100:1301120:-1 gene:PPA00202 transcript:PPA00202 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNTIPISRNDTILLEAANAEQTTIDPDTITTIATTTLAAEGLSGGAIAGIVIGCIIGAILLLLAAFFLYRWLKERRKYHGEYKPHEEEEEHAKNLPYLAPPSVEGLI >PPA00523 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3366904:3367655:-1 gene:PPA00523 transcript:PPA00523 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALLLPLLAVIAHCADRVYDEVTGLPGVTFEIQFRHYSGYLDASPGNHLHYWFFESQSNPALAPLVLWLNGGPGCSSISGKTLRNAYSLSSNISGLKMPET >PPA00091 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:545785:546735:1 gene:PPA00091 transcript:PPA00091 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSLRLFISLTLLGLTSAYPLHKHPLHIDQLENLVMGPDKRLLDALDDDEYSPRSELKEKFDKAYADEVELLEKRRHHWEAIGIFVLSIDP >PPA00389 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2506246:2507609:1 gene:PPA00389 transcript:PPA00389 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEDGTDDGEGRRKEKRKRNRRNILGDRGETSIVLRSRGTYPLSEMDKLMMYRTKSVDGFRCHTNTRPLQPLLVSCSAVIVEMRRRVASTRAK >PPA00189 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1171959:1174061:-1 gene:PPA00189 transcript:PPA00189 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRMVPAGKAAPRLVVVSARSAHHAHSAAPAASTRPEELDNPNFFQKISLRFQGIPLKGETAPPKSMFDDCGKEWSAPQPLPPVPADFKEHPDRDLTNFPYPSRPMYAPKTRMLMIPDSWLTPFHKVTGTSGPYLFVGGLAAFLVNKELWVFEEQGHMTVGWILFYLLISRTVGFRLDQWLYGEYQNRMGFFKNLIEEDLKEAKEFRKNSALESDSLVAVKEAFPTIFKENMVLQLEATYRKNVQTVATEMKRRLDYLKETEETKRRFERDVMLKFISEGVEAQAADPKFKEQYINDAISQLKGLSPSQI >PPA00399 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2560215:2562706:1 gene:PPA00399 transcript:PPA00399 gene_biotype:protein_coding transcript_biotype:protein_coding MANKAVLMTSLEEGYPLKGKEEFELERRLERSCFLSPFLCGARDPKLIAYVHLSIMSAATVISLLIFTITAIANRDILFYVGLIGAILALIPAPFLAMALLGLMRKRANTSIPLIVFLCFLGVTFTGVFAFFMIILFGADTNMQFALIIIYGLSVVYLSHVIRVLLRVRLDTLEDRIRPSQLR >PPA00022 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:84885:85369:-1 gene:PPA00022 transcript:PPA00022 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIESCVKEAVGGMGCTNDVVPKNLTIPLVPIVEIPQDGPSRTRRSTPLEAPPQLSQFLVCVDQCAITPQSSTRSRRSAVACAYKLQ >PPA00157 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:894771:901678:1 gene:PPA00157 transcript:PPA00157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-del-1 MDSPGSSTTTAENDPRLPFRALISGTGNYVAGQQRGSGYVPRSGPALAASKAVSSGGATTSAQEIGDSRRGKSDKEGEVDIGVWWSLVSVKEISRSVDESEWKKACKEFCERTTLHGLPMALASKHRTIQCLWAVFWLGSLLLCSYQCYSVFQKYNKREKIVNVELDAFHQAVTYSYDAHSEEESRRRKRGAEDGFQNNGGFRYVQYEPVVSNCECTKGEKQECMQKDTVPESLNKSCICNFDREDSSTWPCYDYNTWKEDVCPDCNDGGYCNLPSTADGNDTMKPCLCNTNHDYCLLKSVQRLRRIWEFRGKALPKKGSPFRQDFLKQLKELGYENMTDQVAITTQTKEKLILKMAALPVQRRIALSYGKSEFIKMCSFNGNQCDIERDFKLFIDHTFGNCYTFNANPGRSFTSSRAGPSYGLRLMVFVNASDYLPTTDAVGVRIAIHGQKENPFPDTFGYSAPTGIVSSFGISMRKVNRLNNSDGGNCVPNEAPLGTNYIYKKYEYEPEGCYKSCYQEEITKACKCYDPRLPTINEKRPPCLNATQTSCLIDFAVKYNNKQTSNKCRCKQPCQQDTYSTTYSAAKWPSGSVNMACDQKDCIKYYREHAAMLEIYYEQMSYEIIRESQSYQIVNVLSDIGGQAGLWLGASVLTAVEFFSLLMRLLKIFVRKYDGMFHKKRKASEGPVLRVNGEREEDVNRNYQQL >PPA00365 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2332489:2334645:1 gene:PPA00365 transcript:PPA00365 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEVSAERFFAVTRPFQLIRSRRGKHRRQSYARVIGGLIKLPVLMTFIAFVLTLPCVFEYTLEHCLEEGGVIGRERVPTWLLLNPLYKLAYRTLLLSVLKTFGPFIIIALLSAYTVKGLRESMDNRAAILMEQGQDCLFFSDKDKTKSLQGWHRRSA >PPA00325 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2136852:2137600:1 gene:PPA00325 transcript:PPA00325 gene_biotype:protein_coding transcript_biotype:protein_coding MSISILFQKGLAFVEFESKANLEDGEENDVPRIGLLDAASIDPTKPGVRHRQATNDGAEEETDEDHEVAEMVEKSPSPQTH >PPA00285 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:1874677:1876519:-1 gene:PPA00285 transcript:PPA00285 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKRILHPDTLIDSSYRNHTISVQEFKASSAFVAVILSLLTGLTLGLGPVASAVTDKYGCRTSTIVGSIIATVGCAATFFATGIPYICVTVGCIMGCGIGLMYCPAIVIVTMYFEKRRALATGVAVSGAGIGTWIFPQIYGHIRTVYGWRSVFLFGAGCMAICGLCGATFRPLQFIEADDDEEEQAKSESSAKEGAETTKESAALLAPPQSALKAVSHTSLHSAGRVANARSSGDVSGDDAEKGGHRSRAGTVTEGTGYLSVKGVLYTGSITDVAEFKENPDKYR >PPA00376 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2390997:2395662:1 gene:PPA00376 transcript:PPA00376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:H3DSJ5] MRERPLTVGKELHCFSLHYGMFLPTIQNQSDEEQLEEWLAPAMNRAILGTFAQTEMGHGSNLSKLETIATYDAKTQEFVIHTPTMSAAKWWPGGLGKSVNHAAVMAQLHTNGENKGPHLFFVPLRDTTTHMPFPGVKVGDIGPKFGINANDNGFLVFDNYRIPRRNMFMKFSKVEPDGTYVAPPHAKLGFGAMVLVRSLMIRDQASQLGCAAVIAIRYSSVRRQGEINEGEGEVQILDYRTQQYRLLPQLARSFAFLFAAYEVKAMYFKVTAQIQKSANTELLPELHALSSGLKAVITWEVAQGIEQLRLSCGGHGYSRASAFPDIYTYAVGGCTYEGENIVMLLQVARFLMKIAHEVRTGTPKLAEIAEYIGRKDSGRARVRSWKTCSDEEIVHDFEAVARKLIFSSYDRLHSLRGQMNKKEAWNACSIDLCKAARMHVKTYLVKNFLARVRTCEDPASRVVMHTLAKLYAFDLISGAGGHFMKGGFLSEQQSDQIQADIYEMLKTLRPEAVALADSWAISDLELRSVLGRRDGNVLPTFEKYLEPMMKEEGIEINMIWRRMGMGIMNEI >PPA00516 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3291024:3295326:-1 gene:PPA00516 transcript:PPA00516 gene_biotype:protein_coding transcript_biotype:protein_coding MYCHYEWYFESVFTGEPRKDLNAHDAACAQKVLNAYVNNDERWNEENDVYNIYQDCYTQTTPSFGSAAASRKMRSKAAKKRASAAKATSRMNLAAQEQPQKKTYVATKKTYVATVRAPDVVPIIVPSNLDPVSTDAQGGLQCFMNNAAEAYLSQRLVRKALHVVEASNEWEFCNDDVNFVYQSEYPDVNKQMDTILNSGLNLRVLFYNGDADTACSFIEAQWFAEAFAHDKKLDESEYGPFWHRGVMAGYTQRFSNKDFTFDIMTIKGAGHFVPTDRPGPTLQMIGAFFRNEDYSTPIPYNLDRQPLLPQYRRDGDIVATSTSEQPTTTSTTTTTAPPSTATPSAAPTSPTVILLTISACNLAQNHRPPPRRPRPSRCSQLSPCS >PPA00455 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2921370:2924492:-1 gene:PPA00455 transcript:PPA00455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-spp-22 MFLTEPKKAGETTTGDEESSKINEVDSSSATSSSSSSSSSEEKKVAVKKEEVVAVTTQKKTSEEELAGKIVDVAEVLRKIADKKDKKDKSIAEVKLEKKLEKLPLVKETKTEGGVTVERVRKVTSPAPSTGVPHPATTPVAQYRRVSCDMCLKAFNGLNYNVVQMKRVAEDMVKKDCEALLYGDEEAIGACVEVMLRKIDEYYNKFEPMIATNKVRIQF >PPA00546 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3578699:3581947:-1 gene:PPA00546 transcript:PPA00546 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSRSFLARPPLRKPAKPSSLLPLSKVPSSVFLPLFSPFHPSHSLLGMDQWRSNYRRAGWVDGVFCISNKSPCKPRVLLLVPTRELAIQIHQVIVKFTSGTYCKSGVLYEGTPFEHLKSVEMLKGVSILVGTTGRVMQFVEEGLVSLEQIKFLVLDEADKMLDPNFRFGQDLQRIMCKGRIPSRDKRQTILFSATFPALVKERLKRGKLLKDDHLMIVIGKIGAANKCIVQDFVRVGRFDKSTKLLELVLADRRKLPDV >PPA00066 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:374752:377202:1 gene:PPA00066 transcript:PPA00066 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-che-2 MRLKVIQAREARHADCVSGVGWSNSDEVLSFGDDQRLLKWNMINLEANKMADLPSGFYATSLHFFPRSISKQNDIFAVTTSDGKLHLFSRTGKIDRSVEAHKGAALCVRWSSDGTGILTSGQDGTVKMWSRQGLLRSVLATLPSPSYSCAWNGQANKILYTCGESAYIKSLKMQVAPLSWKAHDGIVLCADWSAASDLIVTGGEDCKFKVWDSFGRVLFSSSLHEYPITSLSFSPDGALFAVGSFNLLRLCDKAGWAHSLDILSSGSLMALAWSPDGTQVAAGTAGGHVVHAHIIERRVSYRNLDVVQTKWNIVEVRDVSSEAAYESLETKERVTRMSIAFGYLVLVTTKQSYIYKTGTLRCSWTSRKEPCP >PPA00458 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2939739:2948678:-1 gene:PPA00458 transcript:PPA00458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vav-1 MSEGTEVWRECMRWMMDVGVLDKSFVESVSMMEFCAALRDGVFLCRLVDALIPGVIEGVNIKPNSQFSCLKNITHFVDACRAHFSMRDDEVFEPIEMYNLSNFLKVLNVLSMMSYSEIALRQGLIPFPRRADSPGTHTPTSRNSFSGSVGSSDFSPPARHYRVISPTETEEAIYQALPDRVDELTMDEKIYDTLHYQNSKDESEEKVYDVIVKKALSESQFDLSTDPASARYLSFNPVSKRDHVIKEILDTEQNYVEKGLNMISKRFHSPLTNVLKDDDHRVIFMNIAELWAFHSSFYAQLREAVFHTLGILERSDDRPRLTVGDVFLMNKHKFTLYGPYCMGLDESRARILQLEKNDAAECCATLNSQQFKLQDLLCLPMQRVLKYHLLLRELLKDTKPGHSEFHSLERAREAMEDVNAYVNELKRDMEMKATVDEIERSITDLQMPHEWRLIDYGREHKDGEVKLAELTSPNSSAKFKPRYCFLFDKVLIVCKPNRSNTYTYKGAYIVTELRAERDAASVLSNGNGTLNSALGGTIRKLAASHTLTFTRKLNDRDELNGDAVRIIQMSFKNENQKQAWAESFRVAKENAQPSVGSTQHSVHFMTFKEKAGEEWPPCCAVCHNYLKGMFFQGYKCERCQRVFHRECLSLEKCERHGGGGGTKRISQSASFSSVASMASTSAAADPRRLITVHRGEQVAGRTRVLPLEEGRLAFERDDVLEIIQVHGTGMATACHVSNRARHGIISLSDVRKVQFRSNSTAGLGLNGGSGSAHTSNGHLSTGGVIIRKQSVTLPDRPAPSM >PPA00218 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1385270:1387314:-1 gene:PPA00218 transcript:PPA00218 gene_biotype:protein_coding transcript_biotype:protein_coding MSISGADSDDVVGGGAELADSIMAVLGPAISALDKQVLLTRHSQTALGARIEEVSTLIASLPSDTPCEVDDVVRKLDDCQRFVTSSNHAWTRPPPVWWRWSIDWGDCSERSPERSTPTPRTSPHPRPRHQSDRDTLYSVIWFHNVVTV >PPA00474 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3024542:3029967:-1 gene:PPA00474 transcript:PPA00474 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFLARPQRHLHQFGDFCNEFAECLRQAFMVSRENSSPSPHGGVSIDSAVSVLKFQTIQEAENQFSTMNEEDFFSKGQASLNTHKMCLQCFAIIDPSTCRSFDEGWSIGWYCGGVCGGYVKKSKEMITSYLKGADRLHKLDKSEIHHYLLKQFSWVEDTSQETGVNQFYAELACAIQREGATLRQTLGDADFFGYTNIFDTLARSWCDEQQRQFIAIRLQGVILLRYDKIRLNYRRNRNEVKESKKTNTPATIKLGTRDVMHFQLVKSVLQAATGRSQTICVLVEHSLDQNAVPIGYGRPQVKRSYSHQIFEKNFLAWLVRMKLTGVHRIHNTYKDDEVGFPRITDKWTFERLREIMDCQPEMEQRTWDNLAQILARIQECLPNDGEYCSIQVNAKGPNGPLTMQNKGAIDLNYLREDFRGFGNYGTNSAKDASTSSSTRCTHGGIVTLSVRETGSPTQRYHRSSYHFLTTVQEAGNKIGHINEEDYEEAFDPLAYQQFAMCLQCFKEIDPDTCKMMDHGWGTTTFLMGAESHRSLKPQEIPQYCVRAFPWVKNHPEAIVDQLTMQVACISHRGGQNLRQTLGNSDFFGYSVVFDALARSMFEVREYEIDAVRLQGTILLRHDKKSKKNQIDRNKIKEKKKSAISARRKDADGKVNHFQCASSKLISDGRSYSLAVLVEHTLDKEGEPIVNSKLDHARAFKEHDGLTPSKQTLGVLGQVLRMQLTGMHRREEMKRSIE >PPA00185 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:1135553:1137330:-1 gene:PPA00185 transcript:PPA00185 gene_biotype:protein_coding transcript_biotype:protein_coding MHRNASAPSFAATAPTRSAMAGGGVFDPFADFLASTPAPAAASSANSTRSSTPSMQQQQQGAASAPAAARPNYSRSAFDAISATGMPAKPRPSANAFDDLLSAQGFSSSVAGGGMGKTMGDMRRADEIRDLTPEQIKMRDWTAGKEKNIRALLASLSDVLWENDARWQQPSMASLLTANEVKKYYRKAAIVVHPDKQTGLPHAELAKAPVVERI >PPA00454 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2917911:2919775:1 gene:PPA00454 transcript:PPA00454 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gln-1 MPPLMSAHVTGPYYCGVGADKVYGREICEAHARACMYAGIKISGTNAESMPSQWEFQVGPCEGIEMGDQLWMARFILHRICEDFGVIVSLDPKPVVGDWNGAGCHANFSTQSMREPGGYPHIEEAISKLSEVHNQHIAYYDPHGGRDNERRLTGAHETETIDAFSFGVANRQCSIRIPKQTFDDQFGYFEDRRPSSNCDPYTVTAALVKTCCLQGRDRKLSLTYVPNF >PPA00249 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1603779:1607427:1 gene:PPA00249 transcript:PPA00249 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDYREQILSILREAGEDVGESEDFSFTRLGEGLGYTSLLWSVRVGGTGRYAVKITDLKSRLEEAKKIYGENQEKIDSHTSLFAQQHNRELGAYRYLEAIKQREKAELGKVAKFFGGVECSMDSVGIIIMADLGAESVSPDPSEEGMALETILSTIDGIAQYQAAYLSTDRPCSLLEKDVLFVLGSAGVINCIESVDGQGYLCEQWKSALLSWSTPEALREMQYEREDEEPPLVLGHTDMWTNNLLFKRNDERGIELLAFVDWQCATVGLALLDVASVVGMNMSAEARRKSEQDILRHYMATIDGKRNTFVREFHAEFDSLNRLYRRCLRFAALQLCLHVGGMLTDPVGDSEEAKENRRKHVEQRTHVAKRLKGILEDIVQ >PPA00027 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:130937:133380:1 gene:PPA00027 transcript:PPA00027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:H3DRJ6] MSLNKLSIDKVDLKDKRVLIRVDFNVPQKEGKITNNQRIVAAVPTIKYALDNGAKSVILMSHLGRPDGRKQIKFTLEPVAAELKTLLGRDVTFLRDCVGAEVESATANPAPGSVFLLENLRFYVEEEGKGVNEAGEKIKADKAAVEKFRASLTKLGDVYINDAFGTAHRAHSSMVGCTLPQRATGFLMKKELDYFAKALDNPARPFLAILGGAKVADKIQLIKNLLDKVDEMIIGGGMAYTFLKVSQGSKIGNSLYDEEIEEEYCKGRRLKGAKIVPELLEKAKAKGVKIHLPLDFVCGDKFAEDATVTNVTAAEGVPDGQMGLDVGAESSKLFAEAVARAKTIVWNDEEEEAGMNG >PPA00428 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2708057:2714675:1 gene:PPA00428 transcript:PPA00428 gene_biotype:protein_coding transcript_biotype:protein_coding MADAATNAMSKLNLATSQPTLIDKRAPGTNGAALELSTNITPITLAQNVNYFKYDIRMYAVFTKRDGSKTTKELTKQTKDDYNEQERKKACSEIFLTLTNSDAMFQGTLIYDRAAVLFTTEKLPPISASEGKLLTLNAQSQSVCPEAKHIEVTIKQAVFGFQVSSNDLAKCVNADFKPQHSKELMEVLNLATSQIPFFTPTDYMTYGNGNIYLLNPGNFGFQRNEAPDIGRDKFTGIGLSKGVKILEGNNQPGCKNYTPALVLDVKKTAFHNFNQNLTEKILQTYGNAVPSHALLARDLKDIRCLTVHKKASIVIGGFTAGPVGKATFKDKDGNAMLICKYYEEKYQLKIARPDLPGVIDAHQRNIYPTDLLVVAPNQRVKINTKDVSAIKPELRFAQTVRLSKLLQLDSKKAQDMGVFVPTDAASLTVPARQLPPVSLLAGQGAMAGPSWRSGGKFVVGAKVEKWAAFLLFPFKCGGKMPRHTPEEVFQSFVQKFADAGNRKGMSIATPLIREHVDVSVGDTNSHVSQAVKKAKEAGCTYVLFVSDERVKSHEQLKYDELAHQITTQEVTLIKASQVAFENKGQTLENIIMKTNVKLRGMNHVTRGDGGLHHSNDAIIIGIYIQQPRVMTASEIEGGSQPSFPAVIGLSANNGILQASNLDIAPIPAFILDAPLQQREMAAQQYFTMAYKYANPKEWKKGETQLDTLKKMVAEALMTYKNNRGRVPSKVVIYRAGGVSEGQLSYIASAERDAYLAAFDSLASDYKPTLVIIAASKEHNERFYQKANHSNLFPGVVVDRMAVNPELNEFYLQSHKALQGTAKATKYTLLHESSGRLNSDQVQHMTFALCHLHEIVNSTTAVPTPLYVAEESAKRAVNIYHHMKGPAEYYELDELNANSVLGHAIVSHLRACGLLRSTLMCPKCAVPCVEYQLKKSPSWPGYGWRCNNCATTFSALRDSWFSRTRIDIRPLLRMLYAFSWEQASFRSVQHELRCPDGSTISRQTFVDYCSFFREVCVADNERQPKIGGPGKVVEIDESAFSKRKYNRGKRMAAQQWVFGGVERRDKTKLFAIPVAKRDANTLLPLIVKHIAPGTEIQSDCWAAYHRISNIGKYTHLTVNHSVTFKDKVTGACTNGVEGMWQRLKLGHKRRFGTHRSQLPSHIGAAVFFIRHELEDRFEAMLRAISENFPIK >PPA00233 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1500589:1501060:-1 gene:PPA00233 transcript:PPA00233 gene_biotype:protein_coding transcript_biotype:protein_coding MNMPATQEIPSTEKVRKKPGPKKGPSKPRAKKGAAAAAAAATPQDSAVSSSTGDDKNSVLKSFIDVNE >PPA00002 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:5521:6509:-1 gene:PPA00002 transcript:PPA00002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTTVESGEGRNTDENGGETEEIEEDGMNEKEEVYGEKEEIATQYQNGYPAQAAPTQYQNGYQSVPTYPTSGYQSAPHSSQYQYGYGVERDSSFDDSPLSSQSHSSPECDIGSFRRVSACSPSFDTCSPTARQWIRRICGKSHRFDSNVNRCSPIFEIRECDEPMSSLLPSEILDH >PPA00364 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2330557:2331200:1 gene:PPA00364 transcript:PPA00364 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLCALMHHSLWSTLDFFNISDASSVHYSTLNQVGRLAGLAQFPSRVRPYHIEL >PPA00221 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1409723:1415657:-1 gene:PPA00221 transcript:PPA00221 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPNSIVWLQQRLRAIFQPPLLAIMAPASPTAGRRRLPPPPLHRRDRSRLPLRGGLGDLRPRDDDEDDDEEDDERRRLARRDPLQPLQLPADFNVPEPAVVIDEDDGRMEMEVDEDDDERSADTRDVVGRIRLRHGGVPPGIVCVARAIGWAPQPLSLPVSLLGVDSVVAVGALVIGVFSLFIVVELEPLALSSGGPPPPASPGSSGGRSTACGPSASPPRSSRQSAHPRGRSSPRPLAARRVAVERGLERVADQGGRADVRSMPSAGKLPACKRAVCQSDPAVGVTTSDVVLYGKIRRERERVEACVEERIVRCDAIDALSHYTVTAARAVTKMRHYAHSLQEAGVVLSDLILSANRRGAARLSHAELDELKKARELMKVARAGTLRWRALCYLC >PPA00441 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2782401:2783259:-1 gene:PPA00441 transcript:PPA00441 gene_biotype:protein_coding transcript_biotype:protein_coding MAGANEVTGEASGELENGMGFERVTQTKDETEMLLLLHTCTADFLFAFFSLGTEIRLLIAHPLFPGPDSLCRAVHYLQMVPLYASPFLLVAISADRYQTDYLSFVPAIFLAPSQFGVADP >PPA00316 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2081632:2084618:1 gene:PPA00316 transcript:PPA00316 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGNGCVNDQLLFNTVIQYTYYHGLTDETIYMNAVEKCCQDTSATDCDFYSHAFNETDPCYAEAQILGSANYFSGLDPYFLYFTCYLNEAPATPSRSQRDVMISHLRRKMTAKSGLDYERFDADPDHTDYDPTLPQCAHHDDYSIWLQLPELRSVLHVPDDVQNYTSCSQEVEDNYISTYDDMSETVKSVVASGVKVMFFNGDMDSICNVQHNNQFMARLGLERIHRAKPWNDKEQKPPSIGMWTAYKGLDFLTIRGAGHFASSDAEKPKESLQVEI >PPA00339 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2194184:2200542:-1 gene:PPA00339 transcript:PPA00339 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFVEQSLEELVPVFEQLGATQLLSEKQVNDLVKRCRRYDYRLQKSHKKPEDYLYYGEYLSVLLDFIAERRNAIGYVHKKELIEFNIKRKTAGIYRRLCERFQGKLEYWLMYIQFTSTRNMKAQTSKAFIQAMQMHPRSLELRIKAARWEWTENNSVDNARRGLQLALRLHPESVALWATLFEIELDYVKKIKARRSILLEHKHVDDEEESAIILPATPAATDAVLQLRVAEIVMEQGLETIGALRGEERDGKMSELLVLLWRACLSLDASDADAARVRSTLEQRMAAQPDPTIKWRIEVDRQLAEGEDLMDALASKAWADASIDELRWAVGRLKEKADKDDVDGGGHIDYRTLVLSITVSLLSSITESTHLADAVCRSKVRDLLSFIVRRPECTEEECDEWARGVTEVEEGEERAVVERAVERFPRRALFWRLSLEAAGKRIEEEVEEAVIKHAESINQAIDKAGDDADAEEAVRLYQLAIDLAVAHFPDTVEKVFRSCLDSSVGDTHRALKVSHREQCSRLSTLPYLSSVTATREYCEMRDADHRSGWKSAIAPNNRPLSQGAPGGLPPVDCGGGRRGGGKDEEMECGGEEDQGADLFSCSDLAGLRKLYHFIVIDFLAYSVEFYVHVAESLLALAASLPKSTVAKAGGTVAATGTVSTLDALIDETLAAAVAEHADSDDAWIARNGNLKGR >PPA00044 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:217743:220836:1 gene:PPA00044 transcript:PPA00044 gene_biotype:protein_coding transcript_biotype:protein_coding MYIEGITSFQARTMDFHIDMYFQQDWIDARLAHNGSAPILVRDKAIFKQMWHPDVYFANARSASFQHVTDDNFLVWIYPNGRVWYDCRISATIMCDMNLWKFPLDQQECDMRILSYAYPEKQLRLRWTNRTDLKAIEANPEIKMPDMELVFIKQGHCNGTYATGVWSCMTAVFRVERQMMHHVMQTYLPTCLIVVISWHVSNDLPLFNFWLDVDSAPARVTLSITTLLTISTQANGVELALPEVSYMKAIDVWMGACMAFVFGVMIEFTICHYAKNLEMARGERCKALVNTAIGFFGASFGKQTSIDELNDEDLGMPGGGTITLKSLREEDETEPRLRGLNGDFERNGSLLHDTHPLRQKRQSVSFTKFRKQTASTARRAIRFLRDVRKLRGRRLAQRIDEKCRVAFPMAFLIFNICYWSFYLFFHPNLGDETNPRYL >PPA00535 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3462903:3467120:-1 gene:PPA00535 transcript:PPA00535 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRGRKTAPSQTRNLGESYSSRVSCPPAIRKRRSSADLTTRLPAIAAQRAPKTSPAVKNTLPKLPPATPKRGGGAGGPIRGRSSSRGPVRVSSNTPDKDTKLPPISVVAGGAAAAVAAGAAAAAAAASMVVSPKSSEDDDRSRGYQSASPEPAGAEVEAASEKTASPPPEREDDVEDEEEHARRKRSVGEDPEDHEEPEDGHETPEPREASHELVHEPTPEPEREPTPEQQEEAAHEEEMIQHHVVHAEAAPESPEPKEPSPEPEAEKEASPEPVVEREQTPEPREASPEPERKSPTPEPKEATPEPAVERDPTPEPKEASPEPVVERQPTPEAKEASPEPEVERDPTPEPEPKSPTPEPKEATPEPVVEMDPTPEAKEPSPEPEAVMQIHQEVAATPEQASEDLSVTGEPREASPEAKEEPDAVVQIHHEVAASPEEHSIATEPRDASPEAVVQIHHETAASPEQSEEYSIATEPRDASPDAQRMAPPEIVESLVESERDEEENDAMVTSVYQPADLEDDVDAELDSEVLRSPTPEPVGDIIEEPIVQTVVHDEAKTPSPPPKEASPKPKEPTPEPEIQAHHEEAKEASPEPAHEEEHHESPITPKEATPEPEAHEHNNDEEEEEHARGKRSVGEVEGQEVEEHHYELPQEEEGAREEPETEHHDGTPELKEPSPEPQAAETPKAASPVEEVAREASPVAEPTPETTEAPSPKASSPEPKEPSPEPEPTADAASVEEEAVQVMHHDLEAAEAALEQEQEEHKEPTPEPKEATPEPVANSPVHQEARTPSPKGDVVESQHSDRDVSSPMSVDSHDTDGLKDEEEEEEEDEEAKKAKIELANNGNASPLDSQPDFHPAAAADAAHPAEA >PPA00286 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1885162:1891391:1 gene:PPA00286 transcript:PPA00286 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSKAEQARSRESFKAITAIKSDKEAEQYLEACSWMVNAAVELFFARDSGEPATRKRKEPTRPNGRPRNGNGAGPSAPVTLSDDDDVVPLETKRNGGAAAPSRAPAKPQPAVTIDDDDEVRAPMSFKNGPIVQQSFEQQYGNGIRPRAAMPNGIFDGVQDFRALAEQQKAAQKMRLQNGIPALAKREEASNKLSTDKRSLQSLFRPPIDILHPGDWESAKRRRAVIGSPPSGRWSTHAETQAASIERECWVLVNVQDMKEFASQTLNRDVWSNGGVKELVRSNFLFWQVSLDTADGQRMRSYYGVRSCPAVFIVDPRTGEKVTDVPLKSQDAVSICDKLTRFMDDFPDFATRDRSIGHAAPEDAEPHPDEAQNMEEMVAESACTSSTSNGTKRKRRAGEEEDETNGKKSRVEDGDMDRLLEESTRGLTMCDEDEWKKHVVPGGDPIRVGLRFPDGSRHAVEVTGATRLFALHQYIRGRGLMPEDHVFILSFPKVRR >PPA00139 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:792137:794673:-1 gene:PPA00139 transcript:PPA00139 gene_biotype:protein_coding transcript_biotype:protein_coding MFILLLFLPVLCSSYYQCFYARIRFKCGKDEYWGPVVVTVYDERSIIYGGDKKQFTKDQYINIGEYKGIFLIDQKRDKCPEPYNPYLMITSYCELPEDPEKGVFKEWVDNFKYTFVNKNHASNPIFEVARVGLPFTTCRRIPAINNWTEIPIQLHAEDDC >PPA00508 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3254588:3256585:1 gene:PPA00508 transcript:PPA00508 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIELLFALASLASVAFTSITKETVEPYEEEPVFHPRGVQQFKGNSNLPFRLLSVTPTDRITEGDDTWFRFGKLESTHVAEIENLEGDEIHYLNLTGKPPVMRLYFNPGANRVGSLRLKVLLGYMIGEGEKTEHKTQYLTFPNDDDHLLCPKTERYEFGSCHYHRNQSTFEQITLPIDQWPKEAKNLLGAAFYAMHL >PPA00495 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3164831:3168067:1 gene:PPA00495 transcript:PPA00495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lev-8 MTNTRKGAMIVCQIIKISSVLLVLDLTTSDRYVSELLEKLLSDYNKNVRPVKNASDALLVRFGAQLCRLIDVDEVNQVLTTSLWLDMQWQDRKLVWNPAEWGGIKKIHVPSDQIWTPDILLYNNADGEPHITVVSLVLVDYTGMVFWMPPSIYKSLCPINIEYFPYDLQECHLKFGGWSNDGQTLDMQQIAPNIHDPIQKKIDDQGIEFAYLEHGLGLAFFHESAEWDLLNATSARYAQIYPGCCGQQYYIDIRYNITIRRKAIFFTVMLTIPCMLIANTTSFVFVVPPIEHKMTFSISVFVAFSVFYLVLIEIIPPTSLVLPLIGPADV >PPA00320 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2106974:2110768:1 gene:PPA00320 transcript:PPA00320 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRFDAIPGGSFTFNDGNDIPKIGLGISRIVDQDKLTSAVRAALTAGYRLFDTARIYKNEQMLGIALKTAMGELGLKREDIFITTKVPTLNDDPAGGTELSVKESLDKLQTDYLDLVLVHYPRDRYTGNDDDERNGLNRKIVWQKLEEIQARGSIRSIGVSNYEVYHLVEMQEYAKVVPVLNQCEYHPYNTEKLLRRYCGQKGIVFQAFSSLCWGNQEILNEHPVKAAAEKYGVTPQTILYSFAVSTGVGIIPKSETPARIASNLHDTIKAALTPDEQKALLALNRGLMFPVVN >PPA00174 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1041380:1042237:-1 gene:PPA00174 transcript:PPA00174 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSDDVISSIRAAIPKELGGKKDKDSILQQLGSYIDTIEKKPPPMQMAIGGTAGLCTGYIFTKGSKATALILGIGLISFSYFSQIHANLLWTNFA >PPA00013 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:52816:55019:-1 gene:PPA00013 transcript:PPA00013 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lsm-7 MVNEKDDNQRKKKESIVDLSKFLDKKVRVKFQGGREATGVLKGYDQLLNLVLDGAIEHLRDAEDPTVIGDETRNLGLIVARGTAITVVAPADGMEQIPNPFANAEE >PPA00439 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2770323:2771407:1 gene:PPA00439 transcript:PPA00439 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPEIIIDEVTHSPKHVQSVSISGGGNTTFRRSSDDCCTIRRSSSEIIGGIRLPSNNSSSDKRRGSGMSNSSASLAIHDQQQQLANQQISTKQR >PPA00126 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:739297:741236:-1 gene:PPA00126 transcript:PPA00126 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSTGFDDAESQRYVHGFFTSNGSLHTYMRHDITDIDDYIVVLFWDYGFLDGIHWANCIGAATMGMLGSVAYTFMAYAAVNILYYMEKNSQWSERHHKQHVQLFRALVLQAMTPFVTSYIPLGLCGLLPFFGADFPLFSVLVPPLCAFHPVLDGVIMLTTVSQNTLIDWLRCRPQRRNSRVIMIELEDPTGRTTDVIGMRKMTVSPT >PPA00081 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:485346:487310:1 gene:PPA00081 transcript:PPA00081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ags-3 MTPMSSTKSPTTCLELAQEGERLCRDNNFDQGIHYLRRALDVGTEDTAMLSAIYSQLGNAYYTKGLYPQALEFHRNDLLLTRFTHDELGELKASANVGHTYKAIGAYQDALMYTRHQLRLATKLPEGKERMACEARALYNLATIFQMKSRSAQKSVCLAAPPPIEFKFEIIHRKELVKRYFPLLDTSVSLIIVYENCIELELHPQIADVGGVQTAGAVASAAAASGSAESQQQTYLDDLQSAIDNFK >PPA00291 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1920843:1924015:-1 gene:PPA00291 transcript:PPA00291 gene_biotype:protein_coding transcript_biotype:protein_coding METTTTPGGAHSTSGQLIPEMTQDSEDRDPPSEPMLSHSADHDDPNLKPGRTFAETACSLLLICICSLLLHALQRMLGDLIVGTIAPFAALFCYHWLAVHSYKLNKSCNLVFDEANLLNLTKNFEYELGFNDDCVTPAAGVDVKIEIPKPRTLWEALFFDDRAYKMEEFYRKLYGGEYERAMRREAEERARRALTSTKTPCIPTRASTVLDLSADDSYASQSPMKSDKKEEKTD >PPA00479 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3062020:3062813:1 gene:PPA00479 transcript:PPA00479 gene_biotype:protein_coding transcript_biotype:protein_coding METNPKLNLLLVCISSMHLGAQVTGLILTCTCRPDRRPFHTFSTACQLLLLDIQLIGFLAVVGYQYHWWFDYESL >PPA00036 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:174294:178777:1 gene:PPA00036 transcript:PPA00036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mek-1 MDGVGKICDFGIAGFLDNSLAHSENVGCPIYMAPERFDKGPAESSLTLNARNYDVRSDIWSVGITQVELARGRHPYVGGSEFEMISRMLDSPSPFIDSNDDTFSSEMAEFSQGVLTKDPRQRPKYPELVIRDDDSNSSDGIDQRPVRERVKGVNEFTRFMDEVEECDRIHYTTFPEETEEELQKRRNRFFEELEWPGGLDGAQKLGGDDSLSMTHRQEEMNRRYEAVRAQANILRIGGKEVKIVRADVKDLKFIAEIGHGSCGHVSKYTYGGQLMAVKEMVKSANAEEMKRIIMDLDVVTKASDCPNIVHAYGYFITDEKVMVCMEVMATCLDRLLRHTGNTPVPEYIIGQIAVSVLTALDYLKEKHHIIHRDVKPSNILLDWNGVVKLCDFGISGNLIHSKVYTRQAGCPPYMAPERLNPNASPNYDIRSDVWSFGITMVELARGKYPYSNLNNEFDIFSEIMRGEPPVLTMDEGFSEEFVDFVSLLLQKEVEKRPKYNKLLNHPFIIRSKNEDTDVAEWFTGIMQLED >PPA00420 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2654889:2656752:1 gene:PPA00420 transcript:PPA00420 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFREGGPFDKLYNRPIKYFIFLKLLLALIFVCNVVLIVQLHHLGADTLEFIQMFYLTCIVFAFFSLRRLDYRYCIPALFFAILNVILPTMIVLYMITAENYCYVREHFWPVEGNKTIVVTKMECVGEFPYASGFYNKLYALLLYGIGKLAEFLLLFHVQKSVERERIALEMMSFMAEKDKKVPPIEDTEDEMVVYKREKNMVV >PPA00556 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3631116:3633570:-1 gene:PPA00556 transcript:PPA00556 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGHNKDCGAKLFKKCEFIDRYFNDHGDDANRESDIHSFDVFESDNNIFHNDNFNDISSIYINLLSFAVDHDLFCYEK >PPA00251 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1619051:1619425:1 gene:PPA00251 transcript:PPA00251 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSSKKRSRPHSPADSGAGNPIKQEPGNSLASLAALVVLATVPFALSIGGELVFDDRKTVVENGVVRGTKPLIEVFSTDYWGDPLASMTSHKSYRPLTTLTFW >PPA00004 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:15427:17329:1 gene:PPA00004 transcript:PPA00004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sul-1 MMMIGMERVFLDSPSSIFHWCKHIHYCLTGRIPPHKYLKKDKRKRLTEISSGMIVRKQSRPNVILIITDDQDIELGSLAAMPRTVRLLKERGVSLFGYSTTPICCPSRSSILTGLYAHNHNVHTNNNNCSGPEWRFVHEQRTIGVYGQKEGYSTAYYGKYLNEYDGSYVPPGWDDWHGLIHNSRFYNYTLNVNGDKRKFGFEYEKDYLTDVVTNRSIEFIDRVATRKGRPFLLVLSYPAPHGPEDAAPQYTDSFLDIDSHRTPAWNYAPNPDKQWLLQRTGKMEPVHVAFTDLLHRRRLQTLQSVDEGVERIIQLLRDKSVLSSTYLIFTSDHGYHLGQFGLIKGKNMPYEFDIRVPFFIRGPGIQKNTT >PPA00114 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:651728:654735:-1 gene:PPA00114 transcript:PPA00114 gene_biotype:protein_coding transcript_biotype:protein_coding MGLANLRRSQEIPAFNAEQRRQSSPAVKAYHVHATGADQICGSTGSLGLGLGAMFAYWIRSLPPARPPPPPPPHAGPSSSSSSRAAAAAAPALKPGEHAPQVPSDVNLGINDFRLISVLGRGHFGKVILAQLNSASQSGEYYALKVLKKGDILARDEVESIMVERRIFETASRARHPFLVNLFACLQSREHVFFVMEYSMGGDLMRHIHDDIFSEERSCFYAACVLLGLKFLHENSIIYRDLKLDNLLMDKDGYVKLADFGLCKERMGPHDRTSTFCGTPEFLAPEILTDSSYTRAIDWWGLGVLIFEMLVGEPPFSGEDEEEIFDSIVNDDVRYPRFLSIESISIMRRLMRKTPEKRIGTGEKDAAEVQGQRFFKHINWDWDKLLAKKLKPPFVPTIRNMEDVSNFDDEFTREKPRFSSAKDKRVISSVDQTQFRDFDFSLIAERF >PPA00422 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2674803:2681067:-1 gene:PPA00422 transcript:PPA00422 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTLVNAQSKSFVSELLAHPNLIPYIYETSKIGDHASAAQEMKDAVPMHVWKKSHANEKRKREKLYKIGECSVVATLSVKACQLEDEDTKLLPYLPDPSPCASLEAAFIHIGAKSVIRKIRCIVKKNIRTPIDILRSAVLALRARVTRYGRRLACSVKRSARMGTATGGTARRYFGLALYKTIWLAGLSDTLLRLCFRRGSANFFIAMIGCCAIVYVLVYMITANQIVIDESYGRAEESVAEVKNSMRKHEIDRACSASSGLCYRVVDSVIPGLSSSMVRRELFTEGAEEGDSETAVMLIPPRESDTFDTSDSRFWSVSHRRILNAYVSALAAAPFVMGPVPLVEDEPRQRPPYQVLSIGLGGGKLDMFWSRMKRNLNITVLEKDDAVVKLAEEWFGVKEVHREGDATGDERRTIVGDGVEYMKMEKRRGFSYDVIVVDACDLDHRRPCPAAPFLEDEMIRVLDSITSPAGIAVFNVLPIGKNRKEMEEIVGEVVTKLLTAFNSCSQVTVKDEDNVVVSCTKKLTSGSKDYAAFLQTRLRAAMRTLGLDDVIGDVVTGKRR >PPA00197 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1262369:1268146:1 gene:PPA00197 transcript:PPA00197 gene_biotype:protein_coding transcript_biotype:protein_coding MQYSVGTVDDMKKAMSVERSNETPLPPIVICPSEVEHINITLEKNQQCACKDCGKLFNSVWYLKQHAVKHSNDRPFKCKFCFKTYKFRSNLYQHKCPDRQKSGLTGRRGARGTGVGIPNLPGTPTSAVQKARLELKMKLENGGSGSQPPSAALANGATTAQQQPSQQQQSMTLEEAMTSSAGAFAPPPPASSYQQPMHGTVPANGERGVPSSIRRLSKNRQREQIGNRRSAGVSSMHLGGYSEEAEDAELSYGLCIRIEPEQPPAAMLPPREEVIDRPPDCIRLQPMSYEEVERYVSTNKTKIHTCRKCKTHFPSEETLERHNAAHRHEETHCFRCDSCPQTFGNQMEFARHVSSHASMGPWSCDGCSGCFRSNVALRRHVDACRPCRYRPFDMPVGIIETASSPLDPSSFIISEADTVPSADFLEALERSEREKAESGIGSSPESSLLHTSPARSNTNTVEDDFDENLSFSSASSSMRKKKANGDGHDDDADSGFRSRLNSVTQSCSPSSSSSAFSSDGGSPHRKSSHDAGHTGISFGISQFGMGAAYGQKLLIHEQPVGGQFNTFSSLSSSALSFASPSFDFSGDEVTVMGLAENSIDAMAGIRAKESLYIDEITFVDPRISLDADDSCPPPPFFESAEPIDTVEPTLIPLLLRYVLRTLLPYTALTLAARQRTVSVDRYHRNAVTLSKFVRLAVGDRSAHDLDLPSLSRSLHFTLD >PPA00337 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2181688:2186659:-1 gene:PPA00337 transcript:PPA00337 gene_biotype:protein_coding transcript_biotype:protein_coding MSSREDDLPSWDEFGAEQLDYGEGEEEEAVAAPTGDDPGDPGEEPSTSAATVATPMRGNARVAAMRTTSGSSSSRAAPAAAAPRHIGPSLPPGFDLGGGGADGADDDDDDEDPEDPGASPGELQPAVDEAELAQTLPSMGGPSLPIDDPTTPGGGGASTPAAPSARDAVASAAPPEPVPGQRPRRMNIARCSRGRGARPAQGPSSRVIGPAIPPDFLPFEANGGDEDEEEEQEDIDGSPPNDDDRVDEPPMSSDSNGQEEEEEEEEDAFGPALPPGMNGGVVAAAAAAVAAARAAPSPGMEMPMMDEEDDDEVIGPMPARSAADEHAAEARRAQLRAEKEAEDAKPKREEWMLQLPKKLNSYGLGPRSFSKSNGAGGDSSAWTTGPGTSAVTDPDASKEAMEKLATAERDSHQARIASSLNAGRNESMLDSHARKRKAGEDAVAGPSTSTVRRPFDIEKDMQVRGLKSASTAEIKEKCGQLSSRFGNSSSAKFL >PPA00520 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3340879:3343775:1 gene:PPA00520 transcript:PPA00520 gene_biotype:protein_coding transcript_biotype:protein_coding MLKISSVSERLDQWRREAYDYSSSSDRLGIVISRALELLLVVVKHGAGAENLKYAVDSLKWERSSAMHPEKDGPGSLRTHVYHLCEALELSMESVIERLAFETEETALPYVESDVGTYGSADLLPPMAASTMTASAGGVPVDEEKPPAGIGMPTTTSISMSGAASLEAITGYVGNEADMKMEVCGRDWAK >PPA00522 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3347443:3366852:-1 gene:PPA00522 transcript:PPA00522 gene_biotype:protein_coding transcript_biotype:protein_coding MLTENGPFHPTKDGEHLQENVFSWNKIANVLYIDSPRDVGYSYRDAVHGPDNTRDDLVLALQSFVTAYPQFKFRDFFITGESYAGIYIPQLVDALLQTPDSVQLNLKGFAIGNGVMRLWDSFNSDIDLMYYRGMISKHEFDTLFDCCTASGQTADLLYCDFSYFVDVSDNDDLSAKKFDDKTLQDCANHVSKLGNYVWDSKNSPYNTYQDCYADPKQAPPMTMASNLAAKTFSNYEGPFYDQGANQFAGSTDAMGGFQCYSDAAAQKYLNLPAVRQALHISDEAKTWTGCRDIPYAQNEWDMSDIFNSIIASGSDIRALIYNGDLDLADSFMAAQWFVDRIAKDQNLTVVAERTEWVYRRSTKLPPIGGGYVKRYAKDSFAIDVLQVKGAGHLVPTDRPGPALQMISNFIFGLDSYDNIASISTAAAPLLKEFQTAPEPELSRKEADRIYDLPGLTFKPNFHQYAGYLNGIKGNYLHYWFVESQRDPLNDPLVLWLSGGPGCSGYTALLWGNGPFRPNRDGTTLFENIYSWNKIANVIFVDSPRGVGFSFQNTTENPSKEWNDELSAEDLKLAMLDFLEVYPEYKNRPFYLTGTHFFLYLRESYGGVYVPSTSIKLIELIQSGDLPYLNFQGIATANALLSSYDNFNAQLQYQYFHGAIGKDDWDSLQQCCPQSEHPTDPTYFEFCDFAKFIVFTDDLEASPKDPNDFCGQKTVNITVQTAWAGPQTQFNLYASCYELQLDKKSLQATGYGNDQHPELVKPYYKQGFVDQARLVSHEISDSQDGQFCWGQAALKLSRFRKYMNLDEVQAALHVREHAKNEEIRWTGCDNKIGFLYQAQYFDMRPFYRKMIEWGKPFKFLIYNGDIDMTCPFLGGQWFAESLAMEYNMDLSRDYGKWMYLDQIGGYTEQFKYKNIQMDVVTVKGAGHFTALDRPGPTLQVFSSFIDNKSLDSKVAADLELADLLNRYNIEEQVGRQKDLPVDAAAAAPAAEAANTRAKRAAAAALPAPPPACNKKDNEVIDLPGMTFDLGVKHYSGYLNAGTGDYLHYWLIEAEKDAATAPLIMWFNGGPGCSSLTGLLSELGPFQNNGDGETLYENVFSWHKAGNLLFFESPRGIGFSYQASDSDPTKINDYSDTLTAEGNVKALVDFLNCYPEYKNRKLFITGESYAGVYIPTFVDLLMKKVQDGSVTGVNLEGVAIGNGLFSTIKSLNTDISLTYMRGMHSKKEFESLGKCVSADHKGPMSYYDFSNYVNIDKFGIPIPKSLNTSTLEGFCGVEILRQGYLDVWLSKNDVYNTYQDCYVRHPLPNPGDQDASSNPAGIHQSNKKKEHDRHKRDAIGRPMNYAPFVDEAKRMNYQSTDNNGGVICYDGKTPYLNKPEVRTAIHIPDYFKDPWEDCKYNLELTYYKQEHNDTTPVFESIFTTLKALNKPVRFLIYHGNADMACQFLSGQWFIEELAARNNMEMTTEHTPWRYEIKEGSVMRNVGGFQKSWSHMDGKVTIDLVTIKGAGHYVPVDRPGEALQMFYNFVKNAQPAPAKNPVDYVSRADADKVYNLPGLTYDLGFDQWAGYLQAGTGSKLFYWFFQSQNPDPSAPLMLWLNGGPGCSSMIGLFTENGPFRVNPDQRTLWENVYSWNKAAHVVYIDSPRKVGFSYQNMTENPDKTISDDGIAPDAWLALEDFFTIFPAMKKREFYTSGESYCGVYLPLITSFLAEKIDAGESTINLKGMIIGNGEVSFKQDMRTMPSFNYMHGIVGKDQYDALEGCCTGEDQIGGLYCHYDDFFESAITWKAKADLDDNGKRCVKALYRILGSEGIVWSDTNDNYNLYQDCYATQKGLLGVSKSSKTTYKARILALKHRARYVAAHKTENADQSGGFEIKVFIHFLKHMKQEGRVTEYASLQNNNLDPLSTDNTNGFQCWMDDATMAYLRSNHTRTAIHVPDYVQRWEECTESLRYVSNKDDMTPQFEILLNSKLNLRVLLYNGDLDDACQLQQAQWFVENLAHKHGWGESDKTEWNHRGAIAGYKTRYTTDSAFTLDLLTVKGAGHMVPTDRPGPALQMIDNFLKQKDYDTPVPYSMDRKPLLHQYRRTGDTTPSASSPPPRPTTTVVPPSDSSSTSSSIPPSTSTSTQTPTTTSISSGMTLTSLLLSVVFALLI >PPA00310 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2031729:2034824:-1 gene:PPA00310 transcript:PPA00310 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNYMQHMQSVMQSEVDFCKSRSSNIWREVTKTQVLARVNPSALANDREKRQSGYYSSYGRSSQNYPSLAVENFNAAPQGGCCGCGQSPQGPPGRPGQDGMDGGDGLPGQPGRDGPDGPPATPAPPADFCFDCPDGPPGPPGSSGPKGPNGQPGSPGAPGNPGFRSAPGPRGPPGPPGNNGAPGNRGQRGQPGNTREVPGPDGQPGRPGPQGPSGAPGQPGVPGQLGRPGGQGQPGDRGNDGRPGQGGRPGDHGPDGESGAPGGCDHCPPPRTAPGY >PPA00198 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1280035:1280465:-1 gene:PPA00198 transcript:PPA00198 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPVLLLSLILVFTSTTATMRTKVTRFPPITRTTLPVCDYFRNNHRLCMCNTYKRIGPYCAQMEEAAVLFNCGKF >PPA00098 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:578522:580508:-1 gene:PPA00098 transcript:PPA00098 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSPPFDQNDKKYFHFFGKLHVTKAARIVSVCYVVLISIQLIFSITRSLTAAFFSLVSAAFSIVVFGALVYGVFKEKRLYVALSLIITALSLFIFIIAIAVDSTTVVSLAEDFWSVDPRVSQEQFNRDMTTFTVLFIIFICISGFVQAYFLEIVYSFYHFLKDRETSFNFTFDATWFLMWSPLFILFFLHFANATTEDIDPLTQIAQAFFKEDFFSSQE >PPA00302 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1986447:1987522:-1 gene:PPA00302 transcript:PPA00302 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMPDTTAMRSRLRSFNHAHKSYNTVFGVFHYAACLIGFVYLLLLCMLLAACLIYSQSSRRATEMPARINLKVLTIPIGFSSRSLLRFFS >PPA00524 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3368121:3382546:-1 gene:PPA00524 transcript:PPA00524 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFESQSSPDHDPLVLWLSGGPGCSGYTAAVWGNGPFRPNRDGVTLSENVYSWNKIANVIFIDSPRNVGFSFQNVTENPNRDYNDEKTADDLYLAIVDFFDVYPEYKNRPFYLAGESYGGVYGPSTAVQLLDRITDGRLPYINFVGLAAGSALLSSYDQYNAALQYQYFHGAIGKDDYDALQDCCKQSNHPGDKTYFEFCDFTPYVTFDKQGNAHPNDNCGTAECTMCAELTIKITQQSAWNGPQNDYNLYASCYELPIYDNSRPELVKPYYAKKGFEDQARIVSHESSDPQDGQFCWGQIALRQYLNTAEVQAALHVRQHDGGELTQWTGCNDDVTNNYEWQYFDMRPFFDQIIAKDVPFKFLIYNGDIDTTCNFISDQWFAERLADFYNMKTEREYGAWKYLGNIGGYGEKYSYRNVTVDVVTVKGAGHFCALDRPGPTLQVFSSFLHNQPLDTKVPADLELAPLKDKFVIEETVGRQKDLAVDATKIRAKRAAKDALPPPPPACKKTSDKIDVLPGLTFDFPGNHYSGYLNAGSGSYLHYWLVEADKNPATAPLILWLNGGPGCSSLSGLLKAMGPYLNNLDGETLYENIFSWHKVANILYIEAPKDVGFSYQASDSDTNTANQYSDDMTAEDNVRALVDFLNCYPEYKNRRLFITGESYAGIYVPTFVDLLLTKIINKEVTNVNLEGFAIGNGYFSGILGINSAISLTYFRGMHSKKEFESLARCVPNDNVGPMTYFDWSQYIEIDIRGQPQPRNTDPSTKEGFCGAEIIRQAWNDVWNTENDVYNTYQDCYERHPLPAPGDQDASSNIEFMKRAKRDTVGRPMNYLPFIDGATECVQKRLNYQSTDNNGGLQCVNPKEPYLNRPEVRKAIHIPDTFTKKWQVCSDTMEDNDYDQQYNDTTPFFQSIFSSAEKLNKPLRVLFYHGDADMACQFLEGQWFLDNLAKKTQMTLKSEFAPWIYEQMEGSLLKNPGGYMKSYKYKDLVTIDHVTIKGAGHMVPVDRPGPMLQAMNNYITNVDYNTPLWKSLSIARKPLNAEFIGAPDSIISRKDADRIYDLPGLTYDPSFNQWSGYLQAAKGNKLFYWFVESQNTDPSIYTPVVLWLNGGPGCSSLIGMMQENGPFSNIQYEVMRPNFRVNPDQRTLWENVYSWNKAAHVLYIDSPRRVGFSYQNMTENDDEKWDDDKTASDAYLAIEDFFTIFPEMKLKDFYTSGESYCGVYVPLITRYLVNKINAGESHIKLAGMMIGNGELSFVQDVRSTPSFAYYHGMVGKTEYDSLADCCPGDDVVGGLYCHYDDFINPLGWQPRTGLNHDDQICADKIRKLLDGPPGYEDVNDQYNLYQDCYAQPAGNATETRSKMKERVMMRRRHPSRRFAALQTQQQQQSSVAFNNFNPVSTDNNGGFYCYMDDATENYLNQPHVRSVIHVPEHVQPWTSCADIHYQKLYEDMGTTFEDIFKYNADLKILIYNGDVDFVCHHLQAEWFIEQLTHKKENNFKHLTEKREWRHRGVIGGYVSNFNRATPKNVNIDLVTVKGAGHYVPMDRPGPALQMVSNFLRNVDYSKPVPYSLDRKPLLPQYTRKGVPSDNASTSPRPTLIPTSPVTRSNPPSSTVTSTTRTNPPSSTVTSTTTPAPTTTSGTSMTASITSVLLTVLALMIFH >PPA00402 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2568153:2569264:-1 gene:PPA00402 transcript:PPA00402 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAAVLLLGSAIYLAAAQDASTTAPTVTFKPYPNKNNTGPGNGRGGFGGSGGGFGGSGGGFGGNGGGFGGNNGRNGFGGNGGFGGNGGGFGGNGGFGGNGGGFGGNGGSTGGGFGGNGGFGGNSGRNGFGGNGGSTGGNGGFGGSGGFGGNGGFGGNNGGFGGNRGNGNGGSTNGNGGFGGNGGFGGNGGFTGSNGGFGGSNGGGFGGNNGRNTGGNGGFGGNNGGGFGGSTGGGFGGSGGFGGSTGGGFGGNRGGNGNGIGGSTNGGFGGNGGFGGNNGGFGGNRGNGNNGSTNGNGGFGGSSGGFGGNRGGNSNGSSGGFGFGGGF >PPA00353 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2264118:2266395:-1 gene:PPA00353 transcript:PPA00353 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nas-36 MELTASPNWRNISYSGSSRCYWRVKAPSPTQHVEFELTHVWFTCAPSCNEYVELKWHDDLSLAGGRLCCRPEGGVRVTETDTLVVIAKAQRSSQFTLRYRVKGGAKIAREVEWSTVPPPENIIAATSDDGPSTAWSGWSEWGGCSEACGACGRQTRTRTCYGREQSVGGDCPGPSEEREVCNRNACRPGETLSKRSAEGSHIRRKRSWCCEGSTLSNYDTCERI >PPA00301 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1982191:1983899:-1 gene:PPA00301 transcript:PPA00301 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRAKGEVLHEYIVTGRKLPTEKEPVTPIYKMQIFASNTVIAKPRFWYFISMVRRLKKANGEILGCKESVLLNLRTSFPRMDRRVDSFKFDCEGLIAVYKTAMQSSKKSVRMTPIRSTTRMEVLREFMGDSSHLQM >PPA00080 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:472763:477435:-1 gene:PPA00080 transcript:PPA00080 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVRLSKTVKPTTCQRFYTLLTDKKHSFIRGLTVVNMFQRRIWDGSVRNNVISSLTMIKISSIDHLVSLLKHVKKIDSLKIWYYDTDFVDEVLDAFDDSIAVRNIDVYPYSNEIALTKVAEVFPRVQVITMRPHGNYNFFNGIQIASMPNFAHLSILSMDSFEPMPGFAFPSSIKSLDFAHRKLADMRNLFAALEQLNLSYLSLSHLKFNVDTPVEEFVLMISRMKQLDNLVMKFCHFSETKDLYLRSDERKPSGKNNGKEKDEKSLSKDLTVSLRSLHFDLCYDVDWMAMFVFTECSRRTLKNLSVSLLYEDEPHFTSVLKLSPLLHSRGATIAFSVMQKDTLRLDDIPPPPELPEEFRLIVTRFEASYLLKPNLLASMFGKSCKNLQECKFVMTRGLTDSVLNLMSFNCPHLKKLSIISCSEATEEGLLPFATNIILYKNNGSLITVYGELSQNATSEHSIHYYTKTFTEADSMGECLYFKDQSLNRTVIFKNYTPEDSNHLLGLVIDIWQYREWLGLFSKFSIDDELKATEVANDTDAVAQ >PPA00457 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2937138:2938775:-1 gene:PPA00457 transcript:PPA00457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vav-1 MDDYVNTEVTAQEWYMGELSREESEARLRGTPNGMYLVRFSPKKHQYVISISYAGEVKHTVIENPTAATYYLDETTTFPSIVELINYYRENNLRESFNALDTKLTKPHRECKTFRANHPYKATEPKFLELRVGDLITLVDTMGEERGWWKGKIGERITENQ >PPA00090 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:543870:544993:-1 gene:PPA00090 transcript:PPA00090 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPRRNDVVFFTVVLLTGITVYGVDAECDESLINGFDKEMMIRIGKQKFQCKDTDKALRITTGPISNAKELTCLRNWLADGHSIGIPGTQQITVTGCFETECDENNTTKGEGVLFNKGPPVTLNCSDNKFIY >PPA00113 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:649095:649874:-1 gene:PPA00113 transcript:PPA00113 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQFFKDPDPGITQLAWSMCANFGLTHMLLYGLTGRGQKAMLGGLLTIPFSLFMGMSDATKDYEKWKEMRVLKLRGVPDRFLPYRCKYDWTDYDKKLERRRMNKPISD >PPA00131 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:758870:761190:1 gene:PPA00131 transcript:PPA00131 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLVERLRKHAHISHELSRAILSEFFCTVFLLFTGCCVNAQYILSKTTMNDWISVTVGWGIALYLAASLGFRISGANMNPSISFFLLTQGRMSFIRFFLYAIAQTTGAFVGAALCFGVYYDAINSFDGGIRQVTGNQSTAAIFATYPSDYISVFGACLDQTLGAAVLCIGIGTIIDRRNHIPTFMQPALVGLVVTLIASCLALNCGGAINPARDFGPRLFTLVSGYGWEVISYNDYQWFWIPIVCPMIGAAAGAWAYELFIGLHMPDDLEMTLADKQFHSENIHSPHTVEYVPDFPSHRRFTTELKMPIV >PPA00085 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:506768:515443:1 gene:PPA00085 transcript:PPA00085 gene_biotype:protein_coding transcript_biotype:protein_coding MAYATAFTLYLPKLAIEELKEGPGEALLALALQLSSSQNPYVAHMALILIQHAIKFKWNALDFNTKVNLKEEIMRRMQIGPPPTPRIGDMSAASLSSPIHWAEPLARCVTAMAEHEWPQHWSELGEKLRVMAIQSMNAPTSIAVLCVIRRLLEDVVVFANVTNVKRRREMQQAIHDSMKGWLGMCIQLFADAKLTEDESLLVVKHVIGLLSIMVELASTPDMEPLIDEIVNQLVRFLTIASHSIYEEAAVCLTQIASRKRSGHAEKIVVDTFFRDNVIKALLETASMASHVAHSNEEHYKYLKALCDTLTTVGMHLATVWQATADRTNKSPPPPANLHLYMLALSEFALHPSLWLRSEATAVFVRLASSSHIRKWKELKTTLGTKILPRLVELVEKTGQPSSSSAPGTPDSAHYARMDYDDDVEWVHDFTQYRARIFVLVRETMEDHHGVLLATVEQSVLQRVALDPNSILPHEWDGLHKLSKSILTIAFEKELIEKKVEVTMTNAYMMIIQTIGTKASFASANEALSVLSALYPLIDKNPPLIEPLLNLLEALAGAAPSPDEEKELKRHLTSTIIALVTKHDKVAKDYWKRIFEVTQRMSTSATQMQLSQIANAIAALSNVVDSEDDKKQLLEIALAPQLEKLQHMQGLFENPHTFLQHTGYLHAPPTTTAESDAHNGVKTRKMLRSTLCAIEGILQKVDAESPVGPIIVPQCEAFSRLVRILFELYDPVTLTLVHPAYADILKIPFSERQSIYCVVGENIDVQMGNSSSGSPGGSPGSRQRASNEDARGSVALAIQFLSEVNDTLQSIISICASKFSDIFYALPSLPTILSDCTANLERLPDFRVRYWLKKAWATLIVCSPTAALPALFPLLSTIVEHCQRRMDNVWAQVSTIDYDSEPTEDELFLEHMTCVLSRELIGFLKAVFVTEEKHTTANTTNAAAITVSLSPIGNAVFDLGGPLLTSFVTITFNAIAWRDTQSCVKAIPVAKAIVDKLSKSFIEDAAIFALTSVLQSIRIHGSDEVAIGPILTIIFHTYASLRPHSMKLRHIIDELPDTPAATIEAFDKRAIAINRGLEEMPEKARKDMVRKLLRPIISVTLGELGRRPVELRPLPPIEKRAKPASEEPDFAAIGDFFGH >PPA00086 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:517595:524902:1 gene:PPA00086 transcript:PPA00086 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHIILLLLTNLPIKYTFDNNKVLKCKNNKQIMIEGNPTPFDELKCDETEGWKDGATKIDKEAEKELKIECKAHKCDGSYISGVKFQTNSKDVRYMKKLYPEVTCDETDGWKSGPEKLDTKANAILYVKCAAKACHQSLITGFKDATMTYDSTHKLTCNEVEEAIEYTASGKNKLADSVTCDPLSKWKGQANADTGVLATDAFAVSCVKKACHEDLITVTEKVDRTVPNELKCKQNGMKLKVDNAEYDKLICDNTLGWKDSSGTTAVKQATDRFTVTCNAAAPPTPCAIKQPVPGIIFNSDKKTLTCDPNVPAEITYQGTPYDCEGFIITCDVTSGWTKDTTQFAPANLPLDVSYTKYCATAADVVYKTSSDGGSYSVTCKGPALLEYTENGAAQPVKEMTCSKADGWEKDRSDIQKPSNVVLQELKCNKVCNKHQKPQGSDANGVAQWEDGLTDLAESIEEPGKVRFECNKKLIGDKNNPFSYTDDPNQLNCKKNSNLNRVFARKLNPPAFLDETLVCKENCTNNLWKIKRVKDQETVEEEYAGEVTCSAKELFDGKWVIDENSASPIAIAEGECITSVETCTKAAKLETACKGEWEGCTTITLNDDTAVTCPVGKMFYMQRGMANLEEGAESIKCDKTKGVWTVTRNGGNDELRRGGTVVCAGTNPAPTTTTTEAPKDPCDICPKEYKTCDKCDPNNKDLKRSENKDSGKCEAFVEFATMSKFETDKGTVEGKLFCGKKTGYIWEDEKGEAVGKFANYKQPPNDKTKEAQTGLIVGGAVGGVFVLVIIAVIIIWTIVITRRRRRAEDDKDDETKKRMLEEGKKRKKQRGDRCPF >PPA00023 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:86717:90539:-1 gene:PPA00023 transcript:PPA00023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lin-18 description:Receptor tyrosine kinase-like protein [Source:UniProtKB/TrEMBL;Acc:Q52ZF0] MQLDSDPQVVAKLRHSPSGFLPRNPSEFSIEYRCAGTRSGQFEVVLTIEFTHEDSKTTRVRLRQEKICAIKTRGELHREEDVVKPSDEIVSSQSIFYFIIGAAALFLSLIFFLLFLYFRGSRKDERTETLPSRSSRFASSFRSSVQSRQPFLTSTPNKSKNTSSLRPIGPSSSLIEDKNNEVNVKKTLIELYADRNLFQMIPFVEMEGSYSETRWAIWRQNSSGECGDIDDEEDEGTSDEAMIVKTLKANANKSQFDGFLLDAFAFHNLPPHPNLAQVAAAASYGRFENPETVKDMPLICYRHRGFGNLRKFLLSCRSSGGEERRSKSSASSSSGGSGGHTLRTHELVSIAVQATRAVAHLHKYGVLHKDIAARNCIVSENAGAKNISERLLVQLVDSSLSRDLFPSDYSLVGDELLPIKWMAIESIASRNFSSATDIWSLGVLIWELLSCDAPPFPDLPSDQLLGRLQIGERLPQPYNCPDQLYGIMQSCWQSNARDRPNAVQLLTKLVEFNVTLNKYI >PPA00276 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1829309:1834251:-1 gene:PPA00276 transcript:PPA00276 gene_biotype:protein_coding transcript_biotype:protein_coding MHEKMRAEQKAQDRLDEKMRAKEREERERKEEQERRDKEPAIVDTPQQVRVNRSQPLDRYPVSRPTPSPRLPLNPLVPPSTPNPSIHPLDDAHQSTSVDRRRPVAATSSASSAAPAAAGSHRSSRAAVTTAAPAAPALRGSRRVPPAPSRAGAARSAAPPLNRTQAPVWLQQPGPHQQQPQHRSPLLTARRAAGAAADPSVSPVLPAGSRIRARVPDPSPASPLLPPRKERPSGAGAGAVPPVPGGNSASVHYNSHRLPHTAILSTGPSVQSAHLLLSPAPPSPTPGTSSPIGAGGSSGKSTPRMPKRLPLVVIPRRRKYKNYISRRRNAHLLASLRRCSSDPHLYRSYNKWEQLCRPFSPPAPAAAATAAAPPLPPPAVAAPPPTKAAPLAQCETAELDEQQPAAAPAPAPAAAAPAKVAPPPPAAAAAAPATKQISGKLSELKRADAARASFRVAAVATKRAVDADARPSSSAAAAPAALTTSSMTTSAGLVTTSPNSAASSSNSDTPPSKKAAPEPLMEQQQPSTSTATTAPPQEALAKPPLTSQNSAKENVVPVAAAAPAAPAPSPAAPKKEAAPPPSDAPAAAAAAGQPPPVAKPVTPSAPKALRKAYAAAKGATSVVGVPDEARTIEKKLSLRKKKETGAVPGSGGGTVGSKSGVDISSRTEGNGGEKSEADDYEAKKTANAVVAAFSTVNVNEKVKKEEEKTKKEEEKKEEKKEEEKGVKEKVQSLRAQLALPPTVAAKVNKIIEGGPPVGRKKEEKKLVKTTPTDKNRREAPAPSAAAAAASSSKPPINDDRDGHLIYSIGDVVTSEDAQCQLFFLVLFLFC >PPA00227 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:1441483:1442947:-1 gene:PPA00227 transcript:PPA00227 gene_biotype:protein_coding transcript_biotype:protein_coding MICLVCLFYGLLHSWLNLFSELMRFADRQFYANWWNSSNMAEYYRNWNLVVHDWLYAYIYKDVAQLIGGRRGLSIAQTAVFFASAVFHEYWFGIAFRVFYPVMFMLYFVFGGIFYAVSRSISDRGVWNIAMWFNLLIGTGMFVAFYGQIKDRSSQSQEWYARTRCAPFSNSLVDFVTPRHWACTEAH >PPA00322 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2115449:2116162:-1 gene:PPA00322 transcript:PPA00322 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAFKRQVKRIQESWFVVILGFLFEYWFEILITILSLILVKLLVDRLLSNFLDRIYKMCFNYDGTLAEMRKLLESDHGELWNTPEFCIAYLKMHDAYQTFLNAARTDASGKLRRDTAYEQYAAVNIAS >PPA00210 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:1335607:1336414:-1 gene:PPA00210 transcript:PPA00210 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNYKTSSYKMNYMESPTGLKMVLNTDPNANGVPDLVRGIYQAYVECITKNCLIDVSKEIQSDLFSSRVDQVVSAHSSYVH >PPA00061 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:328252:330549:-1 gene:PPA00061 transcript:PPA00061 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNQAALHKRDRTGDGLGGSGGVVGGGGTRARAYSNNPHSPFHQSTEDGCPVQVKIAKSDEEGGMYADASFLSDTVEYPVVFKWHATNSVPPKAVYICGSWDNWKRKIPLVKSSHDFSTIVDLVPGKHEYKFLVDGKWVVDDNQPKTDNQLGTENNVIGIDEADFEVFDALDKDLASSQAGEAMKSTNQPASHDTPNDRELEKLRVFTQEIPEKKDFDKAHNPPVLPPHLLQVILNKDTPVQCDPNVLPEPNHVMLNHLYALSIKDGVMVLSATHRYRKKLRSILVHTLSHHST >PPA00309 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2023236:2029400:1 gene:PPA00309 transcript:PPA00309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-wdr-5 MSSNEAVPMDTTGPSPGVPSLAETPSAEDAAAVPAAESSSPAKSAAPTDAPAAEPAAPAEPAESAAPAPPPAADGAAAAAAAPAPVGSAGPSKENGPNYQCLYTLNGHTKSVASVKFSADGHYIASGSADKTIRIWNTADGKTARQISGHKLGISDLSWSTDGRLICSASDDKTLKIWETASSKCMKTLKGHANYVFCCNFNPQGSLVVSGSFDEQVRIWDVKTGSCVKTLPAHSDPVSAVNFNRDGSLICSSSYDGLVRIWDTANGQCVKTLVDDDNPPVSFVKFSPNGKYILAATLDSTLKLWDFNKGKCLKTYTGHVNEKYCIFANFSVTGGKWIVSGSEDNNVVIWNLQTKEVVQKLEGHTDFVLSTDCHPHQNMIVSGGLEKDRTVKGGRLASITSSAENEAVKSEATVPYRILTFPSGIAAGREIYIGGLSYQRGPWTWADNTPFGAYRNWAAGSEPAPTPARPCIKMNPSGQWFNNCCRTPPAAGVCSKSA >PPA00397 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2547459:2550284:1 gene:PPA00397 transcript:PPA00397 gene_biotype:protein_coding transcript_biotype:protein_coding MDELESWRAKASAHASTDRIGHVIARYFDLIGAVSRDGVDAESVGDLVGMLAQDRAFAVSRDRNETNPLRELVYAMAASFGTLIDNLAKSSQHEDNMKMEGTADGSNAADPEYLLPKEEPLGLDMSPGVGLLPSLHEDQMERARRERERAGTGDDEPRSSMNQPSRKRPRLQPVQQLQHEGDDEDDVVALEQKCETCGRMFDSVVSLMNHLATAHRETPKRDSEPSKAIVMSASDQRVPPMHLPPHLPPHTATVPMPLPAGLPMIPLVQQPRAAPTVLLTPRQEPGRSTAQPPSSMRSLSHTGEIDGRLPGLTGTPETHKHPCELCPAKFKYPSGLRDHMRKHTGERPYQCPVCPEAFVNASLLKSHQRDDHGMLPFLCPGCDEKFALCSQLTQHKKTAMHGYS >PPA00485 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3095296:3097394:-1 gene:PPA00485 transcript:PPA00485 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHEASSHNSVPTYVFRIDRNEYNSNSPRRIGMRYENVEGVDYAPTWPVCPSEHVYSPDEDGCAQIECTKEINFCDNCCNGSHYGPTVFTPPGFYPLKVFPGRLGRIPFGVLMSPPHMLWAPEQVSSQYVGQYPDEVKHQPVEWSVNPTMGTVVHAHLRTQMNILFARRDMTQSTELPNSMAPIFWLHIEVLIHDEVMFIYKLGGLYVPFFLDFALYFHLLVIFISLSVVALDKLTRLEKLPVKWRSGRSDSEDLHN >PPA00196 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1236528:1241378:-1 gene:PPA00196 transcript:PPA00196 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLHLLLFLNLIVVVSTAPRSTRKYKTYPPLPPTTTQEICANIRGSMERANCGTNRHNAPYCAQQEQLLEMSGCVSPTTAAPTAETTTTAAAAAEKVANEEASTLSPEASAAEEKATTVVTESEAHLLSCLLVLFESPAATTANAATAAAAAVTAAAAATAAPTATAAHTTEASTTAAAPTIADTTDAATTAAAAAVTIYPPSDSTGRSPIDWSTCGTEAVCGVRASCIPGQDTSDETASLVFGSSTVDEDCTVGVWIKPLNAKEWIVKMQVWSACKSCNHPGKRQRIDPSKGMVLYHQGVTQFGCLISKGWNEITDTTIVRVSPLARTGNRSINMNPWEKSWLRPESGYCVFTIKGADRLTNLPLGETYMQYALTTGIYDSKPTNGFENVTNFLRCNPRRAYINQKKPITGYVYVRLANDLANDLKDACVRDYAKLYLLKGGKPIELSSAKCINDSTMGWTYKTTELISSKVTVHDNKYTFPAFCAVPVKTHCKMPVYQGQPEPEFSQDPDSGIVNITCKSNKWLINDKFYFIGQPECRNSLKAVDESSWWARNAAENTDVEVTSVECTEDMKCGLATNYTSDCPPQEAAQCARLLTTDGLRCPVEYNLIAVQRNGDRLQVENIECNLKKGRWVNYANATEEIDNGANIYCEPEKREIQAQISNKPMQAISFCAIGVVAFAVFFFIVKILFTQPK >PPA00158 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:901746:904272:-1 gene:PPA00158 transcript:PPA00158 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVASFLSRKKGRDSSFNDDLGSVCFAVLSFLSIGFVLFSLCFIVTKTLQCYKAHERKKKRREMGDDDDVVEITEDESSAESNDRPTILVKANGLTFAQEDERRLMRH >PPA00144 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:816946:817898:1 gene:PPA00144 transcript:PPA00144 gene_biotype:protein_coding transcript_biotype:protein_coding MFEPPPVYSSLTAIDNIQLRGEESKKKKRGLFLSPFTFCIEAKKFATIHASVSLIFCSIGIAYATFGVITSFVLIFVPTVIWICYNIPFMFSSSAEARGYSKLFTIPVIIVTAPVLFFIFHAARLYLRVYYDIQDEGKERNEEIKQTLV >PPA00366 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2339906:2340807:1 gene:PPA00366 transcript:PPA00366 gene_biotype:protein_coding transcript_biotype:protein_coding MNISLRSARVPITLRAGGKKRRMFDRLLLLVALSAIVFAAFENCPNQEEFDSCADIEIDRIMNPRKLLTSEHLNMSLALPHTNTTVMEMRDVKEAEYHAAMTHCWMKHCV >PPA00243 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1570831:1572877:1 gene:PPA00243 transcript:PPA00243 gene_biotype:protein_coding transcript_biotype:protein_coding MTDANNQNKHVNGASGEASAVGLGSDGLIEGNYDAVFETFDDMKLKETLLRGIYAFGFEKPSAIQQRAIVPCTTGRDVIAQAQSGTGKTATFSVSILQRIDETSAHLQALVMAPTRELAQQIQKVMCALGDFMNIKVHACIGGTSIRDDLRKLEEGVHVIVGTPGRVNDMINRGVLRTDGIKMFVLDEADEMLSRGFKDQIYEAFKTMPQEVQVVLLSATMPADVLEVTQRFMRDPIRILVKKEELTLEGIRQFYINVDKDEWKFGTLCDLYEAVNVTQAVIFCNTRRRVEQLTDQMTKKQHTVSCLHGDMEQGERDQIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPSNRENYIHRIGRSGRFGRKGVAINFVTEQDKRQLQDIETFYTTIIEEMPMNIADLL >PPA00076 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:453485:456345:1 gene:PPA00076 transcript:PPA00076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sox-4 MPDFPTPPPHSSDGAGSSSSSSTSSASSTTASPSSSTSSKTHHPVADHSDHLDVSTPPPSASSLSSPSSLTTISTGGCPLLGPDYDQHGHKIKRPMNAFMVWAQMRRAEITAVSSKVHNSTISKALGVEWREMSDEAKQPYVQKAKELRDELFREHPHYVYRPRKRKVRAAPYSPRPAPLMHNTTASLPAGSACGLLLPPSSTPPPSLSALLMPLQQQQQQSTMIKQEPTADATATLSQTQFPLFPPGLLQQLQQQLALAAVMQPQQPAPAQPVGGAAAMLNPLLLANLLNPIFAQMLTQLTSTSTP >PPA00545 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3574310:3575398:-1 gene:PPA00545 transcript:PPA00545 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVCIKIGQSIVLVTIGVGLDTNSEDKFRKEQPKTKFFGADPISERNEELYTKIGDFFPFAVGKETKKTMASVLLGEGAEFGIYDMFYRNGDFEKENIVICQFSCSHLESQC >PPA00375 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2386396:2389909:1 gene:PPA00375 transcript:PPA00375 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAMVHEQQPASEPSPYANLIQHGDNPDITKERRTASFNLHKMQCFVYENAYKVKRRQEIHDYVKSNKDFEDPVHPYYLDRADYVDRATKQIVAMMRHTDAFDVTDYFDEGPLFQFPNFGDEMGQVNEGPQLGDEWAVNEAVDFAPAFDLESDLPEVKLFGKWNLREVNVADISLVDYITVKEKYAKYLPHSCWTLPEPRAGARQRRYHVGPVKTTRIGRAGTVRRQSVDVAPFRRVNQAMWLLGTGAHETAFRNIKTIAECLADELINAAKESPNNYAIKKKDEPERVAQFNV >PPA00268 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1768485:1775505:1 gene:PPA00268 transcript:PPA00268 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDSLDLLSKIDGAHELLWFPPTLPSNVKLFCSITPGASTIAGTMRRLVEEEEQYVEVPSLGMDLALETIREWLKSSARTISHRQWELVTKALNKCTLPLFVKLIFATVARWKSYSRPQETILFQSVQESIHALLQRTEGQHGKLLVSHALSYITAARSGISDSEVEDLISLDDKVLDDIYQYHLPPVRRIPPLLWSRIRADLPGYLSERAADGVIVLNWYHEQFRQATVERYFKNLNHLETCHSSIAEYFLGIWGGVPKPYQYTEMQKQRFGVAENEGLADRKVPKQPNVFQAKDGKPIRFNTRKLNELPYHLLRAGRTEELLNLCLFNYDFLQAKVSSFPLQAVIGDYDDAITNLNDGDVRRQLNLVADALRLSASLLSRHPTMLPFELVGRLLPLVPNNAHLAKLLIECDLEGCKTSAFLPAHHCFHSPGGPLKFSLEEHMFAVFGMQLTTDKKMLVTTSNQIIVWDVSTGDLARVVNPNIDGVFFGLSLSPDDKFAAAYTNNNQIIVISLITGEYLSIEPEAMVNQMEVENVEWTLGNQLLLWSRTHFFIYTLDGKLMHQQTIEQIGGREKLLHVFYCGPQRCRFVTWSGERDDWQLTMTILGKVEVIEPQVIYDLYDSNVTSFGTQIVWTCVAVAFVIGLFGTIFFFNRFMQHELTEYAVLQPVANNEASKDGGAKLPSKEEIKKDEKKESIDFPYLWSNIEKRTQQRRRSRHRRLPTRR >PPA00127 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:741837:742553:-1 gene:PPA00127 transcript:PPA00127 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNPNLNYILEIIRFVAFGLCIILNSMLLKMITMHRHNDLGMYRFLLLAFVSADILYGFIHFLVVPVPESYKNAFVMGGHGTWSSRNGIGFIWPLVFSLAFPMLSFNFAYRLVAVKL >PPA00543 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3531408:3535830:-1 gene:PPA00543 transcript:PPA00543 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVLRRKKLKVRLNGKFVYSHLNCNERGYTDKYGTYLADRFDPVKIECVDGWLRNLRTIESIAEAYHDE >PPA00248 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1601644:1602494:1 gene:PPA00248 transcript:PPA00248 gene_biotype:protein_coding transcript_biotype:protein_coding MTALTLFTLCATVITADTRSSHQPVDTLHFANHPKHTHHNARFVYAHATFITRPANLADRPAEFPACGSAEDDDYESSSCVHDTTTVVLLHLQCLCALGKVLTECAIMCMAIVQAIKIFGKEF >PPA00260 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1712826:1715560:-1 gene:PPA00260 transcript:PPA00260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dyc-1 MPIRKKPTYDIITDDLYDCRIPLHNELAYQHGIHFEAKYVGSMEIPRPGTRIEIVAAMRRVRYEFKARGIKKRPVDITVSVDGVKVVLQRKKKQKQQAWDESKLIVMFHPIYRIFYVSHDSQDLQIFSYIARDGASNSFKCNVFKCSKKTQS >PPA00497 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3172877:3175340:-1 gene:PPA00497 transcript:PPA00497 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQNWVKIPIKPTDYESKLGRIANHKTISEYSVIMYHSPTEEREIRNDLTNVRYLIDDDVRDSLLPFDLKLGLKTFQDKQIEGETLRKEPCLQTLCNWAIKQPGSTINEVFDGADFVTWRGTLKRIAATIHKKDTWRFVAVSLGGVIFISEQAREYHGGRHHLPTYSGFKFEQYITTNRDGDLDSDEPVDNRSTFEIMVTSDLNLDDVSLKLCCGAEIDAMREGEPVELKTGRDVKNFGKLETVLQSEIVGVKSLIMGIKGENYMVERVEETSIDQIKAKNGQIARKTSQSYAFLADFLSRLKAFLGDHEACESYFDSKKMAIHLPAYLLENSAVTKNITSSMVERPWHEGEAKPREKCIGSSDQEDFSRGSQQKWKRSDS >PPA00456 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2932097:2933652:-1 gene:PPA00456 transcript:PPA00456 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDVAHIVAYAAIFFSATSVLLCLTFLPKLALKVHHITTELEVGMSEFRAMEHITNKEYRSAALFVPRRRDTREAYGQCNCNPDAGCPSGPPGPPGQPGRDGEDGLAGPRGSRGLPGNAPAYTHNEQAACRVCPDGPPGWCRILVLFLKPGSTIRSLSGTS >PPA00186 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1137666:1160331:-1 gene:PPA00186 transcript:PPA00186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-61 MPEVEQRPPFKPMHAPSAVPPPPPSSHAPSGDKPKVVELNGHVGFDSIPHQIVKKCIEQGFQFNLMCVGETGMGKTTLIQSLFNMNLEFEPCNTELKTVELRSKTYDVAEGSIRLKLTLVETAGFGDQLGKEQSAKVIVEYIEAQFEKYLKEELKVRRQLAYYDDTRIHACLYFISPTGHGLKALDLLTLRELSKRVNVIPVIAKSDTTCKNELVRFKSKILSELKAQNIEIYHFPTDDETVASVNAEMNAIVPFAIVGSNDFVKKDNGKMVRARQYPWGIVEVENESHCDFVKLREGLLRTNVDSLRERTHKTLYESYRRDRLRAMRIRDGDAGPKFNQVYKQKEAELNDEFAKKEQRMREEFARKLKQAEDEFKRKEDELTFREREMEEKAGNEMRTLDAEIARLKEAKAKGKKLRNPMAALAAGKRKAPASEQEHALAKRLFGRGLSDSEDSDVEDAEEGVVRVESDSDGEVASTEAPALWKDEDDDEGEIHLKTLGHNRRQKLRKEGETKDTSISTKDYTKRLREAFTKTRSAGSQPKWAQKKVKTSADSDSEAEEIVNEITKTAMQYVEKDTRLCKGLTRARLLKDITLGHQDKAPLNVVRFHRAQPLLLTAGRAGKLRMFTVDSDVKAEHFVRAVHFDGFPISHFDFVHGGTEVLLGSLSKEYLIKCDIETSEFSQLKLPRSVPRQNAGTFAVSRDSKLVAVAGKRGEVYVMALASMEEVRTFALPAAVVSLQFAPLCFDELWAITDTGAIYILRVSTNDVHHFMDDGAVKGTRLTLSRDGAYLATGSNTGIVNIYSSSEVRDFTSPSPFHTIKSLLSACNALAFSHDAQILAAGSSVITNGLRAMHVSTGTMFTDLPAAYEKVPGVLSIDFSPNSGFAAVGTKNGGADLAGAPEQGRLVGRNIEIDGSRYAVKAQIAKGGFATVYTCQQSGSGAWYALKSQLAGDSAAVKVIAQELKLLKESAHPHIIQYVGSVMATTGTSKEFLMITELCSGGSVIDLLSAGNPLTLAQVANIVHGATAAISYLHAKSPAVTHRDMKVENLLFSSRGVVKLCDFGSATTEEFFPDDTWNAARRTQLEENAQLATTPMYRAPEILDTYLGYPVTRLQDVWALGCVLFYICYRSHPFEDSAKLRIIYAKYAIPEDAERYAPFRPLIECTLQPDPRRRPTAADLQQRIEALAVALDVRVDTAVPGVDTTALTGGEPVGEERAGGGGRMERREERRLERPEEQAAVPSGPPVAAAAGVGAAGQSSTAFSALRGQGLSMFRNLKEKSAAVMQTVQNTYGSKGPELVWLTSRIVIAPQQLEGVPEPLAAQAEESLRNALFILRRPFKMINLSHRRLRCEYPEAAADVTFPADATGGQPPPMDALLAVSHTAGIYLRQAPGEAVVVLLGSEAHSSLAALSLLVYHRVLPQPWCAIELLQDKRRETPVLLPPSAHRLLDALHKSVQPHFKQPGRDGRLQLVQLIVEHLPTFNNNRTGCRPQLMVYVGGTALWQPQSYEMLRSYEGPGERSRVQFGLKKQLVMGDVSFVLAHARMSSLTQRMHQIPMVSFNLHTALINAKDNSLELRKGDLDYAAADEQYVPEDIKITLTFSHREPAPSVHSSQPGAPRGFYDYPSEIVAARHVVGSSAELDEIQSRFEGGAARRQPARVPAAPAAAAAAAETAPAQPSSGFFDSLSWDAAPGAAAAAAAAAAPPPPPPPHRAASLAASAAAAPPTVSDRFENMMLDSKETPILGKSTSPNTTMSVETRDEEEEEVDLLGMGSAAPSRPAPPPAAAAAAATSNLLFDPFDTVGSVNARSSTPQTLDDLFGGPPVSSSSSSSLNKPPQQPHRDLDDLFGLGGGGGTMSAGGGGSTKANGVGDLLMGDLLGGGSELKLNNGRSECGYSKHCAPDYEDQFIALLPSPWVEPERGVVYTRVLRRQRLINQPVGTFSAHPYAQRALPTSGRRLALGQSGDPRRASRSLLHQWILDPGLGPGTHLDPGTTTSVLDQRSLNARGRALSIVLAPAPADESHPCAGSGSRSRPKVPWYRVLKVPDGREIGDLDEAGALPGDVWEGDGGGGQGSIDGVSAAEGEEGGEGSGERSYRIEGEQDTESRERMK >PPA00443 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2798655:2804343:1 gene:PPA00443 transcript:PPA00443 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVGSGMSVDCLKNFSLEDVRKARIDYTSSGSTTFGLYEPELLGERCTVLQPDKIRFGDKSTTGSPISSHRGSSVHHDSAGSSASDSPIASPISYTQDLIGVDSPGLSGGAGALTPVETGQKARAVAGGLATPPTLTTKSTELLLQPRSVMLTAEPAPQPPPLPPRKSRFDMRPEDMEVDAKPSIGPSVPPSRNKRKLEASSEEEQQGDGSDEKEEPADPRKMGSLGSVVKRIKLETLSTTATTKPSDYKQQQPLPPLASPPSLVAIPEVKPRAPPPPLVPRAVATAAAAARPPVPPTPPPKPQTAAKAREDQAPQARTQEPPKKKQQQSKGLVEYEGSSEEDDDSDEEEDEDETALPPPSFGPQLPKSNGVLKPAQPATAAVNGVPTPKKPIARDSSTTSLVTTGAAAAAATTAATTGAAASVAKSNRKRLLSERGEKELSYPVIEKEISNKWGWDGAAWLNERKRGQGLINRANDCFLNVILQMITHTAPLARYLMERHKTDFDSATHHAANEASPRYLVAATAGHL >PPA00075 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:434995:437754:1 gene:PPA00075 transcript:PPA00075 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSHFRSSSRRLTNGKLVKGRRSIRFETLQKAIIIIIILIFGTFIAAQLIVQSIHNVQLINGTFRTAIGGQFHCSRRLLIPSPTSPTDANQVRPADIKYIAAMGDSYLVGRCVLVVYGKQAIDRQLQTGYLSWTERMADDERWVPNAVGNSFITGGDGEMEGHLTLANILRRLNPSLTGFSTGIGLQEEQSGLNVAVPGMWVDDLQRQARELIRRFRKFSEESLKNEWKLIHIFIGSRDIGGYCEGQGETTKADYKRNFTLAITTLQEALPKTIISIIGLANMDFLFNAASIIRGTKFRPCKNIDFQLLAQRRIDQYREANIEIIAEMGLKSRPDHVVITHNIFDDLWQPLRKADGSFNIEFYAEDLYHLSNYGNSLIAKQLWNQLNSPEQRKLTTNALLTDESRELQCPEYRCPFIRTPSNSVHCVMSDENVIAGTYTDVDFR >PPA00200 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1285930:1288442:-1 gene:PPA00200 transcript:PPA00200 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKLSPKGQALYDSLRSELQESISMLRTVVRNDHPSLNDTVEKAVLAPAEALVSQARITVFPPSPFFIPILSNTCSLLRSNGLSYRSSLAGTPGAQQTRSLLLDFWMAVVRSPTVGRARLPANCVKFEVDPRMSKHDLREYLEKVYQAPVQMGEILWNSKTDYQYKKAMWKEEDKKYAYVFMEKNCKFVFPMELTFSESEEVREIEKHKEQMEKIGENSKFVNSDRGTVGRLLS >PPA00228 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1443130:1446586:-1 gene:PPA00228 transcript:PPA00228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mboa-1 MDLPLEEVKPTEKSRRVTVFKTKEYKPRESLITHIDEAHELFASSGEITVAYNFLAGVFVLFLLRALFDDVVNHGIPLYHLWLVGWNFAQLPSTLSVWSAMFFSTFVPYTMMKYWAHIPAKKVTALTESYMIVAYLAYLSAFFYFPLRFLFTSGLNCACSFIITCETTRIAMKVHSFIRENVPRAVERKTSGESIKIFKELANKTIRASRTAVDKYRKVYSTMHESFYSTIFENLNPGEVSSWPSMEQFVYFMFCPAFIYRDEYPRTDSIDWTRVRAHALHVFALIEFVNLVFTQFVQPILSSWNPATVPLSENIIALFTFIPAEYD >PPA00298 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1974943:1976265:1 gene:PPA00298 transcript:PPA00298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rgs-1 MPKRKNTAPARLGGVSAKENRPRDNARDDPYEKPTYDTIFSWSQSFENLMKNRSGQKFFAEFLKSEYSDENILFWQACEELKREKNAEKIEEKARIIYEDFISILSPKEVSLDSRVREIVNNNMNLRNEETDTRDSNSIVGF >PPA00001 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:4487:4904:-1 gene:PPA00001 transcript:PPA00001 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMRVRKREEIEEKPIEENEDTIDPVLRPDYEETNEIDEVNEEENDEKNEEDEVKDVKEETEDTNEEKDEH >PPA00045 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:231777:235648:1 gene:PPA00045 transcript:PPA00045 gene_biotype:protein_coding transcript_biotype:protein_coding MYIEGISSFRAQTMDFHLDMYFQQDWTDHRLAHNGSAPMLVRDKTVFKKMWHPDVYFANARSASFQHITDDNFLVWIYPSGRVWYDCRISASIMCDMNLWKFPLDSQQCAMRILSYAYPESQLRLKWTTHPGLVPIEVNSDIRMPDMHLVEVKEGYCNGTYATGVWSCMTATFHVDRQKMHHITQTYVPTGLIVVISWFNFWLDVDSAPARVSLSITTLLTISTQANTVRLALPEVSYMKAIDVWMGSCMAFVFGVMIEFTICHFAKNQEMIRKERGPNLIVDSALSTLFGAARDVDDLVRRVALEHALLVQQQMQLQQLQHNRTSFSGEGKRESDDRRGATPRKRQTDDDIMVSANSSNDTQHIALNILNPGNGEPRLRGIANEVERNGTK >PPA00341 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2215343:2217113:-1 gene:PPA00341 transcript:PPA00341 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRHWLPSSIRYSPDAFDRRIIALLIVAGEQFEEFKSSVLGDDSKDTIYSAIDLARRFLATADYVPESQWPLVIQIIRCYQSQFEKLIRSIGEEKVDDYAGLQSNELFNSRISMDKYSVQIGDFITTMFPPAIRRPFSKVDAFLIKKSVDLLSEPNLKVIESQTNAKPQATEEPALLFRPQQRFARPRRISMSPIYETCEKGLFFKTIKVEADFEENNFNDELTNNIAFQDHEVTEIAFCEKINASARERCASDLFDCIYTDNGGSHYDCRQSAMDRKASIQNSGRIVDGKSTKREFEFINKHMKYASLTFTSSFIIYHN >PPA00440 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2779033:2780878:-1 gene:PPA00440 transcript:PPA00440 gene_biotype:protein_coding transcript_biotype:protein_coding MITFKGEKTGRTYHVCRTTYGQEQTGFKTFYILLFNGLAWLLPSFLAAFFYFNVCKAVWMSHRPEKIVKCEEKNNMATQKYIDKLREASCGHKRQNSEFDRKRTQTVRLTMTIIACNFFLWAPFCITNVIQAISPEMIRLRGDSSGKEAIIFFVIFGNLNSCVNPWIYILFNRKHVARAFCGRPSKGKKVQPHHHCTTTVAFSILSYRRLAWIHPLRLCC >PPA00513 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3270179:3274797:1 gene:PPA00513 transcript:PPA00513 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSFFTFYFLSFQFLNKFLYFFSCAVLGLAFATFNVGYSGYLTEFSTRQTLERNQALNWGISCLSVFCSGVLYMVLTTASAQTGIEIVSKYREYSDGEVRIFFLAMAILGGISMVLFAFLPDRRVEGCIAETTERTATLKEQISKMVRVLVDRRILILTPFYLYIGLFFSFWISIVPTTLQFTKALAEHKFLPAYFGMSFSVGSTAMSLLTMSVSSRVKNFSFKPLAIINFIVHTLIYILVVCLIPKWSTVMPNDEPSLLIPPSTVISSFLIPSSRQQTFGASRFYHALAASILFFASPSLNVYTYVAVLSTVLILATITYLYTCAHVSREERKQPSFIVTAFTDLPVKRIF >PPA00317 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2095538:2098320:1 gene:PPA00317 transcript:PPA00317 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWEDLHPSNLRYLFYAVTPAETTFASIEDVPDYTKMLSIWFGIIILTEMLFLKKDQYSLNDTVTSATSGILFSGKYLSAALYVTVYEKIHLVELDPFNPWVWLLCFFTQDLAYYLAHRAMHECGIFWAFHQAHHSSEYYNLSTATRKGVFLEVGAFGFDLMQCLFIPPQIFLPHKYLNLLYQFWIHTELIPPLGPLEYIINTPSSHRVHHGRNAYCIDRNYGGTLIIWDRLFGTYAEEKRDEPIAYGLVDNVKSFNPIWLQWFDIEYFLIGKGMMQTPEGKEYFPGLWNKIVAIFAPPGYYPGVKVRRFFWWWYMEDSTSGIPEVDHSAPKYNPPLTPIKVL >PPA00128 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:744804:749812:-1 gene:PPA00128 transcript:PPA00128 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRQYSTLKELQELLNNEIDGEKRERPENNFVDNALRIAIAGEFTATELEVYGYPEADPQYLFFIEYSDVQYPYIHIRKPLSGHNLALLDEAVPLILEKVKHRLDKQGKLLVVGDEVTCDKFAFYLSKVTGDAYTTTTATVYCGVFYMTPEQREALMEEKSEPPAGFSFEPVNVDRDGETIHRLWKNGIDVEVTKNRIRYFPSICARTDEGEVVGWAMSARFGQVSNLFMMPEYRNRGAGRALEYSVAKEFARRGMRVFKYVETTNSSVYAGSLRSPLWTLWTEEDEDNNDTKKPNLHIFRRFERMVLIEWDSPESQQQLLDLLQKNGHGIPNNLVVDTAIRYSIEKRYPVSHMRYFSTEAAIGATPTYVFVFEENKTFSGFYARPPPSGHDEVLLQEALAELIDKFKHRLENDGEMQTLSDAFTRHVLHDILSSHFPSFYDVHPPSTVQVFYMTDEQMRKVEEMELKPIEGYYADSVDLKRDAKRINENWLHSDCLESTTQLLLDEDGGKIFMGARLHHLPACVVRDSSTGEAVAWDMSSPFGQCSNLFTIPAYRGKGLAVLAQMHLVKSFVNQGLRPFKYVEISNWNLIEATRRHPLWTRWEDENKSMKQLEGPVVYHPMFFTTIKKKE >PPA00453 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2909298:2915831:1 gene:PPA00453 transcript:PPA00453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gln-3 MPIELKRKYAEGNDVMDKYLRLDQSGMVQVKYVWVDGTGEHLRAKTKVFDFEPKNASELPTWNFDGTSTGQAIGDESDVFMRPVALFRDPFRPGPNKLALCETLDKNGKPTATNNRFKCVEVMKKVNLSVRSAPVVRDGAGVHTVGHGQAPVGVAPERIPRAPGLPPLRRRPEAFTPKVGPLGLSPKKVGDDIAKATGDCKGLKVTCKLTIQNRQAKIDLVPSAASLIIKELKEPPRDRKEVKNVKHNGNITVLEGADDDGDTHFSYRRHVGSRRRDV >PPA00347 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2240498:2242009:-1 gene:PPA00347 transcript:PPA00347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tomm-22 MAVVIPAANRSRDEWDEIPDEELEETLLERLEGLTEAIPESLRSAVCTTASWSKSALFGTAHEGNEPAGDNIVAFTRSAVWVVATTSLIMFLPYIIEKERSDIEKTQMAQQRQMLLGPAASQMQKK >PPA00529 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3428742:3429340:1 gene:PPA00529 transcript:PPA00529 gene_biotype:protein_coding transcript_biotype:protein_coding MMNDKQLAGILEERELPIRSSTVPDSLSDSGSTDLNANPESLSSSSRTSSHDENDPNIHHYDKTVTAPESLIPLTSVRKGAI >PPA00173 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1037511:1040914:-1 gene:PPA00173 transcript:PPA00173 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSAVNPLIAAGALAVPIGAYALVQLARLAVPGPHRQARFNFKDKTVLITGASTGLGAALARELYTRGARLILVARSIDKLKALCESIKESGPGHEPVYAYLDLAEPEKVDELVKLSHNGKIDCLVNNAGISMRGSVIDTDMAVQRKVMETNYFGQVAVTRALLPFIPSDGAIVVTSSMQGKMALPYRSAYGASKHAVQAFFDSLRAEERFGLHILVVSAGYIATELGNNALDASGRPTGVTDGNVGNGLKPEEAARQIANATERRKPELLMAPLLHRFTVFLSGYSEVLELLIILVAVALFLFCVEETHHAGSEREEEGVEEAFCNYRGYIKLNRSKIEKVKHSVKKVDHLSASQDLRDIKRTIQTELCGKSQVDIDAETVGSFVTSHAYVLSGFAAGVLLGYAIA >PPA00103 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:599005:600225:-1 gene:PPA00103 transcript:PPA00103 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVKCDTKKGRKQQEFDPEVPIFGAFQADKSAFVTTDLLLDEVNVEIGDVISNKVHFNCMAADKSAFVLSSSHEGISDDVAVDDLIFGKIDAGSDAAPLSQCISSFAAKHYN >PPA00041 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:199815:204837:-1 gene:PPA00041 transcript:PPA00041 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRTTRSTKQGPTKADSITNHFQIKRNGSSELPGKSLGDEAPSATSAKRSLFSSSQVAVIPVETVAETIPVQTKCETKSRPARALFGAAPKEETKVKTEVKEESVKEEVKEQIEPVRSIIPTEADIQRKPTVARKLGTVDLQAEIVKNGGAAKIHEQTTARKLEQIAEREAMLKSPQKMIKTTLTGGSPPKRAKKDSKKRAPVKVLPAPDYVLLQSMEKGKKREEREVTVEREPSPEEDSMTRAPKGYGSSLMSDEVKMAGRVVLPSHYRTLHEAFKFCDQIVSRCDGKTLTFAQLSIDVKRMSKKEFSVDLFSQLLAVYPQAYKVKVKQLTCVGDRMGGARAARWEHVIKPNLDDDLTSFVTAPLPSEQPLPSEPLLTTSPRKNLFSPMKGRPVTSSSGIRIPASPRKPSSPVKPFMREVMMDTRKRFEGWRRNCRQQVFRCLLSRRVISAHEKFIEGLNLDPSLVPPSSSFLPRIFHDDFTRKIDSICSPIEKAEIEQIPEEENASGNAHKGMGEFIKMAADTIAVLPKSVDEAIFDLRSPEKKTISSRGVPLSPAKFAQQAKPMSVLERIKAKKAAEAAAKMRRNPELEDKMSDIKRLVDNKSLSLIFNIMRSQSKTSMDTTILSEQMGHSKLVTKEMGHSLLVRLTSLAPSHFSISSTSIGKFVRVLPDNSPATFTIVESLLKNEMEACLRQSSAGSLRFP >PPA00250 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1608297:1613945:1 gene:PPA00250 transcript:PPA00250 gene_biotype:protein_coding transcript_biotype:protein_coding MLADTAGNFDGYFRDEERTSHLQANKFKAKCSGKFDSSTCPKKNQWVGGIDFVSDLKAALTLQCCTFEGLRFSQDVGVTTIAPGEAVTGGEVIREGRQISFDVIANVRKVQDPADSNRILYEVTVRRMNCLPDPGELHVPFDADIGEEVQRVLGNDDNRAPLDRPEDVPKAPELFRAGIPRHDIVLRLAIPKRTVYDAIARYLELGSEEDRKGRGRPATVSTPRNRERIRKRIGRNRKQSMRAMAKNLHISNTSVRRLIKSQLTLRPYKYLKLHGLNDRQIKLRRDRCRLLLMRCARAEHFSTVFSDEKIFTIEGKMNSQNDRILAHDPEEAYKSGGFIGQTSHPLYVMVWGGVCATGKTPLVFVTPGVKVNKEFYVKHILQDALLPWARSHFGQSHWTYQQDSAPSHKAKKTQDWLKAHVPDYIPTSEWPPNSPDLNPLDFSVWGVLQAKVSTTKYKNRDTLKAALLKAWAELDTNYLRELATAYERRLKACVKAGGGHIEIQTNIYKAPPAPQEAPELIQEIPEGPPQTGDGEDVVQVQPELPQEIIAPVEEERKEIEANPNRNFFVHKKERQNQPTFGAPTFDPSVEAGATRVHPRFVEERPPSEYSTTQQPPTISTTTTTLPPPTTAEIEPVVTEDVPADYDPETVPAPKIADPAKRKTLKLTGVTTVAPTTHNPFLFPGFQPFPGFPLLPPPPQPLQAPPAPTPAAFAANPLMPLAPLPPPTHHHHQMPAAAAGNPFALPNPFAFPQLPNPWMMPQPQQLQQQAAPAAAAAAAGGAASFNGVFGAPPAPQKAATPKVPGLEEAPTVVEPVVRGPIMHKHASAPGGAAPPAPSPATLAPLLMPPPFPSLFGPFPMQGRK >PPA00120 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:682649:692511:1 gene:PPA00120 transcript:PPA00120 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPPVHAIDENKQEARNKAATQALCLLGPALAMLEQKMKEEKEKDTEKGRRKDTNKENVAETESWSGEENENEATVPTENPVETKEGEVEGGKRKKSKSVISQVHECALQMKLTVEFEVVSEEGRAHDRSFVVECRLLGGETVLQARGSGRQKREAKQAACAELIETLKKMESSPVHIATVLYKSQRRTTLAQTKEPKRKTIVKHMKMDPSYGHQINPVSRLIQVLQARGEPDPVFEFLGEAGQYKYKQFNVQVVVGDLFAEGAGPNKRLAKRAAAELMLELMGVAKRLPEPGKSLLKRREDDIACGMMNIGVFNPNDVIDAPPLVDPVIFKDEKIKKKKKVGLMWAEPAEEEEEEEEDEKKEPKRRVTFNAQVAACTAPDDHSYPMTEVAPLKADDLGCAGKARRRGREGRTRSLNDSQRLALGKAIVQWMEGKEEQEEDNVFRMESVMAPPKTERTPAKTKLDKLGTQFGFNPHYTIFPQGESANACPSSPAPSSSSTATASRADAGSPMSSSAAYFVIVNIPLNKAIMGEGHGATIDDAHEAAASDALRKIGTDSSYVPSVANEKSVGSPQTAAAGIQRVQQQLLQHHQQPNPYYNAFGGMPPSSPTARPPQQLQQLQQQHNEHLQYSPHRGPMTGMFPPSSPRPSFPSSASSASSTSPYDLPTMADLYGQFDAAAYGMRPPTQSAQQRPPSQRPYQYSNGMVSKGILKAASEVLNLEPVLLNGQAFRWIKSETGALYGVAYSRLWRLERADEMDVPLADLYEQWKAADVNFERFLKDGRLKGIRIVEQEPLECLLSFICSANNNIKRISKLVNQLAVLYGERLDGIEDEEEFEKMHQISSLHPSLAHSFPTLRQFRLDGMEEQLRKDGFGYRAAYIRNTVNKLQQCEDGEKTLELQKSASTSDAKSFLMGFSGIGPKVADCILLMSLGHSSVVPLDVHMWNITKSLFLPSLPTKAATSRYDEVASFYHSKFGAHAGWAQAVLFNAQLGKTDKKAPLEEKEEVVAKKAGKTVKVRKRLHP >PPA00151 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:853614:855152:-1 gene:PPA00151 transcript:PPA00151 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNRPYSTNRKTKDAMAEKRDLILSTSPIILDRRHRSVERTFGIFAAATFLLMVLPTGILAVFEFFGDDHPDVVRIHALSLYRVALKFCAMNPTLNVVAYALKHKQIYNGLRQVFVKKHPLSARLVTHSQHKSASLTKLKKTPTMPNLSSHQNMAFKNVGNTLSQSPSQPTPFLHNNNAS >PPA00315 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2079434:2081050:1 gene:PPA00315 transcript:PPA00315 gene_biotype:protein_coding transcript_biotype:protein_coding MELETDVRINSNAEVVVHDDHTRLIFRIRHRTRLHISALQREYENTFLFPGSRKIPGSQNPALRLSAYTETQGDPEKDPVVLWLNGGPGCSSLQGLLLELGPLRVSDWGETVTVNPLSWNRFASIIFLDAPAGVGFSLRRDGLYNFTDDEVADDNHVAMAKWFEKFPERKSNDLYVMGESYAGTYIPMLAHRLAKDKKNFPQFKTATG >PPA00429 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2716875:2718387:-1 gene:PPA00429 transcript:PPA00429 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLKFESGDVELAQFVPEDVGRSDGPSVEEEEDNQDASGDGSGTDDDDDDDGDVRTDFSPITTHRHTLNRTPNRLTKGGPRQYDAPRKWGTREIWGDEDESKQQTTNAKRVNV >PPA00390 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2508791:2511604:1 gene:PPA00390 transcript:PPA00390 gene_biotype:protein_coding transcript_biotype:protein_coding MMGEWPLETSKIGLNYKIHKVKPEWADEEKLKEFLYEMYKEKKFNNYRVKPEWADEEKLKEFLYEMYKEKDELLDKYYRTGEFAGEKRTVQVATWTIMASQLFWSALYYAHYSLMGSLVWKFFTKTINDKGTAVAQCSACSKVFLRREGNTTAMWRHLTRYLPELHAKEKSKEEQNFLKLVAEWVVCSNQSFSIVENPSFLKMMGYSSRYKTPTKYVLTKKILPELEKETKDKIKLILKDQRPSLTADVWESGDVALVSITAHFIDAEFTPRNAILGAKILQYAYTADNIRSVIDECLLEYGVSETAAAVTTDAAATMTATIRKMGKVK >PPA00496 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3170070:3171542:1 gene:PPA00496 transcript:PPA00496 gene_biotype:protein_coding transcript_biotype:protein_coding MITASIFISVYTINIYRRQAFTAPMRAWQRWLFLRFFPTILGLKKLEIDEPRTGSTMTSSDTSIIGYHRPKSQHQQQQQQGSTDCKLRLLNESSLSEAMCDQYGLDSLHLFRRIQSQLARISDNIYNEQQQSKICDEWKVMARTLDRIFLIIYLILNASATFLLIYNAQSLYDTRPSLTSVFYTSGACASFF >PPA00505 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3223275:3242579:-1 gene:PPA00505 transcript:PPA00505 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTGICTRTDCAKKQLSTREMSDSEEDLEPRLKVKTEEASEGDEMADTSAPNGYDPFEAGRTAPYTRVYAGYRAPVPVVTIDDALGRGLVAAMWNDSMLRRKKGAPPSSSSSSKARDSRANSVMSTASGSKKSQEDRKRKKVGSDGGTDQKKVRMISSPSSSDSEDDKPLKPAPARPVILDLTTPSGNGGRSPTPVLDSSSSEDESKKLEKEKRKKEKENKARMHSEDRKKEKEERKEKRKEKEKDSKSNDAPTTSKAASAGSGSGSSSSGTKVVDLFEGMEASGNAKRERRQKTWPEDPNRISVVGWSNERILTHFKAFAHCYVTPLPKEQVERILRGEDSGNDGEAEPKRKKEKDRDRERERDRERKRERERRRDDQVYNGNGSPVRASFDSDAEEGDLVLTRRDKREKKKERPVQDTRQCTECSGTFSLDTAITPTSVYCSKECIETRAARALELIDNHESKVAMIRPDGHMITEGPTVSTLGDFLLRFPEFVPMLPEKAPAPKAPPSAAKPPKVISKSSDTVRVGVKRAIGEALHTRVKKTIGCTFRMSECKDMAERLENELFIANGQNAFNKEYKFWFGSFVKCVKSPMNKGFFHRVLAGLISPQRAVTLDEKAMMSEEYAAAVPQQLAAAAAASSNAPAAATPRGGEDVSVEGGSPMPSTSSSSAALPKPLTAATMRRIPKLPPGAAKPTSALDSILGDGNRNTTSQHNSHFYDANCDICAKKTKAVADRAQREEMEKQKARDKREEHFRKMREQEKAKEAQRETDALAQRARELSAAPSRRSPSPDYGGGGGFDDDDYGGGYGGGGGGGDSPPRRPTVPPRFAQAVKGGGREQYRDGRRSRSRSRERDRKTLRWNGRGRSPSPVDDPWTTRSPLIWRGELSMMTTITLVTLRAISNASCFGLRDFFPKDLKVKGRIQHLAFFDYLLTVRNANLKDICVFECIKPDTPVLEEEFCHLVDDMNKTKKYLVVNLDDCDIVKDGYLVPVAREEDVPAVLLPWAGPGVPSREDRRDMLLLVVTLQTKWVGPLPPPPQQLMPLSSLAEAAEERLRCVRAQAKAQEQLKLAAAYSPSREYASDFGLGLGRRTPPHLRGPYSPGGALPPPFGGPMAQLGYGGGPPPPAPVQYMRAPAWEQPRDEYERERSPEPLQQLQPLLAPPPVAPNLAYGAAAEILGEEVHQAEAGRVRDRPQASPEDENGAGATESDSLLPPMFFELRARAAERGPEDEDAEQEIRTLDDFLLALNVCNKPSRVKKLVHDYINNPNSTNEERQIARNAVLEKIKTERMKEEETKKRAEEEEEQQQRAPSAAGSRPPSNGSAAAESANGAAAAAAGHEVEPTGTAAEAAISRDRPFSPSDFDGADGDATFVSQASSILNTLRKDSNANDNDSSADSSMTGFEGLNGGSAAAAAAATGPQPVPPPVLPPGMSLPRAATSAASSKRRWLPSAASATATGRAITFVELHTFAALDEPVVHSPSTRGASIPPAGCAPTRPSALVHDALGPAAAPAPSAPSTTAAAAAAAAAAHEWRSGRAAAADDGEIGRLPVEIVPNNLRLPVLIGSKNSKYILGTGGLPMPSNYAFPPPGPPNMAMPPPAPFGGGGAMQQLQQQPMQPMQQPPYGMMQQHMAMPPPLHMPPPPFGMPPPGHMGILVSCHMGMPPPPMMMGEQEIEEDEGEQIFESPGGKK >PPA00180 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1076901:1081911:1 gene:PPA00180 transcript:PPA00180 gene_biotype:protein_coding transcript_biotype:protein_coding MIDYAFKEELARNRERVDEIFHYEGSKIGRGTYGHVYKAVPKGISSPRYTAKEYALKLIDSQGFSMSACREIALLRELKHPNLINLQRVFLSSERKATGQLSLKVFLLLEYAEHDLWHIIKFHRSAKSKKAPVGIDYLHTNWILHRDLKPANILVMGDGDGVERGRVKIADMGFARIFNNPLKPLSELDPVVVTFWYRAPELLLGAKHYTKAIDVWAIGCIFAELLTSEPLFFCREEDIKTQSPYHQDQLGRIFSVMGYPAEADWPDIKKMPEYPKLQQDFKKANYMNCSLQRYMEKYKQDTNSSQFKLLLKLLTMDPLKRLAADEAMKDAFFKEDPKPSNDVFGCLEHIPYPKREFMNDSEDDKKAQQAAAQQQAVAARHAAAQQAQAAAVAAQQQQQQQLMQQQQQAPQQPMMQPQMPPQEPAAKKMRMMPGMQPQPVYGGPTAGAGLPGQAAVAPGMQHYGGGPTAAGPSGVPMQQQQPQQQPYMQQMQPQQMGYGGGFGETQQQQHMMTQQQQQHNLNWNTKLKGREIEVKSCIGNCAHH >PPA00470 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3004026:3008085:1 gene:PPA00470 transcript:PPA00470 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSVRRRRQPAKEVQVKSDRKKACKRGFQKKGRLAVAVAVTLACLIFYFRLSDPPLDHVHLVIILAVSTMLNQHGIERVFQQKGRIRMYVLYSCIILYILLAGPTLGNENLTDEERAELKMAEPALIANLTANSPYQLGRFCDSAGACFIVVQRYGMTRGGLRAQRLIVYELEDVMTSLAMVNLKTPKIITAHHLVHERWTVDPAFPSERLLQIATVFMLEGLSFGRSRDDSAASVQQLQLQRVLQIGMGGGTAPGYLRMLPIQLHMDIVEPEPAMYEAAKRWFDFPDASPNINVHIMDGVVFLKEAAESGLTYDSVILEASSISPRDSISWTHPMFMADDVMDAMSKVLGSHGVLSVFMFMREQEKQHEKVIRTFEAHFRSCSALTIYDDGQKFLVCSNRDGFTWTAHRARMLDNLEQFDKVMGTFIAPYLDKLNPVKK >PPA00168 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:961537:987021:1 gene:PPA00168 transcript:PPA00168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fln-2.1 MCDRQGRMGEMGAAIAGSTVLSSSTGPRSPTSPTLLRAARQRENEGTPRSASLLRDIGSSSSGTARYETAGYRGASYSPRRGEGVYSPDSYGSPMSTLRREQLDREREYAGVHHEGDRQPQRQYAETTTTYRTESPQTTLSRTTDLPPSRQLGSSSVSSATTVHTVQHRTNRSPSPGSRRRPIEQLDPLAAEAERESRRGAWQRDAPPSDRGLGYTVAAYGERGIGGDGRTDSPTFQQTRQRFERREEEVVAPAGRPPPRPITPPRDFDVDDEKPHGIIDKIVEKSRDFTDAVEEEVDKMGKIYSRQRRRCLTSSIVDQKDDKKGREQSEERMMQSPERSTTTPSGRDYDSGILRSTTTSSGVDAGAVEKTPFYKSYTEEGTTYRRSMSPQDDYRSTRDRSAEYRTPHMFVETETERFILISRQWACRKKHYNENPSWVLLLMIQVDDTRPVAQADGRGFSKLETEESGRSKISFSSTTTHTAVDRPSERRDPSIEEHHYPDASLRRVATEAKDKMREGGVRAGDRFTRTQSDERVDQHKRQPSEGDGHLRRTDEVERIYDDVPRHELHSTTVTRREEVPTGRVDTTITRREDLPPARYEPTVPSSRYEPSYPREDTTRYETRETSRREEISSGGILGSTTTTTTRKDEPRGYEPREPSGFVGGVEERRGYEARDPFRDTTTVTQEPAGRVDRYETTTVTTSTRDSGAQREYDDGRGHIDPVYDQPPRESGHFDERFVGEVQQLGRTNELPHSPPVSTPTTPKMSSKFKKEGDHKMFDFGKSKFSSKHEVIRRGKEVEVKLNNLKLSKEDTLRIVVMAPMKHSAPDEPPAEIEPKVKKSGTKFEISFKPTDVGTHKIKTNNHFSKVFAYVNEVLHPLSPYAIRVYDAAEIVVGEIPQHSYLNDTVEFTGEFQKFLVDAGRAGFGNLEMAIKDADGVIIPSHVAQLESGTAKFLVTFSPTTRGSHTVNITFNKEVLRNSPFEVVIADAPAVPIPSSQMSPGLGAEVDIPPGPTSPDLSKRDAKKLKEDQKREEKERAKREKEEKAATLKREKELKKQLKKAGVSPGVLPKSTSVTKIPSLSRVGAPAAIQVAVAGQDSLEITVTHAKKDEVGTKVTEVEPGLLEIEFVPQHVGEHEIDVRYAGAPVPGSPFTCRAYDPAKIAVGTIPNGVVDRPVHFVVDASEAGVGNLEVAVNDGKIPSMANGLGQHRYDISFTPHEEIDHHISVRFNNEPVPGSPFLCRLVSATRVSAIGPGLERVAVDEEATFTVHTQGEDESAPIVSIRGPHAADVKPVIEKSRAPNEWVVRYAPTTVGSYQIDISHAGEPISGSPFAAKAYDVRQVKLAPCEHTMKRGTYATQRELNLSFQAAQVGRPCHFTIDAANAGAGNMEIIVSVDKKNVPNFVQSEGQARFRVSFTPQEEKPHTISVKFNGQPVTGSPMTCEVAPVGAAPKVAAGLVGAAAAGAAVATHAARRDERSADDFSDRLGDGKHAAVIGQPKGFALPAGGRGDCNVIVTAPDGGKERIISVRENDQLRFVVTPEQEGEYTVEIISRADDGEVQLTTLTLVAAEIKELAYSTETEAMRERLPEICLAGKKYSFEIETQLHTKEDVHVDIRGPDGRAVPVQMENLPDRTGVRASARFKKAGVYSIDVFVEDTPLSSSQTVTVLDPKVAVHFPRAFSRELIGESTSWDLHVDEELWGDVEAQIQDPDGNLVPCTIRQKADTDWTIDWVPKAEGEHEVIVIVRDVHVAASPLTAVVIDPSAVRVIGLRNERVGVEQRFNVDFANSGATSARVSVSRNGETLPVTEKKLKDGLIVCTFTPKIPGVHAVDVMVDGILLPECPYEVMALAAGAVKASGDALNRAQRGRTAVFEVSLNDSNRGELDVFVTDANGGPLPVRCYKQADDSYWVEFTPEHTGVHTIEATFGDVPIVGSPFKCTVVDPKKVTVSGVDAPMTLRHVSTIAVKRADAGTADLTVEVTDPSGSPVRTEVMKSPRGEDSYTFLPTKTGPHRVAVKCSGFSVPGSPFIVDVEEHAPPTAYGGGIERAIEIGAPASIIFDAKRQTGGVQVEVKDPNGDKIKHKLNKRDDGTMEVNFEPREIGTHRVHVAFNSMPVPGSPFKVDVVDAAGVQVRGIEESLLIKHAATVRVDTSRAGNAPIEVDVTDPVGAPVRTEVLRSPIGEDKITFLPARAGPHRVDVRIGGVRVPGMPSQIVYRVVRMNNSSHHLTGFPRTVDVDEDEEARAPRVVLADLENGGRVGDALSFVFDARKQVGGLKVEVRGPDGRRARHQTRRRDDGAQEITFYPEEVGEYIANVEHNNSHVQGSPLTLRVVDPSKVLVDDESLDREGRLVLAPSQRATLNVDVTAAGPGKLRAEVEDEQGRAVSGASVEEIGFGKHRVNWTPRTPGTYMLYLSYEGHPVRGANPLKMVVGGEGAAGRMTTQSTTAAGRGYEQRYEESSTVTRTTHDRSEMPSSSGVGLAAAEAGRVHLSGDGVSRPLLNEPNEFIIDATDCDREGQLTATMYGSKMDVPVRLTNIGKNKYRASYTPLSPGKYELRVEWDGAPVQGTPMTIEVAPTAASAADQIVVDSTTLKIGVINDDVKTLIDTRKAGPGQLSAQCMGPVKLAYCELYDHRDGTYTLSVKPTEVGKHTLTIKYNERHVPGSPFLVHVSNPPDASKVRVYGPGIEHGILSHFKSNFVVETKGAGAGQLTVRVRGPKGAFNVEMQREKKNERTIHCKYEPREPGDYQVEVKWHGEHVPGSPYLVMIVDTEQELTRFLRGEAPSPIPATPFIPPGWVGPAPPPPHMFMGGPPGGPRFLPPGGPPPPGMHMAPYGAMPPPGARMAPRHGKYANGY >PPA00240 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1556169:1561327:-1 gene:PPA00240 transcript:PPA00240 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTELWNYEKFTCTSKHGPHYYYSHNSGLQNQFVTYQTDAVGTKGKVFFDPNTLSEDGTTSLTTSSFTKDGSLFAYGLSEAGSDWMTIKFKTSSGEDLPDVIPGAKFSGIAWTGDNKGIFYCRYPEHKGSMVGTSTEKHEWHSLYYHKMGTKAEEDVLVYKRTDNPDLIVIARVTEDGRYLLISVVRGADPFNMLYYYDLKAAGNKITGPIDPVPVVTTLEAKYEYMDHDGDRMIFRTNRDAPMFKVVSMSLTEGVSSQVDLIPENDKATLAWGVPIIGGRLLVSYFEDVKSTLYMHETSSGRRLYQLPLEIGTVSDLHWDKTENELFLAFESFLVPMIEYKMDFSGVPIEKMPDMKETRRTHLSGMEKESFKVEQVFYPSKDGTKIPMFIISNANMPRSGENPMILDGYGGFGVPYVPYFDVARLLFVRHYGGAWAIANLRGGGEYGEAWHEAGMREKKQNVFDDFISAGEHLFSKKITKPEKLCIIGGSNGGLLMGAVSQQRPALFGCVINAVGVLDMFRFHKFTIGAAWMAEYGCPDVKEDFEFIAKYSPLHNLAIPADGQWPATLLMTADHDDRVVPSHTLKYIATLYEKAKDHGKQSNPIMARVEVKAGHGAGKPMAKVIAEQVDTYSFIERVMGLKWKP >PPA00464 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2979756:2980689:1 gene:PPA00464 transcript:PPA00464 gene_biotype:protein_coding transcript_biotype:protein_coding MQCEPQTLECYKYVCTETNYEDADFVSRGCGVSLATTATGLPNESCHQAMSVCETLGGKGECHLCNNKHMCNSVSKSPILILTALITSLIAIRQM >PPA00060 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:326790:327364:-1 gene:PPA00060 transcript:PPA00060 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYLVSLSVAIGVDGKGEKECDAGPFTLKVSWIEDETDTVVKVDGYLEHDLGDSFRSVVDLQISIGEKDTKWIVGSLWPENEMSTVWRRKRRAKKNAEEKIVVKYRTNASFN >PPA00026 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:129428:130525:1 gene:PPA00026 transcript:PPA00026 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLRVHTPLFRLVQRTLTTVSAPSSSETSSSTFDNLIFDDLVENLGKTASETSNKGLSFATMLRRSKFMQLGNFENRLIVGKVIRRVADDLYIDIGLKFHAVLFDHALKRSRNRKSPMRKRKKN >PPA00484 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3090311:3094346:-1 gene:PPA00484 transcript:PPA00484 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVLLVLLLCPLSIEAPTFSDLTKCLGNGENCRPSLLAVVHLNASSLPSLNIEDAVQGTSSSSIAFSDITAQITGRNASATRVLIIEAGSESAITWQLSGCNLMRNSESSTVETDSIAAMNQQLFVQKLQKREVSPAPISISSLLDSINSSFVPSVQTTLSTGLPIYRFAAGSYSGVPGKLLSVGEACSISFLVDRNLTAVDPKSVCRTSSLIFALIPSTENQSSSDVSVVDLAECSTCTSLPISREFLRLCPYIDGSDWSSGIDGPFIPNRPPTIKPDSIIIDLLLNRTNRKTTEKQHNESTTKMGTKATKTTSLHPSVKSTTEKSSSSTTKSKPAFSKASSTTTSMTKDTPASTRTRTTKKTVNPITKTITATTQTKRNPTSTVKITRENENESSVPIRPTTPAPTTTTTIPATTVPAPVSVPLSPIVLDSSSDDTASMTLNLSSIDAATASVGKCWLSNSKGSFEANTVALNRMNSTLSLSIHQSLFTGDAFVQCNLTAGGRELLVSGSSTVSFLPFADFVLASDDIISSFLIGAERRYLEIGFSKNPLDARLAGTPYCLAVDGTRFNATGQLEAINCDFSSLSVAGNYSIYAVRSETLENSTRLHQKPTVVLITDLRTTTTSTSTTSTTTSPTTTTEATTINSAKPSPFQHHHRPSYHHSDNHSNNNVVSYDHRNCDYDDVNYCENDCTKYDSQEDDNEEDYQGAFYNVNDDNYENDSSDNNE >PPA00116 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:662458:663535:-1 gene:PPA00116 transcript:PPA00116 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVASSWSASGQRDDSISNGSSIPSEVADLARKYDYVLPDPRCSLQRVVVDLKLKIRNAVKLQMRMKQGAVQLAKATKRNCDLLKREMRDLSDRISEMQEDLQVLNVYDTGAFGKGVSTR >PPA00269 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:1780959:1782186:1 gene:PPA00269 transcript:PPA00269 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIDVECTEGFMCVEYTEDLAAGNAHALLKPSDDCGNYGIVRFKLVENEFRIEETITSKLEDRANAMLVFRKQPKRLRRPSEMRRASELLALGLGSRRNSEAYPGVNTPFDWLVAVTIDGFLLYRNLPSLGTSQLLTLKLPTNVRNVPIRANRPW >PPA00521 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3342195:3342568:-1 gene:PPA00521 transcript:PPA00521 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVQLVAFNGFFYCLPYYCFVCPTILLYLMINEKRRKDNELKSISNSQTSEVKVESHFNALQSLWDKHHNSINNLAKDRRHIYKGTRVASA >PPA00099 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:581275:585387:1 gene:PPA00099 transcript:PPA00099 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYQPHPSLEEDYFQAPNTENALTNFTYLTVISAASFAYSLLSNQQDAFDDTPARLWQFLAGAMAFHADLEITLRFYTTFLSSLVLVFRSKSVLLCHGSLQIIGDASYSLYLLHWPIMCALDILDIDEWQAKVLAMLAAVALSILCHLGFEKRYLTLTAKSTLILVLGLYVATFCVIGATSHLQQEAMEQESNATPSPLKVLQLTELKQAEIDKQNAKLSKTNSCKIKYGGNPIGFCDLKNGNGTLSFLIMGNSYAANLGGLIQKHFKSHYGKLQARAIAQCEPLVNTAKDRYCPNYKPAHKKFDADIERERPDILFLVARYIEPNVPIKKPIETDDHYKFMENRLKFFEERVNKKEAVEADAKPMKERLAEKCSKCVIFDIEPVFLNEAGNFTVLNSQKLRYFDNPRHLNSLGRQLVEPVFERLAKNFERLLDSKYPENIFV >PPA00132 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:763733:764530:1 gene:PPA00132 transcript:PPA00132 gene_biotype:protein_coding transcript_biotype:protein_coding MCTFWAVLNRLRMPWKFKMSFLLVVSSIILYTLHAAWVARQFYRALDVAYIRASDYRCV >PPA00387 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2482758:2486622:1 gene:PPA00387 transcript:PPA00387 gene_biotype:protein_coding transcript_biotype:protein_coding MADHAGHHDHHAAAAAAAVATTAATVVKNVTEAVASLGADVVNSDLAHDVSAFLAGLAPAAPADHSAHHGGAHAAAGHGAHGASSHDAHGGHGEHAMAGHHMMKMWFHGGVDEVILFDWWRTDSCISLLISCVIIFAMGALYEGVKWFRVYLQMSKERGGPCGRXDGSGETPSKANGGNGGCGGDHVPLRDVTEEHNGFGPTATPPVARRGIRIASGRDGAFSVFRLVEAALYSLQLVLAYWLMLIVMTYNTWLTIAVIAGAAFGHWLFAILNILSPSGDRHDSFTTDACH >PPA00232 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1496056:1497042:1 gene:PPA00232 transcript:PPA00232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gpc-1 description:Guanine nucleotide-binding protein subunit gamma [Source:UniProtKB/TrEMBL;Acc:H3DS51] METIKQQTEQLRVEAHVQRKKVSEVSKDLIEYCEKNKGGDALISGPPDNHNPFQEKKSCAML >PPA00141 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:806065:806590:1 gene:PPA00141 transcript:PPA00141 gene_biotype:protein_coding transcript_biotype:protein_coding MTVATTTIGIKTIPEDEEEKFDKAEIFVLLTNVICMIVGLLLIWNNPPETSPNFNLVLICLFSIHIMALFMGCVLMYKWKRFQRSFHRLRHHLYLVS >PPA00408 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2593117:2594512:-1 gene:PPA00408 transcript:PPA00408 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELQVHPRAVVCNESIITGSVTIGADSVVHPKAIIRATKGPIVIGERNLIEETALIENTNEDGAPLVIGDDNYVEIGAVVRARSIGSRNVFGIQCVVGAEVIVTDACSIGVRCSVLKRGDLAPRTCVYGEHNQRRTAAIDPENPLKPSDWGYKLCPETIHSIVVR >PPA00062 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:335666:337010:-1 gene:PPA00062 transcript:PPA00062 gene_biotype:protein_coding transcript_biotype:protein_coding MISEIDEVKKVIPHFKDGILLMGIIMVFPIIYIILFIVIQMAQSPFWDQALSEISLITTFAHTILSIKNAIKDSRVVDRPLIFLLTISTLEFIFNILLILIIQSVHRRAILKEKQNPHNHQISPSQPLEEAPEKINRPSYEEAVDIVKQRKVFAMLDNAHFDRLFAYRDQNSSSYHQQTIQEKIKDQLRTHSHRHYNPKFVEDSWIKHNIKRQFYEEQKEKLTMKEPNCSNCGHHL >PPA00374 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2380005:2385189:1 gene:PPA00374 transcript:PPA00374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:H3DSJ3] MEPTKEVSSEVDPYENMIQAGDNPDITKERKSASFNLYKMQCFVYEGQYKLKRRQEILDFVESHKEFDDPVHPHFLNREEQIDRATRKCVAMMNNLEAIDVTDYFDEAPLYQFLTIGRELHCLSLHYGMFLPTIQNQCDEEQLEEWLGPAASKAILGTFAQTELGHGSNLSKLETTATYDAKTQEFVIHTPQMSAAKWWPGGLGKSANYAAVMAQLHTNGENKGPHLFMVPLRDTTTHLPLPGITVGDIGPKFGINANDNGFLIFDNYRIPRRNMLMKYSKVEPDGTYIAPPHSKLGFGTMVLVRSLMIRDQASQLGSAAVIAIRYSAVRRQGEINEGEGEVQILDYRTQQYRLLPQLARSFAFLFAGYEIRATYLHVTKLIRHSANTELLPELHALSSGLKAVITWEVAQGIEQLRLSCGGHGYSRASAFPDIYTYAVGGCTYEGENIVMLLQVARFLMKIAKEVRTGTPKLAEIAEYIARTDSGAARVSRWKTCADEDIIHDFEAVTRKMIFSAFDRLKSLEGTMKKKEAWNACSIDLCKVARMHVKTYLVKNFLARVRTCEDSASKTVMHTLAKLYAFDLISGAGGHFMKGGFLSETQANQVQVDIYEMLNSLRPEAVALADSWAISDLELRSVLGRRDGNVYPALLEWAKASPLNKSEVLPTFDKYLDPMFKEGRSHL >PPA00054 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:305559:309888:-1 gene:PPA00054 transcript:PPA00054 gene_biotype:protein_coding transcript_biotype:protein_coding MWRIRYWKDYAVAVGAYAINALSHKAVMPNLVPLEAIEQLSPRVIRVLGQNPGAFTLQGTNTYLVGEGESKILIDTGEPNIAAYIDVLRNALKDAKISAIICTHWHHDHTGGCEGVLKSIVGDKVPVYKIRNSDPSKHRDYFDYVEEGHEVKVDGATVRFVTTPGHTTDHASLWLEEEQSLFSGDCILGQGTTVFEDLYTYMQSLEKIKRLKPSRIYPGHGPVVEKTEEKVDEYIKHRVVRENEIMKYFDDVREASSMDVCNFIYKESPLAVKVGALGNVRQHCIKLVKEGRLTQEASEYYKIAESPKM >PPA00354 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2269015:2270920:-1 gene:PPA00354 transcript:PPA00354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nas-33 MNTVELVEGPAGTELGPNKRVAGALFEIDMILTVAQASRIASGRRRRMLVARAAQRWPTTINYKFAETDAEWRARISQTLRAFENNTCLREQSNPVGDYMLFARGDGCMSSLGRLGGAQLVSIGYGCEQMGIIAHEVSHALGFWHEHSRPDRDQFIKVNPGNMQQGTQGQFVKRSIQDADTQGLPFDYASVMHYAANSYARTSLLFTLEPRDVLYRSTIGSRSEPSFLDYKHINKLYCSSEDIYDQT >PPA00077 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:456831:460935:-1 gene:PPA00077 transcript:PPA00077 gene_biotype:protein_coding transcript_biotype:protein_coding MLANLLIASLLVSLATAAVDTQGTEYRVVFPRNFELSSTNCDLSISIVNPNTAEVKVSISYYKTLYDYSSQQNELLSVPAGGIATQGFPKFDAWEYMNGGFQEQFDTRIVVTTSLPVNLYANNYQKDGFGDTFLVLPYSMGGSSYAFTLPAPSALQNGVIQYAIAYIIPTRADVNVEITVGSWNEKRKIPFKVGSNVNYFAVPHVVNQKDPSFYITGDKDFMVVAAVSCLPLSDGKCDYAAFMPTPVVLPGQCRSPTPVADNHPTDLITSRKSTMIPSRFSITPNLQSGDCNHNTVNFYNGDMSDEQNLSGEIYTAPNLFGDRFIVGSTSIPTGPIRIGGLPGTGGAFLTGLPSTTQFVTGTTYFLTRTEKATLYIITDAVASLTMRLDGKVVNFSPEILKIDGVQYHFTTAEVLSDNSPAVHKLDASPGKYVFYVTGTQRDGHAYGYYPAFNNRTNMELGNVGGLC >PPA00287 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1892736:1910548:1 gene:PPA00287 transcript:PPA00287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rme-6 MDGGGGLSPSSAPPMLGTGGVWALCERLRGEKLMVTSELSAVRQLHESIEERMHALGLLAWGTKLHQWALSSLLGSHPSVQPECTFGVLATIDAAQLEPAYRRLGHHHSTVAAVLNGLLASPRGVAELLHATDAAPAVNADAPSSSSTPLPVSSDELTRALHSLLYGHCIFPGDETLLVEVLCSLVHLQIVPHADPRLVLRRGTAAFPRLLRLFTDGLYAVKVFLTEALHDSVMLVLCQDDAYLDIDANKMLLRFKPEDRDRRFGTDPTTAEYERRVSGHRRMVVEKLVLLTHSFIKGIVDALHSLPASLVWLVQQLHAALVDGKRLQPGQAALICTDLLVTNLLCAAIANPETYGIISDTPVSATARTNLIQIGQLVQVLALSRHEPPPAIFQSPIIGVVDRVLALSLPPMEGGLTGTASASDGGKDGGERYAPMQARCEDPIRRAHFIGSVADVNTIVAAIQGPAVSHITEAGLSKELRSLGRRLPSSPFASVAPSGKNSPQSSTAVPRSGTLRNLAEKVQTAASSYARPASSDGRRGGGEEQGGGGTTSPIPIPPEMVDVVVFTLGDDNEEKIGLRSEESFMEISGLRRRKKRNSEGNEKRTRFLEEESSYVGSAPSECTTEGGSDTGEEEEEEDEGEEGDDAASLASSGEREGEEGHEDRLLVLDEDDGASTLPDNVSEMGAMSGRASPSLSGRDTPDGGESTIAAAGASSTVDGGAREVAAREALLPRLPVTVRKQNAEGLEEKFGKFSLPPNNSRSRYRDDQRSLLSDSWSTDVAPSDNEGPTLMLPQAQAPPMYQLLPGGGQAAAAAAAAGGGPAAQQQAAAAAAGGGVQARQARSGSLRNGSTTGGEDKSDTWSLDAVASDTEAPIPVPMGPPLNGGEMAANRGGAGGGGVEPSGGGQRGEDAGNGRMRRQSSGSSFYSEEGRRGSGGNAAQLPVSKPTSEVGEDSHAIHVALPDLTAGSDNGVEGSAGGTPSAGGGLKGKFSIEMRSKKAAILQGLHRIGDKMKRGGNSAVGSMRGSATMGDFPSLERNGGASVEERRAGSSGDLLKWAFKEHLDEPSPDDILAKYQRGGARSHQQPDILVDFSDSRDDLARAPEEMAPPLPVQSPAAPRLAYYSPDNLTQCAAFLDAKRKLRFVLSGVGFLPTWCATSNSRDKPRGDDEAKEELIALLQFALAEAVFLAEAVNGRERALCAQIRETIRCLGVFTPREVRKLVRSLKEDHRRRASYTLYLQQSRLSLLRLQAALERLTARVKREKSLTEECLVEMWINRFYLPVRQPALLGQLERQFELLGAQDEKTEWVRQHMERLWRHLEAEAAFRAAGANQREYARKCVERTLMARIYHMAFYPNCDADYHRDDVLHKALQRLASTVSPDHGQMAIPEALRGECPWPSAQHEIAVINAFKSPRDKLACIRHGSCSRACQAIVDLLFLARSSAAADDITPILVYVIVMANPANLLSNVQYIEGFYGQQLAAGDDAYWWTQFRSAIEFIKTLL >PPA00477 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3043141:3045099:-1 gene:PPA00477 transcript:PPA00477 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGEITVEESLLDRLKEEYELSYKRRIDAERRLAEIHNLPRANTVEELYQTTAAFYYDTYPVWLRELIVLLTCVIPEFEDFEDDMKLTVLKNLLGKFYALNTFYRTSRSYLKTGKCISTALSSFDIDNSDQWISEKEVTTRKEQQKNGDGYRSSLQAHASDFLALMYKMLRTDDITDREYYALIAITLCELDTQLPDKIQHLFDSARTTALNELQRYYREQLKLADYSARLGRNPRNLGRPAPVVHDPIRCQVGR >PPA00271 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1787580:1800973:1 gene:PPA00271 transcript:PPA00271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tbc-13 MEPSAFDQFMRLGDELQSDYGMFNGDDHMMPFDGTLDGDLSLLLSDLDAPCSTGGSSSSYSGLASQSSSSSSPAASLASSSNCFPYQDMLVESSPMALESPPPMHHDNYMYDDRVLPMEGDMSGRATMNGGGYYEDYASPAGTYSSSEDSGHHSPSASLDQPYDLEAGCYDCSYVQQQPQQPLLQRRSSSEDSCGEQQHFAAPAAPAAAARPVLHLQQASPPSADPAPALLQQQPLQHQRPKVVYVLPPQQQTQPPKNTPPSYKAAPPPARVVQARPKQLQLPQAVRPTRPAAAPATFQCSFDSRVVGRESNEHSSARIARHFASARGMRASVVVQQQQPKMLQQTQPLPLPKTTVLLARTTTPCVQLVSTPKGGTRLVAPIKVEPKEEITEEEDLQYFRKQEDRKQKNRAAAQQSRLRRRCELDELRSTVTELSERNRQLEEENGRLKRRVQELEHAAWSPPRKRVAAAGAAATCLMVFVMMFAFQTTPIDRNLSPFALSVPVSRQIGEATAAAGTAHSRVVRDVGAEVAPKTRALLAIEDYDEDTAAKKESVEMVEYAARLAHARNETGREGGGGGGGGERREGCGDKSAKRYPNQTETIRLNAELNNWVESHDLVEWANGLQGGRRMFTLSGLGKRRLVPEGKSNGTVSRFDSPLPRVALASNGTVKEEDRSAEGIKKMPKKKSPRGEAKREAAKERAMRDRAWKHLDMVSPGLREHGPENRPDWSTAGAGEQPEQPRLNRRLVGAAGEKERDYERLAAAVQQRTDTLYVVAMKDYLLLPAIERNATSRPRISLILPAVMNGTMTTANNQIMLMRLDLDVVGTGVPDRLRPLLWRLLLEYLPTERASWTPFLAAQRETYNGLVRQLIVDVAAQSTTAGDPLSDCCSHWGGFFADNTTLAQIDKDVRRLCPEIQFFQQTTKWPHSEAVSVNLSGRVTQAELRTENYGVDKLVGNTKKRASAEYANAKEQGSETHWQVAERILFIYAKLNPGVKYVQGMNEVLGPIYYVLASDPDEEWAEHAEADTFFCFQQLMSEIKDNFIKTLDDSQCGIEHSMSFFHALLSAWDPVLHAHVVGRLQIKPQFYAFRWLSLMLSQEFPLPDVIGLWDALFADTKRFTLLPYVCLSMLQRVREQLLRGDFADCLRLLQNYPETDAQILVMDAYAIREGRAVRPSTRDDDAISNGSGAKKNFAGMFTDKLRSTMNLIRK >PPA00518 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3304005:3317725:-1 gene:PPA00518 transcript:PPA00518 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRTDSRESRLKLLESQNNPAQAPLVLWLNGGPGCSSLIGLFTEHGPFHPTQDGQHLQENVFSWNKAANMLYLESPRQVGFSYRDETAPEDTYYNDDKTADDVVLALKSFFRAYPEYEYRDFYVTGESYGGVYVPTTVDALIKTLQQEKNGLPMNLKGFAIGNGEMDEIYQVNSAINLNYFRGIIGKDDYDYLYECCKDSIKDGQLVYCDYTQWYTLDEYGNAIAKTFDDEASSSTQHSDPHCSLQKRKNQKCAATIVNFGYDLVWNTTNNVYNTYQDCYTDNVSAVKATKQIVATSRTLNLFSNYEQPFVDQGTFNNVGSTDAMNSFQCYMGDATKAYLNTPAVRQALHIPGNVRDWDSCDDDINEIYYHQQHNDTGAVFDSIINSKYPIRMLLFNGDVDMACNFMGDQWFIEDLAKRNEIPVTTPYTNWDYIRAKGDLPRQAGNQKRFSKEGVTIDMLTVKGGGHYVPTDRPGPAFQMFVNFLYALPTYSHPIDLPITPAPLLDEYQPEPAKTLSRKEADRVYDLPGLTFDAKFGQYSGYLNGVKGNYIKYWFVESQRSVKNDPLVLWLTGGPGCSGINALLTENGPFHPNRDGETLFENVFAWNKVSNMIFVESPRGVGFSFQNMTENPDQEYDDDRSAKDLYFALKDFLDIFPEYKGRPFYITGESYGGIYVPSTASYLVDRITDGEFDDLNFKGIAVGNGQLSGLLQVNAALQFQYFHGIYGKDEHDALMKCCPKDAHPSDPEYFEFCDFTPYIYLDRHGNVLPKDDSNDCSNLVAKYGQDLVFGGPQHVYNTYRSCYEPGQAGNARPNLKKPIYSNPYFVDQALLVSHEASDSQDGFLCWMDDATENYLNLPEVQAALHVRNDNGTIAWESCSEDVGGTYFWQHNDTTVFFDNIIQKNYPLRVLIYNGDIDSACNFLADQWFVENLAAKHNLSLVQERKSWRYLTQIAGYTQQFHKDQFTIDVLTVKGAGHFVPTDRPGPALQMISAFFDNVEYDSPIAANVALAPLKGSFATEEDIGQRDGGLQKPVAAKAENIEKIRAKRATPTRPDPPANTCDPVLDKITALPGITFEFPTKQYSGYLNPSTGNYLHYWLIEHDTDPANKPLILWLNGGPGCSSLNGLMQELGPFLNNRDGETLYENVFSWHKVANILFLETPRDVGYSYRANNYNGDPTTEDLYNDEMTAQDNVDALVKFFACHTNYKNRQTAFKKQIQCCKIM >PPA00125 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:735651:738031:1 gene:PPA00125 transcript:PPA00125 gene_biotype:protein_coding transcript_biotype:protein_coding MFTDPNLNLFLEILRIVAFVLTILLNGMLLRMISMTRHNDLGFYRFLLLAFVSADMIHGLFHFIVVPVPEIYKNAFIMGGHGSKLRYGVGFVWPLAFSLAFPMLSFNFAYRLVAVKYPLCLDYFNSPRIIGLMVSIATCCALSWACITRYMGFDNGDSRSSYVHEFFISNGTTDSFLDHDIDEINDYIVVVFWERGFLDGPHCINIIGSGILFCMIDVAYKFMAYAAYNIVHCLNENAQWSERFHTQHVQLFRALVMQPLNCSPQL >PPA00348 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2242402:2252533:-1 gene:PPA00348 transcript:PPA00348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ruvb-1 MQYDWIPYLSIEEVRQCFGLIRSDSLQEVHLSNESGDGKTILLQTLLPGYDSSKAQITTLFTSFAIIAHKPKMDLDMPTSNTRMVNIEEVQSTARSQRVAAHSHVKGLGLHPESKEALPKGAGFIGQEEAREAAGAIVDLIKQKNMAGRAVLLAGPPGTGKTAIALAIAQELGDKVPFCPMVASEVYSSEVKKTEVLMENFRRAIGLRVREKKEVFEGEVTELAPIEVDNPTGYVSEDPPWDPEDPRISTDPQYGKAIDSVRLSLKTVRGSKQLKLDPSIYDSIIKQRIECGDIIYIEANSGAVKRVGRCDVYASEFDLEADEFVPLPKGDVHKQKEIVQDVTLHDLDVANARPQGTRGDVTSLVSQLIKPKKTEITDRLRSEINKVVNGHIEQGIAELVPGVLFIDECHMLDIESFTYLHRALESSFAPIVIFATNRGMCKIRGTDEVSPHGMPNDLLDRLLIIPTKKYDQAEIHSIVKTRAEAEGVKMEAAALDQLAKLGKESSLRYVVQLLTPSKLLAQMSGRDTVIKSDVEEASTLFIDSKRSACVSAREQEEKRAAAAACTQAPPTNPAAVAPPPTAPAAATFIAPAAAAANSSLLAAPAAAPVDEPMDTPLPIHQQRLIHSQILRRLSPLVSSLLSPMSSSAPLSKLPLLLFDVDGTLTVPRLRMTPELGDYLLQLRAKGVPLAVVGGSDLKKVTEQLGDSLEDVQSRFDYVFTENGLVGFKGTTPLPVASIQSRLGERDLQRLINWVLAYFARLELPCKRGNFVEFRSGMLNFSPIGRSCSYEERIEFNAYDKEHGIRVKFAEEMRREFADLDLEVAIGGQISVDVFPRGWDKTYCLRYLEDYETIHFFGDRTMEGGNDHAIFVDPRTVGHTVTSPEDTREQISALLEGLID >PPA00277 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:1834321:1834593:-1 gene:PPA00277 transcript:PPA00277 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFYNVPVLKTINDRKKEKEDKERHEKEKEEQKLTKAQKRKLEQIDCCQI >PPA00195 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1222563:1228765:-1 gene:PPA00195 transcript:PPA00195 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLKGSVVFAIKHISVELIVFFVICRIYNGDDGYCQNMSDRDEELLVQQHNAEWSLYNSLAFMTPALFADCILGAYGDKFGWKMPIMLGIVGVTIAEFGYMLTLSNSVDSPFWTTLIFGFASGVFGSISIIPVACNAFLAEITEDSDLLTVRAALFSAFQTMASVFGGFAAALLYQSISTIVAMDIELFFFLVAILFATWRIPQRPQPAMASFSSGGSNIGSIVPREKAPSACQQFTAFFSSIWILLKTSFHTYVKRRVGFRRAFLIVTLLSYTLAYTTSIETTSEGIAGGIINAYVLRKYDHGLGWDVDNLGFWNGAGYFILAVGTICGTWILKRLGFRETTLMLIGIASSGIRVLLIGLADDNVMMYVANVVGVFAGLLVAPSEVGKAFSLLGVGGDFAYLASSAIYAVTYRFSVDFEPGTVFFFMALLHVMVFIALVWMHIQSGREGVGRRRFSSHLQNYFG >PPA00363 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2317949:2322098:-1 gene:PPA00363 transcript:PPA00363 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSVRRRRQTAKEIKVKIVERAACKRGFQKKGRLVVAVAVTLACLIFYFHLAEPPFDLENLTDDERAELKLAEPALIANLTANSPYQLGRFCDSGGDCFVVEQRYWQMRGGLRAQRLIMYELNDKMALTMANLKTPEIITAHHLVHERWAVDPTILSFSYNLMQIAAGFMLEGLSFDPPRNDSAASVQPVQQLQRVLQIGMGGGTATGYLRMLPIQLHLDIVELEPAVYAAAKRWFDFPDAAPNINVHIMDGVVFLKEAAESGLTYDSVILDASSNSPTAAVVCPHPVFMADDVMDAMSKVLGAHGVLSVNVFMPEEQERLQEEVIRKFEAHFRSCSALKILANGQKLLVCSNRDGFTWAAHRTRMLDNLEQFDEVMATALAPYLDQLNPVKE >PPA00532 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3441908:3442796:-1 gene:PPA00532 transcript:PPA00532 gene_biotype:protein_coding transcript_biotype:protein_coding MASEFQKDAAFLNVGSLELAANRNITQVGSGSNGRARKAKLSRMMQVLNDIMNQPECKTIIFVDIKRKADELTQFKSGKTPILLATDVRELYYRSQLVADKTGLVNSINY >PPA00415 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2634563:2635334:-1 gene:PPA00415 transcript:PPA00415 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVGSITRGADTWIEPKTECDYKNYLTGNEPTSVKECRVITDDWDGQWTAADCESEKHHAICEMKAPRPTPIAFRFRRFFGF >PPA00029 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:137078:137898:1 gene:PPA00029 transcript:PPA00029 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSINGLAESMTQLVITPEDSDEDKDKMPFSVRSIFLSPSTRIRLFMVNDSFIPSFNSRFEVAISENKEIGEMEFIGSARSVARCLTALENLKEEKRQFGVKAMEIDREVHGRLTEKRNKRLIEIMESNDAYILHCPFPLSFPTEITIASMNEDRLKRAIEEIEMMRENEEDDLVVEILDQKDEEDREML >PPA00155 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:882437:887119:-1 gene:PPA00155 transcript:PPA00155 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEHTFNSGSVLLQPVADLVGMSVDKINFVGCMALSIPLAIIYNRVLGKASERTRQVYPLVIGLAYCWFCFGSAIKHLLANCLISYAIMYVAPAKNMHWLVFIFSMSYLTWVHLFRWMYITEYTIDITGPIMVCVQKMTTLAFSLHDGTGRKEEELSPLQKREAIKRVPGLIPYLSYIFHFQSILTGPLSFYTDYINLTRGTHVTKNENGEVPDPYSEAWTKLGKALIFMLVIAFVQPSFPISGLDRTDMNPVAWMVLFWFTFMLQRIPYYFAWYFADGIYNLSGFGFNGYDKETGEAKWDLATNVLAWKVESAQSLKETLDAWNVGTMGWFRRVAFDRAPKKYRTLSTYLLSAWWHGIFMGYYLTFLGGAILTLGGKGFRRSLRWRFLSSPSLKFAYDVVTFIGTKMVLAYVAYPFVALHWAPSIAMYKRLYFIGHILALFCAAVLPVILPPPREDKKKNGETQVDENRNAKKIE >PPA00166 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:949479:953393:1 gene:PPA00166 transcript:PPA00166 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSPFILDIADASSVSVYGDNLKVASVDKLSSFIIHAVNASVKDITVSISAPSGKQKLARISQIDPETFRAEWKAVEAGEHQIDVRLYDQSVYEEPITCNVGDPDLVTVKGMPKRILSRNLGQEHCFEIDASAAGSGNLEIMINGGRVPCRVRELGSRQYLALFTPGQSAPHTVEMRFNGEEVRGSPWHIHCEDSEGDVVSRDDRVQFSTKKLNDKLKS >PPA00332 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2158181:2161559:1 gene:PPA00332 transcript:PPA00332 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPLSLLLLIPLLLVVSTVEGRYRCKDLNGKQVDWFVAYKLPTAVANANGGKNFVYADNNDPEWQLSRKPIDDPDSAIGATVSQAYGNDTSSFVLMYSDDGPVTPVDSYRGHAKGVLVFDGTTGFWLVHSVPNFPGMSSYVYPPTGFKFAQSFLCVSVATDNLAAIDVIPAKSKGEQLMFIQSSPFKSQLPDKFGTSCRQLSGYRIPRSLSVVDSFSLAYRTPICRFPILRTVLAKRSLPSSATVFTSTKHIQTEGGTQFHAYAKHKKFQKDLWHDLVAADQDVALGVQSWLNGGADDLHSTCGRDGNNVFDITDLTIMGANFSSSKDHSKWAVSDAARRPVVCVGDLNRQSL >PPA00164 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:938166:942684:1 gene:PPA00164 transcript:PPA00164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fln-2.2 MPDSIQTRTFTNWLNLQLDDELYIQDLTADLADGQRLIAVVERLQKKRCTGKIYTRSPSELQCTMNVQMALDALREDGMRLVNIAAKDIVDGDIKVVHSLVWLLISRYHIASRTKIPAKKLIMAWIQSALPDLTITNFRSAWNDGRALSALLEYCQPGLCPEWRGLPPQEGLLNCDRALDLADRYLDVPRILSSRDLHDDQLDEQSLLTYLAYFVRVYGPGYAATLARAQDLLGDLHIPDLSSSWADGYQLSLLVESVGGTIPHEMRFDTRADWVQNVEGALAASEQLGIRSLVSAEDIVDGRPTDHLGVMSLVAALCSLNGNAVFPVTQSFQNQQVNIDLAFGEGEEVRVDDLTVEVLGPSSTFLSHTDISLHKARTRAGVVLSLIPTEVGPHQVFGFVSLIARN >PPA00312 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2060105:2063087:1 gene:PPA00312 transcript:PPA00312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dgk-1 MTAEGHNIDANLVPCGSDHGHYFVKKTFGKPAYCHHCCDKIWGMLTQGYACEVCNFICHDKCLKTVVSYCSGVALQLIKNPVAHTWSEPQHVKRKFCNVCRKRTEDSLCIECEVCEYYVHEDCQDLAVSDCKEAATYVPSQEGPTTMKNIEKY >PPA00417 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2640663:2644497:-1 gene:PPA00417 transcript:PPA00417 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDDLHYSHCSFCIASACRFSACPLTECAVCYAVLHECKRDDHQEICRMEMVPCLNSSFGCPLSIRRSLLSRHLSVCPASVISCSHERARAPRDKPAKKELKAIGKMRKEKEHDCPLSSDNASFHTIFIPIDEALALFDQRLIIEGYTYSRADRVRRRDEINIREPQLPLRPIVDGSLSDGLKPSSPLPEQDLNVDSSDDERKIEEARIKKLRGIFADCYMCQVDPCVQHFHTLGRSDVRWDRLMYLRSRPSYYHMDPFYAERNLMVSLHVEKIPEAARRSDNILKGHRGGTVYTRRCLAAVPRREVDEHHESQHVTGGDVDIESLIVRCPLWWRGCRFHTARIRPRGGQIRFIQELGAFSFRPEVFPSPILTDSSPLLDAPEWLICEVARFLSGVALNALTQTCKHLRNHRTLFSSVAVDRGVVSLKWTKQDRCRWTSLPVWSFPLTESSPHFDVNPLSDLCYHIGKCPYNEPNPLPEFTKTEQVAPVNGAVTCLKEAVRKAMHEEIRKRLTSGYALK >PPA00031 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:161209:162813:-1 gene:PPA00031 transcript:PPA00031 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSNDLIDAATLQASESLTFQSEVIKTLLGPNIGKLILGAHNVRELTGCQISIVMISSDETPPLPRCLSFHLGHRDKDALPPTCSSVVITLCPEERMGSLMIFHASTAVDRIRVVEEIPSEIVASVSGKIVKQDHLPSSFVLNWNQVQDLAEGRLVSVHPSKNAVPPPILRHGRSSQDAIGWPNPLFVDPPSECLLKRNHFEEEMLRSNGLMATAPNTSTSADRFIDHDMNADDYTLNEKLSAQARPEYRKYRLDTRKEKKKDKEEEERAKT >PPA00203 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1311112:1311970:-1 gene:PPA00203 transcript:PPA00203 gene_biotype:protein_coding transcript_biotype:protein_coding MLACHAGGTDDKDPMKRPFRCEKCGENPLFNRRAQQKLNLSRNRKTKKQVAENTTDVGYLNSERSFNSGRGEQARI >PPA00463 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2976817:2978171:1 gene:PPA00463 transcript:PPA00463 gene_biotype:protein_coding transcript_biotype:protein_coding MERARAELRAFHIPVSDSGYFFGPIALLVSQRNRESMRNRESQTIVPFSAELLLRN >PPA00149 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:847464:850329:-1 gene:PPA00149 transcript:PPA00149 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAVHQDIITVDTEDSYKNLVFKTALTLRMSNEHCPTPFLLKVDEDVVFNIERFVSEHQYRGRKFPDYCAGPSYVLTAPAVAALLETLPDFNLITVEDVFVTGIVAQKAGVKRVGMPSKFKSDYKVLNYTATDCPGELMSERRSNAIFVSPLSLRPEIPQKLWLAAAVCHLMSLPFVIGTINARTLAPIVKQHELEFALDMIRESRIGEVPGPAITDSGNGLGHQISGLCGPFDRGEDVLSSQLKPPKPTVQKSLHPLWRPRVSNTPLLNHFTDPGNGLGHQISCLCGPFDRGEDVILPCTRASVSSLIPQYRTNGLFHLFWAFFHFRGFVLKIRCLFQCLFHFI >PPA00051 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:290539:292713:-1 gene:PPA00051 transcript:PPA00051 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRALTRSPSLDEKRATPPPSSFPPFDENFWAQRSFREGMPLVYSRRGWKRARTPPPSSSHSIEGRFWLWSHSSSVVTRERRLSPSLRWRHLAAADEEQDSPDAIKNRENRRRRRFVLITGISGQDGSYLVELLLEYKVFGIIRRSSSFNTARIEHLYSNPITHTGGASFALYYGEMTDSSCLVKLIRSIEPTEVYHLFAQSSQGTVSFDLPEYKAEVDAVKTLRLLDEIHACGLTETVRSYEASTSELYGKVQEVPPEGNDSIQSTISLCVVYEPVFARVETIRSPPL >PPA00544 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3539939:3545063:-1 gene:PPA00544 transcript:PPA00544 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSKISHMKPYDTVEKKLECKQSFEVIRSYDDESYDDEPSTSKTLTCDPSACHKDLISGTADTSERDELKCDANKVLQIQGDSHFYKALKCSDGKWFDPSDAAKVQVKEATEQFMIDCILEPCTFEATGITFDNTTMKLTCHPGVIEYCCPPKVQQASTGVGNR >PPA00498 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3176873:3182929:-1 gene:PPA00498 transcript:PPA00498 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLWVVVKPGSKVNQKVVVETVTILETPPMVISGIVGYIDTPKGPRPLKTVSAEQLPDKRGLRLKHRNKKANIMKVQLNGVSIADKVEWTKERLEKQVFAQDEMVDTIKGVTSRRLHGEHFLPRNLHVDRLASSPDKQGLSIAEQGIHKLSPPSPTRTSGHCLGSGYPRPQPEHQATAWVRVPRAPNPNTRPLPGFGFPAPPTRAPGHCLGSGSPRPQPEHQATAWVRVPRAPNPSTRPLPGFGFPAPPTRTPGHCLGSGSPRPQPEHQATAWVRVPRAPNPNTRPLPGTSSNSAAGWLKQGEVLLRMTEDDFVDDMVRGPIDTSRTLSTLRTRAESVRKADASGLKADTKPSFAGPFRLFETLYAL >PPA00011 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:42851:43812:1 gene:PPA00011 transcript:PPA00011 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHLALLYPSLALPIKSLDTLMTSSQLSPPLTSPDVLGDHSTDTTISHEISNEDGATSSDGPSTAPSPLSKSPLDLMDPSHVKRPMNAFMVWSRGQRRKMAQDHPKMHNSEISKRLGAEWKMLSETEKRPFIDEAKRLRALHMKEHPDYKYRPRRKPKSVGGSSMLTRKDSRPLPLSLSSPFTPQSLLPSYVPGLESLAASMGSKDLSSYYSNFFPPLSSASYSPYTTMMAAYARQAAALSAVVSSSSQVMFLCRPL >PPA00024 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:121780:123034:1 gene:PPA00024 transcript:PPA00024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lin-2 MGVPFKTGDILQIISKDDHNWWQARFVTQFPSLGQQQYQGPVVAGLIPSPELQEWRTACLAMERAKDQSHCMWFNKKKKYYTTKYLQKHSALFDQLDLVTYEEVMRLSQYRRKTLVLLGAHGVGRRHIKNTLIHRHPSRFAYPIPHTTRPARKDEVDGKHYFFVSNDHMLTDIQNNEYLEYGTHEESM >PPA00209 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1332959:1334805:1 gene:PPA00209 transcript:PPA00209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-elt-3 MKFVGDVKMEPGLLAHPQSIDIFQHSDLMTYHGMTPYQFHSPLDYLGQQPHLIFDPNPQPSAQPTALLPAHLSYEQPYAPSPSTAPSVNSAFSLPPSSLHQQPPSCSSSYSPPPQDPLVASSIHARTVQKKRIQAVSCHSNSICANCGTRDTSLWRRNTTGEIECNACNLYFRKNGRKRPMSLKKGVIMKRNRKPRTNSESN >PPA00042 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:205626:211075:-1 gene:PPA00042 transcript:PPA00042 gene_biotype:protein_coding transcript_biotype:protein_coding MIYNKVGEPTLVPTSAPIVDPNAPPPRSNTPGCKVSGQVSTDKNYKSAADELLRGLNRGIDPCTDFYTYSCKAFLDDKNTPSSPSGESQMKINLQIADFLDDLNVKKADDYEKIARNAYQLCSDSMTEELSIEMLTGRLDEVQTDLLDFVQFPLFGFPMKDDSRDALFTKIGRTERQFLTSILMGSGASVDYKVNGMTAVYVDQAGLSYPRDYYVKNQFLNEMQDYANDIAELLKEYRTYVKKVVKCDNFDNSDDCITEFAQWVVNFEVQIALASWDDSEMRNVKQQYNPFTLTSLPKFFKHLPIDKYVMALTDGMRPDPFKNRIIIGQPPYFTWLDALLDSDAVTNEQLTNYLATMFLLDTADYHYLGSFGRAKRRAHDGPGAPLRGLDARRPSGQRKGRGARKLTYNPMFAAQVDTPDSVRHYCVEMLLVYLPYAPGYIYVKNLRDDKYAVKEDVKNMTQRIVDSFSDMIDSLDWMDSDAENLLQNIGWPDWYEPFDGTSDAAVNDYHADYMGIIDSTTYWQASKIMKGGLETREFWRMLSQKGDDEIRPNFLQSPAMVNAWYQPERNSITFPLANMAPPYYSLNYPQAYNYAGQGGTAGHELTHGYDDEGVQFDENGKLANCAFTHCSILDDDSRQGFSDMAQCVVQQFNLQCCPVKTGNVRCANGANTQGENIADIGGQQAAYRAYQAYIQDPIYGRNGDPEDLLPGLEDLTPNQVFWLSYGFSWCSKKSEKSLTDPHAPSICRVNQVMQDIPQFGRDFKCKRGNVLYPQDDDRCKVWVGF >PPA00488 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3110461:3111466:-1 gene:PPA00488 transcript:PPA00488 gene_biotype:protein_coding transcript_biotype:protein_coding MAILQKFEQRVERVCLVDKPIDYAFLPDHLFSYMAKSMPRLQFIYLRELNLEKINRGTVVELADHKLLKKVIVHGCRNYEVLEDFRNLPQLLVVKGEIVGLKAMLGDFDIDATPSSGSPDRQKDSLSSSSASSTTAINGKEDVVEHAVPTVVEVANGH >PPA00110 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:634340:637640:-1 gene:PPA00110 transcript:PPA00110 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCGSGSITDLVKSTKGASIKEDWIAYVSREILRGLYHLHQNKVIHRDIKGQNVLLTTSAEVKLVDFGVSAQLDKTVGRRNTFIGTPYWMAPEVIACDENPEATYDSRSDLWSLGITCLEMAEGHPPLCDMHPMRALFLIPRNSPPRLKRGRKWSKKFESFIDPERKDQRSIVAETVLVKDHHQRPYTDQLLRHSFIRDVPPEKTIRAQIKEHIERHRRLTKKDETEYEYSGSDDDEGVPKKDRAGERPEAPSMIPAPLDDTLRKGFQRIQHQAENGASRYGEASPQHASRQVHPPRGPPGPSSSGMLDRRPMGAGAGMPPGMYGKSDSNF >PPA00014 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:55959:56645:1 gene:PPA00014 transcript:PPA00014 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAKLKQALIATTVAEEQKQAEAYLQTCVESATVSPRRGDRMSTTSGDGERDWARLRSLTADSGFTENGEERNVRVKGLMLRWKNAALRARKLISDPWMDFNINLLPVIRAKRHRYSAIRKTWTVDIVEVKLLGDPFARGAMRECFRMKKVSGMGI >PPA00068 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:384996:386504:-1 gene:PPA00068 transcript:PPA00068 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCRDRVIRLENGLFEAVYYPEDKVKMPHIILHYHKMRNHDPYTGEEQSAPSYFKVILSSHYNVTKYEEACDCFNRTISVDPKKELVFASPRYPLHYCNNLHCRTTFEAPAGYRVVFRPYKISLEDGDFLRLYEVIDGVEYTREKYTGRYLDGFTTESRANNLIAVFTSDASINYAGFNSSVYAQKIDDDSPDYFDDSPSQTKSRAWLWMILLCLIIVGALVGGAVYRKRTTNGISLSFAPVSFMSGGDYDDGSVRFSFNN >PPA00509 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3257626:3260491:-1 gene:PPA00509 transcript:PPA00509 gene_biotype:protein_coding transcript_biotype:protein_coding MHIEVFFCDDNVLVGRFHFHFEMLIVHVLMFHLSSPSSHLRKLQLEIGTIGSDDVIVSRIWGDDDIEAFKRASDPEQGWAIKHGRKVGRYSEATKAFVKAKFDEYAKRGAKLKADEAERLMRADRFIEPKDWMTKSQLRNYINSLKSQLPKMRAWRRQVEHEDMDDEHFEVEVEPSDEDIVITEEDFLHPRCSRNSSLMSTSLYYHMMMVTILLVTSHLPVTIVRTSLMVRWLAVVSRTGLAVWQPILAVWRAILTVWRSTRAIRRNTGSLKCEALSAKFEILDDFRRAAIWCSTIDGQITHRIPSVRTHVFVRSIRQRTLEVRSLDLQYP >PPA00070 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:399072:404706:1 gene:PPA00070 transcript:PPA00070 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPLVVEIAICIGFALYSAVSMRSSLSMGIVCMVNSTAFAKEEFVDLPSEEEVENAARHGIVDGCPAHIDENTTAAVGYNGHLMWPPGRQTMLFSANYYGALVTMLVSGTMADKFGPTRILMVAISILILLTLAAPTLAEFNYWVYYGSRVLLGMCEGFVIPCANSLGGRWFPPNEKSSMAALYTSGNQIAAGSSGLIGAWLCKVPFLGGWPVIFYMFGLIGIIWFVTWTCVVSDHPSENRWIRSEEKEYLEGRVAKRNTKGTSIPWSKILRSREVHACLFCNFTFSFMVSINQNFLPLYFKEELFLPLSMNGLYTVVPFLTQLIMKNILASAADHLKSSGKMTPTHVVKLFQGTAAFGTALILVCLALFPSCHQPWLAAVFMFLYGIFFSGGICGFFTSLISIAPSYTGTLSSMSMGFGQIASIISTNTVAAITYQGWPHKWLIIFFIGAALQVFSGIFFLTFGSGEPADWGKIDQRAAKMSMIDDVLLNDLPEPFSDDDDEERERGDTFETGVSPPQKRSIDRIRKISREAALVVRRQ >PPA00400 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2563381:2564007:1 gene:PPA00400 transcript:PPA00400 gene_biotype:protein_coding transcript_biotype:protein_coding METSRSISFRMTSRIVIITLLIFLLFHCSEHCVRTTYQRLSDVDITKVKHFHIYRTNKAFLLCGISRVSNYVPKHHVDVELFSI >PPA00040 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:194317:199517:1 gene:PPA00040 transcript:PPA00040 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTRVAEKKKGGGGGGENGGGKKDDLLDQEVHKAIQKELALLKKQLTLEPVQDNTEKQVRLVIAILRMNISALKGTIAHDSGPSVAAERKAAVDKLIHITNSFEQKKHSAETINDDARAALNELSETFKVFGPSWISIRDSIVQLALEQIEDIKSKDPLQKEKEELEKLEKRAKKIQEKELMERREREKAEKERKKKKKKNKNKKSGTTTNDDPYDDFGEDRVPPQPSCEHHTCRCQHEGTEYSGEEDDITPSECLSYVPSDPSIPTRSRSSSSAYCSQDHHVLSHSIMNDAIADAIVKDVARQLAEYGDEAEHFKLRVAARTMEEVHFRMKFITRLGKMKQYYADRNKVSIDSFRLFYMGELISDDDTPVTLGMKDGDEVEAKTLEQIEQDEELKKEQKALEKNDPLHKEKQALEKMEYVKRYAMSRAATIRNEKRKAEKAEQRRKRMDRNNNNNNEDNYFSGNGNGRVPPLPSGHEHEEHGDHEVGSPERSSHSPFSLNASTLKNAPTVKNAPTVKNAPTVKNAPTVKNAPTVKNAPTPNKQQDPDFSSDEEDANLLIQYNLTIA >PPA00379 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2399938:2405992:1 gene:PPA00379 transcript:PPA00379 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCLKSCIRHPKEKRGNVVLECTAPIVVEETSRIEDDTKAEETEDEVEEEPARKVMGMTEESAIHAELASATVPKERKFQVVRVPGVFTRGRWKCWDYRDDVKPIEGLTFTDDKENDSAGTVPVAPSTSVSTTVSEPANNSSLREESSTIVVTSIAPAPPTPQHGTPDKSQVVPTTVTITSTQPQQPVTDTVVVQSIAPPATQEQQPIVVVVPAPPPIPPSPSLSTTVVDVPPPTAPPPQQPQQTTSSIVVVEEPPPRRELQQQPPSGESMTVSMTSSETGGTPSIGGVSTVSIGSGGGGAPPSLSSSVSPPFVPTATPSPEMTRSGSEAAAPATPAAAAAATAAQVDEEPAASVPAMSNAAAIDNKIEQAMDLVKTHLTFAVREEVEILRTTIAELESRVTTLETQNTYLKRHVTPEVMSNLPGMVHQHKMSLPATAQPVMPLQQTTVCRLNTKRDYCFICCLYSKLLRILYISQSL >PPA00328 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2147559:2149974:-1 gene:PPA00328 transcript:PPA00328 gene_biotype:protein_coding transcript_biotype:protein_coding MRELKRANYLKELVGTFRLLFTWKMAFLAVPYAYTGLHTSFWSAVYPTSLSFTSNFPLSSKIVLGMNNLAVGVGGAAGCILFIYIDRYVAKVGRYMVISIGGLLNCFALLLIGLNLPIDAPFEQTTGSSIIPPSPWIACLSGFILGIVDSCFNTQLYAITSSIYQENCAQAMALFKFYHCASLLSRFRSGGGYGSKTWEYNHGRFHNTVKKVSKI >PPA00244 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1573329:1576998:1 gene:PPA00244 transcript:PPA00244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tbck-1 MGRRLGEARLGAVVLAGSENGATCLNGMPVAPGAVRMLGRLGRLQELEEHPLLARHVAIIRSTTVPNAAILISEHYEESIEDLIFLERLPESAIRNVAGQIAEALCHLHERRVVAGGMDVSHVLVVPPPPRLQQLQQKAGRIHIRLARFGLPLLSDDGRDLATPIGVAHAMAPEQLLAPPPLPRWTVPVKGSTSGRLAPCSRKADVWAAGIVLLQLCTGVVVSQCFSTREWLALMNDVIRDAADGPRDVSLFEGLARSLCEQTAAAGARLGRVRAAYGRLVTVAGECLRLRTKCRPSAPELLQRLKDDGYTREESDEDWSEMSVEEMGRIVDEEEKDEDRVVKSMPIDDVFFLWTLSGASVEKILLDRGVVKSLVARSLYFHCSLF >PPA00069 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:386799:389193:-1 gene:PPA00069 transcript:PPA00069 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSETTFTISVAESTVGQDGKEVAMKRKDLIGVDDSVLSSPYTTLRVFVTKRGPPSKAEIIFEFTLEDIGCPYPFLESHIGTPLIISSDVLTYECDFSVTALDPGAALELTSINESLPHRRHSVAVVVYDGFTLARLHRVEQADFDRDLKEHLGTSKITIHVTNPMGGRGEDNDDVAFITINSILDDCTCPESNIVLNHTDMGYINCTISVQISEELRSSHRLQIYPTYTDDVTNTFWIKDGIAENLNLRFIPDPHSYVSVHPEIVLTYSESKQPTRDNIAIQLVKTTQKEQNICTCPPFSSPFLFTIDHSCPPMDCVWTLPKIE >PPA00403 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2570231:2571159:-1 gene:PPA00403 transcript:PPA00403 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAIILLATSAAAAGFGQENAGGSRLTFPNNNNNSSGGFGGKDGALGTGFAGNMGGFPAVSVIGTNGRNEMGGGQNGGFGSGSFPGRNGTNGNGGFGGKNFTTGGSGVGGFGGNTNTNSGFGGNTNPNSGFGGNTNPNSGFGGPNGNSNTGFGGNNGNSNSGFGGNFNSAFGGNTNGNSNTGFGGNTNGNSNSEFGSNTSGMGGFGGNGNGAAGFGGSNSDSFGQNGGIRGF >PPA00437 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2763839:2766798:1 gene:PPA00437 transcript:PPA00437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dop-6 MLAVWTTKSLQTPTNHLLVSLAVADCIVGAFVMPFSIYLSINDLKWYLSLPVCHIFCVLDVMASTASIVHLVLISIDRLVAATKPAEYKTQKHRKRVHRAIGLTWIFCILLSFNEKTAEVLENEHHCGIYAPVYMLLSSLFAFYLPCTTMLITYGYIFYTLRKRLRAIQLQEMAGGQFLGFGADVGNITTSAIETVIGVAPRNRNMITWEKPLLRKIEETAAEHASSLGDSEREQARFYLYLFLSLPFITAHRQLQTILEAVHPSSSGSQENTTSMCYDDDRPPSVMLEAVTLKQEAECSTSSISMVGPVLEVPRMSYKKK >PPA00533 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3443056:3444340:-1 gene:PPA00533 transcript:PPA00533 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSGNDIYGGGGGGYDGGGRSSGSRIGKDRPLPEIDWTREKMNPINKDLYEENPAVTACPQFEIDQWITSNQPSVIQSISWPIAMSGRDIISIAKTGCGKTLALMLPGLMRTLAQTPRAQRDGPSILVFYPLARACPASSRGMIGCFGGASKGPQARDLERGVDVIVATPKHRSSASMQLPGSR >PPA00471 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3008335:3009927:-1 gene:PPA00471 transcript:PPA00471 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDLKDLKHVVKGGLKLKKGDLFKKKKKEVDLTKMDITIKKDDHAPKSVKTKAEIAFQKRQEATQFERLQKKAAISHREKVEKFNQQMSEMTEFNDIPKVSWTKGLIADQRSEI >PPA00278 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1844431:1845712:-1 gene:PPA00278 transcript:PPA00278 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDRDLKRPHPGSPSGHDGPKTDRAPVGAVRSYYKSGSEIARSKNARSERARKRAEVVASDIKRERYDSSVSPERKRDKKKDSTRSKNSEKQDNKTSSRSNHAANGSVAKNETNGTKSSSKAQWDTKDRKESTKDSRKGSTKDTKERTKDKKETTKDNKDVNRKRKPDSGEDSDCQIIGEIKKPKGT >PPA00142 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:810163:811134:1 gene:PPA00142 transcript:PPA00142 gene_biotype:protein_coding transcript_biotype:protein_coding MAINEPPPVYSSLSEMDILHFRGEEAKKKSLFLSPFTARKEPKKFAIFHIVASLILFGLGAVYGLTVMITAFAILSLQLAILLFYSILSAVAEPGWILIIGWIAFGTWIIPLLYTSHAARLYLRVRDDILTEKKERSEEIKLPLVL >PPA00531 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3439101:3440423:1 gene:PPA00531 transcript:PPA00531 gene_biotype:protein_coding transcript_biotype:protein_coding MGAESSNNNTDKPLLIYLDGALYDISPFASKHPGGRKVLEKVSGTEVNKFMRGEERIMGVKHAHTDAAFEMLLKYDVSKEHELDPIISSKRGILGQVGSLGNDYWRWIHQPYEGTLRLFDSSLLEMCTRTAWWIIPLFWLPIVAIFTIISLYDLYESMGLFTGAIIWATFFIAGVLAWTLTEYLQHRFVFHWVPSSKSPAKLIIHFLIHGIHHKTPMDGDRLVFPPIPAIPIAGFFLLIYSNIFPWPIFCAFGTFGISTTLWDHIFSTVGMGPL >PPA00528 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3414308:3427460:1 gene:PPA00528 transcript:PPA00528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-klp-4 MEDGRGEMGLEKGRIRLKDERCQDEVFLQVGSGVLENAFSGYNACIFAYGQTGSGKSYSMMGSSDNPGVIPRLCNSIFQRIDSTASDSLQYKVEVSYMEIYNERVRDLLDPKNKRNLKVREHKVLGPTVDGLSELAVSSFEQIEKLIEEGNRSRTVAATNMNAESSRSHAVFMIKLTQILRNIEKNFSGEKVAKISLVDLAGSERAQKSGAVGKRLEEGGSINKSLTTLGMVISALAEKSNNAKKISRGKEKFVPYRDSVLTWLLKDSLGGNSKTVMIATISPASDNYEETLSTLRFADRAKKIVNHAIVNEYFKLLILDPNAKIIRELREEVETLRQQITLTKREHTETEELRERLAESERIVAQMNKSWEERLKETDTLYRERQRDLTEIGISIESSGIKVEKNRFYLVNLNSDPSMNELLVYYITNSAYVGNGEGEKVDIQLQGFGIQSRHALMEIMEEPDMHRLYITPLADDARVCVNGRELPAHERVLLRNGFRVLIGLNHFFRVHCPRENDAMAASMMDESALFGYDDAWTEANNEANANPMTSAVDQYMEQLVIRHQEDKQAALEQQYEAFERYIHGLSAGGFTPFTPSTPMTPGFGLATPMQSTPGSHLPPHPFPPPTKSVRSRFYQWAQKRDEKFADSLARLKSDLIRANAIVKEANLISTSLNNGRPKINYDVTLQIPAANLRPSRIKAGAFVCEPFIVVRRQGMSGCQLWTMEQLECKLVEMREAYEDRDGMADSSASPEDSPLRQLPNGFEKLPDGTAVMDPFFESHENHSLIGVANVFLEVLFHDIKLDYQVPIISQQGEVAGKLHIEVQRVFHSEEEKLPERNRIEYLLGRTITCRVRIKRASALPSSLSHFVFCQYSFFNLSEMLVVPPAFEEDGDAPPSSIHFDDEREFSVVVSEEFLEYVQEDALSIEIWGHRSSGFDDAERLMNGSVNKEEKLLTLQERWAEVTRRLKVTVQLAELNDEGRWACVEAARIKDVATGGVYQLKQGQQRRIRVAVSALSSGGSLPLVVDSISTVAVGCINVRSAGTQRPLDSYQEEDLDRIRTEWTAALEQRQKHLEEQINRTPPHPAEHDEASSREYSLMDQWVALTEERAAVKLPAANSRIPGAPSDWTPPPGVENHVPVIFLNLNPEEVTQDEEGPLVDEFGHLRTAGQHSILPKEHTAPPFIYLPMVDRAENEAAVTCSWDSSLHDDTALNVPTAQNERVYAVVKVTVRLSHPCEMDVVLRKRIALHIYKKTSLTERILKSISRSEPLLATAVHYDVVAHLPKSSHEMEDRSSLAQLAARQTTSTDEETRFHYIEAYTKSIQASSRIHAQAGSIETGGRRVFAAVQERSQGPPRRDGAEPHEARHLAAECDGQSAPDDLFAHPQSARGHVHSPRSASLTGDVDVLVVGFVVDG >PPA00133 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:767372:769885:1 gene:PPA00133 transcript:PPA00133 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPPPYSEKDPIFPDPPSYSEKEIEQLLRRKLSRKSRLHSPPPPATPPPRPRRTKRDRWWFVCPPTFGRTHKECAVWHMYILVGITCFFLWMSVTVPNHLEATKNKNFDKNDREIFSKVLLWIGVSALPFALFGSVGLMYTIPTFLVVPATYYSCWMTAGIVSFSLFLMVFVNGTAWGEVEQTYYAAIGFLITVIVFTYLLHGYRIMMRVRNDMKDDYLDAHPELIEEFESEVSEVSECETLSQFSQSE >PPA00500 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3188018:3190640:-1 gene:PPA00500 transcript:PPA00500 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEIDVELQGVVRKTINAIRLCEVNRASKAFIKLSPAEMTMILRHLLPPKVLSKMMDSSKKNFHCVAKPHAPIEQLGRTLRKRRANEDADFDIITFKRVKVTEEEEEKDITAPVAEVKDENKEEKEEDKSEVSAIEIEAETSDPKAVEVKTTDEVEEVKSEETKEAAISERNQLEYFDQKKGWKVREMEIRQFNREDEEEREEPLQIEFEGRFRDEVKEFTHDEKLLFEYGFTKLKKDFRAIRDQYLPEKSVGDIVGFYYRWKTSSEIYECFKPSLAEKTFAKAEKAAKENQEGRKKRKSSYLNKDLLAKMEELASGVAKRKVEKKTEKISLKKIEKAEEKDKSLKTVKAVKKQMMGKIRGRYKKRANFCPSKKIVPSFRVTNSA >PPA00205 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1313329:1315413:1 gene:PPA00205 transcript:PPA00205 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAMYEPYNHLVQLGRVASNPAKMDLSINEELEDDVFLKERSDKRRVSFSIPIDFLDALERLKDPTLPHYSGKADADARGELSTCQEVQDFFFTTCDPADLRALSVRVDVELVRNDWMMRKAMLLDLWRRTARKYAYRNKVQTTEEKDEDPYSRYIIHDTAREPVEVEEKKVDKERMKKRSVYYLTQLFQKV >PPA00510 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3264121:3265289:1 gene:PPA00510 transcript:PPA00510 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPYAYVCAILSGVANLVLWTGYDAHVFIVESVLHSVNGREPDRIGAHDGYYGLAVMNIFYMLSNLVVPSLMNYFRCKHKATVYVPFTVKVEAFRVYIGVAKRGKDHELPCNAPLRLGHAVDGDPGVLFRVKVQCARGEDYEISLADGVQPILPICLTQPLEDREDFPT >PPA00369 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2350457:2355101:-1 gene:PPA00369 transcript:PPA00369 gene_biotype:protein_coding transcript_biotype:protein_coding MERARSVEERYPPTATRRPFSAAGFTPSYGRGGVMAGDALAKTIRNFLSQPVSWQPSADGRRLIGHIVLYRADTMPSGDLGLKVIGGRRSETGRLGAFVTQVRTGSVADTIGKLRAGDEVLEWNGQVLENATYDRVYEVISGSKHDQQVELIVSRSASIPGSDDYLNIRSSFLAQSQQQQLQHMQHSPLGLQQQMYVPQRMLPDPSMMAPEVADYTLYTPPGLSPNLSGYGMQSHHHQLLPHSQSAILPSSLLPHGSPHKSSVPMYYGSSFYNPAPMLPLQLQRGQIFGRIEIALYYSVQDRELTVKVIRALDLSPRPDGIPRSPYCKLFLLPDRSEKSRRQSDVLAETAMPFWDKSFYYRGLGDEDLLERVLEVTLWDYDKFEANSFLGEVLIDFTETPLDGQPLLYTLVDMDDENPLRMRLRERRTMAITPRRPHSEIGHYGAYRQGGHHNHHHHPLDTMEPLIDYPYDRAIYDERQAKVLPYRHLHGAVWIRRTGHCRNRTPGTYPTTGTLKHPLKPRATSNADPALPPRCDVNYFIN >PPA00106 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:602254:602615:-1 gene:PPA00106 transcript:PPA00106 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDHQSVARFHLTAFLDVANPIAIHDTTDSASRKTHTAHCAKTRAFILRAHEFFKENDIADMTPRFLSAMLSIHKDTVHRANQGEIQ >PPA00057 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:321912:323506:1 gene:PPA00057 transcript:PPA00057 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDLQVAELRSLVSDHLTPYYDTHFNILRWIQAHPGVAMDKIAERLRHHLLYRACSWEIDSIHEKERGYHPIHKFWPRSKCGMSGVIPNCLVHVEQSGHVDFDGILDQFSIAEVMRARIFDIEEMLTDVMHIEKETGEQASVLYVMDADGIEYTKKLFDLVLGSLRALSEFMADHYVELVK >PPA00515 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3287351:3290067:1 gene:PPA00515 transcript:PPA00515 gene_biotype:protein_coding transcript_biotype:protein_coding MANFTLPHPAPPLPLKRGPFVIVPTLFVHVQNLQSTVHDGTNFCRLKTRDLWIEALAVGRSSGLELIEDEEEEEEEVRGRRERREWSFGRWIQHDDPPTPIDFGAMLPLEDTFVEIEEHPVNMDGRVEGPPGPPGRPGDDGKDGRDGKAGAPGKSGRDGQFLAPIGPLHEACIICPIGAPGQLGIIGPKGPPGPRGTIGAPGPDGLVGEIGMVGPHGPSGPHGKPGPRGTKGDDGLVVPGPPGAPGPDGPRGLPGSRGSRGPPGGLGPQGHDGASGDPGKPGPEGREGPVGASGEKGPRVCIYNNIM >PPA00150 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:852053:852715:-1 gene:PPA00150 transcript:PPA00150 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNLTLLIVVQSAPNSVNIRNVNRSTWANKKEIPSLKGDDARVVFLIGNGDSASTEVHNEILAGRNKIRNFR >PPA00219 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1401637:1404321:-1 gene:PPA00219 transcript:PPA00219 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVNSYAKASGSVIRKALKSLEPLKWVDKSEDGEGRVLSKQGRKDLDRIASGSVIRKALKSLEPLKWVDKSEDGKGRVLSKQGRKDLDRIAADLRSTVAPTGQRRRLLRRVCYLCGATGQNARLNNFCPIAKKHTSSY >PPA00427 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2697870:2703922:-1 gene:PPA00427 transcript:PPA00427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-343 MIAPITVDGSLDRRNIFVGEAFGPPNVRVVFAGAAEDTKEREDRVFLLIGPSCATCIDAFANFFYGVQKEDDYRLHIANEKFDKTTPNKAIVTYVFNDSKMPFRPIVVDVKDDDASLLHKWLTENARLRIDVVCIVFNLLGRIETRHETAIKEMLSILPKRIRASASVLLTNSDGNPPPVALLRRFDLQESPVYKLNWTTLFTGKPDDSLKELLRSNYWNMSEKSLNDMISRIQTLHPHTFNGLPHEPPMFVIHLKADNASSSSSSSTSTSTFVERRTEHTTTTHHHYDLPPAMDPVQGRPEPSPPLVKTTIFQTTSKHIEDKIIDGPPAGIPKELLQQIEQRSSDDHSHGEKKVVVEKTITVHMMKKDDGEEDNIIERARARSMERLALEEKMRREEEARRREEEEKRRREEEEKLRMVKDQLDKERREREAIERKIREQEQAVIAKRARQEEERLLLIKVEEERREKLRIEQLAYEERSRNEIDDRARKLSEERKEMEAERRRLEEERKRLEGRRLEEIKKIEEERLRLEEQRRILTHEKNVNEAEKKRIEEEIREGERRRKEAEELRRREEEIREEELKRRKAEEEVRRRKEEEEFKRRKEEDDAKRKREDEEWRRKREIERKAREEEEKIRLETQRRREKEEEERKRKSLEEKNMTITYERTIERTRTEEVDERVIDMRPDHYLTRTYLNGDVPRHAAVIEEGHQSPIGDAPIVRFVFDESTRSYVHHLVEPHRHGSQREPSPNRSEQPLRILSRTETLIDDPFFDEDDDGGFSRDSPERVGHHRMSSPTRDERKG >PPA00331 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2154125:2156933:1 gene:PPA00331 transcript:PPA00331 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIIRVRRKRGAEPAEALVLSLKKARTEDGDGAEPQRRVVFSLWKNALPEREEAVEESQRVIDWELKEKGGEEVDMRDGESGPLPLERDDNENADGMLSFVSDEAIGEIGEVERAVRRRDDDVIMCNGEPLRPTDAAAAGEAECDDVFDFYRVAHPNGELVFEDEEEKEWEIAVATKQEMLVYIGAMEGVDVESEPDSEDSNDENNWRNDYPDESSEDEDAEDDDDYGRRRRRPGQYGEEEYDSIMHRPGIEPGASAYRAGVLPLNYLCT >PPA00280 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1850189:1852232:1 gene:PPA00280 transcript:PPA00280 gene_biotype:protein_coding transcript_biotype:protein_coding MCNFRGQCGMIWSYDWISVPLVYTQVVTIAVYSFFMACLFGRQYLLHADVAKGTDNILDYYVPIFTVFQFCFYVGWLKVAESMICPFGEDDDDFELNWIIDRNVQVSYLLADTLHLKHPRLTRDLFWDTVETDLPYTAATSNNRKAKDAFLGSTQAMNFDCTRCSINLKQSEWEVDDMMPPIGEMDEERAEAGLSLNPKRASTIIEDDVEGADVDETSSVIRL >PPA00270 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1782231:1785967:1 gene:PPA00270 transcript:PPA00270 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTSALTFASNDTIFVAGTRRHLYLWNIASEQLLRAVDAHFGRILNLQSISNPHQNLLISSSIDHSIKIWNMENIFEKSYSISTMDQPIERILIAKNNPSLAVVQTRKYLGIWDIRAHRFITTLVANVHGAVVTDCLLSADGKTIIAVESDTLLVWELRTQSVVHSLPAPSVHQIVFLYREHFLGVVYKQVDTPEHKVARFAVYSLPSFEPHFSYEYPCRMFREATLMKDGRTVCLVVLFKGHDSLQTIDVVEKLFKHKFRPRQVKKQKDVIVHRVIGSPTNSNHIIVMDGDARGCVWDMKNRKLLRVLPNFNGVVSTDGKLGLYAPTKGGLYIIDMKSGSTLRTLIGAVAEGVNDVITAFTPNCLHVLYYHNGHKTLRCYRVSDGSLVGTFRPHAQLTCWTADASGNTIVIGGQDGSLLTTVLYDDLVYEEVKLKLAQLPSRKHLADYLHVPYGTSQVDEIFDVRNLGAVTAAVTRFKSLIGGGTNSKKSQVCSIQ >PPA00267 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:1763508:1768153:1 gene:PPA00267 transcript:PPA00267 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSRSTTPPRKTARAVAKTSGTTNGETSSTRDRGPGSLPPAAKREREKERTKVDIFQQAEIVLQTQQPEAPKIDRKMAELFTRIFSGHVTSIPKPLSKLVRVFTSSTFTDTTVERNALMEDVYPRLKEYCREMHGLDFQVVDMRWGVRDEATDDHMTTKLCINEIGNCQRLSVGPNFVVFLCQKYGYRPIPSEILCTELEMLKRTLKEENENGDLNLISGHYGPRDMRIPKLQEQDAATWWETEERMQQLLRKAARICYEKGFLSHEAQHNYFMSVTEREVIHGILKAKNPDEHCICYTHQQHRRLADAYCQQVNTEAQKLLANLRDERVPAKISAENIRRSTVEWAGRDGIDVQYHAEYIRQFCSDFYKRITRMVDGAMAKHAQQRHPLFSEVLQHLDNVRNVSANFFGRVEQLEVAQQYVLSPSNLPIILQGENGCGKTALLAKIACSMRGWMGDTSDPVIVLRYISRLLDHRPVAHLSL >PPA00469 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3000070:3000756:1 gene:PPA00469 transcript:PPA00469 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVENCEQTLTLKTSRRLLCYEKVRSPVDGEHTQTVKDQYAQKTFLPIR >PPA00025 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:124493:128631:-1 gene:PPA00025 transcript:PPA00025 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRYSHGGGDYRQGNGDYRGGGGYNNGHRNFGGYGKINEDDVPESQHSIFVRGLPSSITYDEIKNFFEDNIGPCSFDFQKVNYERQSLFVAMRFDSKEHAKEAYNKYRDEDILGCRCEVTWFRDIRRYISYQQQTGGVIRRGSFGRGGVGRYHNNYNRPEYRKRRYSDDRRSRSRSYSDSDRSRSRSRRSSKSRSSRSRSRSEGERSTSRESDRRRSESKDDKRKDKKKDKKVKKAKKDNRRSRRSESRSSRSDGERSRSSSRSDRDDSRKRSKHDRREASPASSTGSPLRMTPPPPAPTIIGPIIPSATAAAPPPVEKPSAAPLSDTERNDDKGRNGKRTKKDKKKSKKEESSRWESDSDIEEVDVEKKEKEHTKIMITIANKATVPVENTSFKMALDTDEPPRKIVRGPLTPEKNDDEMMDVDDEKEEEVPLRKETPSDPKEKGGWRSVGDNSGPPSDLAPESQHAPPPPALVQPTLAKVNGSSNGIDMVPRSVNGPPPPSMGTPTGPPPKRPPMGTPSSAPPPPGRPSLGGGSVPPALVARCPEMSKTIREIKGIQDQLISSVKPNALRLSDLSSGESVLEKMEEREKLIGELEPAQKQKFDIKMKQIANSYRGDAGTITTVIKSVVQKDPSLEDSVKPALLAVLEDLEKQIYKKVDDFLY >PPA00388 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2496765:2500723:1 gene:PPA00388 transcript:PPA00388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acl-12 MEATLRANSERNAAASVERRKREKAASERERKGAAVVESDAETASSPMDVRKMLTWCVAVYFFLMTAFVVPIACVSTFLLLTPLLLVSRPLFLRLENRLCQFVNDHWVAASAFCGLQVVEYGDDVAEIADARVLCLANHLGLVDHFVIMNSLHAKGRVPGSWMWVIYNIWKYTPLGVMWTSHGNFFVNGGPNKRQRLLETFRKHLSDYFWSWNYGWVVMYPEGSRLFMIRESAARFAEKNGLAPLRHCAYPRTGAAHAVMQVCAPSNEDPSMALSGKGAPIEYLIDATLGYPKGCVADIGSAMMGEWPLETSKIGLHYKIHKVKPEWADEEKLKEFLYEMYKEKDELLDKYYRTGEFAGEKRTVQVATWTIMASQISGYLNSE >PPA00461 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2966500:2971934:-1 gene:PPA00461 transcript:PPA00461 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGFLLHSEQKRRLGPVSLFSIVLSLCLPFTVFVILPYSLVSLAGPSTLIAVIGATVLLLITVLHSSELYCAVPRSYCTIHVASSSHGSIPAIIISMVELVDCLALGALLSKACAAHTNVLFRDLFKDLLEVRLGVDGRLGRFLLDDSLDLTCMATLLMAFLLMSGSLKVVGTVSFCLLLLSSLVVVSCSLVAFFHADPVNWIEAPFFIGGFDGILKSSCAVLAGLRVPSLVVSLLDDTWQPRKRAPLVLPLLSFFSALFSFLVALTFSLCINVSKISDILLLPNICPKLRKNAIHADSGVCVWAVGRNAVGVVARKPPAPHHLWLSLCLFFILLLAVFPIKNEHLIGVVSLTTPLSMLVTLSLTITQHYGSRAVGLAKETSRYKRNRPALDIRDVSEQSLSSFNSSERESDGDSDYESLPLRSGTSMPAPFTASSYNAVAAVSDKRAKHHNCLSHQCNNNGNDVHMYSNEVPELPYYGAFVGGVQRSASDGMGKYTKAENK >PPA00426 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2695342:2697824:-1 gene:PPA00426 transcript:PPA00426 gene_biotype:protein_coding transcript_biotype:protein_coding MTYQYVRGPTDLRSPGVAKTPADGLSSPEMVRRAETLHRTNQDVQRNSYPSGRESRKRERTQYENLPLDEAGNAYIIPDRMRHSKSPIIMRVKMSPKENSSPHQSAIAPPLPLVQRELEIPVQSTPAAEYSPPPLYQRTPPANATTPVAVHFASAVGSGAKPVGAGAPSDQYSTIRKPPPTPTPAQRSGKPSPIAPVLTPAPPTQSPSHAAPAYIRPPRQLGDDELMSPAGKAPVYGIDRPRELHPPPPVPIHKHPHQQQQPQRAPLARPEDGYGASRKPAGMSAEEERKFLLRLRPLDEYNRLPGEAEKGYR >PPA00063 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:337844:342399:1 gene:PPA00063 transcript:PPA00063 gene_biotype:protein_coding transcript_biotype:protein_coding MSESETSAPPAPKPAAKPGGLREKIKTSSKEELEVLANKLIDSLRISKDKADKLQSEKVEFEKLIAQKDVDIESLKKREADLLLALASTSFTVESPNLQESDSADGNSELVEEHRRRLEEVERAREKAEKERRRREEDLEAAKALNDELRSQLEDARAEASTLREAKTSMDVACLELADYAKKVKELTKELKETKAALELSDREKDGLAEELARLRDESGKVAADSERAKSNADELRKRAQEETTRLAEMQLKVDEERKKAEGFHEDLTKERLALGRQLASSQERANKLEEIVEALREENGRLRLDRETADGRIEEVRKEYEAFKNRARYVLEQRTKENEEEEEREKEGKIEEERRRKEKEEMRKEVEIMRESHRRLECDMERSREHLLRESGERTKARREADEATDALAKALDELRSLRVSAERAEEESVAAKREGEERERRAGEAERRMKTVVKELDELREKSDEDRSRLETQLTEERRRREEAVRQLEDKREKAATRPAAVAAVYPQFSESFHSERSHSPMGDSASVVHGHAHGGPKSSMGGYAGGGHNNYSFDDTQRCEMSPERLESVLFGEEACDEGRPIDEVVPLEDPVEEVIRLRQQLKWMQEMHTEAETAAANMGEQMRVLKEEIRRLQRNSERTFHVEGNTEYLKNVIIKRS >PPA00030 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:154106:160003:-1 gene:PPA00030 transcript:PPA00030 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAELEEDETPESQPPSPRPSSPRSLPVQPTQDGSPRLETAKAKTPSPPRPVARAGKGCKGGDKSPPPTPPRGGDGGASASLSSLFFADDSPRGKNKQSLPPPPPPPPSAASASEQKTRKRKKYSTHSLPYYRLNPSHLSYRKLIYFSADKSTSDETALKIARLEREVEDLRERSTHWRLQYYALHDKTTSGRDEDMEMELVEPVTLDLPWQQDAIHCYKALIAFLGKGPTPTLPKKLTKCVDKGVGTIARSITAIVRLRRNMIGEVIKAILPHFTHKNVGHAGHQGFFEYLSAATHKLLTDMKRMEKDARTLLDEIVAHITEHKCDMNNVLSCTDSFKVDHKAFKIQSADHLELAKEWKAHAFQLLAFHGRVASQSFIFISFISLLSLLPLRWQIEVSSTMISSCGPTTSREN >PPA00550 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3598125:3599590:-1 gene:PPA00550 transcript:PPA00550 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPDAIEEEDNIPIIHAFKSLMLPLNAFCVVAGCFWIWNHLPSVLNTFLVPTMLLWVQRLISCNQQMDIAHRMIVEDLKKAMEKDQKQKENRIKESNEHYEDNQAPEKDSEM >PPA00478 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3048502:3061062:1 gene:PPA00478 transcript:PPA00478 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLIYTTILFLADYGGTGAQPTVPCDKSLIDGFDENTMVYEAGRLSCMTPLRHHISFTDGTGRDQATDDLTCDTTNPEWWWPAESKWTGIANTARLRMKCVQRSCEKRLLNSGIDEDGCALEREEMVTIGRLSMGNLLRKRRNPSKCSVHQASGQGGDFNTCSGLRKLPEWNKELTLSDKNELMCKDGRDLIYHGQHFASLSCARPGGWSDGGKPLDPPANDHTWIVNDVNYTTDHNIDNTINHTTDHTIDNTINHTTDHTTNHTTNHNIGRTNDLANNRYENRCTCDQSLISDRDNVRWGWSASSYDSFHTTSISCFGEKEMLIDGQFYKTLQCTEFGGWTDNGNVIKEATDNFAANCVEKACHSNLAKIEGASPLPIMEPASGSFRCEGEKRELRVSRSSSSFKEEEEARMFGRILTCDKEAGWKVGSVQVADAIEKVTVECVALTCDKSLLKFMNNENDPSFINEADSAVLHCKRDESLLIGGSFFPSMIACLKQGFWTGDSKLIAFAPAGQEFTAECVVKACHKELISNSSGMSITTQSEEDTMFTKSVTCADEKNELRILIKNDYYYGKKFICNSVFGWTFEFGMNSGSEEEVDLECVPKSCDESLIATTNASITKKELHEQRGELFKVRNNCARFSVHALSSSFPDPQGPCDSRLISKDSNVMLEGELSDAQLQQERIGFTITYNFPALESIRDQIYPSSNSMSDLTSKETEELKMEQQNRRELGCPDKTHFLRFNGVIQMGTVECSIEDNQWLGVGAAADRDVQLASGVFRKAQNQKWRKATLNGNKHTSLQCSLRGGWANEGGEQIKDEKGVVYPVDTEIELRCEDYCTKFTEKPDDFVVKIGRDRLEVQCKNKSFAIRTINAPGNHIVPELSCTYRGGWYGPPGTPQVYPDTQRQLQFECYKCILHQHTINDTPGEPRHKWNKINQVPRGVNDKPSRPLACNAHDGWRDAEDPSIHYSRHIEPKQEGPGTFSCKNTKIGAANKGIKFVVHLFQLKGPGHTNENFDNDIDPNLRVCGAKQKLLTGKGVLVDKGLRCTDDGSWHTIGDEKKVRTADNGTAAFCVQKRCTLCSKPREIQTDNYYTIDPIYDEGSADTCAKATVRLCPSGLWIVSIGDQTHEYVGTSVVCSSVNQSKWVLKNGSFVDNIACVSKFETCDAFALNTACDFTFANCTEISHDHNKNNFCPGDMMMYYKNRSELTFKDENNERRNQPKLALSCDRRVGWTVKMGKFVVDTIGQGGQVICAERNPLPVIKVTTTKAPILIACKACPTPIQSRLDCPDCSQQGPDIALETSSEADKCYAKSVTGGGIISEKLGHIEGKLECQLNNPVWKHEGTEVGKFAASLKAKDADNSQAQMQSSTTFILILCATFSSMIGIFY >PPA00459 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2959705:2961628:-1 gene:PPA00459 transcript:PPA00459 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNRDLSSSSSSRQSGLLYCAHIAVALVFAMIARGAVVNIAWPIRLALSLGLICGLFALARAMLRLPQNALALSPGWFPPENNPARPALTGPKRPHAFLWLCASPSPTLPSLHPLRFGIPRLSAVNDGTGNRSHRGAARVDRWVRLMIIDPICKVLIIVLQSLVIHVVYSLLSRRESQGQPPLIIANTEALSQEDERIIAEENSM >PPA00115 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:655413:660759:-1 gene:PPA00115 transcript:PPA00115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pkn-1 MYLFIADEADVNNSPVTEDAPTSGNATVYCSSAAEENEEGANENAAPNPRLLALQEELEKELKMKKSLEKILTLNNGTKVMDDSKGLLDDSKAKIALLRMQIEKLNNQEAMELSTGKDARSHTELMIEDLLFRLRKETVLVDGARKATRVLTMQKKTDQKGLMDATQTLHQSEEKLDLIRLALTKYAELLGEESASLRRSVRDEVSESRRLACTPSLPISTHPPSSSMTSSGSATVPRFSSPPPSAPSSPISESPGKCASLPRTFGRRQSLFPPTLAVSGRLEVRLIGCQNLLAEVPERASRERDSAMLSSSMTSSGGADDLRRRMRGSRTARWSVQQSQLPQPPNLRHVAGGWCASADTVSLAASDMSGSSSSPLLLQRQPGAAAAAAYPLPMLECRHLYHAAYAPHAACDDSCLAMGKVTLLPPTATSTLTSEGHHRHRRDEGKPPLATPSHRRSTSHQSGLMMHASKLPTQTDDIIAVLRLDSKVVGHTEARPLSQQAWDQRFSIDLDRSKELEIEVYYKDARSMVAFTAVKLGALVEPNDRAGMVLHLEPHGDLFAEFKYLNPVVSRKPKLERQKRLFRVKERGGTGTGKQRSGWRSLLGGSTRDRTSERETDDLQERLVPLELGGGGRSPHAARAAGASTPDRPSIYGGDASPRTLPSSPLGRG >PPA00162 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:932670:934916:1 gene:PPA00162 transcript:PPA00162 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFTKTEPGDEKPKEVKPSAPEVIITDAVEQANEIIKQNARFDRQETHDEYFTRKKRSSSFGPLSIVRLTPSPLCRTPSPPRSYSPEERERRRISRHFNIAVVIALILFSFVLGFTYRAYVHSSIMKSLFRSTTDEVVVVNDATPPLSSPQKAEVRTLDGRPIAAMFVHDFNKLFIIYCTDGQNLTAILDVNNRRCFIKRFSHFPAFVQRHMDDLTEPEPIQPSPVVNQYYKVERRLRDRDINRMNSIVVYRHCAGRPAYMLSSRPQQQEGLRWKRSSSSEALPSPRSEHLEFVETINGAIFVDHISF >PPA00105 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:601526:601863:-1 gene:PPA00105 transcript:PPA00105 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIREEGNHLIWSVDETWVHKGMRPGHGWQDMEAAKAPLTFIKNGMTAGNSRQWEKGERLVIVACLSEGGFRCPVIWRTGKKDDGAS >PPA00079 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:464788:472026:-1 gene:PPA00079 transcript:PPA00079 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIWAFLLVVSPLWSGVEAGKFCSRTTTPAETTAPTTTEAMTTILFLWSSSGASSTTDASSTFTFSSSTDASSSTVISSTGSSTWQTLPSDSTTGASTTAESSTAPSSTAESSTGASSTAESSTGATSTAASSTAESSTGASSTAESSTGASSTAESSTGASSTVESSTGASSTAESSTGASSTAESSTGASSTAESSTGASSTAQSSTGASSTAESSTGASSTAESSTGASSTAESSTGASSTAESSTGASSTAESSTGASSTAESSTGASSTAESSTGGSSTDASSTGASSTDGSTTADASSTGGSSAETTSGGSTDGSTTPSGETTTDASTTGGSTDGSTTGGSTDGSTTEPVDSTSAAASSTEGSTGGSSEASTSGGDTSTAASSTEGSTGGSSEASTSGGDTSTAASSTEGSTGGSSEASTSGGDSSTAASSTEGSTGGSSEASTSGGDTSTAASSTEGSTGGSSEASTSGGDTSTAASSTEGSTGGSSEASTSGGDTSTAASSTEGSTGGSSEASTSGGDTSTAASSTEGSTGGSTGGSSDMSTTGGDTSTAASSTEGSTGGSSDESTTGGDTTTAASSTDGSTGGSTGGSSDMSTTGGDTSTAASSTEGSTGGSSDESTTGGDTTTAASSTDGSSTTDFSTTGGTDLTTSEFDTTTTTTKKPTKTTTTKPFTTTTVKGQTTTTVKGQTTTTVKGQTTTTPAGWTSTDRQGGFFNTTTLPGGQTTTTVKGQTTTTVPGQTTTTVKSQTTTTPAGWTSTDRQGGFYNTTTLPGGQTTTTAKGQTTTTVKDQTTTTVKGQTTTTPAGWTSTDRQGGFFNTTTIPGVTTAPSACIDGYHSVGFVAADSYTSKFAGFFHTSSLCAVSCNNERDFGSRPCLGFIYIPSRHGQCEVLQDRLPDASDSLASGILLFVHCNASAHTTAPITQPPTEITHTTCTGPSCPTTTEKPGCVGGYFPEPGAIVDGNFGKFVGIYTAVSACQEACTASVSYGLLPCLGVVYYRSTGVCNVMQYEVP >PPA00194 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1205047:1206838:-1 gene:PPA00194 transcript:PPA00194 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLSFIDDLSAERPTGIDRLNLSSLEESCRALESAVSPPSLPPLDSSKEGRKTPGRAKLQLPPPSPRSMELRESNAMEVDPSPRTPRSMEVQPGTNLASPVMTKFPAMQAAHRYTLGSTEYEKYQFSLFSVASNRARID >PPA00156 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:889006:892789:-1 gene:PPA00156 transcript:PPA00156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-com-1 MSDDSDDVVFIETIASTSSTTAKLPPKNDRLKALTKCATRATTSQGTNASSVLLTTWIDSARREEASAKRMEARRKAAGVTSPAKRPKLARKSGKTVVDTITLDDDDEEQLKEAPKKETNFQKNRLEVAGSLVTAAAASILSPISPNKKISPANSQNDESLATESQEARRRSLLRECLRVDDGRDTLRDGEVVRNRADRAVMHGQDCPCCSDYYNQLDMSAEERQQRIDQVSRHRYVARPMPRTPPHYWDMDFPTKEQQKARGMLSTRMSPGEEEKTKDRKNEEEVKIKDQPENKE >PPA00067 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:381763:383917:1 gene:PPA00067 transcript:PPA00067 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIFNPSTIALSGDTVAVVDKLDPSQIHVLDPQTSKNQGDGKISHSAEIDEICLSQSGNINNRLLAMIDAAGTLVQRICFHDQWPMLAGLQCGSVVGPTADNFVEIKEGQLVVWPCPSIAFDHRDLLEKTTITKKVEQLGRFPELLNFTQNSILLRRSDGSLLPSAFSPFPAALLAHLEESKWDNAIRLCRHMNDDSLWAMLAGLAMQARNIYAAEIAYGSLDEIEKVEFLRDIRNEKDKDVKAALMTMLSGKTSEADIMLEKAGHPFRALMLNITSFKWDRALDIALKNRDLLEIVIGYRQKYLQEMGRQETNEKFLKYQSQVEIDWPHIRQTLASHRARAD >PPA00311 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2046688:2054204:-1 gene:PPA00311 transcript:PPA00311 gene_biotype:protein_coding transcript_biotype:protein_coding MRATHALFIRIPDALKSEDKKRKIDIDILKRQQDELRATLYEAGVQIIELPILEKSAKDKDKDPASSILVGDLVIVIRGIALLTRPKKEPNAERMKQIATTLRGLTWAVHETPKEENGNKCVLEGGDVLFTGREIFVGIRKGATNVEGAMVLARTFRDFPVITLFMNDKNHPLRHHLSMVNDKVIAVGTSKEAQSLLERVEREASFRYKRITVDDEAAVGCLNVNDRLLFRSDTKDAKYNILQGSGIELWGMDVTELAKAGTPFSRHCVLIDADAGNGRSSAYNSLTRSVTKSIKTTLSLGSKPTNGTTHVNGASVKNGDALQKIPSLTYDPVQTNGASSSGYGTTDGASPSSVQEGNGTTIVTSVHRMAREYCFYIFPIPSMVAFSADSPYPTTCESARLNKCIADVFGDGQYGLNISPDPTVLQRVLKDYTILNNWFLSQWGRDDGVDTIVKNCNALTNFYHCLGGPACFGMQSLLLDGTMKKEDAYAVRGVLDEYNFNCGAGLGTMLSGNIKCIQKAIASSQEYLKGCTSTYLNNVMHDEPKACNYATQLSQCYMVPFNLAECRSEKDTDTWWACNSQREFVVNQFGYCYNEVNCDALTTSNAAHLAAHHTKNADGSHTIRLPDLVQKSESVGFKTAIVS >PPA00319 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2103041:2105893:1 gene:PPA00319 transcript:PPA00319 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVHCNACQCAPSPVHKSFWFTNCMHIYCKKCVEKLRINASKAVQCVHCKKPCQVIEIGPNMPPKVKKLFTPLEKSLKEQAERMKRVLKFQADQLDSMMGFAKKEKEMLKKAGKAFQAARTQLTTMAKEKTELEGQVTYHKKRLEEFERHIKELQATIHAGRRNPVPPGMARGPPSFLNVTSQSDPTSFFANDVSMLSDLNMSLDSQLHCRTGFVPGSEPCTPICSQISTGEMTTPRILGLPKKPAGVRSDNPYMANWNRTSVERQKKASGGGGVNRAKICLDTKHLMVTIRSW >PPA00229 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1448196:1467050:-1 gene:PPA00229 transcript:PPA00229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lgx-1 MISQEPTRQGDRTHALGAMALRALILALFAAGGAAAISKCSAEFGGLLANDPHGDPQAFLRSSGAWQRQLCPGELVFDFVNQQCTTPERKTRQPSTLNIAILNNSCGRGEQCIGGTICDPIQKKCLCPAGTTPVLETLSCEAKGGQGGFNPYNSKPEMPAAISSIFPNPAVQKFIESFFKPNQNFVAEKSGTDFKPNNNFVLNSLATTVPPTRKMVPPGSTCRDGEICAGGSVCTQPFGMCLCQGNQEAHNGECVAPTTAPTLAPYAPAPQPTLGPIAPAPIHVIKGNRCLMNFLRPLSFIPEPSAPLFRNARISCRILLSPATVCSFLKMSSIHAIHASPASVCTFPSASVGLGAICSEPSLLCLDEGAACVVGRCACVAPYIQHEARCVLPTVRKEVGIVRSLPHPILPARSGPGEPCDNGETCTMGSVCDVIIPVCVCPPSTDLSSGQCVPVVRPAPVPFGPVPNGVPAYLTPTSTASTSTTTTTTTTTRAPVLETTTPPVPVIQSTLSYKSIPVAPVDVRTTSYTPPVKPIKIQLGGSKQAGVGVSCALNTDCMIGAYCNGNTAPATCQCLSTHVNVEGRCEKVIYPGQTGCAADSQCAAAYTGSTCVDRMCVCPAGARAIEQTCVPEVAAPLGSCEQFRMVSSSRATPLLLPACPPSHGCARHKCLPFSALACASDAACPRGYVCSVNGRCELQRRRRSRRSSALLACDGASAACAEGRGRCIGFHCECTDGYVERDGDCVLNTLEIGQFCDPASPLHRCADDAICADGLCVCRVPGGCRKRARRFPEELCTDTDECLRGAECREGICVCPEDNQLVNGSCELLTGVFKSIGDACAAQDRCSGGSVCLQGACACTQGSRDAAGRCVQPPGGRCSHGQTCAGDSACEFGICRCAAGAAPVGDVCTRAVAAPGESCQLGQRCIEGAACRFGVCLCTGGKWAANGRCVRKTAIVEIEKSNGIAAAEPRHPGEVCGFGGACAGGSICHKGYCICANQGDSIEDGSCIPTTTTSTTVAQSTQRRFAPAGARCSIGTTLCATGTTCQGGFCTCPEGQIVNPSGVCAPKTTITFHAYPTKTFSSATTKLEPGAKCSSTVDCQFGTECMRGVCRCGSGETIDGSGCRRALNSVAPGGACNPLQGLDCVGEGRCLYGKCSCILGLVPTAKECADPSTLRKAAIGASCDPATLCVGGARCLDGICKIISSPAEFPVLGALPSTKFSSINIGDRDTLRKMVESHYQLPSVGEACEEICKDGAVCLNRICACTGGMLPRGGRCAASASLSPLDEPYFDGDERAEITLYGHECHGAEDCPRNAFCFEHRCRCMHGYKAHAGACEAIVGLGGACVSGAQCAHHATCVAGRCSCADGAAAAGGHCAPSRLAHPGDDCTRGQVCAFNAYCGLTSGVCECPGGMATVDGRCEQTPSEAGEACVTSANCHKYSYCDNGYCICKTGYVLLNGFCIPLNDAANPSSPLFMSVHRPSDAVPDTPGLPPNRNAGPAPPAVTRLALAAAAATSSPPPPLRFKTLIVQNQNTAPGQPAHIAIPPAPASFSPMTTTQPTPFFPTTPSFKFVFNPNVFTTPSPTLFTTPPNPFTSPMRFGAHPTSFPTFGTPPNLLSPTFGAPTTPSPSFLPPFPHHQTPSSSNGLPNPFTLAPSVMNAFFPNGQLPGIIPPATARGARTLDLASQSPSPFESRPVPMSSFPDERNAELSAVATGNIAMPGEFCGGGALCIANSICRQHFCRCPKGSAAENGICVKKSTMSSSAKARKEQQGFFIAENNLDAAVNDAERDAQEEDDDEEPVRRTHSAPLESCANGESCGAGSQCSPLLGYGSVCVCPDRKVLVAGECVTLARGRNVVAPGERCSGRDAVCIGGAACINKKCRCPIGRQERFGICIRVAAPGDACESGELCGSGSICSDAARTCVCPPKTRAVNGRCRAVTATDKKQQRGSGKFRVLRLNDTAAAAVGIIAPGEECDEETASLCADGSTCDAGVCSCPEDTLLAHGRCVPETLTRGLGEECDGNNVCLNEATCSDGICSCPQDRVDVEGECVRIPAEVRRAPTRSQPRSFACAADADCPLDYHCLNSICVCASESSVPCLAQLLLSSDAMCASAADCPPHAECSNDPDTGLRQCSCLDGTPAPADGCPAPGAAPGAHCVRSKDCAGDAVCLDERCVCDFESVVSEDKCITRQALVGQGGACGFSGHCEANLSCLEGTCTCLEWMDCVVSNEPVTSPPGGSCSEARTCTGGAVCREGWCVCPEASMIVQKGQCVVSTRTTKPAPIALSAGKKIVPGGACSARDSCVGGSSCVGGVCRCGAGAAPSDRTGRCEPIRLATAAPPPPPPASTTRPAPLPNSIVDECAAIGLYCRSNTVCINRSCQCPEGTTLHGDRCVPLDQFCACPADRPVHMNGECISKVVVIPGGVCDEYTSICADGTTCTNGQCTCPIGSIAVSGKCVALTTTPPAPLYVPPQAAEPIPASTFAPPTTTPPHDSARPLAGPLKNCENGEICTGGSSCDADTGICLCPSGQAAFGDRCAIPPAFHSLTPLVGATQATTTTTALPSTTTGVLSPLKVLLASAGLPQLPTAQEAARPVITTVTATATAEPIVTTTAAQPLPAATAATAAPLTERPFVLAATTAPRCSSDTDCGVDRVCAAGGCVCRPGTVESKDGRCEMVQVYQIGDFSAAPAYSKFVSLSFNDDLPPLNDADEEDATTTKRPRVVGPPLRRPKPLAPRGGGTLGAKGPLTLGGTGEGRCPPGNEPTRDDATGKLILCNGLNPNCPPRSYCYVTTGGFATEERLKYGSISRAAIDSCDNGAYCLEGVCKCAAGMMLSLRGFCESMTTATSVPRLFTFSWPTTAAPVPIMTTPYYPSTTRRPLITGPPIRPLQSSVTTIPLGARCWSDASCPQNAACVQKMCVCAPNYVEQRGACVENPRSQSSNGGLGAMDGTQKTIGEACYTPAECPLSTDCIEGACACPAKHTWKKDRCILTAQLDFGLVPPGSTCDRGEICGGGSMCDSDSKKCICAVGHSIREGRCSSDDGGAFVGPNHSCSKGERCAGGSTCTHGVCACEQYHRVEDGYCRPIEAPNSDVTLTGGNGLRFKSKFKNSQIVNQRPRSTACDPSRCQLPDCFCSPSGRVPPGGLLPSQTPQFVVLTFDDAVNGRTLPDYKRLFAMNRFKNPNGCPIKGTFFVSHEWTNYDGVQWIEEGGHELASNSITHVSLEGSSSSRWLSEMDGQRRIMAKFGNSREEAITGMRAPQLAAGGDEMFEMMLRSGFVYDNSIPANGGKGSEPYWPQTMDYKPSFPCNDRPCPKRSYPGVWEIPLNQFYGKFLPQIGAHQRSSMMRAAVPLNASVDELYQMLRHNLARSLDSNRAPLILTLNADYLQLNEEHSGMQAIERFLESISHEPNVFVVTLNQLVEWMREPMPIERSREHPALRCPLGFSAYPSGRPQCAKPNKCIYRTPGMGSPEHQFLTCSPCPDSYPWVTNPTGNP >PPA00165 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:945241:948652:1 gene:PPA00165 transcript:PPA00165 gene_biotype:protein_coding transcript_biotype:protein_coding MCTEKDRGERVPSLRFACSLAGPNLLSRRGTSLESRRATGATAARECAHSNSRIDRIQEGKIGVASVHSPSIAIDRREQSIVRRAPSGHPSEARGMPPSGLVSFSGLAEPCPVGSIVEVVINAHGEAAAGAVQVEAVSPSGKKQKCSVRHSNTHYTATFTPHEVGSWKIGILYDNEHINESPFDCKVFDATQVNVYGLNVGLVGQQLKFSVNTAQAGVGDLEVSVVRLGQVIPSDVQHQGDKSSGIYTVSFTPEGAGQYNIHVLFNKMQVKVSPSHHFCCSISLNIDSDTETKLES >PPA00318 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2098737:2099294:1 gene:PPA00318 transcript:PPA00318 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIEFVAQIVMIVSTIQCLGYYFDNDPNAAFHDRLRCFGMLGPDPNDVLKQPTIENSNEPEKKEMKRKTKVVKAD >PPA00118 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:675549:679445:-1 gene:PPA00118 transcript:PPA00118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sec-12 MGNINSRKAPPVVAIGASPVYSVRRIGSRHIVLAEGGGAAATGVTNQLEVLLLSSNLNTSASNLPIRATVAQQIGTDKYSNMNMDVACADTPDKGLYYIACGQDEYCTIYETTGFVGDVKDEDKRLSFGVREVGRLTTDDSYQFALVQRCCSFDPTSRGGRLVTGGENGHVKVWNVRDLVEYRDPRLARDPLLKIEAHTANVDSVDVSPDGRYIVSVGDGTAHIWDMQFGRKVTSLTPPAGLTKGWKVRTVKFTPLGEQNMYLLAAFNQVARTSKSQSFLVIYEFNRQAATLQPKAVQGLKIGETISTLALSQDGNLCAVGSMDGSVYVLETIDLKELHAAPNTHGIFVTGIEFLDRSSRDVLLPRDLALRGALPGPAANHRASIVSLSADKTVQQKMVGFWSCTRSPSNRPLLSLYQY >PPA00140 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:797794:798846:1 gene:PPA00140 transcript:PPA00140 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRPSPSYLDNLPGRGPGPPRDWGVGRIHYFLCIIPNALTAAILVWFGCQDFDDKSDSISVNVSTLLLFILNSYLQILSFGAAFMAVDNREPLLLMPLFMYLIFLLLLLSGTGAFFGFCYHYGSEAMFHESAHWLKTEAKAVIWLEGLDAAWFLWAFVSLISVWRVRRNMIRQLNEEGTEYTHLFA >PPA00416 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2636670:2638494:-1 gene:PPA00416 transcript:PPA00416 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSVALIVLSSVVMAEAACPSSDWIEYGGTNKCFLFDAETKMTYKAAVNHCEEQGGSLVNICSFFENQFVRVRHPRRNSL >PPA00555 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3624372:3625538:-1 gene:PPA00555 transcript:PPA00555 gene_biotype:protein_coding transcript_biotype:protein_coding MYICHPTSEIAVTWTILMATHDDLIVIDLEDTYKNLVYKVVDWYFLHYWNALQAKC >PPA00534 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3458974:3460529:-1 gene:PPA00534 transcript:PPA00534 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAIPYLHQLELAKRAQREEQDARTHADWRFAALTNMGDRGETIVLSGGGCFPLSEMDRFMTLVEKHAVLFNSADPDNKKRGVELINDEGRACSWHF >PPA00181 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:1081963:1082598:1 gene:PPA00181 transcript:PPA00181 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQRQAQLQQHQQMQQPPAYGMQQQMMPDMSMQQGGGGGMQGMQPAPHMQQQQAQMMQQQQPMQQQPHQMTMAQQQQQYMLAQQQQQQQQWPQPPRYT >PPA00087 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:526598:529950:-1 gene:PPA00087 transcript:PPA00087 gene_biotype:protein_coding transcript_biotype:protein_coding MEETRDLPSPMQRKRMEKREAEIRKRRDVIDIEKEDSAFVSTRTFSRQLLLAVLKRNEEKVKKLMRDPRGVLMPVNAATTQYSYVDDRTPIVEAFASENHSLLMTLLIERAEKNVKGIDVNLLEPLYCNSNYGSFFFPTVPSDDSLALRKYSAPEKLQEPLVVQLVRRGVPYSIIEKFRPVSLYLRKKLELNDGVNDIVKKAHALIGASEGEFYTTDDYGRAAVAALRYGHCILALNLARTNKMFNPLMEEILAEPYSSEPFDSDGFMYKRSHTTPYILPIHTAAARGRSDVLQWYYSVYANALNLKDEDHWTPLHYAAVAEDPRALKWILAKGAQLTFRNKQGQTALHVAVQAGRVENVKIITSSLEALDRLCLNSDHLSLEHRSSVNWKNGEGMSALHLAVSIGNVEIVEALCRHPYIDLDSRNKDGITAFMVAASRGHLSCVQFLSKTCIGQVDELERSALSYAAINGQTNIVAYLLKSTEINHESVDICHNSALHYACAYGWLPIVKLLVQVDPTLLGGLNRKELTPAVCAFRNGNFGIITWLLASGFVKYIGADPCSKEQLKEVVGKWMKAHYDD >PPA00010 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:27630:31540:1 gene:PPA00010 transcript:PPA00010 gene_biotype:protein_coding transcript_biotype:protein_coding MWTQAGNSSTNAFFLPRSKILIFASGTPLQKRDLGRSRSRERRDDKKDRKRDEKEKKPEKKSTAQGILAAKKLMRESLKSAASSISYDVPTGSNDSTETESGESGGMSRARTIAEIDDDGFKQRSFKSTGGGAGGRVYSHKDDRKKTEKMEEVHDSIIFGPTSRPILNQKEEEIDEIAQLLKEEPREIFPAVCYEDRESREARWLALYNERRKRVSYGLCPINPHLYVHLFD >PPA00326 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2137818:2138840:1 gene:PPA00326 transcript:PPA00326 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVEYPRTSGQTQRDRDREARTDRVGGGGGGPGMAEGRTNGGGPVQGGTPRRDRSAHPAGLSPTNSTSSQASGMGGGGQRAPIGPPHPERTPGGTHWSVR >PPA00430 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2718631:2719157:1 gene:PPA00430 transcript:PPA00430 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPNMADEWAVEVNEAVDVAPASELESDLPEVQLFGKWSRQEVNFADISLVDYIAVKENLIK >PPA00071 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:405694:408996:1 gene:PPA00071 transcript:PPA00071 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLLLALLPIALACGPDYDPWFDGYCFRSISASSYSDAQRDCDYDGTFVTSIHSQEENKQLRDLVGSADFFIGLSCDEHGNFVWDDASNVTYTNFKDASTTCSSLPVGARFVAQSDLTWAIGNANTAVCRQLARTIDTCDEFELYDAVRHSACVRLFKKAQTWDEAEATCKTHNAHLASIHDANFNSYVRRTAVGYNFLDGVHIGLKYNTTAGGETYSWTDGSAKDYDSWAKKMPNHDLGDCIAMETGFITGEWVNFDCSSSLPYMCEKEKSSIPTRHQNSGCPRKNEFSPGDDVYSPSFPHPPGVESCEYLLMGGSGTSKLTITIDTFEVNKCCDSLQIISGLVGNNIVQTLSGSYASGTQFTVNSNSARLHWNSTSGVNVRGWQIKMEAH >PPA00449 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2840171:2844628:1 gene:PPA00449 transcript:PPA00449 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAARTPVKSQLTTSMVDDALKTVHDHPTILPQLAEDLDANVEFVELRLPQQPRDLLNLLELLVLCVEHMPEGRSEAGRERAKALRKRIIVTTLKSRLLKAVRAEFMGELHGRLARGDISDMEVRRAGTAIHLLLRASFHLTCHDIIVDEAIDLWKTLEEPIFQLPALFWNTIGPDLAEKMCRLAADVTRRDISIYRQSVSAARAAAAAAAGSSTRNNGRRSAQRQSDRQMDGRRRAREGTSEYRYNPCDIDESDTTEAYGREGRDGYGRRSRDGSESSGGGPNVSNLVYDEGTPEDFASLRLSESREREVSREVDRRYEEERAKRAEGRRRYEEEQRRIEEEEEEERRRQRAVEKKDIRK >PPA00499 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3185693:3187045:1 gene:PPA00499 transcript:PPA00499 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLRMTVADMADVRPTVETLLEQVKADVGKAFAVKKLTGAVVVSSKKLAGTLDSISENAFGKVQEIRQDFNSWFTFANDIDQWAGMIADAVEYFEIPICAKYSLC >PPA00398 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2551385:2552235:1 gene:PPA00398 transcript:PPA00398 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFLGEGSIIMITVMFFILLLIAFVGLQFRQSLFVLPFLTMLELIECFAIVSNLLFLIMLSFQSSRILLRSMIDMEDEKSKERPEMCNLSLYFNLFT >PPA00541 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3504293:3507734:1 gene:PPA00541 transcript:PPA00541 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEKMKKEVVSSVTRLHTLKERFANGLVMIKSHTVSYPRLILAPGNATILIDTRCDAFVQQGDRSRRFLTASMSVEIKLEEPKFKDYVFSAKMHIEKFTINEVASLVEGIDKSSLEFLVNALTELILQEEMATKLKGVRLPIMFDFDQRWSEVAFEQDRIRISADYCYGEKCAEPPTLAESKDAAENMDYYDSVKDAYRSVRARHPEKVYKNVIKKNKNELKFYELN >PPA00507 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3249249:3252576:1 gene:PPA00507 transcript:PPA00507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-inx-6 MATLFNTIVSTVPFIQSKFQRRTRSDFKINNSQADRLNTRFTVMLLAVTSALLGSAHVWGQPITCWTPAQFQPHWSVFVDQYCYTHGTYFVPFEDDLTQDSSVRHQYRINYYQWVPYILGVQALLFCIPHLLRKFIKSYLEYDVPGVIEHVEGFWASIKVVPELPMQITAFENGPAIFVWEGLRLSRRHRCLNLARCHALATLVQAFLWLDYVFGGPLVILDVIVGKDWLVTGHFPRTVHCDFQRRALASSQLETVLCMLHLNIYYEKVLLFLWFWMLFVAIISMVWIFIRPGSGRAKVFKLLEFAAEGESTPSTDRFIRVLGSDGLFVLQQIALNLGNLPASYLAAAMRNCCKRYENEDKMEPKLLEV >PPA00143 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:811246:812608:-1 gene:PPA00143 transcript:PPA00143 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYFHPPPYSAVKKEISTKLGDSHPREDGPGKTWLTSPPIYDNPYMTLLFNLIGFSVMLFMAIAYGHKQQLMENPDVALLISWIGWRFALALYPAFFIIVVYIAHCGLVTFAFTILMNIILGEFQSLLLGCGFLFHTLMILLCVFYFGMLLLRVIEEIEEEEKKKDGMGIIA >PPA00442 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2786002:2786362:-1 gene:PPA00442 transcript:PPA00442 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSVGDLLPSPPPPPPLTLGMPQHIFFIVMHLSLTMTLAVMGNVLLVFVIIRGNRVARRRLSPVQY >PPA00171 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:999758:1007667:-1 gene:PPA00171 transcript:PPA00171 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRRIAALADDADGWAVEEGKTQKQKIQLEGRPCMTVDRLRKADNETQYYECAPLSREEMKEFALAEKDRYLGTWVLRGCPGNHTFEEERQKCRDARIMRRMPMGCDRDPLQIGCGVPCPASNSLPTVGGNCDWLSAPLIADPLSNAFFLYCAQQTGQTCGEWTRIPCSPGTVFDATTLICAPAGIVQRDSPCGGSDRPICQCAQTVQGACPGTSQCMQNVCCISIYAPVVVPAPAPIGYQPSLPPITAPLYPPITNPIYPPITSPTFNGPYNQGLVTCPGTFTAPLSSSCDGCSGSCVPNVGCCGSNSIVGQGTYPQMNTFCPNTFTPPIGQCSSCPQNTQCVPSLNSCCPSSPITTSNPNTAVILICPTGQPASSACGMNGACPQGTGCYQGGCCPMTCPAGQQLIGFCANNGCGSGNCYKESGTCCQAAESVTLPICQNGVPSMVRCTVDQECGLNQRCSNGGCCPMPFCPSGVQATARCQATTQCGAGQQCMDGACCPLPRCPSGIYALSTCTRSLDCGRPGVECANGGCCPLPSCPNGLTATQRCSQGSRQFFHVMRKQQMKIDSVQICPSGFACLNGACCALPTCPSNGLTAISSCNSARCGSGFECVSGGCCPLPQCPTGALANQRCQLGSGCPSGNVCENGVCCPLPVCSIGIVATGACGMANSCPMGFVCEGRGCCPEPMPLCPNGGRANMRCTRGTECPPGYGCTPLGGCCLLSMDPTCPAQSSAVCQCSGNNVCPQGASCNMGTCCSTAAAPFMQVPGSQCVASPQCNGFSNGNSQCVQGVCVCLSAGSSNGVSCVQMTPVQLVQARTGCDQFGSPCRFVLSTARRRPIFAPTGNTTAEPLFYNVAERRRCVLNATGIVSDPDATCLPNEKCIEGECRQKLWPGEYGCQVDEECSSRCPNTYCEKRKTDKKVPQCQCRDGLLLHGRCFSKCPTGFHESGAHCVHDNEDTFWKSADAQDKLKDLLNNGQC >PPA00373 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2367210:2367843:1 gene:PPA00373 transcript:PPA00373 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLLDNSSLLGGEMREIRHAVRHPDLLSLSIIMTDDSCREMQHFSITKNQQIRNKHLI >PPA00222 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1416508:1418552:-1 gene:PPA00222 transcript:PPA00222 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRRHDDEDEVSLKKRRKREDSNGEIATTHETPDKKKRKSKGHELEENGKTNGITVEEVQHLLSLKKKVKSRTKTMELSNGQEYTVRVTPNETVMYHVRNVDGQLTAGSRITGTVTITEQKEMKMESHFITHHDFLEDPPADIEMPFVIQPIKRTPSLELNGLKQRLHAYGCKSADSEQTPRKVKKAKRKSQRADDDE >PPA00472 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3010277:3022484:1 gene:PPA00472 transcript:PPA00472 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLAPSTSDLALDGRVSHICSFDKVFWATLFGVKWRPLLAGNSQTQRPTSRLKPKLVADLDQGVGAAVAALGAERGDAAEGVLTRIVGTFSDKSSNESGIKMRELIVKVREYGDRREEYERRFKEDDQVLALLAAREVAEEKQNAEIALDRLTRKVATMKMKVTKKRLGACDVTQAQDEREKKHHEINEEIEKMEAECIESETELARLRPQLRVKRELLKHRRRQMLCDVIDIFRIRTDDPPAAAGPLLQQQRPKCACPERHHIRAIHLPWTTKLPGHDDAMLTTGFALLVQMLQAVSAITDSHLRYPVALRAAGAEISTSDGVPLSLMSNARSRADRARLDAATTLLLRNLAQLRSDCGVHTKRMERTAFVLDDITRVLARGDQGEMPAAFSRPWDCSYSLASLMQPPEDVEKSVMVLHRHLPCDEDEEPSFISQGEEMESDEPLEVEASSPASVVSVIERATEMESAEPTIERAHEGERRDEEERENGREEEAMEEEKANGVEGEKENGLREEEAVEEQPGVSEEVLQQLQPAAQERPVELHMDDDEAEDESMDEEEEEELLEPMFNYKRIESADVDRMFSRESATSIYAHDRFVVVGTSAGNIWVMDQTGHVDQQSAPVYRAHRVAVTRLVVDTSGTYIMSAANDGKVIIRGILCEELNHTLTVPEVARSIALTAEFARPNSGNVFVVGDRNLTVHSKGFFGYGEKVRPYDNYMLDYDAIQTRLAVPPPPPSSGGPFVAFTNEQGTRIFDRSVERVLSVVAPKHDVDRLRSNKHPPQHCWLDNFTLAIGWADTLIVAAITTVEERGQRKRRVTIRYSWTVDLLISGVSFTVKEGKWDEIVLVGLKVDVEGGVHGDFLDTMSMISSAPSLLSSPVTPPASSVGGDQAPILELLSLAPVSLNEYDLQAEDRISLALSARTTAAQVHLGALPHDGLYFLLAPHFFLYAEPLQPEHRIRWRVDNGLVDTIKEISEVEHSIHSYRLLTELLVPTFYVNK >PPA00409 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2594793:2597426:1 gene:PPA00409 transcript:PPA00409 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKRPYTFTPAQQDMLKQLAERKHVKVQRKKKVPVEEPVPEPVPEPEPEKEQKRKRIKHKYVKKEKNVYPYLGDREALEDVGLSGVVKDVQKTARRVLDKNGLRCTVPLAQMAAAAKRVRETLENRRVERYGSSLPALDATVDEAFGEFSEKTCGMDKSIFRQELVKYQAIAATQLDKLETSTLLRMVMDLNRRNRSNMIEYEAGGPEKPSQVVNKFFGLTKMQYRCLTDHQKLTLLKEHSKHRYKYYKEYEDHLNVKYRALLDQALEKTKGKKVNQISKFDLFKHWPEGRTREEELSVAFERCRDMQRFIHMEFYRLSNKEEDCVLLYHDIWRHMRLKRYLKGKKGPLKEAEEHQIDREFANCPLDVLKLWNVI >PPA00281 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1854042:1858056:-1 gene:PPA00281 transcript:PPA00281 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCPARMRSRRGMIVKTISRAALHFSVKGNLPCSDEKSKRDDRQDGRFSIGSLGPLFTSLGKGFCPARMRSRRGMIVKTSFLAALPFSVKGNLPCSEEKLKKDDGQDGSFLAALPFSVKGNLPCSEEMLKKDDGQNGSFLAALPFSVKGNLPCSEEMLKKDDGQIGISRAALHFSGKGILPCTDEKSKRDDRQDGRFSIGSLGPLFTSLGKGFCPARMRSRRGMIVKTSFLAALPFSVKGNLPCSEEMLKKDDGQDGSFLAALPFSVKGNLPCSEEMLKKDDGQIGSFLAALPFSVKGNLPCSEEMLKKDDGQDELPRSSSLLWERDSALLGRESFLAALPFSVKGNLPCSEEMLKKDDGQIGSFLAALPFSSFLVALPFSVKGNLPCSEEMLKKDDGQIGSFLAALPFSVKGNLPCSEEKLKKDDGQDELPRSSSLLCERESALLGRDVEER >PPA00486 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3097454:3099886:-1 gene:PPA00486 transcript:PPA00486 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHQGLYLAQIIQNDDGSLPLVTQHWADYPADIMYNFYLWNLTNPYEAVAYIAAHTDLHPLLLMLLDQSMLMVGSAPVQTVEAGSLIFRSFQDPLISLQTSTFVKNLVKLMGGTLFGIKLPDYPHPGLMPLYNNTYDPEYRVHTGKTGMDDYTKIITYGGKTQQDWFLGDSAEITNCNDGGFNKQFLTPEDKLNVFRAYLGSILFVM >PPA00015 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:58718:60752:1 gene:PPA00015 transcript:PPA00015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-efk-1 MCRVQCLPLVGFAPTLLRIIVDPTSDATLGITAVTYFKNTVTKHWKRDEDLAETEHQFVLSEEDKTFVREVIVDAICNTTNFTVRSQLCYALQTLARCDFPDQWASLPAKVALLMHTDNANHMLGAFLVLYKISKIYEYKRTTERKVLLDMMQPLMPILFERLVHLIPNDSDESILLQKIIVKILYCLTQFALNLEFIPTEQLDGWLNACREILMHPSPPSVDVSDPEEAERHEHWKLKKWSAKVLERTFER >PPA00502 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3194906:3195322:1 gene:PPA00502 transcript:PPA00502 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSLIFLLLLSSVFIVKTSAKPWDVKVLLQWRRESLEETIHDLKNQNSTTTASTSAVSSTTPKSTTESDELILDLL >PPA00295 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1932366:1932750:-1 gene:PPA00295 transcript:PPA00295 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDDVVNYPDDPTELMFWQITDEASSKRFADALLTFGLPPPVDPPINSDLFALRAHLVFSRNGPCDWCTRKHQRAIH >PPA00425 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2685570:2688714:-1 gene:PPA00425 transcript:PPA00425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-odr-7 MDPSESLDWYSGLHIVEEGEDAAAAAAAARAAEKQPEESISSVIGSAFSGMVSTEDCMMSGLMQQQHLQQPPADLQQQQQLRPSVLQHTNDHHHHHRESQPPHQSHSRHIIQSSANHQDHAHHHQSVIVQSTTVTSSGSAGYGSSHFPSSSFMPPSTSTASNLFLPHSFMGLSFPEFETSQLPYPVLHSSHQHPSLDLFDPHHWMGVLDGKKEGGNTRRPSFTEAIFPQVFGTAAGQGILDFGSCAAAAAATATASQHQQQQNHAALKHDPLDALYLSPSLPSTLSSPFLIPSSLQAPPTPKGLLVGGGGGVGTVSSGYGTSTVIREAVLDMEKPEDREMMEQECQVCMATTANGLHFGARTCAACAAFFRRSVADAKQYQCKGSQRCTNSANDARYNVHL >PPA00395 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2535952:2541532:1 gene:PPA00395 transcript:PPA00395 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGLINNEAAINDALRFAKELNGSSNSTLKRSIPEDSDSLPAKRANMDNGASVISTLGGLGGMVMGGYGGNGGMSMGNPHENVVEVIIVPDNSVGLGNYRFALAQSEAKIGLFDTQMIGKGGSEITQIQAQSGARVQMAPESTGDGTRQCTLQGTKMAVERAKQLIHDVITRANARRAGGGSLDNGSGGINIQLGPNAITYDMLIPGTKCGLIIGKAGETIRQLQEQSGVKMMMIQDNTEAGGAPKPLRMNGDPERIEIAKKLVQDLLNSRNDEGGVIGGGGGMGGMMGGGGGMMGGGGQGGLAKGEVVVPRSSVGMIIGKGGDTIKRLAMETGTKIQFKPDDPSQQDRCAVIQGTREQIYKATELITELVQRSCAQSGPQVQDTFYMHVPANKTGLVIGKGGETIKQICTESGAHCELSRDPPPNSAEKVFVIKGTAYQIHHAQHIIRIKVGDIAPGTPVPPFQGMGGGSGGAPSFGGGPFNGGGGGGPFGMGGGGGPQYGQTFPDQSNGWNGGGMQQAQPYFQQQQPQAYAMQQQQPVAVQQQQYAAAQPVQQQPAAAAPAGGTAAINPSTGQPDYSAQWAEYYRNMGMHDQAAKIEAQMRGGGGAAAGGPSQQYPKPLYNLWVAL >PPA00208 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1321478:1324626:1 gene:PPA00208 transcript:PPA00208 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLVFILAGAFIVLCAKTTVKDDIYQIQGVEVDDAIEFEIDGSKHAAYARRKRQAVVEPAPIRNKSDSSAGAKRQAGYGQQNNQLAYDLTAAAAAKVPTDAASLDAHARSLRHWSSFPQQQQQFLQPQLQPQLLQLQQAPLQRLPANGFSPANSLQQQALFSQLRQQPLLQQQQEPQQLQQLPRPLPPQLSPATAAPAPRTAVRPSKKGTHYYYPPRQPLPLPKCFHNPTGYVCCNEALNDLMVDTYTALEQRPKFHACNIQLIATHLQLNAQRHFNTSFETLVGYEDFAQKINFRGDLVCKVELGSRYMIAYATASDAYNHDLGGHRRDKRETNGEFEWSAGTIDSWHSIHSSFI >PPA00003 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:13174:14661:1 gene:PPA00003 transcript:PPA00003 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQLQSGSSSIIWEEKERPQIGHIVKPAGKVLQAAGLITCDDDSPLYEKTAKRILRSATAIAPELNVIPGKIGKGNNLAISMVGYEQPQSDEDSSPIRRPSFRPVHHLVRNNKRSFRSSSEMPSEERLSREGLPKRGRGRPRKNPDPFASSVSPLGMSNHSSPSHTPSSSNSSTISPSASSLSSNGVSKKRTNPLSIDMVWQPSDNGPRMRRPSIAIIDNGSPIIKREKGHSGIINLFINRISDYSKNDSIFLCNSPPGQSPSRRTRDVLRIEMVGWHEPPARV >PPA00179 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1073278:1076422:1 gene:PPA00179 transcript:PPA00179 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKLSPKGQALYDSLRSESQESISMLRTVVRNDHPSLNDTVEKAVLAPAEALVSQDFDAMILAVGGAYDALAENIVSMQGTVNTSRTFIASAVSAVFANNINKMSTAAKSAELQQAFGRSPTMPARGARASNDRNKKANRHSAKSSNGASNDRNKKANRTSAKSSNEVDPYDNWVLVDDEVPDGEQQNKEKTFECPPAVEKEFVVDGVKVDVNDVLGGEQNKDKTPECPPALDEEEVVTDGMPIIDDVEAIDEGPSTSQSISMLRTVVQSDLPRLISFSCC >PPA00028 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:133530:136430:-1 gene:PPA00028 transcript:PPA00028 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGETIKRKLTNDRDSSLARHSDDSIHHFSKVHDVWASNVEDEFNKMPSLIKDDPYVAMDTEFPGVVATPLGQFKSKCKEEDYRKKDLVKLQHGEFVSLAKCETALLTCLIVENICVYGSGLEVGVSGSTEELCANAAAIRHSRRSSMSTRLNKLSRVEQPGAIHLCSEVWTPDSGLPTDARKLKRRPITQKYEDTIKDLYAKAGLNYENSLLPFQYSMPKIA >PPA00037 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:180273:183187:1 gene:PPA00037 transcript:PPA00037 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEESISSCEEEEEEIVQQIEEENIDPVSEDDDDKPDEILVDDSVESEGVPIAGSTYSFVVRICLPLEMMPGIEAKRYIDAMIRKSGGRVESVVRCARIPSADYEIMMKEHIVNVQIPVSSTEDNQIGLGNFALGNCPNPGLFFVRGNYFTKVNSMPSNTKLSTGNLDKNARIEKPFGTLNLLSYVHFEHDQKHISIKFAIPMSKPDEDGLAFRGFKMNLQYSAIQSITVDSGQKNLNRKSRSIDFSFRLNHPPSLYEVQPTTMADQKRIINLQKTIRTYNTWSRVLQWPGHDRSHGCSKECLSDSSVLHLSIHLNNEKDRVRMFSIAARIQSRVPTIKVYFGSIVSMRRRVAKIRSLPPLGSYRADYALKAIISRARSATIPETYI >PPA00279 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1846825:1849013:1 gene:PPA00279 transcript:PPA00279 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFLALFYLIMTIYRWGLSEEYQKQLEKVALYCREFTLTIPITFVMGFYVTFVAGRWWQQYMNIPWPDRIALQVSAYVSGADERGRLMRRALVRYANLLAIFTFQSTSTVIKRRFPTIDHLLEAGLLTEEEKRTIENIHSPQGTWFRMSF >PPA00134 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:777427:780890:1 gene:PPA00134 transcript:PPA00134 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDPPHPSSPPSTTTSPPPYTSSPPKTTSPKKEMPKEMPKEMPKEMPKERPPTKEMPPTKITTISIEEDPRPTLREFLTQVQVELYNTSNDLRLNGPRGTLRKRRIRRRNELHPPEGGCKGRGDHVERMRCARWKNVYCKYPGNKGRSAVQYGLSSLHFAYSLATRPVERTFSLTAIGVTMVLFCTSGTFGMYYGCRKLILVTAAFYFVSSLLLSAPFLMLSHLLIHAIVDQSFEDYAEYPFYMLPGIGFFMMLFAHGLLSMVRLCRSGFIGIRDSRIPAPRDQGSDEELGSQDLLQSTKDDEITKATLLV >PPA00245 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:1577658:1579076:-1 gene:PPA00245 transcript:PPA00245 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVDQSEFDWSRRRSVREGDATIRVVTPMPRPNNPFGNPHDCGWGMGWRCDFPAAAVKRNAEAGDLARGVKAALDGLDGGGFNGMEGKKRKRAFAPLFAAMDALDGEGIAKDNIPGRKRGTGPHRDDVSNESTVPSLESIR >PPA00303 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:1990123:1992066:-1 gene:PPA00303 transcript:PPA00303 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPIALEETLNRVIDELCYLEIKHDRIRKSCFNPLPADYNSVEELMRRHSMMHNDFEEMRGWPLPMEDPDHMPLDIVLSQKIPMPPKKNRAPNQKIWMFADLIYSIEYAKALPLFTMLDEQDKIRLLKSSVILCMNLTTSYYSFTHKSDVNLHPDGTSPTRARNYPGFIGAVDQYMCRIIATLIRTDIRKEEYVLLKAILLCNEACDDLSPYAQNLLKKERERLVKALVSFCMATRGPLNGPSTVKTQKEQFVLAGVCGLRPQASPLLEAFMDSPF >PPA00401 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2564797:2565657:1 gene:PPA00401 transcript:PPA00401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-67 MCIDVFSLRRLQLRASDKNIGGSRLTFNGFNSTGRGTGRGNGRSMGGHTRVNTTFNERNEMGGGNGFLQAGEFGSSSFSGRNATTGNGRSDNGLRGKNFTNGGSGMGGVDGNANGSFGFRRNNTNNWSSGFEGFGGNANAASGFRGNSGNEASGFGRKNETNGRSGTGEFRGSNTNGSSGFASNSNGFGRKNITAGSSGSERFGGAGTNGASGSGTTNYNGGNRGRFGGNGIPLRVEEDRKDSSRMEKIDVSSNF >PPA00327 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2140929:2145622:1 gene:PPA00327 transcript:PPA00327 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHSSEVFVCSWNPHRDCFGTGSSDSTARIWDVSGSETPQVTSEIIANRSVILMHSEELVSQEKDVTTIDWDATGNWLATGCYDGQVRLWTADGDLVGTLGAHAGPIFALRFNQRGNYFLTAGVDKSTIGHTHEVNAVRFDKHSQRIASCSDDKTLKVWSLDTERPVMDVTAHTKEIYTIRWAPVGHVIASAGFDKKIRLWDVAQGKEIKCLDRHSDPIYTVAFSPDSRYLASGSFDSCVYIWDMRTDDVVLSYTGPPETRGVFEVAWNRSGEKLGASCSDGTST >PPA00231 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1472974:1474878:-1 gene:PPA00231 transcript:PPA00231 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMQQAQQWQAAQQAHPQAQMYYQQQMAYQMPAAQPVAAPAPAPTNSKKRKASNENGEKKPRGRQSAAAQAEAARAAQAAQAAAALAAQQQQQQQVYYQQNQMMPADMAAAYRFDPMQQQQMQLAQQQQQHWMMQQQPGTMSMQQVPQQMQPAQLSMIQQQQSAAAAAQQQQQVQQAQQQQRPQPTMQQPQQAGSEFVRMELRQSLQAKQQMAGRPSPSGNAMDVAVASVGSTGSTAGSGTFEYLSDLLSEAELETVFDFKLCSGGNGCGFDLENDDYTRKVVQRLLS >PPA00135 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:784798:785633:1 gene:PPA00135 transcript:PPA00135 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSAQSVYTDVVEQEQTTIPIHSAPEITIPIDDGHEEPNMPTTILVSSINKKAITAIFMTTILLIAYLGMMEDAFNPRLAIYVSIIPLLTLISGYYGIEKKKPEFIVPLIISTILFLIVGVILSCEVTAHLTYKAHKEKEGLLSFASIE >PPA00193 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1198516:1200511:-1 gene:PPA00193 transcript:PPA00193 gene_biotype:protein_coding transcript_biotype:protein_coding MQREDYRSDYGSSSLDRHSGGSYGSSDPYTTPSDFTPSDESPRSSNSGANQDTWTDTYSSTATLKPLDVSILDDLIHDRSPSSTMSRSTNEWRSSFERAQQHFRSRPASPSEGHSVTSTLLLPKSSSATSISERSLLADAVSRADASAASGRTTPHLNEFWSGALARASSPTPPSRRLPAAMTAAERLSMLKESADSFGSGSATSASATVAAAVPLAPRRTDSIASRQAPFLSSSASAPNFAALDRAVAELPMRSGCARFPTEDHAFSPPPFGRHGGAASFTSHVATTHVRTNGVHSPSPDSLSEASSYGVPSGLPHPKPRHNVMEQLARVSSSSSISSLHNPAPAYRPNFPTTGSVSSRISELEKRPGTPTLMLAGGSVARERDEETPRGPAPLSPRSTVFRTKPVIHVDMGGRVVQQQPMQQQHQNGLHQQHLQQQHHALTAANQQRLQDRNHESATIRGTAYTNYNVKAEEPTATFSFRIQKLRSSQD >PPA00059 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:325230:326185:-1 gene:PPA00059 transcript:PPA00059 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPFEMGCLLESLVAYDQPVVHRLYDFGTKELGPPMEALRTLHVYLAECNCTLNDLPPSLYAAFKITPRTITM >PPA00371 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2356319:2361463:-1 gene:PPA00371 transcript:PPA00371 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHPQQQQQLQQPQQQPAAAATSVAAAPAAAAQAVTSGVTSLFKGITGGIKGAVDNVTKGTTAAAAAATGTQQQQQQMMQQGAGYPQQQQQQPGQMQPLQQQPGMIMQQGQQRPMGGGVQQRRGPYGDGRRQGTMNRQGSVESSGAYRQDANGSVGRGDGMMRKGQRREERPVFYTDSADLAADGNMTPLRKTTAKVPLLVPPNFGGRDRYGKTRTTAGAPSRPGFRRWLRCLRPRRPVCARRSPNCIDVVIPEVFRQMRSMSSSDEESQQPSTATGQQSQSQLQHMQQQPGYQQATDAMGRGCDPRIPRDDLQRLPQECTSEKGLCQSTRLFFRPPSIPLKEIRVIICFGTSTGMISGEERMASDFYAHSK >PPA00169 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:989613:994058:1 gene:PPA00169 transcript:PPA00169 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEHTFNSGSVLLQPLADMVGVGVDKINFVGCLAVSVPYALFYSRVLGKASERTRQIYPLFIGLCYCCFCFGSATKHLLANSLISYALMSFAPAKHIHWLIFTFSMSYLTWVHFYRWNYISEYTIDITGPFMVCVQRLTTLAFSLHDGLVKKEEELSPLQKREAIKRVPDLLPFLSYIFHFQSILTGPLSYFTDYINLTRGTHVKKNENGELPDASAEALVKLVKAGFFIGIIAFLEPRFPPSAINRTDMNPIQWTIYFYFIMSFQRVPYYFAWYFGATRQIAEFKTDAIYNLSGFGFNGYDAETGEAKWDLATNVHAWKVESAQSMKETLDAWNVGTMGWLRRVAFDRAPKKYRVLSTYLLSAWWHGIFMGYYLTFLTAALFTIGGQGFRRSLRWRFVNSPPLKFAYDVVTFIGTKILMAYTAYPFLVLHWQPSIALYQRFYFIGHLMALFCAVALPYILPPPRQEKKVQEGVKGDENENMKKTE >PPA00492 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3133410:3134963:1 gene:PPA00492 transcript:PPA00492 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRPAECARMEGITSRLERTGIGFIGTKGKALKCPKISRMPNMADEWAANEVNEAVDVAPAFELESDLPEVKLFGKWNRQEVNVADISLVSYITVKEQVRPLPGRKNPAL >PPA00539 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3498119:3500836:-1 gene:PPA00539 transcript:PPA00539 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFKRKDSSKTQTKAFVDVISHWKLNHVEALFKEFEASKILLELSDRDYSLRVHCFLARVRLALLPHSSNGIVSIDSLLSPLVNISHIEKFFHWLYTDDWNGDEAVLSQLRSCLGSVYNLEEDLLRAEHLASQYGDLEIILVRDAGDAIESTTALSEASIRLDSSLVASRSSVLSRLIKKKENSEERFPLVLDESLLPRAFLPVITHFLYTDKLDLNLVSSSRAVCTSSLSEARAIIAGHSPHSYLHRAAHLVHIARFLSLNRLTQLCEDVLLSELSLSTSVPLLLWSMDGGSNWLARLAKATIIKDFGRFANSPDLFALSSPIMEELLSSQFIQATEVDILEGILRWGEHELLKRLEASEPNVVADTVHSVSRRGIKRSEMDSRQLRNIVCPLSSHIRVDYCLPPFHQSVEWAYQKGILDRGSLRDLIKLILIFIGIQFLMKQKDRDYIYRSVRTVVSIERFLHSSFYQ >PPA00413 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2625481:2626063:1 gene:PPA00413 transcript:PPA00413 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQRRIEDYAEEAMLESSSSSFSLPCSYFDILYLQYCFELASIHLETHAFHQWGMEEKPLVLKFEKRAYPLITPFSAFFTTLT >PPA00451 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2865563:2867202:-1 gene:PPA00451 transcript:PPA00451 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVNTESFGYADALYRLISASRSDVEEDEDILGLKNVEKAVCKAVRNCASKMPVTVKDLQDATDRDSVLQKVKEYHMSRWPDLKKLKLDRKDHGLLPFFHRKTDLSIVKGCLFLADKIIVPQSLQKKVLEMLHIGHPGIVRMKALSRQTCYWYGMDTQIEQMVRECDQCAAASKQPVKIPLEPWPKSTEPWERIHVDYAGPVDGQYFLVIVDSYSKWPEVIMTSSMTADNGTQFASEVFNKFLIERGIKHLYSPPYHPQSNGQAERFVDSLKRSLLKQKGERSIAEALQVGSFHWKKTSIGTASVRSQTGGLNSNCHRDRKIDAAKEQFDRKNGVRPRKFKIGDVVLYRMHVVPNSFKWTKGVITAKIGKVMYEVQLEHRVIRSHANQLILRESSRDDDLEVMEDSEDIFETMNLELIKSTIKLPEENPGDFGMNYLSDQSTVPNSPMGSIKEPDPEPVKEPGEESEAQAIAAEPTPVTVPTRKSTRTRKAPSRLDIDPSKKRY >PPA00265 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1743244:1747473:-1 gene:PPA00265 transcript:PPA00265 gene_biotype:protein_coding transcript_biotype:protein_coding MDCTKETETTTRKKSLHGKVTRGREEYLSMNRAFYAIRAETGKHSERKGAMFVGDLMRSLEGKPGMSHEELMDGYFDEGSDLYTDFDARVKTFWLPHQLIYPTIVDKKAIVCIDDEEIPVDCRILSSCSEYFSRYFSSSLKEGQEGKYPISIAVPPQAYRWSSSTTIVVYAIQDCSMLAFAELLSVVHPYAKPIDSDNVAMLLELARNGKCECFLVERMNRKEAIIENFELADKFGLQFVTELLLATFGDGANFREVLRDEKFEALPEQLKYRIRMNCLSIIIHKDC >PPA00306 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2007238:2009274:-1 gene:PPA00306 transcript:PPA00306 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHSMFLLLCALFAAAGTVNYDTATKTLTCKDGSDSLMAIDVDGAKVIGTQLCDINVWIPSGKSVDTPLQAECRRGCTQGDRTDVIMSLSSDKESKTVFKSSKPEEDGDQHGVSVNGKVYSYLECDSKEGFTDKDGNKIAEDPFESIDLNHDIVPTTTTTSTVTPTTTAKTTTTHTGESSAEQGEPKTSAFAIGVSIVALVLIVVVIVMISIVMKKKPEDKSGFSIEEKKKREELHDEISKGRFSKRSGENVTRIDLRTQWGDVPKIYDICNH >PPA00239 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1545806:1551928:-1 gene:PPA00239 transcript:PPA00239 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTAIDCSTYPCARKDDTVVDEYHGLKISDPYRYMENPDGEETKAFVDQLNSISTPFIEKSEHREECRKRLTELWNYEKFTVTSKRGAHYYYKHNTGLQNQYVTYQTDAVGTKGKVFFDPNTLSEDGTTFLRAGSFTKDGTLYAYGLSEKGSDWMTIQFKTSSGEDLPDKIPGAKFSGISWTGDKTGVFYSKYPQHKGATEGTSAEKHEWHSLYYHKMGTKAEEDVLVYKRTDNPDMMVDGEVTDDGRFLIISVARGCDPFNMLYYYDLKAVDNKITGPIEAVPLFDTLEAKYEYIDHDGDRMIIRTNKGAPMFKIVTMSLKDGAASLVDLIPENTKANLEWACPLIGGRLVVGYIEDVKAALYMHEQSSGRRLYQIPLDIGTVSGFYADKEENELFLAFESFLVPMIEYRMDFSGVPIEKMPEMKEIRRVHINGMEKESFKVEQVFYPSKDGTKIPMYIISNAGMQKNGENPVILNGYGGFNVADMPYFSVSRLLFVRHFGGVYACANLRGGSEYGEAWHEAGMKGNKQNVFDDFISAGEYLVGEKITKPAKLTIHGGSNGGLLVGACSQQRPDLFGCAINRVGVLDMLRYHKFTIGGAWVPEYGCADVKEDFDIIYKYSPLHNLKIPEGGQWPATLLMTADHDDRVVPSHTLKYIATLYEKAKAHGKQSNPIMARVEVKAGHGAGKPTSKIVSV >PPA00305 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1998576:2005669:-1 gene:PPA00305 transcript:PPA00305 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKHGSFGIQIWPTCRDLSCASKESLLHQISLLKKDVHARDQVLADSTCNACYSNPCQNGGQCLPNGATGYRCDCPDDTSGNNCEKKIVCDSKTCGKNAHCFIQNHQTNCACEFGYSGNAKKGCSLATRKICFNGDPHYNTFDGAYYDYQSTCPYIFSMPCKDELDNGYSTFSVKAKNDIQHPGDTVSMVTSTQVVFYNKTIFVDQFLHVFVDDVQVHVPYYYPSQSYAQFSVTLRNNDIVIKNDQFVEVDFHYGQLCMVIPDVPEFRGKDKLCGLAGNFDGNCKDDLIKPDGTQVGNDCRPKQANTDEFADTWRTLDYTQPNPTPACIDGWTMTNKSRSCPDLEGAGADCVDIFNAQTGTGPFAACQFLGKDFIQNSYDSCIFDVCLSETMRCNSYADFVASCQFAMGGVDVSNWRTKTGCQMNCPVHSTYTEYVSACPATCSAHDAPDYCDQPSAEGCECDYGYVLDTTNLAQVTCIPIEECGCTDSNGNMHPPTQHWLSNECSQANYCQNGNYFRNPYACQPNAHCGITNGEMACICDSGFIYNKDTNACDDIDECLDPHSCSAGDGHGTCVNTPGSYYCNCNMYYAGHDCETFAPKRHCADLFKYHGETADGAYTVTVPVDESYGDIRVYCDMTRGGGGWTLIGNSFTNETSEKTLEEYAVGFGSAEEADLWFGLDLISLYTNYQMMSLRLNLYRCAHNGVEAKWTDCTYKQFAVSGKTDEYRVTIPEVCRGTEIDYYDGWARWDLSKTGPKFLAYDNDNSTFLCSSTFRNTGWWYDTSYRCGSANLNGVRYTCDDIPQGEDGQTYLFWNGDPINKADMYIRPTAFPNYD >PPA00034 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:167418:167843:-1 gene:PPA00034 transcript:PPA00034 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLRKTNLIVTALDVNIVDIIKVVIIEPPVATSSESPQNSPQKHKGVGASGRSSKNKDKKKKKNPK >PPA00266 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1750367:1759829:1 gene:PPA00266 transcript:PPA00266 gene_biotype:protein_coding transcript_biotype:protein_coding MASRATKLFLLVLSLGHAQYIYQQYIPQRPVAGRNMVGAASANLCKIAANAAVPMQPQPLQPGQPIIPINPVGPPHPSQIIRPTQPQLPQPLRPVQPVQPPVVQPPTGMPVVVPPNTLQPAPTAPSSTLSPITTPHSRPTTTTELPTPGPESTTPTTRAAPTTTASTVAPTTTSTTTTTAAPVVEEEEEPVEIQTIRPSPRAVTPRTTTTTERATEAPEEQEQDRATEPSTTSESATGEYPPNQMRPGRRLPSGPNPCESGEPLKNDFGAPISCNVLVEANGGCPESHFCHPGVGFATTQCCPRTTEQISARGAKRNLDKHKRTMGSELPRCDQPRNVGIGREMSARWYYDVTTKECRRFLYKGIKGNENNFITKTACMDACARVEKKSFPEPRNPCRSGDFARNETTKRKIDCGFAGGDGCPAGFYCHIGIDAETSACCESSGITDSCLLAINLGEGKEQIKRFYFNTLKKKCTEFVYKGTKGNENNFLTLKQCEHECKKWNDPCPLAIGELSVRPQCTLDGGECGDGEWCHVGHSSVTTVCCPGAVDPCEQSLEVGEGPHNLTRWYADPDDKACSRKCKQFQYGGTKGNQNNFLTKAMCENTCSKQCSDPCGSGSLLMLPTGEPRQCSPLSPCPNTHWCHVGVTPQTTVCCSAVPDTCHLPLALGHGTSHLTRWRFDADKKKCVSFFYSGEGGNQNMFLTAEDCATVCPTFFNPCSSGKPIMIGSEPKVCSSSDKCPSTHYCHFGSDESDQFCCPKNGDPCEQSLQEGNGDATLERYFFDSDARRCEKFVYRGSKGNANNFLTKTACEKACPVLSNPCKNGEPLLGEDKEPVICGGEQGCKPGYFCHVGASPDTTYCCPGTRRACDQPMESGEGEAKLERFFFDGAVQMCRAFTYKGLKGNANNFLSMAACREACREANPCGTGEPFTDGEGERLLCTGGQKHDSCPGGFFCHVGSNALTTLCCPKSNSDPCTQPRSEGAGGEKLPRFFFNTRTGRCTSFLYGGTGGNENNFISSATCQSACPGERRGKQQSRQGKKEEKEDEEDRWDTYGQIHRDYCPHGMPMTDIEDRLETCAVDRPCPEGFLCHVNSEHNVSVCCRDPTDFCTAPRDAGPCDRMETRYGYDPTTDSCVPYEYGGCGGSLNLFSTLHKCTQICCKEYARRRRSIY >PPA00551 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3615164:3615989:1 gene:PPA00551 transcript:PPA00551 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDPIDRPFLRDVDQWMEQLYDCKQLSEQQVKMLFEQRYRHTVLIAVYEGENAVSSEVRNAVEKLAEYLKTSGY >PPA00360 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2307415:2310210:-1 gene:PPA00360 transcript:PPA00360 gene_biotype:protein_coding transcript_biotype:protein_coding MVILHRRRSNTEERVEIIDMREKEKYPASSRMKYLHPRYRRRLIFASIAIIYLIYCIFFHDYEKAKVSRDTHAEVLRNITETRLHILHEFCDSFGECFKVKNVYWNVAGNLFAQRMLVLRSNPGVALTMANLKVPEVLTPAYLDPLHWKVDKTTLVSAYNALQAAAGFIFGSISFSNLDSREHRVLQIGLGGGATTNFLATMPVELSIDVVELEPTVYDVAKKYFDLTENERVKVKIEDGVKFMERGVNENAQYDSILLDACTTDVKEAALCPAPVFRAPEVIENLSKLVGSTGVLSVNMFATRDREAQQEMIESQYQTFFLKCFSLRFNVEQKMLFCSNRKDFSWNGRKQRILGNLKDFDLRMRTNLHSAISTLNE >PPA00289 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:1914005:1916569:1 gene:PPA00289 transcript:PPA00289 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGRKADAPKKDNNKEKKEKKRGESRASRLLKEMMKKKEEQDETRREEGQEVERSAALLDAAQDFLSLFDRYQEAAVATGVIKAIRVVRMKKPSLYFAHSLATLTDERACGMKRRLPEKKVKVDRSVFESTDDSMERMRVIRMEDLAFEELGSEEARLEDDELWRNGKGGEDPAGEEEENEEKSGEESEEDDEQEEGRKKKAAVARLEQSRVPEAIAKAINRLNKQGSRPGMKRRASEKHSPFRIDK >PPA00411 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2606839:2619175:1 gene:PPA00411 transcript:PPA00411 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAPHLHNQQHQEAQQRRATSRITDAFENAVKNGQMNGYIGRLGDLGSIDKKYDRAISANYGGPLDMYLVENAKTTQEGIALLKKLGLRTNFLSLDRQQRYLPAIKKIEAEKDGGGVAPRLFNQIDCDDKYKPAFFFAVNSAYVADTMDAAAALYKQNQSRGVKENVVTLDGCMVTYKGTFIGGGKAAEGKMGTAKVVRRESDVKAEEAAAKKSEEANRENRALDDEMRELVRRKRDVQIAFERVEDRLKILKEQALKMDQVIKTAEERVRVMAEQRDARVKDMEASKVDEKELHEKRTEIDRLKKERDAAADESKAFKARIKKIDNEMAAVYDRIVKPHETAVTTTRDAIETAEKEVGKQQAVINGAGRNIGKQQQRVSDLEKDLQTKREKADELTKDEEVFVESRDAKREALVEFERRKGETEEQLKEIRGSTGELDTKEVNLTKETKERTEVLDKIKDKIDRLKLCVKDADDQMSKLSLNNITELLFDIPEDMRGARTARRFLLDGESDSDLDDYEEFMSNSPAKKKELKEKKKRRDQSPQNKKRKVNDSDDDSDEEERAVKKEEQASGRGLEEMMERAAIAAASTEDDEPMEEETAKQRAEDVKQAMTSQEKQKIRDEMLKSGQMPTWSRKHIMKLKDDEIKLDLDTIEKRLVSMKRELQAHVLEDFKKKVDKLRSESALMAIIKQKCFLHREKLDLFKKQRLGEFMTGFTKIASSLRELYQMITLGGDASLELKDIIDPFLEGVIFMVRPPKKSWKQIENLSGGEKTLSSLALVFALHTYRPTPLYVMDEIDAALDFRNVSIIGHYIKERTKNAQFIIISLRNNMFELADRLVGIFKTTAFQTHDCTRNVVVDPKRGFNCSRSRACTDGGESNPDEPVIRYHVDHRLTTILHIGPVKVQWTEYNGKWSATLMTSSLTTVYSVDASASDGEAQSIETVADLNRLKTGDKILYQGIALKDVVDGSTFLIDRSASPDYIPIRVGLINCPPSPIVKKGMGVTIEDILYKYDVVRLKCTKIDLDPQPNDAMLNIKSTLPTDLLSPMRMRLCLKFLKPPKLEEESIVAIIHGIERREENLYIVSLMLEEGNGVIAMITHDVVKFDHVFGTVVSRSASKWSIVAIVHGIEKRDEDSYLVSLYLEHGKGITAKIPHNAWSLVSHLPY >PPA00424 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2684578:2685229:-1 gene:PPA00424 transcript:PPA00424 gene_biotype:protein_coding transcript_biotype:protein_coding MQPESEYSRAPIWGKFGVFDAHRAGETVWEMKRPVFLTRCAEVSDVQNKRFRKEDIPMGGTMISDLVGKGQGKGTDIDSLLKINFNQSFGTMGQMQLQQQQPHLHQSTPQSFYC >PPA00361 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2310559:2312841:-1 gene:PPA00361 transcript:PPA00361 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVQRKKNGQKIANVEATEKEKHQTFMQKHIGPGFSWRNALILIVVLFITYTIKSSIPRPRKTLSFANLTRPQRVLQIGMGGGATTNFLANMPVNLSIDTVEIDPVLYDVARRLFDLTENERVKVKIEDGAKFMERAVSEKIIYDSILLDATGSDEKYRYGPAPLFSRPKVIADLSKLLGSTGVLSVNMFSTRDQAEQEKMIESLYQKFFRKCFSLRFNAKHQKMLFCSNRMDFKWKGKEEHLAETTSRLKNPSVHPL >PPA00043 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:216687:217225:1 gene:PPA00043 transcript:PPA00043 gene_biotype:protein_coding transcript_biotype:protein_coding MIHDLGLFLLLKLVLSSPNLYRDPHDPGKDLAFLPLTKPFIDQISDESRYNRYATPTQIRATSWVR >PPA00192 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1186011:1191577:-1 gene:PPA00192 transcript:PPA00192 gene_biotype:protein_coding transcript_biotype:protein_coding MRELAATRLERPPDAVACGCGAGRKARRAKRAPPSGPPAPLVRPDHVPLAPTTSGFGRFFAHFVRKVFDANGNSTTSGSTRRRDRRPLGNNNSSPASHVSEKDELYGTTLLDRLGDPAFSSTPKLNGHHAHLNGNSVHHYDERSFNGTKALSFDTSSSSSADSTSTGEGSGIARLTKGVNVSSILEDDDMNTSYKPASPSVLSSLHRPSTTASSDHSPSHYRRLPRPAPSIANTLIHHPRFHFPQGKAVPKSEIDAALRRAGEVFRRLPNAVATYDDMGDIVLAFGMPLYWKKPIWDQVARGRSRVEQKDFSAWWWNLTTVAHDEAARFVHVLSHGGRSFLAEADFHSLVLDLIHTHPGLEFYRKSEEFHEKFIQVIVTRIFWSVNRSWSGRITAAELRKSKLLETIRELERTEDVNQLTEFFSYEHFYVVYCKFWEIDTDHDMEITREDLKKHHDHVETTILPMVVDRIFSGAVTRGHSTINRPTRDLSAFTAFLLADEDKNHPTSIEYWFRILDLDGDGRISLLEMETFYNELVKVISQFGIDTMTFTDLACNLIDMIEPASGKDFTLSDLKKSKQAGRFFNAFVNWRKFYLQESSEGEKTSVKGSGDEELSDWDRFCMEEYEQMMEQDEDNDENIDDT >PPA00188 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1169093:1171591:-1 gene:PPA00188 transcript:PPA00188 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSKVEDLRLPAAVIARIVKEALPSNAAVSKEARTALSRAAAVFILNVTNAANEHADGNKRKTIAATDIYYAIKTLDCEQLERPLQEAVEAWKVGRSAKMEEAKKRRAEKKANGTTFETSRTCELATGRAPPDITHIARPLSPIEQCTTHSFLYVSHPLYMFLF >PPA00007 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:21179:21625:-1 gene:PPA00007 transcript:PPA00007 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLSSLLLLSIGLVVSANTSLPDKFYGKFTLDHSENFDEYLEAKGYGWFTRKLVTLATFEKVFTKGAGSTFDYENLTTKKNVAYKGVTLGKEFEGEGLDSEKHKV >PPA00462 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2976614:2977964:-1 gene:PPA00462 transcript:PPA00462 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPCLDRTVREHGKLRESFAPIVSDLWEGCEERCLGGQRGERACEIERVMEREKRITQESLGRHA >PPA00160 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:914716:916225:-1 gene:PPA00160 transcript:PPA00160 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRKLLLGFYRTSDTQQLQPPPNRNMHQQPQNPLADWIRATDKSAQEEQDNDESYRNYENMQNSTPSNQQQHQPAFFTGQEPSCSYMPPYPPYDQTATFEFNPGYQMSFASFFPTFPTQYAVHDSCAQQQQANQCSCEATRLLQQLLQLQPGLSQLVQQLQQQQLPPTPFPKPGSFPTPPSPPEQQPPACLQHQQEQQQLQPSPSHSIEDEIGHGGTSGVMTPDYPFHFDGNEDETTPVHPTKEKKRQLTPFHRVRASAAPVPANRALQFRERMKVSY >PPA00355 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2270972:2271644:-1 gene:PPA00355 transcript:PPA00355 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPPAESPPPPQPAPNPLANVLSTVNQIINPGRGNNALDNVMQTVNQVVNQVTSLITAPHLKKMVTSIEKWTSAYQRPGQSYSNVLNILDKFYKNQGGQNFFISKFKLDIKPGDNLC >PPA00096 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:567470:574520:1 gene:PPA00096 transcript:PPA00096 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPSLLQSSLLLLALIAGALALVTEEGVSTQICARVHNNTALEGLAPSSLFLGNAYECQRKCVQMYPECTAIVYYFVRNDSKHHYCYLFNQNSASGNVSLIEQKPPSKKDLVRMLELVTDCHEFDAYPPINEEEGEGYASSSDRVDRKKRHDGEEIDAGEWTDWSPCAENGDSTTRTRECTYGRRIERRGCPARRPSAPIGVSPQQQQHHQGHPQGLAGGQHGVLAPVIHTQHPQQQHQQQPHPSLPQIDSRQQISPNDPQAQLYAERMANYRPRMRHPAVAQAVPCTGPRCPAPVRRQEEAPRALPVAPPAPTRAPAPLPAPTRYRPAPPPAPNCNSPGCVPTRAPLGEWFDWSDWSVCSCTCGDGVMQRRRECNGGYCVGDEYEESRCSMGPCQTWSEWCEWSECRTANGQNCGRGQSERTRYCLLGTQRCEGNDYESRECDLGACPEWSAWEQWTACSVSCGDNGRRSRQRACLGGVRGDECQGPRQEESACEPQAPCSFWANWGDWGLCSVTCGRVTPSSPQETTYCDEAPCAQWTEWCDWSDCSSECGPGSRERSRICMGADGQESRYCLGAPTESQACEGTNCCSWSEWCGWSDCDKRCGGGMSSRTRVCERNGFPDPTCQCPGAPQEDRVCNPQPCAPKCDWTEWCAWSDCSTTLQCEVGVQLRSRQCVGESGCECIGLAEENQQCRGTNPCPPKVPC >PPA00214 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1358861:1361423:1 gene:PPA00214 transcript:PPA00214 gene_biotype:protein_coding transcript_biotype:protein_coding MHAADTPELQHEQQQQGGDFSAADQPPQLQPQSTKRPARERKKAAALSEKKSASSNPQACSSVDIVEEEAVASPMDQLLAMVEQAISPEIAEPPPVDENMLPVSNGDKKWFLHNELQFDMSKLLILLREKQTRSAAVATVNQASKKRQWVVHLSNSTSSPAPKILDSPAVGTPRLHTKPAASPLANSAALASLVPSMPPSASLPQLIRRKSGAYQIHRPSFPSTLSPTLHASPIVTPSKGRQIASIPLRSLSASSSLIHTALASPSVGPTPFNTPVTQLSTTSLVSLLNRGDPVSGFKRKRKSPVVHTESVCANCGTRETTLWRRSDEGEIECNSCSLYYRKRGVKRPLYLCNREIHKRKRLSAHALSENRLEVDVAKMIHAMGKGEDGSRGLANEENAPPIPRTS >PPA00549 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3595878:3596303:1 gene:PPA00549 transcript:PPA00549 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFAVTITSALKGRGRPKKTVSSNAPNRVGYLVYTTTRKSSIAEVDDIVVGGTEAKAGEDFNTWDLITNETNDKEGYDDDDEEKEIILVNRQDDKEIMMCMIQEGFNDVFYH >PPA00033 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:165324:166246:1 gene:PPA00033 transcript:PPA00033 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIPKLSTVFNEIRTEDNQIGLGNFALGNCPNPGLFFVRGNYSTKVNSMPSNTKLWTGNLDKNARIEKPFGTLNLLSYVHFEHDQKHISIKFVIPLSKPDEDCLAFRGFKMNLQYSAIQSITVDSGQKNLNRKIQPTTMADQKRIINLQKEFGRLKKLIDGEWGLGSEKMGREISANEL >PPA00064 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:364699:366448:1 gene:PPA00064 transcript:PPA00064 gene_biotype:protein_coding transcript_biotype:protein_coding MANGSKADTVEQPEGFKKFLYNKEAGTVLGRTAKSWMQITVFYIIFYTLLAGFWVGCLAIFLNTLDPKVPRFYGKGTIIGVNPGRRDRKLILFAVQSRFDMEWA >PPA00177 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1067296:1069218:1 gene:PPA00177 transcript:PPA00177 gene_biotype:protein_coding transcript_biotype:protein_coding MNYARITVFPPSPFFIPILSNPCSLLRSNGLSYRSSLAGTPGAPQTRSLLPDFWMAVVRSSTVGRARLPANCVKFEVDPRMSKHDVREYLEKVYQAPVQMGEILWNSKTDYQYKKAMWKEEDKKYAYVFMEKNYKFVFPMELTFSESEEVREIEKHKEQMEKIGENSKFVNSDRGTVGRLLS >PPA00436 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2745478:2746660:1 gene:PPA00436 transcript:PPA00436 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEQYDSADAVSVDESDTIIDGVVLEDPVVITPPRASCIPVLCRTPGSVVGSGRSYTSIRRVSEERSPIAFKPSRIPRWAAACVSVSDSSVSSDGATSSPAYRSVTVTPAEQTILSHDEDYGAPTSTSSMSSLSSVEDLANYTSEAAAVHVSLGNQNHAIKVEQEIDMAMPTMTLATITVPE >PPA00207 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1315986:1318455:1 gene:PPA00207 transcript:PPA00207 gene_biotype:protein_coding transcript_biotype:protein_coding MIWERRRLSSSSDPWDTFFYRAYAAKKPTEDGCARIERKRRPRVELVHKSTDADDEDDGQLTNRRASSIVIDPATVVAMEMERARNNSSESSSDDEAEQPPEVIERSRRQSLSNLMIKKRREASLAALDAATAPPEQLQLLQLAVPAAEPERVRRPSEPPAAAAARPRRPSQRQPQPPLQQRQNKKEEVKQPAFAIMKQEEIASFKRIERRDKPRAPLLPPINHAKGSDKLFILTALKYGEIWETMNHPAPRHRASTINIPVNMSRSRSSPNSTSRMRFGRPPHSPDGRNQLTRLFYLPLIPPRTTGIHQWPGHDIDRVIRALAS >PPA00517 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3297025:3303710:-1 gene:PPA00517 transcript:PPA00517 gene_biotype:protein_coding transcript_biotype:protein_coding MGESYGGVYVPTFTDLLMKGIVSGDAKYAGIDFQGIAIGNGIMSAHHQINSAVSLTYFRGIHGKEDYDKLARCVIDADGPMTYYDWTKYITIDDKGNANIKDTNTSSLEYFCGAEVVRQGFMDVWESGNNVYNTYQDCYVRNPLPNIPGKASSSGYKKEDRRVKRDATVDRPVKYDPFIDEAKRMNYYSTDSSAGYYCYDGMDPYLNRQDVRDALHIPTWVTQKWEGCNDDMNENKYVQQHPDTSDVFKSILDSVYKDANRKFRILIYNGDADMACQFLGDQWFTEKLAKDNNMKVDKPFADWQYKQLDAQQSDVGGYTKTFNYNNGKVIVDLLTIKGAGHLVPIDRPGPALQVINNFVHHNGDWNYGLYNEIKIDRKPLLDKYYGDNTDTYNRREKDMLREQLPGVTWNPNFNQHAGYLQASEGNKLFYWLIEAQNATPSTPLALWLNGGPGCSSLGGLILENGPYRPNPDGRTVYENVYAWNKAAHMLYIDSPRNVGFSFGEKKDDNVYTDTKTIDDLVLALEDFFVAYPNFAKKDFYVTGESYGGIYVPTLTAKLVDKIKAGESKINLKGMAVGNGEVSAIMAFS >PPA00237 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1527569:1529531:-1 gene:PPA00237 transcript:PPA00237 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVAVLLILSRIHDSGSSFGKPFYSFIESAYGTEAARVLERPDFGKDGSFGGGMERNTTISRNVTSRRPVVIIHGVTNTANTFKFVRNFLLKNNYTDDDIYGTTWGDGPRRFILWVRLECQYIKQAVVRTVFEVRVLIRSVVDFTGSKVDILAYSMGSPITRKAILGGACGREDIGPPMTDLVETFIGVAGANYGSYLCFVSFGICNTQNGLHCESKMLAHINSQPAKFEARRVYAIYSTADNKVHHLHALGPETVVKRTCVSAATLRRVGLDCCGKNCSMIPHANEQFIFDKWDHEQNSPTPTGSHQTGLI >PPA00083 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:493334:495283:1 gene:PPA00083 transcript:PPA00083 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNEDESMVAATNEQIRTVMAEAGEDIVDEQGEVVMNSSLLPDLEDYRNPLRLSRSLRSLHSYADADCGLSRQLLLSVSDGDLNEARTREEKEQESEDFFDMINRLQSKRLDDQRCDPVVFSDVTNQRTRQTDQMMLSPSGW >PPA00344 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2229297:2230364:-1 gene:PPA00344 transcript:PPA00344 gene_biotype:protein_coding transcript_biotype:protein_coding MKCAKEVRIGNPKLASIGEYISRTDSGKSRIRSWRESTDEDIIHDFEAVARRMIFASYDRLKNFEKTMTKKEAWNKCSIDLCKTARMHVKLYLVKVFLRRVSTCEDAACKKVMHTLAKLYAFDLISGAAGYFMKGGFMSEKQSENVQSDLYEMLEVLRPESVALADSWDMSDLELRSVLGRRDGNVYPALLEWAKKSQLNRTEVLPTFAKYLGPMMKEGRSNL >PPA00501 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3193197:3193474:1 gene:PPA00501 transcript:PPA00501 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLILLLLVSSVFIAQTTAKAWDVKVLLQWFRDSLEEKEDAMTKSYSN >PPA00049 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:264966:270057:1 gene:PPA00049 transcript:PPA00049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hda-4 METRSLSSSSQTISNHTRDRLKMMLANKKQNNSTDSESGPPSATLIGPATGLLPTQAGCSTSSSTPVAGGGPMGGGGGHRRAGTSRTPPGHLGSHVSAASPHFEPYPMSSGHHQRDAAIMAQAGEYALRKVNSEPNMKMKIRARILSKGNSPVHSANSAFNFHGQFQRQDSDTSSSSTGLVPPQIVFPPSLLMPSPSLPNLAAAAAAGSLLQQQQVDMANLLAQAAGGTNLSSFLSLPSLFKQQMGLGGSSTSLDVEQQQQQLLDANRMQLDPRIAALGTANLPCYPSLLKQQLRELVLRRKSLVREEPEDDPTAALAATAAAFAARNNVPGSAFSAAGSSASSSSAGTAPIDIAALAAHLLQVNSNSAAVAAMATASTSTLLQETATVERVETSRVESNENAPTGLAYDSSMTRHACHCDNATAHVEHGGRTQSVYARLEQTGLTQRCVRLESRQATIEELRRVHSTPYVDFFGAVPVNGEKRGPMPLKSFIQLGCGGIGVDSDTYFNETSTASAARTAAGCLIDLCAAVVEGKMRNGFACIRPPGHHAEREVAMGFCFFNNVAVAVKALRTRYPEQCRKIAIVDWDVHHGNGTQPVFDEDPNVLYLSMHRHDNGNFFPGTGAVTDAGRGIGKGYSLNVAFSGGVMGDAEYLAAWRVVVLPVLQSFRPDFIVVSAGFDACRGHANALGGYEVSPQMFGFMTRSLMGLAGGKVVLAMEGGYNLEMIAESAEECVKALLTPGDTVDVRECRLSQEALEALPCHPAQETIQKNEESFPTEYEFDNQREMFRR >PPA00356 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2288644:2290409:-1 gene:PPA00356 transcript:PPA00356 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVAKDPKASGNIHYGRNSLTRFRVVQVLYFALCVTGLTLEMRDHNWLREFARQKWGLIDFDARWCAEVIGMVCSVFLVAEYVLRVWSCVSNNVYGGDPKELNAARIRENHRRGRLRYCLEWLNLLELVITIVTAFAYFVWTPHSHLRFAIFARAIHWDKSVHAWRLIQKIGRKGLCHMVRYCKRIAW >PPA00468 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2997611:2998325:-1 gene:PPA00468 transcript:PPA00468 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVSTPCRPHHTCAAPQPERPSNPYTSTNLRASLPGCPPASSPSLADGLPLLCNPNDANDIANDVCPQGYACTASATDFTRAPGSPAFLCCAE >PPA00431 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2719520:2720295:1 gene:PPA00431 transcript:PPA00431 gene_biotype:protein_coding transcript_biotype:protein_coding MTISNFCVDNKDVVCYLVTKHSWKGKYKRIFSIGTLAITTYNPATLEITNQREYSDFAHIQPSQNAGDGKPARLGTKIP >PPA00112 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:643414:648872:1 gene:PPA00112 transcript:PPA00112 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAAVQCLPCGKKLVIREMSSHIHEHIHYYPHICNNCDFRCVNKNDLDLHTFETDHTGSICFDPYKQWLVDTINDDCRFAAKYGVDELLKRKNITTLPRTPTQPSPIVTPAQQPRPTPTVRRVVSPPPQLVETSSDSDDEPQQVAARPKAPAVPVKRNSGVQNRRPEPPAKEKSETDDSEDSDDEEIVKLRQELTKNVKCRLCSETVRISLNARQSHVKENHMDGLTNGQQSEARKRYFQNELEKRTKDAFPNLVWTSLQCPKCPKIREIKSASGRISHVYNAHHTYLKKLGCPKAPCTFTSLDPHEFKKHFMESHGSGPNWKLFLGNTRLEREFPSDYAEHRRKIESISRLLFPMDIPQHILEATKNKRKSRGDVDDDDILDISALIAAAPTKPTVPRTAEKRRRSPSVVSSDEDSDDDKPSGNKKTAAAAPKPRASDKEEETEREEDQASRVVGWPKYIRIQRQKAVLLERLKIPGQPAPRPRSPCSALLLTSRQVPSQNFKLTNKCRKQRKRRQAQRAKEEGSQMKYAHSSHNSSSATVAGDTVNSKKNGEREVKREEDIKQEPPATPTVPSGRTFPGRSAPLSTSLTPGEQARQQHLRNIRWRGGHEGTRSVGYGEGRGAGRGSVSRGRAGFNNTPSGGGYGRGRRLY >PPA00334 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2172037:2173491:1 gene:PPA00334 transcript:PPA00334 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDPSGFNTRRLHIQISFHSSSFTMPQLVAIGTMLNEDECPTAALYLTMIIVGLSMIATGFLMLPLINCYERMADARHRREALVVHDECIAPPVCDSIAREQKVKVPDWKDYFAADNTFE >PPA00542 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3508156:3512221:1 gene:PPA00542 transcript:PPA00542 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKGNPNMADEWAVDEVNEAVDVAPAFELKSDLPEVKLFGKWNHQEVL >PPA00117 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:671640:674651:-1 gene:PPA00117 transcript:PPA00117 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDAYPVVVIHEIAKRLDYATIKAMRLTNHHIYNALSDSLLWIDLCERDKAVLPSIAFRRSLAEHAEEDESRVGQLDFERIWVKNPFRPNLVPPMLSTMEAMDREYGWKFASDRHQNDRSGMVVEEPPAGCEPHPDIVRCFATSYVWGKRLVTINLKKEGVPDWILDRLRPRIIISELVAPRFDCSSIYQMHAQLLKEGEMFDTRATHPRRSAVEKMEWPQWTTPTQWSRVEIVFVDYPVGMREIAVMSQGKDQQFWAGNYGSKFANLEIRIEMPDEMRWLSDDDFPDGEKYAPFISMRNVAGRPGGFLGRFFGRRN >PPA00172 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1010511:1016010:-1 gene:PPA00172 transcript:PPA00172 gene_biotype:protein_coding transcript_biotype:protein_coding MWDVPSEVIRERIKKRREKTHGSNEYVAPTFRVPVWPTLEENEAYVKSYRLNKHDLRRTLHRLKAQLALEDARKERRMEEMEEWRQKEERWQEERRRRRDNSPARRSRSPFRSEYGYNGRDQSPNRLALSPHRSQHGYGGRDQWPARRSRSPRPSQYGFSGKDQSPNCPVLSPRPSQYGFSGRGDSSPLEVVAVVVNGKLQPADAALPALSGDRKPKKEEVKKEEKKEEEDGERERDPDLRIVRDYVRPSVERSFNQEQRDWLTCNIRKRWGNPETDPVYLDSINPKIIRHGDSAIFLACSSDPHSRIRAVLLDPTYGTYSLEAVINVFDEPDEFGDVKEYKLTVTPQMMGPHIVLVFSDDYFLVQSFRCVRNNPNYRPQRGPSRPRLPRLEVLGVPAFMGAMFHAPRPRRDNEKFVDVEKKIPSPSPSEETVRYIPLSDHRSFIDC >PPA00368 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2345368:2346616:-1 gene:PPA00368 transcript:PPA00368 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQEERRRERNHHSRDDDSQRGRSSEKGGNGTDMQSMKERKKSLMTRFIPGKGAAAGKRTGFARSEEVGIPSALGGQPGSIGTK >PPA00254 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:1630897:1632678:-1 gene:PPA00254 transcript:PPA00254 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPKRLRPNDEGCCPIVTGQINTTTFERPMTISEELEEEDADQYRISLNDEQNSSNFLIVLFREEEFTKIDIEFDVDGDSDDSN >PPA00223 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:1419662:1422681:1 gene:PPA00223 transcript:PPA00223 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTNRSASGAVKTKVQNVNSVYSGKNQLQGGKPIGRNGGLTAIGKASGVVRRMPPPPTLPSLRAESQGQDPDIAIVPQGGTGWSKSDSTSTTAAAAAAAAASTASPTASESKPSLSSSTASTAVSSGVDMRPAWAKPAAVAAAPPTETIPVAGYPTLASAAAAASEETRASNLKRNNALFKLSIDKMLIQMSCPLVSTTVVAATAHRGPTNRRDSVSIKGLWNQ >PPA00329 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2150536:2151663:-1 gene:PPA00329 transcript:PPA00329 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVETWRVAQCGLGLCLILFAFLAMSSIEETTLKDMSEQDPSISPKAGYYSQTIIYGAFVISNLIAPPIVAIIGCRWSLVVGSVGYTIFMSQFLYLSNWTLYAGSALEGFAAGREFVYSIH >PPA00404 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2571646:2571934:-1 gene:PPA00404 transcript:PPA00404 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQPLSNHSITLKFKVQRSKIKGQKSTSPSVLSAARREWRPLFIKWTRHGVPWGLDLSAVLGPSFPNRMPRPHLEGGLGP >PPA00393 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2522830:2523640:-1 gene:PPA00393 transcript:PPA00393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mnm-2 MSEEEEKEEEEEEDDLPEDEDETMEEEEGERSKLDQLLSDLMEKREEEERRRRDQSPAALTLPGSAPNPLEYLQRLQLLQQQAALQWQLLRMLPTGSPAAAAAAAALGLQGGAPAALLRPPQPPVSQNQQPTRPPLTRLPIPAACRVPRSELGNGATRGNVKKYRCDICEKTFSRSNTLITHKVP >PPA00055 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:313567:315643:-1 gene:PPA00055 transcript:PPA00055 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSSSSSSSSRSTRSSRRAAAAVPAGAVDATPEQIVRASTIAPINVDEIECSVCMESLREGDEEVVQLNLCGHQIHYNCFVGYLTNAQRRSCWICGAFFWRPLGNMPHGTMTHQVMQGQTLPGHPDADGVIIIDYSFRGGVQGPQHLRPGMHFSGTRRSAYLPNNAAGQLVLRLLQKAFECKLTFTIGDSVTSGAQNTVVWNNVHHKTSMHGGPQAYGYPDPGYLDRLTEELASLGITEALLDE >PPA00294 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1928387:1930272:-1 gene:PPA00294 transcript:PPA00294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-npr-18 MTFHAQFPAAGYNSGRPFLMWIAAFYGMLFIIGTCGNVAILAIVHYVKNDNSKTRNRHNTTLTYLSVLCITDFLSMLPLPMTILDQILGFWIFGSYACKLSRLLEHISKIFSNFILVAFSVDRYLAVCHPLKTNLRTPSKVFLILGGVFLISCSLISPMIYNARSKTYAMDQQFNNDTNSLSVLYCNKCVDDMPPQTFIIFIVLTFVVSNFGQYSLF >PPA00482 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3081738:3083229:-1 gene:PPA00482 transcript:PPA00482 gene_biotype:protein_coding transcript_biotype:protein_coding MKREPLATTNRNGNIQLKLGELILFQCFLLPSSGSWSKCASPNYATKSGSGYYIPCACSQTGLLAVFAVAAPTPPDVPSYNEIRLTITLSSTSTPSTAQRSTLIDRLANATGLRSTRFVSTTTVNASTLQSTLRPATKTDDLVNNYAIQSILRAIGIDGGFLAYDSVKITNLTYEPILRVLTNDGYARKIIVNIDASFSTILGTANTTAPLVAAWSAAMYGMLRISPFRVKNVLA >PPA00147 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:829767:833925:-1 gene:PPA00147 transcript:PPA00147 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIDGHKSHHSKLICDDAEGWKDDSNENLHLRADEMINVICVEKSCDESLLTIDSSSGFEFNRVDPSNELFKLKCVDTKELLIIDGTTVFAKTLSCDPNVGWKAGQKEIGISAESALLVLITKVRTIQENGSCHQAYVYLSS >PPA00230 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1470867:1471632:1 gene:PPA00230 transcript:PPA00230 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPQTVTENPDSPIGASGFTRKSLCIWALVFLTIALLIGLAVYKRKAIEERWYGKKMVTAHKGSVYQFKRNTVPLVSKKDEQKLPFFCIYL >PPA00124 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:732496:734236:1 gene:PPA00124 transcript:PPA00124 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGIPHIDEDPAPPVVVRMRNRQPLADKQTADNTAAFDAVQRGLEVLAEGETSEETEEIKKLNSQMDHLNDYMNKVEQRLKAHNEKLMETLATQKAEREKRRQSFHERMATNQEEDTDFSKKMADILSRVNMGRGNRQSMYDFIKE >PPA00238 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1539844:1542432:1 gene:PPA00238 transcript:PPA00238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nlp-14 MIAKHTLAVLLVAATVSSIEALRAKRALDGLDGAGFGFQKRALNSLDGDGFGFNKRALDGLDGAGFGFQKRALDGLDGEGFGFQKRALNSLDGDGFGFNKKKRALDGLDGEGFGFQKRALNSLDGDGFGFNKRALDGLDGEGFGFQKRAANRQQRIRMLRQFLF >PPA00053 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:297667:304426:-1 gene:PPA00053 transcript:PPA00053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter [Source:UniProtKB/TrEMBL;Acc:H3DRM2] MSKVFTPGGLPERDPERENRGEFQSTIQYILTCVGYAVGLGNIWRFPSLAYENGGGAFLITYICCAAMFGLPILFMELVIGQFTQSGSSIAFRHYFPLLQGLGWASGVMSISIAFFYNVIVAWTMLYMFHTVFGGVDKWSSCRNEWNTEYCESTKEDKLCRESGQGDKFFNKTCWNTTLHGTDFSYANNFTAMGPAEEFFVYDTEFPGDVATPLGQFKSKEDFNYQQVSCNVNMLKPIQVGFALVDDKSELPPSGDVWQFNFMFSLGEDMFSQVGTHRKKDLVKLQHGEYVSLAKCETALLTCPIVENICRCSGSTEELCANAVAIRHSRRSSMSTRLNKLSRVEQSGAIHLCSEIWTPDSGLLTEARKLKRIPINQKYEDTIKDLYAKAGLNYENSLLPFRYNNVLKAKMEFGDWGDFNWQIFVCILIAWILATLGLWKGVKVLGRLSLVTATTPYIIIGILFVRAVTLDGADLGIKYYLLEPDFSRILKIETWRAALTQACFSLSIGIGGMLSLASYNKRSHPCYRDALIICGADSFMSVFGGIAVFSTLGYLSKQLNVPIDQVVQSVSIFHSGVNLAFVAYPEAISRMWAASVWSMLFFVMLFFLGLSTMFGYLEGFLCCILDQWPALRPKRTIVMMCISCVGCIISLIFCFSEF >PPA00335 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2173672:2174821:-1 gene:PPA00335 transcript:PPA00335 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNQVPAAAKNIAFKYNGSVAKYLRYVSWQYLWGGREYGLQFHDTYFEPAPEVTEALRRLNLKEPWLFDARKQRLSTAHTLAVHGEKLPKASWTQWDQESWYLKPYLDEIEAEKKQRVESSGILPGYELKSEAH >PPA00058 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:324316:325087:1 gene:PPA00058 transcript:PPA00058 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIGPSFWNDENHNEFKIPVDRPPKVPQMEITEPKEKLDKLHVKAGQVHWIEYSLEKGDAVSFLVTGNANFGFTIVRVENEEEDDAFAYVTVFRLPMRQIYPLFSWMPGPLKVPIEDTVIVPESGVYKL >PPA00433 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2731131:2732723:-1 gene:PPA00433 transcript:PPA00433 gene_biotype:protein_coding transcript_biotype:protein_coding MWIKTEDVSQTVLYFDAFKGGGDVNINSTPRGRFLPDDRNMFINGKNSQDDVNNRYDFGSWMAQIVEQIDVLLLLAGHNLFAHFFPFVLFYGSTDVVSARLSTIHLESHQIGPVKEFCIPPS >PPA00350 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2255460:2258575:1 gene:PPA00350 transcript:PPA00350 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRLSDAEKVFIIHGVQEGLRNDGRSCGDYRPMYVERGVLATTDGSSRVRIGGTDLLCGVKCELVECEDSSKETNRLEFSVDCSANASPQFIGRGGDAYAEELSDALHFAYDNERSIPDLAKLTLSPNHFWRLYVDIVILQYGGAIIDAAAVAAKAALFDTEIVEVVTRQADEKKMTIDLPQGHLTWKLDVKSAPLISSITKIGSTNVVDVTLGEETCSFAALWVGGSVQKAGTEPLVTMTRQAGGGSLDAASINDMIQMGVNAVGRLDAKLMERLAAEETTIPGQTFLA >PPA00377 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2395696:2396342:-1 gene:PPA00377 transcript:PPA00377 gene_biotype:protein_coding transcript_biotype:protein_coding MNVAEATTAATASLARAACRECDRDNPDGRVVSRACLHVVCGECAEFAYEACPICRTPTAFAPLLENPLSPRACTSCYWPAPAERALLSACGHAVCRACAYTLSGQAEARGEAVHCTTCGVPSELIPLEEELIKDVDSITRRFACMEH >PPA00264 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1737317:1741235:-1 gene:PPA00264 transcript:PPA00264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fax-1 MEDEPLNYSIGANEKEDGISLGSSSPPDSSDGLDGGTLEDRLASLRSIFHSPLFLPGGPLAGGYLNPGFAAALALQMSGQQASVSSSPPPFDGTTISVSSFPSSSHPAATTPPPSTASPSLTCAVCGDISSGKHYGILACNGCSGFFKRSVRRRLIYRCQAGTGACVVDKAHRNQCQACRLKKCLSKGMNKDAVQNERQPRNTATIRPPLDDAHSHALLRKYAGCAVSAVLSQPDSTSLLPSDEDASPLSEESKLETLRSILAGNAGVCEAPPAPPVERIVRASFDWARGLPSFAALPKDDQTALLSSKWTSLYLLHCVEAALGSEKCPALEHICGGSRENLDRSRVLFSLLSDADRGEIACLKAITLFHNVPQDL >PPA00407 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2588668:2592425:1 gene:PPA00407 transcript:PPA00407 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVAHRRSPEVAIVDEEIVGYLSRLYEERRDGFLVLTIRPVELAGGSLPTYLEFIDDKDIPDTQQFVVIVPREVKLETEKNEFDQLYAVAFVRNRANLDLSIHCASLILDEALTWEGRIDLLMINTCLLERRLDRMLLLERFILDTIGAHFCVEGRKRVETASDEAKSMHLLLVPPIIAFVMAPSQSSVARLQLQCAFFDLQFIGDEEDMARAAHRLERSLTQIFLLFSLFKDEAAEWSRRLFLYVLDLFSTILASYIMKYRNHLGNEAPVSSLHSRHYGLKEYDECRDRFFILKAKSALITHPEGVHGQICRTFERFVKRARELSGEIFDSSEDRANAEKLVFSFSIVEILEEEIDALLDLVRSLNDERRDMTMHRAPLDECELVLKEAVVAFTKKLEKLLPPLPDANE >PPA00362 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2313421:2316267:-1 gene:PPA00362 transcript:PPA00362 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVVVYYFNFHNPHLFKWRIDEGAKIKDQKWEDTSNTNWNKYKNVRETQLEVMRQLTTTQSHILHQFCDSFGECFNVENRYWNVEGRIIAQRMISIRARSNLVLTMAQLTTPQVLTTAYLDPMRWEIDKTILSLSLSDRSRPQRLLQIGMGGGATTNFLALMPVNLSIDVVELEPTVYDVAKRFFGLSEDNKVRVNIEDGVKFVERAVNAVYDSILLDACTNDVKEAILCPTTVFRDPAILGNSGVLSVNMFTTRDRDVEQYQIEMLYQKFFIKCFSLRFNLEQKMLFCSNRKDFAWHGKKNEILMRLEDFDYRMRTNLAKIIATLNN >PPA00288 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1911328:1913785:1 gene:PPA00288 transcript:PPA00288 gene_biotype:protein_coding transcript_biotype:protein_coding MNDWENRESKAAKRRTIYEEMRECMRKIKEKVESEETIDSYVSLLTQFIRGRSSLLEMDEFACANIPEEVRAAHEKLFTHLLDGAKVPIGQKAMEEAARRREKAVPNELAITVYLYLMAARYGIEEVHPDSGERILDGIRYHIKGIIEDAVKARLPYLVSPAGSIRLNTATGPAAQKQRHKITMRDMKDGIEMSDGSALWVKFANEEMKQKLRRKN >PPA00340 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2202229:2210236:1 gene:PPA00340 transcript:PPA00340 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCFGWGAEFLQKEHQTLHVEIEGRQAEFATLIQKGERMIAAEHYAKGEIRSRCAQLEETLAKLRSEWQLRNSYLAQVVQWHDFQRDAKQILAAIHSKRATLRGSLIGTTVQEVESATRRLDTFEKAIHSLRERVGECDAKAAALVEARHMEREKIEGLQRKVHAELASLSSEIDGRRRDLVDALTLVSLASDIVETEAWIEDRMKAIRAETERQGQCTTIEDKMKRLQKHQAFEAELEFNNPRVHKILHTGRALKEKRGTEQISAACDALSTHWRQLEIACADQSRALEEARDLLAFTQMVERVMEWVREKEMMVSAADMGNDLEHCRLLIERLDGTKADSSVDEQTIEKLNRLGEKLIHQGRGSRKEVQAELAKVNDTWRALHGQVDEYRKQLHAAREVHQYTLDVDDTNERIHEKTAAMRSEEFGRDFASVDALVRKQKALERDMGVIHQKLIQHDTDAQQLLSKASKPGVLWFQKPPLRERILSALKKLEESWNALSTAAEARNAQLDRSYKLHKYLDAVKKAEEWANLLRHKIAAHSPAKTAAEARALLEHHGERKAEMDAREDELKQLHEEGQRLISEQPDHKGEVQRAHKRVQNSEHQLRQTWESERGALMRLLEFQVFCEQAAQVESWLSAKEEFLHKGELGEKPDEVTSLISKHETFEHVSET >PPA00182 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1084470:1089536:1 gene:PPA00182 transcript:PPA00182 gene_biotype:protein_coding transcript_biotype:protein_coding MIDYAFKKELEQNREHVNEIFHYEGSKIGRGTYGHVYRAVPKCTSTPQYTAKEYALKLIDSPYNQGFSISACREIALLRELSHANLINLQRVFLSTERKANGEAAVKVFLLLEYAEHDLWHIIKFHRSAKAKKTPVHVDKGMVKSVIYQILNGIDYLHSNWVLHRDLKPANILVMGNGTERGRVKIADMGFARIFNNPLKPLSDLDPVVVTYWYRAPELLLGAKHYTKAIDVWAIGCIFAELLTSDPIFYCKEEENIKTPTPYHKTQLGQIFSVMGYPAEAEWPNIKDTPEYGRLRQDFKETNFIGCSLARHMERNKQQTNNSQFRLLLKLLTMDPSKRLSADEAMKDEFFKEDPKPSNDIFGCLEQIPYPKRESMNDSEEVDKKTLMLRQHAMASQLALQQHAAQSRAVEAQLALERQQAAQLQQQQQEAAARMQQAQQQQAPPPQQPAVKKMRMAQGMQPQAAFGGGPSVDMAGPSTAAAAPMQFGAGPAVAVQQPDPVAMMQQRHPHQQHQQMMHMQQQQQMGYQQHQQAQHHQQQQHQMQQQQLMAQQQHQNNLMLQQQQQQRQAQMQQQQMQPPPAYGMQQQMMPG >PPA00483 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3083674:3090270:-1 gene:PPA00483 transcript:PPA00483 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTVQPTTSVAAFSSITMLDSLLGLEYACDGIAPSVFICSQFFVDHANFGVNASCSSTGRGLLISFGSGATVTANDRLAITKAFNMLLEPAIQHLVVESPANAVSPDFTVTYTQEVMTCVPSTDIELKQLTGSGNREMTYRWSSGHVPLLPALGSINGRKVTIPTSQLKVNAPTSISVSACNFMDKCTNQSVDVHVSDAAATFTVAINGLDSRVVASKKLTLTTTASFMQCNSSITPSDVSYSWKLDGVEQSKAGNYRIPAYYFAPNATVNLTLEANFMYNGKKYTTTEGRVFTVEIEPLVAIVDAAQRTAPIDSVVTLDASASFDPNFASGAVTHSWSCVNLSGTANGSCDLPTSVDMTSRKSILIISANSLQANERLSFTDTIQSRNISSLTATVTTLLDTVAARSPIIRFDSLAKEKFNTDEFVRIRAFVSSAAGNLTAVWQMTLDGVDIDLTPILSAPVHTFSADEMSASEFVVLPLTIPPGGQSTSPSFPGLLPGRLYSVSLAAENAEGESAGFINLQMNSPPTVGSVEMEPASLTALSPFTASLGDGWSDTDLPLSIVFGIRSILADDLIERPISTSPGTTTSVSLPASLASSTPLVLPSASPKGKACGNRVGYTIAVQVCDRLSACSSSESAQFSVARSANISAALDALTQQIEDEIANGNIWLAFPLLEAIRAENCSTTMDTASADRIVTSLLAGVDETSDASEYRDVISAVTRAIPSLSSAAQLKVLQFVTKYQQLMGITTSSTRSKRESPTALPPPTKDVLLPYLDTQLQSNGSSNLDSYFPTIETLLSASCNQLDESTPRIITQTGVIFTFIQAQALVPASTNFVGTKFTIAGVGSGVVSFDASFVSAFSRWQCEPSSQCLSVCLGSLRIAYGAVTTNSYLQKTLFPGIGYPAINASVSNLHKIYIKNPMGGATVIEAVKYTVNVPLTSYAATNYYGVSQGFD >PPA00304 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1992187:1994603:-1 gene:PPA00304 transcript:PPA00304 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSAELPELPDIGDYLREIESPPKDGERRNSYDLPSTSGSTKQPTPPPSMPLEWFTPVSIHHVPVPPPSAVAYPIPIPMPLHSLPTPSKRANGCPEQCRVCGEQATGYHYDAISCNGCKTFFRRSVMDKREYVCKNEGRCLELLPKVAQLSDLPNALVPPAVSQRDSQHLSLHLPLGDAQSLLIARSECPHFTPVEYGRGDAALEESSPGLHGKKAGREEMRELAPCKPTEPNPMLNLVGVVQGKREPSSKNHLLLLRVNTDCHRTPPPKARLSPNTTCCIHTALNAHCLCAFQTKEDLGGNPVTMKIIAKRKGRDEGETSDLSMAPCSSKNSRQ >PPA00108 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:608641:609102:1 gene:PPA00108 transcript:PPA00108 gene_biotype:protein_coding transcript_biotype:protein_coding MPKETSTVGSTPDSDPIRHVVGVSRGRARSSRLVSLSLLFNFQLAVVRFW >PPA00414 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2631271:2634262:1 gene:PPA00414 transcript:PPA00414 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHPLSSLNTTSVCLCGCTLSERAASLFISPSRVGVCEQLRNRSSNLTTRRHLTWRIPRQASSTLIDAVVTVERVEGAGEGRLLIYEGDAYEKLVWMSDSRRDRKRKRNRKFTLTLPLVDRDISVVYEPPSEGENGAAWSIHYVVADTPTSPLSLHSPSSALPCSSPACAEFLPALFLIGLALLLLLSLPPLICAALTRRSSRKKEKRRTKDEAALLTGSDVDPMLRSGNTECTQVSVHRPAHSVRMVAKRSIGIQLSVQSTPRMPRHWPGSSDSPLTTARGGSSLSNMEELEYDEYDGAMMPGSLFRPLELQTDCIDIEQIIAEAERVVSGVEIERATASTQANGQQFDNHHYE >PPA00314 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2076892:2077373:1 gene:PPA00314 transcript:PPA00314 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKPARRKDGTKKVVDQPSTSKEDEGYGIRFGRNLFKKRFARPSAPIMCDDTVRATTSIVRQREQPEEKESYHIN >PPA00423 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2682171:2683101:1 gene:PPA00423 transcript:PPA00423 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSTSSTSFTPIPSLMQASQLPAKPPALTIQIVSPQSSPLPSLPPTECCCDERLTMSPLAKYSIPYPSSPLPNSPYFFTGPAAYSSSSPINVFHFLLSLITFTLLCVCYIVFFLI >PPA00255 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1633910:1636185:-1 gene:PPA00255 transcript:PPA00255 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRPEIRGKHSYLLTANCSVQMVCIAYEFVNAISQMAHKQWRRSDYLFLSNYQAMMYVVIGADMLFALFHPLRYLRLQHLPYLLLIQLPAIAFGIEYPLHAFLYKDVEDPTMQMWNISNIVVSLIVLLIYAVIAMKMKCQDEAKKNYEVEVPRRQQSSDQVVQRSDSSSDIVLAVFLGLLVLPAVASFAQTYYVHLLMSRDYREAFKL >PPA00292 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:1925388:1925750:-1 gene:PPA00292 transcript:PPA00292 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMATRTPPSTPCKPDVNGNGTNGKACGEKSPLIKGTERNGAAVIASRTPISEFKLDDGESIMI >PPA00552 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3617630:3620653:1 gene:PPA00552 transcript:PPA00552 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFIALNKTSTIEKQACVYAALVLQDDDFERSFFAHSIQTSAIRQLLVIVKAKFFSHSAEQKIKAAGGTSVLVAKDTITCVLRLWDMASVDSRKAAELDGKSVKAYYFWGRAALQLGQYGEAFSVLRRLTSSLTDKRLIDEDLERSRGHLLDESEEEEAKMEAHEGDRLLRGLTIAGEDGRFLTICLLEDPVITPSGITYDRADMREHLQQVSHFDSVTRAPLKEDQLIPNSAMREVVDNFLSENP >PPA00330 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2152401:2153833:1 gene:PPA00330 transcript:PPA00330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpb-11 MNAPAPFESFLVFDGERKVVYEKDTKVPNAAVFTILKEDHTLGNLLKHQLLKDPQVLFAGYKNPHPLEHKFLLRVQTTGDITPADALSNAITDLQAELSLFEERFKDQLKEKRDATRKD >PPA00435 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2736388:2743699:-1 gene:PPA00435 transcript:PPA00435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mys-2 MKRRAQQPLTETDELDLGQHYYVTRNAPSTSEVTKAAARLIAIKNLKDGSIERIPPPNKTKRAERDQSQEDSKALFGTSIMECDYSSTISDTPQSESLQCDSKDAEDVKENEDSDRPFSERVPKLYYVHFLNADRRLDQWLERNRFLERIPDSCLIASGGADLSQEKVAKKDDRTLTRSQKKIHSFNDLDATTQLLEKEHEHRTLVKNVEKVYINGYEMQSWYYSPYPFPPNTIDVSLFICDFCLVYTRNPKELALHKKTCTSREPPGDEIYRDKTKEREISMYEVVGKCNKSYCQSLCLLSKLFLDHKTLYFDVDTFIFYILVEVTSSGARIMGHFSKEIHSENNLACIMILPPYQNRGYGKLLIQFSYELSRREGWIGTPEKPLSDLGKVTYRSYWLNRIIDYLSDENGNGDFSALVSVLAQYRIITVLVQDCFASAIGQAVQLTTLDVLSTLETYSLTKPIKTTAGVVQDGFVMLNREIVDYFLELRKNKPKQLLLNKKALKWKPRDTRGDPIVDFVDEPTKGPRQGEQARAISEQGQGKVRRDRDRKRERRRRDMSESASGGEDGHSLGSLLKKKRDRDRRSPSPKTVPREVVRELESGTFDAARLSESAAAWIETRITEQGGWRGRGSAVEQIRY >PPA00191 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1182020:1184476:1 gene:PPA00191 transcript:PPA00191 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYVERHGEFYHRIGLFARRNIEFGEELTFDYFPNTDAIDSWKLMFRQCRCESALCKMKEEHEDDEDSESEEKKNEDGTDDDAYDTVDSFLSDDEEYVRRCEANKTRQSKKTAEKNKEKPKTKNEEAVREPEKHAVCDLRRDPFEIMVDRYTGDREMAERTMNERRQRGILHRIEEHGPAVSMDEVIRKGKELYEARQKAREARERERKARGATESDDDPKAETKGRRRRCKKLP >PPA00178 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1070636:1071679:-1 gene:PPA00178 transcript:PPA00178 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGLLSKMTRQSMHSRHSIGPASKRHRRDRLEVSPNLFILPVLISLVRSICPPSRDCPPRSASIVVASNGKESELPASPTASLHRPSPPFIIRLVVSGRAGEQRRLAWDRVSVAEKGCDANEYLLQDATALLPSGLFRTALEGSQEARLPSSVLEQSEVV >PPA00438 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2768203:2769634:1 gene:PPA00438 transcript:PPA00438 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSEIISDWERPSRSSLSHLYSFARRESVYIARKKLAGLKDWALDLLAKLKSKQGMAIRREARATKLVATVMMVFLICWMPFFTLNMIKIYMLFMDSWSEHYEVGSGSGTGFSVPGSGSMTLPLWFHWFTALGYLNSSINFFIYAAINQKFRHSFRRLLCGKRKKREKTRWLAPTSSAGPGGGIAGKCGCTSAFGRLKKGEGKKGRSSSG >PPA00343 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2224891:2225572:-1 gene:PPA00343 transcript:PPA00343 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGKLDKLLIENFWYLGYLSKDNADSLRTLLPALGKKIWLSVTCDKYPQSPPDYTTNDHSIQFYNYSTPAFLRIIHTSREQEQSETFLLFHA >PPA00272 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1801400:1801950:-1 gene:PPA00272 transcript:PPA00272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tbc-13 MPYQPMLFNEHPTPLHCPFCSQQVIPYRTLQVTSLELKHGKLAWLLFGMMCLFGCWCCSCCVLCADRFKDVEHSCPNCKRLLGYYRRMN >PPA00512 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3267339:3268029:-1 gene:PPA00512 transcript:PPA00512 gene_biotype:protein_coding transcript_biotype:protein_coding MSINQSAIFSVDGAATRAQLFNILQRINGNDRMTYDDLLTLARTVFCLYTSGDDKFRITPDNMTEEEKAIAERYDLRSLPSRLSRIRSY >PPA00187 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1162745:1166815:1 gene:PPA00187 transcript:PPA00187 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNNTKKATKDNQKSIFVDPTSDKTKGISARDFPPELGLNGSTRRVLRSSRKGEEPLMPLPDDLPLITRTFKDEPTATSTPRRDAYKKRPVANPIDGASNAIATPASSNPTAAAEAQPSAVRISTNPAAKANGEPTVVTAAPVAAKAQPSAANATNAASKANAAPVVVNPTAANTTGTMGTGARFNGPASGRNLAAPRVDGAATAAPTAVPANAAAAAAVRRPAQPHRPVMMERVTGVNVASEPLTTITTTLANSFYDLALQVQQSKVDINQMKLDLMKNLKKVPIKERLQLCMDVIEGAQPAKPAADVIEGPQPAIPAAVTRKRPATADAASPDIVVVKRERPGHL >PPA00540 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3501426:3502933:1 gene:PPA00540 transcript:PPA00540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rab-1 MAAMNPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGVDFKIRTIELDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDITDVETFNNVKQWLQEIDRYACENVNKLLVGNKCDLTAKRAVETQAAKEYADQLGIPFLETSAKSSTNVEQAFLTMASEIKSRMGPVQGTGGAPAVRITGSQPVNDKKSGGCC >PPA00386 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2471397:2479905:1 gene:PPA00386 transcript:PPA00386 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSPFSKSFKSARVPYRRVTKVRFLGVIAVYAFGQSACAIAALLCIMIYAFVSFLLHLSSINNAFPPFDPRPISDHQVLDDGSSVYRIAVITDLDKDSRTDDGKKWRSYFRRGRLTVSSDFTHVSVAWDEAKDDISLLSESPNRQVSSGGRAMELSDLVVFDRNLLTVDDRTGILYKIDDVSPRDSHSEVHVVPWVILGDGPGNVSKSFKGEWMTVKDAHLYVGGLGKEWTNPQGEYINDHPMWVKRIDPWGRVEHLQWTDTYKKVRRSMGIEYPGYMVHEAVQWSDVHKKWFFLPRRVSRETYDEDADEGRGSNVMVVADEYFRSPPEVTRIGTNDEPSTRGFAAFQFVPNTNDELIIALKSEEKDGHPVGSYVTVFHISGRILLHEQQLHGAYKFEGLAIV >PPA00559 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3650037:3650722:1 gene:PPA00559 transcript:PPA00559 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKEGKAWLRLNSLIFNVSENIWEYERTVANGTVTDVLKDGRAVKCIAAFVVEKLANPSSGMVFGVNIALLVAVGAIAVPGVIFFINARIKKREARKAAKKDKKKEDASGEKGKNDDKVEEKKNEA >PPA00333 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2166602:2170267:-1 gene:PPA00333 transcript:PPA00333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lgc-21 MYLLLFALLPLSLGQRALFDARETSGEKLDACQYLLKNITNVELLAQHEYAALERCLYYYLAGEAVKGAAHTGDYVACACSTNQIRRTQIPHPIAALAPNFNSREPVLINFHQVVLQHFELNEFLRDISIHGYIEIGWKDARLAWNSDQWKADTLRIQAASHIWVPVFTQQNYDTALRNGDGFELRRIETNSRGNVSAILAFSLRTFCDDSDFASYPDDTYKCCFSLEAQINQEVIDFTTDNLPVFTDPKYFREYGWKVSGTVPKVVTDPAQEPEVGFCINLTRASSSVKIELTVPLIACALLFVLSPFFGTIRIQIFFKLFLVCMQLITLLLFSNRIAAHLGSAQSTPRLLRFLEFSIIINVLSIAASVFLHACGRIRRTLPPWAMLIKISSIVNNVVCVLHSSPDEEEEMEKGSAAGGNFQKDWTAAFTAIHAMTMASISLIFLLGYIIML >PPA00385 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2466194:2470493:-1 gene:PPA00385 transcript:PPA00385 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIAPPKKVEVVESSSSAPPSSNGAECESNEKTAIDGPTTPELEQKMDCRPPICLIPLKQHSDLGLSLSGCGFLSVYHFGVVKCFQRNGKTLMSRLRRFSGASAGSLVSAMLVLAPDQLERSMEVMCEMADELNSLKFGALSPGFFLGERLVKIVDEFLPQDITKANHRLFISLTQHKTRENRLVSVYPTREYLITSLNASCYIPMYSMGLTAPPPELDGQGYIDGGYTNNLPDYSDLRTITVSPFCSKCDISPPDDAGFDWKMTLGNQHMKVNLRNIVRGAQALFPPSRATLKQYHDQGYRDAFKFLLENDMLERDAGSSV >PPA00418 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2646008:2646560:-1 gene:PPA00418 transcript:PPA00418 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTGWNWTQIEQCLGRSEFKLSDRLFVGLPFLIFSKISVALNVIFMRIIHANRSTLDANLKRHVYSLAIACTGYMSVNFWSHIPIVLFAADIRDPLNIILATPNSFFYQASMNKC >PPA00452 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2870177:2884844:1 gene:PPA00452 transcript:PPA00452 gene_biotype:protein_coding transcript_biotype:protein_coding MFCHPPYQHESPTSEGPLLTCQEQCTKRCLKCGAKCVKQCQADCGTCHAAVTIQLECGHNVRCDCGARNLARCKEPCNIELECGHICQNKCNSDCVKVENCDQGVEFTHPRCKHLLIFPCSQARPFEFGISKATPACYEPCPERLVCGHRCPLKCGQPCPTMCDTIVLFTGACGHQLQKKCSEDSRHIKCTALVDSDMPHCSHRIKIDCHMSKNQNECRSICRKRTSCGHDCSLSCGECFKRASVHECSAPCTKQLPCGHPCRALCGKPCKCLDSCRVRCVHQRCGTMSRTLKGGSLVHGRQCEQPCAQCIEPCDNHCEHRACSKRCYEECNVRACDRPCAKTLRCGHACLGLCGEICPKLCGTCSKTNYKAVLERFPEDDSTGSSSGDVARLLEMPDCGHIVPYKYMDVFVKKELAEGAIVIRCPQCKGLVEDCKRYIRQTKRLWAEADTRKWEQKMVAEDMSAAEIRGRYIDMRNQVQARIVEVRSYTQTQREGGMEGKALDHFLDKVRVQILKRGLDSEDAPSVVRLGSRSESDAIKLNCLLSTVMREYEEELAGESATRKNAARRVKQQFLERLMRAVKTVQMAHRSILCFPLLRIAGVIDPDHEMQLRGASSGLVDSSGNKLNMDETLVSWLLNKSYERKRDLPPSGSGSEEEEDSWGGGAAATASEERRRRKEKSKSKKASSGGRESKKVKNERKRAEKRNGGLQWRNDEDSSDEESDEEEEESPADLVADGRRSDGDDFFDDEDSDRQLQAMFDRINAAAQRRAAHAATDEKWARMEKYEMDADWEVEKEFAAKTNGRMLIDDVEIFARPTKPGLFRGRGKDNRVAVDANIVRDIHEHKRYILSVEGAADGRGGTIDPERMHDTQGAAMETADVLAVFKDFSPGDSVLHQNRYDHAITLIPSLCQTTAAKKERARLDEYVARHERACAAADQMADVARAIVLRKALVIGATTTGSAKRRSLISQIGPKVLVVEEAAEPVKFHTWHDETSGYAVHTLAKMANLEVSLFERLVKNGYPYHTLGLQHRMLAPLTKHIVKPFFYNALEDAPSIFAYPDVLGMATNLFFWAHDVPEDTVLDSMSKKNVVEAEMCRNLANYLVMQGQYRASEITIIGTYAAQVQEIRRQLDRCGGSVGDVAVETVDSFQGKYDRLR >PPA00097 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:575415:576976:-1 gene:PPA00097 transcript:PPA00097 gene_biotype:protein_coding transcript_biotype:protein_coding MLICSDHERGKKCVIEHFAGVCDRFNEKKACASSFTVSEDAADVYGVQIAFAVAQKMLGNDIDLNGVEGVTRGDTEKGKRDS >PPA00372 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2362422:2364693:-1 gene:PPA00372 transcript:PPA00372 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGRADQELDNIEKQISDRKQAAARLVGTQDDAICQICQVTKFADGIGHKCFYCQLRSCARCGGRTQSKGKPIWACSMCQKKQSILAKTGKWFQQEKGLTDSPMEGPTPSTSSMNLQATSNGPMDMASTKVSNALGPGQQPMQQLQQQQTPSPMGMQQQPGMMQPMQQQHPSPMAMQHGSMNQLNQQQQQMPNNVQQMQQMQQPHLQQQQPGTGPAPMQPQQHQQHQQQPGTMQQGMQQLQQPMMGQMGQTMMQQQQQRPNGAPANQSSRPYRCGLTDRELSWVGSRLQLLLT >PPA00380 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2411545:2421278:-1 gene:PPA00380 transcript:PPA00380 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQVWDRPSPDLPVTMDSKPAAAPDRPSPERAPKLTRLHGFLTLFVIVYLMEAMGGMYIVSAIQNIEKQFRLPSKLSGILVSASDIGYIPTVIFIAYFGARGNRARWIGAGTLGMAVAYLLIASPNFLFPTPPLARGTFGYVKDSLMPSNELLAPNASLALLLHYPLISDRFTPAQIGNLSKFPVAAEINHQMVINNKSSPYMVDYGLMHEAVFEAHKLLNSTPDAADASKFRSLLATYVARRKDGMRAASNAPFSYCSALVNSMQAKIRELKCMNGEDSHGPFIVFCMALLLLGIGRTLPWSLGVPLIDDNVSKKSMPTYFGAISFIRILGPISGFLVASVVNKFYFDFNPPEGLRPEDQSWIGAWWLGFIIIVQEIMECSQLCHRSLYVCSQLQIVTSADGKQRKINFNDKHRDMENSEKGLSERIKDFGSTYRSVITSKIYVYSATGRILDIMAFKGYIVFLPKYLENHFGIARYLVHRYMAIFGVFGFALGSGCGGLLTRKFKLNGKRVALYVFIVSSLNILMYFSKIFIACDSSLAEIGRQHEGATYNLTHSCNVDCGCEGAKLYPVCDRSGKPFFSPCHAGCREASHHGEHTSIEFSSCACAADGRVAKEWCVQSCKPATVAFFSTVLIGSFIGGLGIVPGLLILIRSVPPATRSAALGLQGFMISLFGTLPSPIIWGAIVDSTCLIWDHACDGARGSCSLYDPAALRVKLHLAYVVIRMIALITDVFVYREADAIDILEEDVSMEEYPTDKAQEIDTSVKDAINEVAHKYTDPSWFY >PPA00394 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2530350:2535451:-1 gene:PPA00394 transcript:PPA00394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mppa-1 MLRTASRSLRTAIATRAATNKAHPFTRVPLDQVLPGFEKAAEQSSSSSNSIGSKKRDPFDAELSTLDNGLRVASQPAHGDFVTVGVAIESGCRYEGEHKKGVSHLLERLAFGSTPRRSADEIFAAMDKSSAIIDCQSTRDTTIFAASCHRSGVEEILEIIADAALRPSLLDEEIEAAKLTIEHENTEMRLRIESEPLMTDWLHQFHEMISPHARSQPEKSKRIVLLIGILEIEEGRAAFKNNTLGFSKFTRTEDAAAMTRDDVIKFLRAHHTPKRMTVSGVGVEHDELVGFSRRFFVESSAALPAGTPPVDGSRAQYTGGEVREQADLSKVGAGTPYPLLAHLSLGFEGVGYKDEDFVTFCVVQSLLGGGNSFSAGGPGKGMYTRCYVDVLNHHHWMYGCQAFNHSYADAGVFAVNASAPPEGIGYALQVVLDLLLRLTEGAAKEELDRAKTQLKSQLLMNLEVRPVMFEDMARQVMGHGYRRKPWEYMAAIDGVTEKDIVRVGNRLLSSRPTLVGYGDIEVLASYEQLDEAVARRDVRLITPKEKKKKIFSFQ >PPA00560 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3654184:3654896:1 gene:PPA00560 transcript:PPA00560 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGALRHSATPRIITCLLLLMCSLGAAVEESNLPLFTIDKLTIENYDKVKNPMSFTNREVGRVVIAQFSSGTKYLMRVDPIEYCSQ >PPA00487 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3101595:3109139:-1 gene:PPA00487 transcript:PPA00487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-scav-4 MCSILCCFVGSQIAAQGAAAAAAGRVGRAGKMAEKRRPMCCTITMIVLAILLLALAGVLLIWFPISLFPAMVKSQIPLVEREDGSYTKLTGFWQKLPQIAKYDFYFFNITNQDEMIYEGAKPHLVEVGPYSYLETEFKEGIEWLDDKTKVHYRSNKTWVWNPDTSCAGCREDDIVTLPNTAYATTMAMKVQNDMTDASILDLLLQATGEGPTSSETVAGMLFAAYDDALLDYNHTNDEDYILRTGQDDPLMAFQIQQWAGMDKLRWWSGEAAEINGAGDGTFYKPFLEKGDKLKNFQSFSCRAFDMTATKSTTWADIEALTFEFDDDAYDSNKEHNIGYRYENKEYIDYFPDWPACKPTHKYQPWNLPPEQCESVDCRFDYNFCNDCCDGSHYERTIFMPPGIVPLRCFPGMNKDLPFAGFLSPPHFSQSPSQVHETMVGLHPDPAKHRIGQWWINPTTGGTVHALFNMQLSIPIYNDPSFMMTTHMRNCFLPSFWSGIEANLKPYAHNFIYFSAETAPIIAMAIGWSSLILAVIFVAISYCCYRRAGRKAVDQEVLLPQSYSYNVTIEDEKDKDRVVMIKHTADIEHRRESPAQKYPELYAKDTSAQSSLSWKSRTLNQEGEAWS >PPA00137 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:787914:788344:1 gene:PPA00137 transcript:PPA00137 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSAPPAYTEIDLHSPDPDPQPSEPPKSEQHGQIHTTHPFTEIQFHAPTVVPINSVQRRRPRINCLEFLIFLAVAILLGCFLMFMIGFFIRDKPATEYKHWTYE >PPA00072 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:410227:415263:-1 gene:PPA00072 transcript:PPA00072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cdh-9 MTSVVVREVIATLPIKGDLHAKENGIHLRLVKGQDVVELKEKDLSLKRPLDREEGASKFEAVLECSMDDPRSDFKMLNISVLVLVKDVNDNAPVFDQEKYEVEIPEELPIGTMIFASFEASDADQSGPNSLVHYSIVNEGPHGDLLKIPDPEKPIVVKRLDYEAPLHSFDVEIEAADQGEPQLRTRVPLHVTIKDIDDLPPRFDSLYHYSKMISNGQLVIIPPIHAEDGDAINAPIVYQLGGELASHFSIDRDGSVRIRSNPPPPLATLFIHAYQEDNQERNATAFLHIDLLPTLQFEHDRYSAQIQSDIAPSTLISQVRAFARNENSMVKYSIEDADALVSVDEKFGKILYKGASEGKPRPAGTLSYTILANDGKQASTLFYRLLPLILNQSVARTRLSLQFSPESPCQGGIKFEQDAYEMQLGSNNFIGEISLAARSQPAAMKLLNLNNYFSLDNAGRLTLAPSGLPPCDYCELIVSATDKEGRVALTKVIVHNLSPAMSTTGMLSILLLVVVILLLILLTLFVCRRISYA >PPA00396 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2542941:2545272:-1 gene:PPA00396 transcript:PPA00396 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWDGIRLRALFEGNVPGLLDVMVQMLVASICDLVRASQNLRDPTAMREPLLALVSVMATQMPVFIIDPYKHQLYLMGRMFARNAMIVIHLYEQLGAPNAAEFDELMGWFTFPDGLAPPSILQQPIRMSAKRKLVFSEEERPTSPKRKLLIPKEEVVSPIVATEKRRSTRKSGGAGLDESAADSPRFNPALVKIEIVDDDEMEEVEDAVEKKKCDHCNLEVPVSEMEQLKHAWKKHKELVHKYIRPELMCTIDYCDYVSTSVNVRKIHVGRYHGIKPLAQRRVVSELKEVAKCPYCSTDIASIADLKQHVLQCTQRDERPMLGCSFCPKEKFHFIYDFSAHLKKFGGVTHGKPVVLSYN >PPA00032 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:163507:164501:-1 gene:PPA00032 transcript:PPA00032 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVALTHPPTEKLIFDGKEICTLPTLVFPLTGKIEQVDVLLLNTSDELEMMEMSVEFSLFKKVILLPSDPIFELKKKAGQKTVHVHCDTAHAMKHGVRKVSIKVHVLDKNVTQFIKVTLIEPPVPTTSNSPQEGSPQAKKGGASGKSHKRKGDTKNKNKK >PPA00017 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:65710:66590:1 gene:PPA00017 transcript:PPA00017 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPRQFHKQVSNVKEESSDDKRKKTKEMGKDLSLSLACLQEGRDSIFRFVRARAACTLKQFTSCQFNTPRILKSVIDGVVARMLAPEEELPVKVESALCVQYMLDEQDEKACDFIKPHVAQIIPNVLKLISTTHVEELCGVVDEMMDKFMDEVIPAASLIAGELNEEFN >PPA00504 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3198898:3209868:-1 gene:PPA00504 transcript:PPA00504 gene_biotype:protein_coding transcript_biotype:protein_coding MMRASCEPLRPLGKPIRSAPSAFTAPPSTRGPTTLPPSTEPSPPATKAPPLRLTKQHNGTYTLEQMGKKKNSSNKPLDYFGRPLPEGKMLLPTLSKKKGAVKMAPPPSAKSVEGTLPNAIVGFPKQQKSVTHGYESPPATQPSGYDMGVDGSEKTTASLMSTTPETATVTKTVFSADIDLYGAPVHAAERDEGYVKPEDSAVHASPSKQTAYGEDAAVHAEKPNEKTVGGYDGSEDAAVNAEKPMQKTSAYDGSEAIAFIDELNAAVHAEKPKEKTVGGYDGSEDAAIHAEKPSQQPSYDGAEDAAVHAEPTQSSSAYGESEDAAILNAIQEESETDTTTEQPEETTEGEEGEEVDTPASMDVRDATESTDDVAETDATASTTAATTLAARRGGYEDAGVEEASTASASGGYEDAGVEEAIATASAGYDQAVEGAKNNETEVESTTANVETTTTIFAARNPYGGDEEADREVDVLIETTTRADVGSTSGYGAAEEGIQRMGGDEDSLLTSSTPSSDGNSTNVTVETYESTTPRVPESTTDMLMNFEPKSDDSVAGEIEEEGEEQGTTPGEGELEDGENLDENTFEDVTLSPDVNATSTQNSLDDAELIHQVERAVERILGDELKEQSTTVKGPTVTTAPMKVFDKAPTLIHNRLAIKPQEAQRGVNTTTPQKGFKETGVQRLKNKDQPSSPACPPPRRCPRNCFVFVNDNGCQDCQCLWQSLPCETSEDCPEGAQYCDEGKCQCRPGYKQNMRKSGSCELDESFQGVRSIAAHVKSDAAVMKNKNQVKEEAQPAGYRRKRAAVVKTFRDERLQWPGPCDNDEQCPESLWCLQGDCWELPDKPIKMDQLIKKETSTIPSSFPIEATDRKKLEDDLWRELQEEEEREKDAMVINRDSEDEHFDAGQLVVPLSELTTPSAKARSRFFHGDHRTDGFITARSSSSTTTAAPAAATVRQLLLQPTMQPPTQQQQRVAPAAPPGFEPSIGEMIEMEKTRVRGRGVPDFGGFVPDSCGWREAFASGSPGLKRNQSTPLRAVPATSVKE >PPA00410 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2598791:2604365:1 gene:PPA00410 transcript:PPA00410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-smc-4 MVLTTDDISDPSEVNFDSDDSMREMRTKKKKAVKKEPVKRGAKEGKKDKATPPKRKRDSDVKNKSSSGGGGGGGGQTVDSDEDDEQRGLVQDYDEDNLLNIEVPPAPKHCMTETSERLLITDITVENFKSYYGVRKIGPFHQSFTAIIGPNGSGKSNVIDALLFVFGYKASKIRSKKVSVLIHSSAGKDDLPSCSVTISFQKIKDRPDGTYDVMPRSGFNVSRTAYRNNSSEYRMDGQKKSFKEVAVRLKEVGIDLIHNRFLILQGEVEQIAMMKPKSVNGTEDGMLEYLEDIIGSSRYKMPIEKIAAKLDKLQEERTTQIAKVNMAMREKEELDEPVQTTLAYLQLQNEEAALKCKRILRQKYCAEDQVAKKEPERTAAEEDLAKVAAELAEVKELLKTKREKGDGMTKELEGLQASIHKTNNELEVLVQKDKKRLNDLDRLKGDMKKLEKDLKKEQDKLDEVTNAPEEAKAKMERLTEDLDKYKEELVKAQETIKLEEEYADIAARLADAQAKCKVEEANLGNLVEDYEKKRAKVAEMEKTLAEIEEKVEKDNDWLLVGSQLGCHKSSPLSTVACELKKLWTGGIDKVVSPTFQWPPAISSSLRSPFENP >PPA00530 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3436199:3436607:1 gene:PPA00530 transcript:PPA00530 gene_biotype:protein_coding transcript_biotype:protein_coding MYNDEISYQSDILYSTPGFGPACPSPTDPPDATYCCTVAWNGINRPSCCTFPVYTGVVIVLPIAAVALFVREPRLH >PPA00206 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:1314685:1315171:-1 gene:PPA00206 transcript:PPA00206 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAMKKSAPKPQPKMNGSGPFFANEHKSKHHKSSKRQVKERDRKEKESIASSNKSSKSKKSSTTQVKPIHTNTGSHNNRTRLNDMNSTRVIEV >PPA00148 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:838407:845655:-1 gene:PPA00148 transcript:PPA00148 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSSPNNSFSNSSDSADQQSRASSQLSFPVLSPEESAEVDARSVHVANVDYSCNRAELETIFSGCGLIIRVTIPLNKYTQTPKGFAYIEFGDEESRSKAIAMTGFMVKGRPITVSPKRPTLPGMSTTDRRPRLEGLTQKDLEAIKNGFPPHHGAMPNGLSGQQGGMMANGSQGVYPAHAFFNGGNGVLPGQGGPYYPPHFLYPGMYMHGGMRMPRLLLAVVFLTGAAAHSGHLNTRSKCDFPLLDGPSDARLNNLFKYSKISKELKCDDGKEIKRGDERYGGQRYTTLICNDATGWINTLNNDQEVIRDASKIFTFTCEDKRPNCDNSLVDGPKDENLKDVYTFKSKGLKCNDGKEIDGIHYATLVCNEGIGWIDPANSREIVIDDASAKITFECATKACDVSLIDNFNDKKTYSSTNVLTCNDPHRQLK >PPA00434 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2733109:2735996:-1 gene:PPA00434 transcript:PPA00434 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLRAQIEHEMQEEMAECNKREFESRKRCDQLEKELEAKLKEAEESERKFNEERLAMLAQKSALERERAELRAERQAVTKNEQQTILNKGGQARAPIKFNVDSDDSGDIAVREAIRKAGEELRKAFDEADELREKDKSDPSSARYSRECPICTTENPREKLHSPMDNARSVATNGKCVFCRKKSAFVKLIETPVSGPSKHRLVSQF >PPA00282 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1860317:1861669:1 gene:PPA00282 transcript:PPA00282 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIEIDVGCSSTGTEQLKLKKRGRLATLLLGSSRQTLFSKSGSKRSMFQRSTDASYPNLDTNSEVSDLDDVTGSSGGTGGTGAGSNLRVGTSLSQMLVVSRISSSERATDGAGGERRGLHRGADSHAEGSQNQI >PPA00432 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2729220:2731019:-1 gene:PPA00432 transcript:PPA00432 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQYPIVIADIPLRWDEQAWLPDASPVVEDMAHDNARYIRALERTYLEIIGITSGKRGLFLKGVGGQK >PPA00224 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:1422835:1424331:1 gene:PPA00224 transcript:PPA00224 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGKGSSDARATQPGATYGAAPVAPVARQQGADRWSTSESREPIPEEPPKKADVEPRADAYDYTKRNGAATDEQQPPQHPEYRLLKRPANAAATAAAAGPALQQEKQQQPRAAAATVADLTRAVEDDDEELRMTKLSRPVTRVMKRTGPGAHPDESGNAAAAAESALQQQQPKEDRRQQQQKQQPAAAAAAASGIL >PPA00357 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2291544:2294437:1 gene:PPA00357 transcript:PPA00357 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSGEKQAMQSNLLLNTSPEFEFMGYAVCALTGNSACSAMIAGDEVILNVDTITVNGNRVISLGYPSIGATTSGATTKKPTTKKPSGNTDTEFQTLVDNMRAQDEDKPTSSQYKLTWGNKLGNKPKPTDKNLMSDVDESLFDTPVYAALKKVYDNGILNPDVCVAESDYNSGFKRSILQSLLDTWSTTKPFTLMHDYLVKKAKVSSDLTEFKKFLTTFWFGTYSRCANNKKIDGSSGFEHVFSGEWKGNTIDGHHNWMKYWRDQEAGNIKYYGYYSEDGQLTGTYEYDWADRNKKTGGMLFGTSPVFDFSLYTACSLVHSGSTACRFTIDGYQLAVTSYTQDCSAGLCLSTSYPVDEL >PPA00204 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1312392:1312870:1 gene:PPA00204 transcript:PPA00204 gene_biotype:protein_coding transcript_biotype:protein_coding MFATLLFPSSLAGKRPGTSLWTGVWAEISMALGEEEEDEDVYLISIGNVNRQRLFYQHTGKPVNDDEDENRVATFFLWACLWREIEEQWIQDSE >PPA00236 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:1519871:1527267:1 gene:PPA00236 transcript:PPA00236 gene_biotype:protein_coding transcript_biotype:protein_coding MACTECIIEVSTIDCGKTCHGHFEQARNSLGCSIVTCPTGMVLAVDKDSCYASTAYIEDEHGEFSLLNGLLCSSHSRWTGADWNGPATVFAKCLPLCQSCSGIQKYNGDCPLDQCTDLAPPLLDENGCAKMIPLPGYGLFARVDGQLKQTDYLECTSDQIWLTSRGETAETLAISARPTPECALCSNLHFPRNLLKEFAYYVHDEPKIVPETGCLVVTCGQGRQMLVSPTNDPLVAASQLSCNPHRRWTVDADPNEFAFMNVVCGAPSQCAGCNLQVTTDFCPAETCEDLTLLQEYTDDECLQFSCERNTTLYVIYSYKEPIPSMFQALSQENEVVTAAFIQCTRDWRWMTNSGVLLAEKTPTLGCGSGALRCGRCPEIQKHTTCPSGHVCYSAPPPQINKNGCAAIVCERGVLQISGIPTMGEDTSLVCNYEREWSVLDAEGLTRIGEAVTANCAVASECANCGLVSQSSACLTQRCEPFLEIVSENDCKEVICPLGRQLYVEVNSGDLQPVDKLMCTSLTREWISESEDAYGENVKVACGAGPLKCTLCANLTATELPCPQSHCLSMIEYSVETGCKQATCPRGQSLYLEQEGAEHVLPINAISCESDLGWYVDGRKVGDEPSVVCGDGPHLCAMCHNPHYTRECPANVYCDAQQLDELDSDDECMQFACRTGYQLHVDDQGTWLNSEYLQCTDWDSVIAVGKNFTIENAVVVTCVSECSQCGELPYLSTDCPFAGFCQNRLVRTIDGSCARTTCREGVMHVKSLGAWKPVEDGLVCDAERRWSYLGEEVENAVCTSCACDVNFYNEEGLDEFCEGWSECLALYPQVASHAEFSFDLPPDRCSFRHRCPVGHKLLMSLEKANGQHESLVYEDIHPRDYEVAFACSPASRFQFVMRSSTQRITYRIKGVLCAREA >PPA00161 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:927393:930221:1 gene:PPA00161 transcript:PPA00161 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGGEGGGGGGTEVRVTPGASARPPWTRRLVAACGARVRRPGRTIVAVLGAQATLCAVGLGTLLLTSSDMPDDASVAIVPRPVILPVVLFLLLLLSLCLLSLVGVFLSSPLLCLPFLIGAVIGTVIVGVTTANAVRLVFVVHRVGVHVGIAVALILVLIFMIASLAVQSSYIRREVKNKKAVTGCPDPTEPKQAETSSSVSNSMTKFESFSRRSTLIISDDFFVPPVMK >PPA00123 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:711994:716036:-1 gene:PPA00123 transcript:PPA00123 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLCLWDTVFGRNEAVGTKDIEGAIRPRTCALFRVDRHGRGLHVIPDILFRAPLPIVIIAILTVKTIQVCNNRTPFANMAMNHPAATGVRSTIPLRLSLLSFKFILCNSLYMFNSVLIEVLGIGHSDTAEHGEVDDTVDMYWNSFYLSDASNMLLVLHSATNWIVFYHWPRCKARKIRKHSSKHSTVSAYTAYTSYARESVCGH >PPA00048 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:250654:256674:-1 gene:PPA00048 transcript:PPA00048 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFKVPELPKTGQNEENTSTREIELKFEEPDKIDEDDVQPSSSSSPIRIYDDGGMTMHGYTAAQFAGLAPVNGLDLQCKMCHRLLVDPLTTICNHTLCTRCFNTGTLPNHPDPCPMCGNIFGPVSIWYFVQSTYDETSKAIHSSRNALLEQYFSSPMVRGRFDCVNQIHEMTNPKEVYVLFRDIFWFFKNPENEQLLQRAFALKRKINEQVKRVFQMEVELGVSSFIFLVTREYGKTRECEVVLRLTKLIQYLKDLNNYTDSRLMEAFMDDFPDRAEKCKSLFTETDRTGNLVTRCTTFPDHLPERMVLTHLPCNSNLDAIVCTQPGQLPSDHGHVYDVTKRDVIPDGNRITFSPSERMQRAPMLPFVKFVGANSAPVQNNNPMSRPTTSRIAFDPANDADNEDDEPMDVVESDGEMSSQSNHAFAHQRLSDNSDTPIAVYIAGGEKVFHSKSHRCPPIMKNHSCLHNEMYLPIALSDKIGEEPIWDPDANPPVALSNYSYPMFTTEYLENRVDGLIEKQEKEALALYERIRDKNLRSRLVQVGEEAYGEGGYDMFTGVGKFIDDATKYLRMDKMTEFLYKFGSCVSCMDFDTTGTLLAVGGTAKAIRVYDFEDQLRDCRKSSEKITNALLEIPAVSKLSGLKWCRSDPTNLIFTEYDGIATLYDVNERKEIRRFKDHNRRIWDVALATNDASKLFATCGDDGKVYMYHTGSTAITNTIDIGFATTSIEFCPWNDYEVAVGVSDATVNIYDTRYTKHQFMQLRGHRKAVSYVRYMDRGPGEYYLVSAAIDSSIQMWNLEDSKWKCERTFKGHVNEKNFTGFATMGEHIVTGSEGNDIVLYHQFFSNPMVRVNFLENADSQRTACELDEQHDRNDFVSCLKWKKHTNIIVAANNQGMIQAYKAH >PPA00153 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:868717:872242:-1 gene:PPA00153 transcript:PPA00153 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDSLMDLIDAMNKPIVDLSLGLSMVATNTMVFVLIQKHTKISREKEYSLLAMLVVFNSLLGLIIIYQAYYHFFNDEDDRSDLQRTSCILRPHIVLIPSLATVISIVLPCIALDRLIAVLKPIYYYKMDSHCACKLAGLVTLLFIVISITLLVVGLQGPSEVDHCHPLDFFSASTITLFLFFVWFGHLASVLLYIAVVFVIEKRIREQAHTLNAPIDARFRAVERTFAVFALLTLVLIVIPVAFLALYENLIAWLRASYDLKDEHVVDLHAISRIILKFTSLNPTLNVVFYALKHKQIHRGFRQVFSKKVSKSSTSLTHHPTQTRLGPKSSILTI >PPA00215 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1361541:1366743:-1 gene:PPA00215 transcript:PPA00215 gene_biotype:protein_coding transcript_biotype:protein_coding MRKECDAYKKNVGAGWDQLLAVLHFHLKMREIISIHVGQAGVQIGNACWELYCLEHGIQPDGQKPDGAAADESFTTFFSDTGKGHYVPRAIMVDLEPTVIDEIRTGTYKHLFHPEQMITGKEDAANNYARGHYTVGKEIIDTVLDKVRRLSDNCSGLQGFLVFHSFGGGTGSGFTSLLMEKLSLEYGKKSKLEFSVYPAPQVSTAVVEPYNAILATHTTIDHSDCAFMYGVVWVDNEAIYDICRRNLDVDRPSYTNLNRIISQVVSSITASLRFDGALNVDLNEFQTNLVPYPRVHFPLATYAPLISAEKAYHESLSVQDLTQQCFEPSNQMVKCDPRHGKYMAVCLLFRGDVVPNDVNAAITSIKTKRTVQFVDWCPTGFKVGINHQPPTVVPGGDIAKSHRGVCMLSSTTAIAQAWSRLNHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALERDYEEVAADSNEGGFEDNQEELIGLKSDGFRSPESSEGYYI >PPA00050 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:276873:277390:1 gene:PPA00050 transcript:PPA00050 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGDIEEFHARKFVLITGISGQDGSYLSELLLEKGYKVHGIIRRSSSFNTARIEHLYSNPVNHTGSFLPGVNFRNPTVKCRKFPRRKRLHSIHDFFMVSH >PPA00006 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:20425:20646:-1 gene:PPA00006 transcript:PPA00006 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDKLFEKHVPVNKSGEAKEEEYEYYFDGDFLLVKMEANGVIGKRFYKRVTA >PPA00136 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:786467:786814:1 gene:PPA00136 transcript:PPA00136 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPSAPPEYTEITVYAPTAIPINSLPEQKRPKNVLATTQKAIVSLFVCTVLIAIVLTVSVVSEHYSLINS >PPA00527 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3411562:3412069:1 gene:PPA00527 transcript:PPA00527 gene_biotype:protein_coding transcript_biotype:protein_coding MMGEPPDKDNVKVAVRVRPFNRREMDLDAKCVVRMGPDQSTTLIGDESKKK >PPA00475 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3030621:3036070:1 gene:PPA00475 transcript:PPA00475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vps-41 MIEKLLADGSVKYATSRLKEVCGDSKEEWEYFVGVWERARMVTLLAECMPTGKPQLEPECYEAVLLAALYNDIALYRRLVQSWNPDLYRVGSITDRTIARMQEATISNTESMLSPDDEKSLYLALAHLYNYERKFDSSLKIYLAFKDKQIFSVVDKYGLFLMVKDQISELMTIDSDLALRLLLDNEYAVSPATVMTKTARQPRLQMLYLGKLFARHEGDQFADTAVKLYAEHDRRALLPFLKSSEKYNISRALQICQDKGLIEERIFLLGKSGNRIEALNLMISHHINRIDLAISFCQEHDDKDLWEYLVDEIVKRPQHMQLLLSTAGPSLDPLFVVQRIPADLVVPGLRDALAKILSDYAMQVELQRGCHDATLADVRVLMTGMLGLANAAIVVSSRTRCAYCDKLILGRGGAGEDVGELKLFACQHSVHGDCAPMKFGEFVELM >PPA00370 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2355479:2355692:-1 gene:PPA00370 transcript:PPA00370 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIVNLNDITSTMLSSEDIDPVMSLSREDVTVVIASTRMTRATRADLCDSPPWRSSMKRRWIRAYY >PPA00005 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:17903:18240:1 gene:PPA00005 transcript:PPA00005 gene_biotype:protein_coding transcript_biotype:protein_coding MCMGDNGLVGKENETHEYSDLLDEFILIAHTENVKEGNEWFQGTFDIQSVRY >PPA00558 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3642798:3646610:-1 gene:PPA00558 transcript:PPA00558 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVNIDDLREFATRVNRVVEDADVTQLTPDKIKTMIFLAGVDLPRHTGAMFHIINGMKREENPTLEKLLEIADSFKEAQLPSIGRKTFQIRRKLRVWCKLERAERAPRRHMQQYKEERWDDIMNGRNVHKYDRSEMIMADDDKKLSKKQREVRAKKRQEVVAAEVEGEEDDRMGVWNEERDQEEREPEENAVAGGENGDETGMNEEVVDDASLPGPVEMEPPAAVTLSEGAQLPSKPILSQGLQRALARSAPRPITDEDNQQRLVALQNKVFPGTHLLPQEVAPAMRLGKPIPPPLKKAKGDEIEKENENGDEKGKDNGLRKKTLKIKATMEMGAFTHFYGSIMGRGRRSPAEIEAIPQTLKVKDVKDAVEIMMMGGKNKGAKPKFNKIMSERSGNFGVRCFNESSDLTFISKHTWKLVGSPRARCTNVTPECPNGSIFLVTGKCDVHLEMNGVITFREVYITEDANVLGKDHMQIFFTLIPKMAEAQLNHSIGSIEVTEKGERLHSGTGGVKDHSRNYSIAIKGTGKVKDPLTGNDDLPSGIAILERSGRSNLKEEVAVAYCALKKGREILTSESMTESLLAYELKDRVLTGSDSGLLGQDQMKVKKEHGWPTSSSCDALRRIDYRSPSCRDVPAVSGQHRDEPVYYDSSPTETSPAMMPIEQVPECALV >PPA00537 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3488055:3492173:1 gene:PPA00537 transcript:PPA00537 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSLVLVAGVLLHTVAAQSLANSFFAETGTHAGFVTRLNGKAFDLVGDYLRERTLKFMHNELSFNISSVLTENVHFSLVSNRIARFDEDSFKSKFTSHNGKGFIWTGSGLNATVTAVYRVDSPSGQSTGHVPLSFDNTVVELLLWTGVNSDGHLKTDLVTCKVAANNVRLQFAASDAETISPYLPHISHFITERIEQTICPSFHSELVPVISNRLLNTPLSAALFDQYFLNYGLLGPVTFVQDALVMKHRGNAFGILRQGRTRLNDFRLPFRSPPLEAPAGPRVP >PPA00263 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:1736195:1736759:-1 gene:PPA00263 transcript:PPA00263 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGETKGDPCAITLRESLQVESLLDQALAMLLHHSARVSAKPNRFGRLLLALSSLERLDRSLVIRACENEPIDSLIQGRNLVKKEESELLL >PPA00336 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2175254:2181438:1 gene:PPA00336 transcript:PPA00336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-abcf-1 MSDDEQLASKTEKLSVGEKKMTKKDAKKAAKKAAFDNEVRAMGGKVDGDVQEDPDERERLGGGIGAGAELGDQFTVSTQARDRTGRQQDQHDNELDIKVENFDIQAQGRQLFSKADLIISHGRRYGLVGPNGMGKTTLLKHIGARKLPIPKTIDLLYCEQEIAVDATSAIDTVVKSDKHRLKLLEEQDALTKKLEEGDTSTELTERIQEVADELRDIGADAAEPKARRILAGLGFSVDMQEKAVQDFSGGWRMRISLARALFLEPTLLMLDEPTNHLDLNAVIWLDHYLQGWKKTLLIVSHDQGFLDNVCTDIIQLHDQKLFYYKGNYTLYKKMWEQKLSEHAKNFDTQKKQLTALKKVGGKSSKQAEEELKNRAAIKQNKQQKGKKTHGMGDEDDAPPPELIQKIKEYQVKFDFPEPDKLPPPVLGLHGVSFSYGKEVLFENVDFGVDMDSRIAIVGPNGVGKSTILKLLMGKIQPTSGELRKHRQLRIGWFDQHANEALNGEMTPIEYLIFKFQIDPQVARKSLGTTGLPGASHTVKIKDLSGGQKSRVALAELSLGSPDILILDEPTNNLDIGEESRNDCAESIDALACAIEDFGGGVVMVTHDERLVRKTECQLWIVEDKGIMEIDGDFDDYKKEILEALGETLGRAR >PPA00190 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1174880:1181954:1 gene:PPA00190 transcript:PPA00190 gene_biotype:protein_coding transcript_biotype:protein_coding MADLFIDDPQIDLTGRTRWQNKYGHLCNPNRISTAARVEIITISSDDDCGGRVNAPPAKRAAKERSENRVDCSGNENSMDSTKPANRPIRPFVKKSMARRSSDVALFRADANPSDSEDNASVNGRRTSRSVSHHSDGPLRRSTSHNVLNNSDLANRADTSKDAKTFDKKKKPSPTDAIVEAMENMSTDKVNWKRILVQQEAKDSDDEEIDVEVRDHADTPDQHWFDLAAEMEASGKDYEIDYIVAIEPHLKADNMTKGKTKASQDAEEMGRDRRGAKNSRFCHSHLLQYFPLIAQGSASPNLEFTPSLKKAFHESCGRLCKLLTKWTKFAKPTWITGRDINPKGRGEGSKSSDQVNLAISRQKVLEKLEERLRAECGDKFDQKFPHRWIDIVENKGDYVKEESALYENKIVAWEWRMNYEYARQHKHKVRAGCSKDAAPPQPIYVVNWADRSPVPGIDGGLKFTTKMMPTERVSTILANTHEMDWVKCSTCTPSCSFPREHFHAKKHCCGVIYPTTKHYGNGKQYISRLCEPEAGEAEGKGALTTQEIECSAIVECTDACACNGLAGRAEKGTNNYCRQMVLQRGRQVPLFVFREDTGGESGKGWGLRAGEPIARGDFVTEYVGKVMSARDVKEFLAAENSYSHYFYDMRYGWAENLDKTDRVRNRVRKMKNGKNADMIRRHRPFTVDASEMGNESRFINHSCEPNLVWRSDTTL >PPA00119 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:680380:682532:1 gene:PPA00119 transcript:PPA00119 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHPRFWCGVHENGNDNTKDVYNYGVPVSDKRKTPMCQIAELARFHKLKHEYQLMDESGPAHKKLFTVQLVLTPTQIFSGSGASIKKAQQSAAAEALRQTTLSKPPEKNLKKQKRNGDPCNPCVLLSHVCRRLGMVEPVYTMNSFYPPRGPAGMPPLPHAPLYPAAVQGMMAHYPPLTPKSIVSPSTIFPSPQAAALSLSSMDASPLGTCYLLPGGGLRPNRNTLPSLNAPTHMCTIGEFQGSNDKLFLRNILRKIFNSD >PPA00561 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3655857:3656659:1 gene:PPA00561 transcript:PPA00561 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIKFALVFGEELTTDAKITLSPDRTFEFYPASMMFLAPDSDKIRALRYIPLTKDDFDKYKTVTLFQEGFCNVRVLNVNHPGERCWSIAGCENKAH >PPA00089 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:542682:543761:-1 gene:PPA00089 transcript:PPA00089 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDKLMCNKTWVDERGETIHEANAKFEVRCEAKACHPGAIWLSKGVDRDKNDIKCRNPNFELKEKMSKPRYASKLMCDKNSGWTNEKGELLRGAETQFIVKCVRRSTFRASRT >PPA00129 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:750776:754390:1 gene:PPA00129 transcript:PPA00129 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYDQDLEAVFPLKDRRRSCCLAPCTCGKTTERMVSIHFFIILVASLQSFSVFLFAGTPRDDNGILTAYIGCALSLLPLPFLLFALYGIVYNRPNLILVLVLFLIAMYIVLIVLDLQYELLSLPHFAFVLIAMSTLHAIHAIRGYNPGALTAYLLPYFVCIILAPIPFHFSAGVALTTKKYSFGGSIRFLLALAYFFYLLQATRVMLRVVYDFRKIRETSGV >PPA00536 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3468331:3471200:-1 gene:PPA00536 transcript:PPA00536 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIVEMWVNMADKLLESYNPLYDVHLQQYFAMPHMQKHLVNLGLLECGGNKGEVYARHRQMIDVMLKNRRVVWEQQLIKLAELQKKLDAAEKVEIYRRIRDALALSGQSPETYRRAAKPSRSLSRGRRSVSQSARVRRTSASTEDRDVIAHVEKEREQGIRDETPPRNCYARLSANTGKYKYLHKLDDNTLISYKDQLRRQLDKLERFREV >PPA00009 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:25447:29286:-1 gene:PPA00009 transcript:PPA00009 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpl-10 description:Large subunit ribosomal protein 10 [Source:UniProtKB/TrEMBL;Acc:A6YM90] MKHHLPKKNYKKGRKTAHSRSSVYYELLYEPNMGRRPARCYRYIKNKPYPKSRFCRGVPDAKIRIFDLGRKKAFVDEFPACVHMISNEREMLSSEALEAARICANKFLVKNCGKDAFHMRVRKHPYHVTRINKMLSCAGADRLQTGMRGAYGKPNGLVARVDIGDFLFSVRLREQHVEHAIEAFRRAKFKFPGRQFVVVSKKWGFSKWNKADYERMRAEGRLVPDGVNAKLVKEHGPLKKWVNNPI >PPA00213 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1355739:1358291:1 gene:PPA00213 transcript:PPA00213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-elt-7 MNDPSSNCNCPATSCTGQCAQFPDDSRTGYITGQGCPMMNSFNYGNESTPSSYYWTFPSAVPYPHPPSMGSFSENTQLKNNYEENENDILIPASTPDSVEHWSPPPDLALWKEHDNDDGVLADASNKNLSSVPHHFSSSDCENMQGKTSETKNIELLMPLRETSHFESRVQKIPHLQNSFSLPEESMETEFLDDIDSILPLDQPNLKSLSVESSIDYRSPIQTAPFEMAKEIQEVDLEMESMPVLDSSILVSPFASRSPAFKTEKDKKKRQSPLNRDVFKQCSNCGATESTLWRRTGQGLIECNPCQLHFRRHGQKRPLTMKSAKILRRINHPELISLTFCYL >PPA00275 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1823888:1828707:-1 gene:PPA00275 transcript:PPA00275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-172 MREADQGSELRREKKEGGGKHGLSLSLVVDGARGEMTSEDEILEQLGEGTFGKVVKVVDRADRGGKLCALKIIKNVSKYREAAKLEINVLNVLNQKDPHGKNLVIQLLAHFDYYGHTCLLFDLLGLSVFDFMKMNHYKAYPMDQARYIAFQLCYSVKFLHDNRLTHTDLKPENILFCNSAYDEIDDGKSRKPVRRIRDASVRLIDLGSATFDHEHHSTIVSTRHYRAPEVILELNWKQACDLWSIGCILFELYLGVTLFQTHDNREHLAMMERILGNFPYRMSRKTKTKYFHQGRLEWNSGTADAQYVRDNCKPLRRYMSSNTPEHVELFELIERMLEYEPDRRITLEEALNHPYFARLPQHER >PPA00183 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1103288:1108070:1 gene:PPA00183 transcript:PPA00183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nhr-120 MRTTSFDCSLLDDLPMPEDEEDVKPIQQSAMYSPSQMQLLEATICVVCGDRASGFHYSVVSCNGCKTFFRRTVISRRKFKCTRGGMCVFDKARRCACRACRFQKCLQVGMNPKNIQIFFTGVRKAKMDECQIKKEEEEINLPSPSPDVPLSSSLLNLTSTIKDLSLRESRLDALRVTSPKTAFYVNCGIEELLLTKSLFADFSGTRPKQVVPFLSKECYEAEPVKFWIIAELGLAVEYAKSFIVFNELPKDDQWLLAAHAASVLAMLTLAFDTVEKQSETTVFPDGRDAFDYKKPGERSNMFDAIFNRLHRKPASLLRPLSASRTHLALLRAIHLFNPEVPGLSSSSVTMVRSVRERYTSVLSRLVFSEGGNAPTRLQDLLFTLPAFFSTLAQCNDHVEICSMMGFELHPLNRDSIIGMRGIRLRDYCPQKSSSCDSSPIDFDPLTDQTLLAL >PPA00012 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:47706:49091:1 gene:PPA00012 transcript:PPA00012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-coq-4 MLTRIARLFVAAQSPVVSGRANRLFVARFASTVGHQSPNLSISYTCKVCNSRQGPKQFSRKSYQEGVVLVTCSGCDNHHIIADNLGWFSDLKGKKNIEEILKEKGEEVKRGLEVFFHRTMNKLYDSHVPLSIISKLLLAGGSGLTAIMNPARGDMVAAMGETTAIQPVLQNIKFLDDLNTSPDNRPQVKYVDDEDLLYVMRRYRETHDFTHILLEMKTNMLGEVTVKYFEAIQLGLPMAILGSIFGGARLLTK >PPA00381 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2425593:2430046:-1 gene:PPA00381 transcript:PPA00381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nas-32 MRVALIFVVLLAAAQPGATFDFSSITSFWEGVKEKIETFIRGNGTITDEQALLLEKLNATSSEMGDFHERLKEIRELVKDQLKSTENSIDKKALEKMLKKFGSRIREHIRKTGDNLEEVNANLNVADQYYQGDMILTNQQMDQMDQNVNKRQAFVDGTVGRRWGTVYYSFAAGIKAHTRTVAEMAIKFWQSNTCVNFAFSETQARRINVFEGQGCYSYVGSIGRTQELSLGQGCDSFGTAAHEFGHALGFFHAQSRADRDTAITLIPANVQNGWLDQFNKETDRTNNNFGMPYDYGSVMQYAGTSAVSQSSAHTTLIQDTMGSDIVSFVDVSMMNTLYGCKEKCASSPTRCQNGGFPHPRDCTKCLCPAGFGGNFCNERPTGCGETLVATKAAKTLSYKVGNGQFSEKDEFIFCNWMITAPAATDRIQVTITSYARNACTSGCVYGGVELKWRRDPTLSGSRYCCPNDVSETVTSESNILPVIGYNRYGAESFTLTYRIL >PPA00122 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:709546:711904:-1 gene:PPA00122 transcript:PPA00122 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNIAQTILKRFMPAKERIGAEIITTLCLEIPRISELVTGMPHFTPVMISTDVENKINSWGARLSRFIEINLKWFADYSSSYDVLRRQCRKAGQSHFEAKLHLSPDEWKIVRRTCVRVLIRNTTKIAITKEREMEAEKVEEFLTRAFNSILSETRSGLLCAAVEATQRLCDPLNGTSTGVIRPLSRNHNTR >PPA00293 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:1927200:1927939:-1 gene:PPA00293 transcript:PPA00293 gene_biotype:protein_coding transcript_biotype:protein_coding MLARLFHQAKMSKGLRGKRIDDKIPVFRIACYTLLICFFHFLCWTPYWMSVVYQLIREFYTIEPQAIEAQVIYIMYLVHALPYVNSASNFVLYGLLNRQPKEL >PPA00109 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:614742:627668:-1 gene:PPA00109 transcript:PPA00109 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mig-15 MVGNNYNRRKEEEERKRERDADRAHHALSPLRKMSEPLLHREARPEDLDVLAHELSRMGGRELPLTSSASASAMNGNGKEGSISPPPPAPPPRDASINVMDDEGTLRHANKPLPPTPTEHSPARDGLMMRELSSDRESSHNGTLVGSAAAAAANHHRTASSTTTERAASMTKAASMPDASLSPRVNHRGAGDYRSDSEESEEEEEEEESDEEEEMSSPPLVMRSTSAERRSLAMPDLLPKMNGGVEEHGGEEISSIFGSYYNQSSGIGSKMSTPQPLSARDREKSFVGYFNPNGAPGSALSHALSQTPSGGGTVHRPGRAGDSGSQVQVNANPSTAAGAHGIDGDAPEIRKYKKKFSGEILCAALWGVNLLIGTDSGLMLLDRSGQGKVYQLITRRRFEQMTVLEGQNILVTISGRKRRIRVYYLSWLKQKVLRTEGASQPASEKKNGWVNVGDLQGAVHFKIVKYERIKFLVIGLENSIGIYAWAPKPYHKFMSFKTFTDLKHQPLIVDLTIEDNARLKVLYGSREGFHAIDLDSGDVYDVFLPGSPASNSSQMQPHCIVVLPDSRGMNLLLCYDNEGVYVSTYGKQQKSVRVQWGEMPSSVAYISTGQIMGWGNKAIEIRSVETGHLDGVFMHKKAQKLKFLCERNDKVFFSSAKGGGACQIYFMTLNKPGLSNCFDMSLTTSEHDARARLMEFYIMDGQTWLGSLLKSMGAVIKANFDSISSEYRKKVMEDFHKVISDPRFDAVVARDKPMAAVLSFGKLVGAAFEDMIDRTNHGSMLFEPATVPPFEVKLDGSRKRRNLSPSTSPDIPTTSSDSSKVRTRPASGAKAHRSMAIPSRKAPPATGSVNYLKKPPPGHPIYSLPVTDFTFPRLITDPQLMRRSRNGTPPNEMNQQYDPMLAQPFLQTGRPMFDSMLDSDDSPSPPPKQKKARAEKKTALKTPKQEMVTPPGAKRPTPKSVKKEDSVAEETVREWAIDCFDKQAACFICNKVCPSYQSVSNHLYHQHKGVCQQHYIFQCDGCNKHWSSFRGAIGHVRTAIGEGHESKLVGPTTTCLHPAVTTRMNGIECKNKMTYVWEQRKNDEEKETEQIEDIEEEEEDEGRERRDEEEGKLMVG >PPA00460 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2961754:2966240:1 gene:PPA00460 transcript:PPA00460 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKRLKFFAYSPMFGRSHTTFMGALSDALIEQGHEVVLFAPLFSPSNGSHGTSKARIIEYPTCAAAREREEGTKQEGGIITDFWQAKGTVGSWEAYRPFKLVLVEQLKELLDDKQLIAILRAEKFDAGFSETVDFGSMVFMHLLGIKNYSLAISVPTYDWGFEITGAPFMSSYVPGIMTSFGEQMSFMERIDNLRTLRYTTKWMESIYKLFDDMVKPRLPDFPGVKSMLASSSFVFLNTDPLFDFPRPTVHKVVEIGGISVDCEPKGLDEFFTSLLSLRNRCVFISFGSITTSVLMPDAWKQSIVEVARRMPDTTFIWKYERPADFPSPPANLVCVEWAPQVDLLHDSRLSLFITHAGMGSVNEGLRAGVSMIAIPVIGDQFRNAQLLKRTGAATIYNKFDLAKTSRFEDAVRTAIESKELKQAADRNALMLRHRPFEMKEIFVRNMEFMARFGPLRMLDHYGRNLSTLQYYNLDLFLYPALLVLLIGLFVLLL >PPA00216 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1366956:1374844:-1 gene:PPA00216 transcript:PPA00216 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLRAAAAARNAVRRCSGIITPGVKPVEKIRNIGISAHIDSGKTTVTERILFYAGRIASMHEVRGKDDVGATMDFMELEKQRGITIQSAATYVDWHGTNINIIDTPGHVDFTVEVERALRVLDGAVLVLCAVGGVQSQTFTVNRQLARRMYCKVQAAQTSRSCAKLRRSHYIMGRDASEDGDSRYKVPFITFINKMDRTGATPLRAIDGLRHKLNHNAALIHMPIGKDSAFKGIVDLVEEQAVYYEGEDGLIVRRDEIPQELRAQARDLRQEMIEHIANGDELIGEQFINDINPTTTELHDAIRRTVVKRAFVPVLCGTALKNKGVQTMIDAVVRYLPNPSEVENKASVKDSKTAEEKTFVLDPVRNGAKPFVGLAFKLEAGKYGQLTYFRVYQGELSKGDTIYASRDGRKVRVQRLVRMHAADMEDIDKAYAGDICATFGLDCHSGETFSSDQTVAPHCESMHIPEPVISMSIKPLNKKDGDNFIKALTRFTKEDPTFRREYNNEAKETIVKGMGELHLEIYAQRMKSEFNCPVELGKPSVAYRECVAGPYKFHFRHKKQTGGQGQFGEIEGVIDPLPADKNTEVEFTDETFGNNIPKNLFPALKKGLDQIVLEGPLMKSRIAGINVRIQDGATHAVDSTEIAMINTMMNMMREAFEKTQWILLEPIMKVDVTTPSEFQGNVVTSLTQRNAMITSTDSTEGYTSILCECPLSDMFGYTSQLRSLTEGKAEFSMEYSRQFTYPIPRYAPCSAAAQDAAVREWQIATGLIDPNEKAKKKGKR >PPA00102 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:596721:597871:-1 gene:PPA00102 transcript:PPA00102 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLMCSVDSTTPMSTTQNNQMMQGGRGQRPTPSPEQRAQMDAQKQAYIATLSSGAQSAARQIDQLKQQIDQIYNAQTASVQQELDSIHKMGGKGGRGGMGMMNGMNNGYNNNNGNNGNNGNQGR >PPA00297 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1972155:1972748:1 gene:PPA00297 transcript:PPA00297 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCYPHPLVTRRPLQTRQPPAPAPDVVVTRTRGLRSRPRVLTRTRKISSGKRGGCCCVLPCTSASPASTASAPAADDATARRRTPRPDDGKSSVREADATSSASEAVADEADEDSSAASRAASARRRRRGESGGISKIMKWIVCDCAKSDLGDK >PPA00338 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2189593:2193193:1 gene:PPA00338 transcript:PPA00338 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGPVTPSDEEPNGDTFKLGSDDLQKQPEEVFDIVSKLGEGSYGAVHKAVHKATGHTLAVKKVPVDTDLQEILKEISIMQQCDSKYVVKYYGSYFKNSDLWIVMEYCGAGSISDIMRLRRKTLSEAEISAVLRDTLKGLRYLHLLKKIHRDIKAGNILLNTHGHAKLADFGVAGQLTDTLAKRNTVIGTPFWMAPEVIEEIGYDTKADIWSLGITAIEMAEGRPPYADIHPMRAIFMIPTKPPPTFKKPEEWSEPFQRFIRACLVKEPDSRKSAADLLQEDFIKTAADTTLLQEMMRDAEAMREAANSASQIPIPSSMDDSSTMVSGMNRTMIGGEEGDATLIHHPSGTAEDFATAESLRSQMASLRIGGLPPGFPGGTGRPAPPAGAQAALAGMREVGAGFAAAAVGTGPVGATGSSSMSTGSSVASSVALNTEAEYERRFQKAMNDGDYSFVSVYRILPARLPFAISLWRSYAHARRRSRPRWSRNSWSCSTTTTPSGSPSTTLSS >PPA00378 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2396481:2396760:-1 gene:PPA00378 transcript:PPA00378 gene_biotype:protein_coding transcript_biotype:protein_coding MTDISLATSLSSPLISTMLCNNTVDSSMNLIELDSYLHGDWTTHDAATHYEEEDPTVQAAFGNFIQSLREVDEESHL >PPA00039 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:190126:193140:1 gene:PPA00039 transcript:PPA00039 gene_biotype:protein_coding transcript_biotype:protein_coding MELCERSKSIFSGNYDQILYRPLNQSSNEWREARGLRDDYTAEMQQLMDEYGINSEAAIVSGKLINPKRLAAMEKDDYSFYNTDKMVELRYQKMWSKYRRIFFEEFGDEEELMETDHEGKEVIRTDSTMREKARLWYILAYIDDESTEDEVKVSTKPCKSFAWIVWDVLADLKRRELMNLRGVGPMVEATPPVAKILTEEIRKFLNEKSIEFSDFCIAAKREDEVIERYASTYGKGLYEMLFILDRWLKKDSLYDRTPLRLTHLARLFIHFCLGEVYTHGSIVLPLEMITPFPLKLKYSADPTVSQGDYSTSISEPGHIILLFLSYIASYAFLSVPSINLSFDDGSPYPSPFLHRPSFWKPLSHMAFKTIHHISLSGRFSSLTINEEDGNDLWAESVDPLVVSADFFKEEGFRMGDGNSYHNGRRFDQIMIDTLKKWSGVTTILKREGTNKNRDAIVTMAGTASGRMRLTRLFQMDPMQLKTAVKLDQPPSTCFI >PPA00094 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:563004:563858:-1 gene:PPA00094 transcript:PPA00094 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKQRMNVANNAFHKNINKRGNVEKSLKPKSADYPAAPWLIGLFVFVVCGSAVFEIIRYVKMGW >PPA00490 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3124529:3127946:-1 gene:PPA00490 transcript:PPA00490 gene_biotype:protein_coding transcript_biotype:protein_coding MMEQVREAPKPKKKKGLQPQIYKIPGPQEPLPGRSHDSDYWPVYPFQNQYSGGLDLDPSISRHIGGDLNIAVPSWGIMDIYGRFFNRIQDTTTKFGYLNHPVNMMDLEKEDLVELITDPSAQWNRGRCLIHIAIKNPQVQCQGSNMITEQQPRLPLGKFAKNYVPLNCRPPLCNPYHANFALGIEHDLGGSDGVEGDIDVPIPISKGVAYRMPFSGKVYYDFDNITVTYGHNLAPIDPYTSLFEYQKYRDPDLAIPRHTDRRRKRSASAGPTRVNDADGVDSNEVDDVNDGKKKTWKNFEIDPNASITMPRAEFARMLQARRMQLKARAQREYLQRMQQQQLQQTLYARAAQEMAWRQKQLVQYAQALQYQRRKRAAAYMQYEWQLQQALQRQRLRRQAIQYAALDGYRQQQLNHRRMKRAAYLLEYELHHQPISSRRRRSVEGESNGARDAKRAYMQRVLQLQQVQQAYLQQRQLQQQAIYARAVQQQHLQQQLVLRQMQREQYAQAINAGLYRRDYTPSPFPIVRRNSLVYV >PPA00467 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2993056:2996777:1 gene:PPA00467 transcript:PPA00467 gene_biotype:protein_coding transcript_biotype:protein_coding MKCVLLFSQQHTDFRLAELRGICRAHDIDAELLTKTVGVERAIYVLEAERRVVDLLLSRSMLLKSAYEWIEEGGEYEELHRRIEQRSGFFEPYNTADLSFSVRLRPVGRKKGVNALGRARLERSGTSTGGTKANSLHHLTTPADEVGERLPMGDAPVNLDAPDTAFTILEEYLHEKAPAPDRIYFGRLLGHGQFSLKNDFNLQDRIYIGNTTMDPELSFIQANITAVKAADIVIDPFCGTGGLLIPAAKFGALVMGSEINYQIAKAVGRSSRAGVEKRGADESTAANFKQYGLTEKFLSVVMGDASRHDMWRAVEFVDAVVSDPPYGIREKGRKIGFKERKEHWTLPGSEHLQHFPEKQVYSLASVFSDLVDLSASRLRVGGRVSFWFPVIRDE >PPA00107 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:603722:604903:-1 gene:PPA00107 transcript:PPA00107 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRAKGEVLHEYIGIGRKLPTEKEPVTPIYNMQTFASNAVIAKSRFWYFISMLRRLKKANGEILECKESVLLNLRTIFPNDTDSLDNSYGSAEGIHGRFQSSADVENDYFPL >PPA00093 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:561736:562554:-1 gene:PPA00093 transcript:PPA00093 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFVFVYGTLKSGQPNHRFLNAAKGVAAFVSNGTLRVPYPLVIASKYNIPFLLNNPGEGHRIRGEVYHVDDVTMRDLDELEGYPDLYIREELEVEMANRSSMSAWVYILKSYDEKLLATATVPMDDYRSEGPHGHPYVSGEDCETYDDLFR >PPA00111 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:638437:639540:-1 gene:PPA00111 transcript:PPA00111 gene_biotype:protein_coding transcript_biotype:protein_coding MANNGLADIDLSALRDPAGFFELIEVVGNGTYGQVYKGQHVKTAQLAAIKIMNINEDEEEEIKMEINMLKMCHPF >PPA00308 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2018289:2020931:1 gene:PPA00308 transcript:PPA00308 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLSSTTTRATPKNYVNLTKTHIAKNLEEHDQAFLGDASLNAYGDVTYITVMGLNEPVQSVNYMLGLSWVEEKFISAFELHKVKLAENY >PPA00383 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2458849:2461710:1 gene:PPA00383 transcript:PPA00383 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLTILLLAPLAVHAAIQCYVGQKLFSLESNMAVCAPFIPYHCAAACEWRPNCTSHVSYDAFDGRRTFVSGVLVNSKSLMLLCCASLSTQVQADRHGERCAWRGPDSIPVLGPSIRAEPVLGINHYIREIKMERDGDARVDVQLEVCQYEKEIDQCKEEKMTDTEIARFNELKDQLEVAKVAQQEREERRFTATVPTAAPTTTLAPTTASTTTVPSTTAKVPTEDFSDKIVDGDKEGQKKIDKAADEKAELCQSVITSECGRNIHARIMACCKDLAACDRVCLKGVEKSLLEELIRNERKCTTREVRQTLDCLARKVTNHALVL >PPA00503 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3196036:3198821:-1 gene:PPA00503 transcript:PPA00503 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKGVKQIELPLEKSVHFDAREIARRRKELKRRLEKTKRKQRRVKVEHDTLDPIEFPLSSKQRKIIDNEAREGEEQSVDAHTTPTSRPTPSPSHLPSVLGEDYTDESFNAQFAEQKKRLESSTIRPLKRLTTPVSISVERSGERDEPSGESRRITTEESVKREEHEKREFHPRKASVPPLSSSPALETELLPITSSPIGRILSLEERRIARAQADLDREISEALKTKSVDDLLREQIRRINGDSEGLRSSSLTFSTTPPPRFSSFQTSPQFERTTLPSTDTTFEEPLVTPGKPFEDPDTAWLPMEERTTVKTLRDFRRSPSARLIYEYTSENIMHKPVRVEMDRAVDSMRDECSEDSQCGTRLRCCKKRRSHVDSQ >PPA00421 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2657343:2671177:-1 gene:PPA00421 transcript:PPA00421 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTSTTDPPHENTTDDEQSRLSRRSSVLNDLFSLFRRSSSFHIRSQPKLWLSDREEDEDEDEDEPDKPKRVSKERLLELIQQKKEIIARLREQPWSMTRKRKALIVAQKHLKKQQAKVSKVQLIRTDVLLSNSHESKIKDQFQMSRGMKQFSRLFGNIKIYLIPWEKKIKQIESVNMMISVIMGIFVIVPEWLADAQNKFNLDVQACAELKAKTKDYKNRANMTAAIKVMPEDVRHRADSISTIGYFQYSLLFYGYYSPLNFRGETITYRAPKYPRPKGWALRSTKKTGRYDPVARKLVDDLFEQYFSNGKKLRPDEAEKRMRERNDILPAQRMTFDQIRNRITTLLSQKKEHQRKEHGNRQRRYVKLIDDFERDLAEEGISLDDIEEEVDLERPLDEDDLIITSDEIYLANSNTCCAMKMKIPLAYFLANIAIIALSFVWILRKMAENARSSKMSSGKTEQYVFNWKAFTGWDFTIGSPETAANVYPANVIKFREAIAEYNVKQKAKLTCGRGLIRVFTNLVVLAMLCGSVYAIYSTSTMQNRNTFWSANAVSITVSLISLIYPCLFDLMGTQLEKHHPKFALKLQLCRCLILYIVNYITLFFSLYQRLTVLEALESSSVTNTLISSTPDPIQAEYDRQRNISLGNAYYNTMFPTHSRTIRDLLMPLSNLTYSYSSVPIPPRTTPIPRRPWTTVLPDFGPFGVSAPRAVIVPNGGGQKHNSLFTAHAIGPQANWTSPAIIPLLFNGTNRPAATTEITPAGIPMGRGGGPAKCWETVMGQEITNIVIMDMVITIGSILVIDFFRGLGVRYLNNFWCWNLETKFPEYGEFKVAENVLHLANNQGMVWLGLFFVPLLPFINNIKLIIIMYIRGQEYFYSVIANTLKEYLNDKTVSIMKEIISPGIIIPIIIFLLLVIYFLFSLVRGLREANDDLTTQLTHERTEEKKKIFELAGGKGRASMFWPSKLSFRKEKKEKPPKLVVDNDGSTKSLPKSVISTSASNRFVPSLGSVSEVDHSSDSEGSVHGDNAAERNKSTSMRAESVHAEGGANLTLKQKFLICIGWADPKKYERIPLEDVEAGGGGGAGEEGEEEKRQLLSGSSSESISSSSSDEDDRSFQTASQGQNPQSRADRSTTASMSRKHSRRFVEVEYATPTLASPTTGESVRSTGSSTSSSSNSRSAGYQRNPRYLEVANSSGSPSDSQRHSPPLYHNHKSSSRKKSSRTGHHEYREPDESFAGDVSTRPPSQDGSFRDDAPTSSEDGAQHLHSSYTSAMLSPIMARVVFPGRGRERGTDGSTADSDDERHGEPATLRIGRDADGARHAHVTRPPRFRISSSPPKTPNSEARKFEVHVCSSPKTSRVRGGRPPKKEKDPSHAQTEV >PPA00290 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:1917389:1919019:-1 gene:PPA00290 transcript:PPA00290 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSVKCLSNAYPDAAEQFAFTSAVVDAIDGSIDLTLQYDYYRGGNGNFLNNLHFTRDDFSIAAGEVFRDFCFEGPQKSDLSKYVHNELFLAKDADVLVLFTAAPSSELAAALPLPDPTEFKSVIVVGLDGADASAFYPDTSISIADFTQPDTIASMINAAYGTKTFWI >PPA00175 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1042835:1055294:1 gene:PPA00175 transcript:PPA00175 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGQRFARCLLLLAVGLAAVTASQDKSVITSLVTKWAATSLVAETSEFIGKESNATFFKYVGNVAEAVASADFASLSAEKRYELAIKAATPLLSQSALDLLKFSLSIRAFSPSVQLFQQVGEEYASISCPAFFDVHGVTGCTAKELETAVEKADRSVAVQLLSVDHVLSFAVDAKPLTVIVYGELGSASWLSLHAAAKSAARQQKGLYVHRHFSREVTAEKVGLSGYGVELAIKNTEYKAEDDSNKKDEGSQEDEDDLHGFNIKLLKQLHPSEQEALSSLRMHLKEIEELAPLKQWQVQNLAYQAAQKIVDADGQEALTVLKELSQNFPLHGRSLSSQQIDDALRAEVEANQEALGEAGMEAGSTSLYVNGISMEVDSLDLFQLIDTLKQEEKLATGFYDMGVKDDYLPMLAQMDLTDEKSSYAVDYRLAEPVFLNNLDSDKKYKQWGNSVRLMLQPYYPGMIRPIARNLFTLVAVIDPTDATSRDLVQSMYMFTKHDVPLRLGLVFSVTDDPAISGRNDAGVAALNLFNYVKDNYDVGQAMQALAKVFSQLGDKFSAEGVRTFFETAYRGDYDEVFGPETEYGAGRSLGREFLAKTAIGDAPKVLLNGYILDDAGIKSDKFEESVMMEVMRISPKIQRAVMSGKLTDKMNVGNWVLEQGDVMPRLNKRILDAPATKRYLDLTDTTPCTAKNVNEYGRLSDAKKAGCVAERVRYVQRGEEDAATRPVVTDLETPEGRAVLYAALKHVKHSNKARIGLVLNPSDVVSACKSSSISFLAHSALRTLPVEKAKLFVPKLIKEENVVKLADGSATLEDISVAGMDVAGFLKEKALLDCERIKMESSFARDVIGLTGGQRAIVVNGLVVGPLDDDETMGDEDIGLMEKLIVGRGAQTIAKFVDDKWKIQRRHGKASDMVLRASSLIGKYAAARKRVALTLPGEKHSVVHLPAEDTTRAAASVVVVVDPLSRPAQKLASILELLRAAVNCNMKLVMNPKAKLSELPLKRFYRYVGSAEVAFDAEGKVSPPRALFTGLPSKQLLTLSMHPPDAWMIAATTSRYDLDNIKMEQVEQDVVALFSLEHILLEGHCFDEASGSPPRGMQFVLGTPSEHARYDTIVMANLGYFQLKAAPGAWQLRLREGRSKDIYKVTTHMHTEGEEEEGEVIRVLIDSFSGRVIRLRVSKREGMESEALLSESGQEEGTGSIWDTLQNSLGGSAVQEKYETINVFSLASGHLYERFMRIMILSVIKNTKAPVKFWLLKNYLSPQFKESLPLMAAAYGFEYELVEYKWPRWLHAQKEKHRIMWAYKILFLDVLFPLDLHKVIFVDADQACFCFVVVVRSDLLELMNLDLDGAPYGYTPFCESRKEMDGFRFWKQGYWANHLAGRKYHISALYVIDLKKFRQIAAGDRLRGQYQGLSSDPNSLSNLDQACH >PPA00167 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:957825:959086:1 gene:PPA00167 transcript:PPA00167 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRGRSIYSEISGSGLVRAAVGRNSTFDITGDSIELEDIKAIVTTPDGREVPVRIAPKGVGKFTAEYRIHEVGEHQLNVWIAGRKVDTSPLYIAGYSSERVKLEPLGGGVPGQPVQFVGKCLPDPSVIIRQTDYPNFEL >PPA00235 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1514001:1518777:-1 gene:PPA00235 transcript:PPA00235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cng-1 MSDDKDVETGDAAATEGSMANKRMSEAYAVNETDQVPSTAPSTASKECQTKDNTDEVVDEDSPSIPSTASKECQTESRRPSVALTFPQIVAVLLVLRKWLPKIRKTLEEKNTVNITNDENVNAVFGGELEVKKDESRRSLISRIVYFSMDENGWSFYIWTCLVAAGCVYNLVVICSLVFDDVHNGFYREFLYFNLAFDLIFLVDIFVMTRIERIHDGVRVTAILELLQLHIKSTDFLLDILCLLPTDLLLFFKSNLSLVRLNRLLKCYRLFQFASLTEMRATAPNLFRLTKLVFTCFIIFHWNGCLYFFLSIIYDYDQAELEDWIFSWDKIPDPIIVACDQWQEGEECDTTVPHSLRHLTDWENATDEIEDEMAYWANRTQVMMFSNLTKQYGLSFYWSALTLVTLGEQPWPGATFQFIFETADTLLGLVIFATIVGDVGNMVTTMNKTRSNFEELMDGCKSYMTSRKVPERLQSRVTRYLGHIWTEGKMNAIADFMPPRLYGQLAVHIHMATLRRVKLFEPQDGVDFPDCEPALLYELILRLELQVYSGGDYICRKGEVGKEMYIVKSGFVEVVSEDGKKVFVRLGEGTVFGELSILNIPGNKNGNRRTANVGYCDLYTLQKDDLWEALHEYPHAMHSLMEKGRALLAKDNMLEEVEENPLDFDGELPLELKLVKAKQAIDLIHAKLDQAEKSFYDFSTTAKQRLCQVESTVGTKDFRHYYINEATSSEEEDSEDDDDRVLPTDSISNH >PPA00020 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:76535:77013:-1 gene:PPA00020 transcript:PPA00020 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNDQIMGLHNDLRHVQNFMTERFVNLEQTIRGLDQRMSKMEKNVETVMNSMYSLVQLHTGLSTSVTRMRDEMRTLAPAAIPDV >PPA00225 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1428946:1437203:1 gene:PPA00225 transcript:PPA00225 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSNNETRLLLESTRKPADADFARVALRARRGPVVNDVRALQEDGGAAAPPPPRPPRQLQQQQPMLQQRRRQDEGEYGGGYDGGYDRRREYDDRRQYDGPQRGCGYDRRDNYRSDYGRQQEYGRPAQEEEREEYGREEQQRPQERQDRQQEGAEEEEERGPINANNGVRREYSSTRGGRGGQRGGGGQRGNGYPPMRGGRGGGGQRGYERRRDDQDEGDAQGEEQKKSWRRVSPVEGEEGKERMEGEGIKQEEETDGAPRRRYNNNNSQQQQQPQRVTMTRGVRGSRGGNARGSARGGATAPSAARRVAHQRDEQQDGDAAGADKRAVAATAARPTRGLYAPRGARRGGYEARNARAGDSAAAGAADEDGAAAAAAENLQPRDSTSVRLKSPTVASSEGQEEWETASESSQRAERKPALPSAAARQNGTTAAASASGSHSFSQQQPKNGQQPAIRPPYTTTHAKREGGTRRGERPERQGAPPSRKETSKRDHREDSEESVDRLAGLDLHDERRVVIVDDHPATTHLTATEHADFEEVLSKKQKRARAEEEKARAEAEERRQQREKERQERERVKREKRLQAEAERKEAQRARQAEAAARRKTEKEEKAMKTVWNSAQPEARAALESAEAAAAAASAVSVSVDAAAAPVADVLPSPIARPRAAAAAAAAAAAAAAKKPAVELPPAASSSTRAVQEKYEFMYDEEAARAAAAAAAAVAASSPSAKDTTEDSDERITLAPSETGSAVAEDQRLLKENVERCAHMWSSGDATTVTATDVVPVTPLIVPHTVQSAHHSPAAAGAGGLPTNVAKVKPQPHSSASAPEAGDAPGSVSCTPRPPSATKQSIIMGGGVHSSGAGSGMHTGGHPQSIMGARSPAGMSSAFNPGFGGYNNVFSGTGEMSGLSNGAGGFKGMQAVSPPNMHNAPYGSRLHNMQQSQQQQRNPFDQGNGLFPHPVNRSTNSVGASVGGWPSGPFDSMMAPPTSTTPPNQMANHHGAPPPQMSRANPAFGLFGAAPPPPPQQQQQRLQPPHGAPFSAPPPPMPHHGGPPPSAKNTAMPPLYFQDMMK >PPA00138 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:790125:792079:1 gene:PPA00138 transcript:PPA00138 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRCEPPPAYDKIYEVEPSSVEPLNPPRYPAFLHSQRNTIRQTDRAPLILSEIQTPPLDTISSTTPKKEPLEYEKLAKAYIIFLTAICWTGILFGFTMAWCHTGTWLLVCGCCLVPFPFLFHGYRGIEERKHTLITPLVVFLITLFILVIVPFSIGGFYFAITYYLRRNEALFQHKNLVAIATIFLIFGLIPTTIHAIGTVVYRLIDHLSGKVKVTSV >PPA00261 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1727128:1733438:1 gene:PPA00261 transcript:PPA00261 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFMRDVVSTYDIGSKSVRVGVLVYDNSADPLYALPLDRATSLSQAESEMMRVMPSPCGFAFCKVDPTKTMINSSIKCGQDEEDNRHTDGKGRPGRRGALQNTDPWLRITQVHIGSPEADLSPEQRDYMAAYYQPYERYLGRLRQLYPNMTIPEMQYPRREIPPRKSIQNGVVRLNGFDALCTIRNEICELTFACPPCNALSSLPSSTSIDPRPLMKPAEVPMEKPADPFESLPWPSSLTSPRPSTTTTVKLPFDDAYEYEISADPPGLTVNHTPIPIKDKRGDSVDTASESSVVDFEDLVVDSIPFRPNDSSREGASRRKKAKRSRSPRAALLSQSQCTCAVPVAENPTVVLQQSPCAAYPRYVPYFCGQSANPPPRCAQFLQQQPCGRPCGGAPCARARPPPCGCGASAPCAARQPRPCGAVPPCGAVQTPCGAPAPLAAPLARPPAPEVAEVEVAPEGPTPAYPQSSAVEGSNIESTDISDWAEKFGKQIEENAAKKNEEDNKDDEYEDFVMDPPGKESKTERDGKKKKLTDFDKEYDYEAIPVTSSSKKAADEGWKKLLVDKRKNPRRIDNDKPDPLLDALSILRPTPPSIDRLLIAFGSVERKESNEQMFRKETVAPRTTTAFPIKKRGKSFESQSQLLTVDDVALARTTPPSTAEMLRRLQKLEEVLEAEAVIEAEKESIESLALLEGAARSRRTLKPSTLEVQTVSQKDVEREKVVKELHRLGKAENVNALFQEDARPVKRPSRSSCLFSGIDIVLLIDDLSSVKSVEGLHLLVESIHRETLRTDECQGRSRLAVLSLVTPGMVKMISPLGALPCPQLNTGAGCPLNETRCDQALSEGLILGVNLLTQEANDRRKAIVVMSARNPKASKKFASSLSEALQLAASLGVDVQPVEIGGTDLRSLSTLAASGVAPLQWQDDPSGQLGLRNALCSQLSASEEELNQKQAYCPWKESNSTD >PPA00256 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1648340:1649949:1 gene:PPA00256 transcript:PPA00256 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAGRRAESHQRDKRGGQREEGRFIPPQQRPGQAREERNNDERPGPGPTCLSLPLDDDIDDTLAGTSSSGRLQQPQPRLQLQLPSMSSNEDVVGVLPAALAALPAVQRRQSVFYDLLTVFKKPTLPDSPTRQRVSLPRGKRGKELAESLAGGQTQQPQQQLLQREGEAFLGPKEELGEDGKPLTKHDILNKIRQKKEARRCNRNAAKSGVDDESEEADAPGSKIKDQIQHSAKVPRAARIESSQESI >PPA00241 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:1563839:1564713:1 gene:PPA00241 transcript:PPA00241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3DS60] MSTIKRGLKIEPEDIITFSGDLSKEVRVTFSVTNKSDQKLAYKIKCTKNDAFKISNAIDVIPSGQQRQITITYRPIAEEISDRHHIGIYYIPAPEGCTANSVWKEHYGPPCGEYRLRVRLNRSLLALA >PPA00159 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:907954:913045:1 gene:PPA00159 transcript:PPA00159 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSAAAAKGAPSVQRRDFSAPASKLPKLPVPDGIHTLKHFLDFAEAIESPKEFKQTLKTVQTFADAEMLKLDSALAERAKKHNNWLTPWWLNVAYLESRDPLPVVTSPGVNFPKFEYQGQTGQLDHAAKIAQAAIFFYKKIQRGELKQDMAGSAPLDMAQYRLLFGTSRVPKKDKDEIRYGADAAQSARHAAVFRNGHAFRMDVVDRDGDPLSLDTLRQQLDEIVSASENRNLFPLGCMTAEKRDKWAEVRESVGRLPSNRKSLDTIESALFTLAIDGPAQPLKGFTERDEQARQSLHGSGSERNSVNRWFDKTVQFIIGDNGYCGMCYEHTPAEGPPVATLMDFVMDQFDGRTFIAEGTSTGLSPVRRLDFELTNDHKKAIEKAKRRIDAVAEDLEVLTYTFTRFGKNFPKSVKVSPDSFIQLAFALAFHRIHGTLAPTYETASLRKFDEGRTENIRGPNLQTAKFCQMMKIGNRPFVELHEQLHAAIAAHKKYTADAMNGAGMDRHLLAWRLLAAEKGLPLPSILDTSAYKRMAHYQVSTSQVPTRHYIPMCFGPSADDCYGICYNPQEKELHFTITTFQHFPSTSSKRFAKELERALNDLRAICVKGAKEHSKL >PPA00259 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1702432:1709880:-1 gene:PPA00259 transcript:PPA00259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dyc-1 MRVVRTIGQAFEVCHKVAKEQMSERPEDEVKTKGSVISEEDLADIEENEKSQSSSPVEAAPPLGPLYQKRLSLFQPRKMSSDRSSVATTVEGPTAGPSGLAALLATTVQPLVPALVDGSIHPQTATAQSLNSPTKLHAQPLPVSSSDTFPRGATAAGHAELSAPGPSSTLPHSHTMHGLPMTSALPHQTATLPSSLQSIDLAAHYAMYAPIPYMVPSASMPFGLSAPVSPYATLPLTGNPGASSEDPSLSPNAAHLRSLEQFSQQLIRSQLDQAQQTAQVAGCQVQLLRDQLSSETTARIEAQSRTHQLLNANRELLEQVQSLVGRLQQLETKLTTEIHQNIPSGPSTSAGYAAATPHHHPPPHPAQQLQQMQQQLQQLQQHPAMTATMIPASSYRQGGNGHLPSGSPTRQKFSSTQVPSLPYKSMHESLCVDTAGSGPSTSRPYQVQTLADLRSGSLPPERDRGDDRRKKGRPTDDGTRTEPESNCEDTTDYSSSDQYEKMREGDPYTNVMMSNPYHQSEDEQQPDYMRPGGSGLPTRKQPAFRAVVGSILRDKAGLQEFSRMSFNTRLNNEDRRAVMEEAERRGGDEMRSGQDSPKRRDDERRKETARVTVDSLFKPKERTPERTAREPVFKQKDTRERTPERIVREPLFRPKESRGSPERTIREEPAAGMSGMRNFGLPGLGMSPLLRRQQSIGREIITDASDKPASSSLVTAMYPPMKNRPQLLQQNSIAERGKVEVVKRRNIGALEDAIFNKVNKRKTIREPLGAELEDANSNHNSPTTGRRNDLNSPKIVDDFFNRNKHPLGLYSAADASNSPTDKMGNGSTLL >PPA00283 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1863857:1866399:1 gene:PPA00283 transcript:PPA00283 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPAEAYLALYNFAQVGGWSVVLIKSVLSLLAGATFTQTYEGVEFWLDVFQTAAVLEILHCALGLVRSPIVTTIIQVFSRVAVLWLVLHPVKESRDSIGVPMLLIAWSVTEIIRYSFYALNIFKAVPHFLIWLRYTLFIVLYPMGASGELFVIFRSLPYVWERQLFSISMPNPANVSFSFYWFLVILMLNYIPGFPKMYFYMFGQRKKVLGVEPAKKQK >PPA00547 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3582997:3590566:1 gene:PPA00547 transcript:PPA00547 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVAAANGHSVAPPNEQFRCKMCNVDARTEYMYHLHLVGKRHKNMEKLGDIKKRPLRTESVFCELCNVLCKCKKQYAEHVKGKSHKRAHELCKKRGQPIPVPTFIPPTATPIKKKKKKKVQEEVVTNFAKASEERSKTDEGEFGLRGMDSTQNILMPDAHLEESKKTLEEESQNSLNEKSYSSPFEPIPSRPVMDLFQHGEWPKCPLAAALAASQGALMQSRRRPIGATFDGDEGSMEEWQRKRKIMVDGARKITQEKKRPATKSSDQDHDEYDQMRSHSMIKKNRASNPVTPSAPSSPSQGVMSETSVGDESKELELSEPKGLQQPKAALSSSSKSTIFDASTLIEVRPSLPKPAIVQRAASSDSESSDDSLTSISSTRSEESTEGIDPDSIDPTNVSSPPTDEIELPLDMSEEAKETLRNFFAEIRAERMNLVSLLSTPNPAVVEEKAPDSKSSSDETTSSLPTSSEGSIKDSLTNKPSNRLDEARKTWRDVMEQVEPSIMRVVSNLCDDPFLGDEFARYKKSLMSKFPYLGEKAVLHMNIMEDEELRTHGVILIEMGRRAFNDRPVHCLAYLDDVMRHFMGPLCVATNNDAHE >PPA00073 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:426056:431296:-1 gene:PPA00073 transcript:PPA00073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-40 MPWLRCSLPILLLLSLNGVGVEAQFAELAGLATSFLGPGLGGALEGASAGAGALGQIGQLYQLAQAGIGLAGTGVDVLNKASQGNWFPAAIEQATLMQKNMGAMGERGAGVPGGLGGLGGALGALGGLPGLGGGGGGGTEIGSEFGKSFPAPSVEDYETEIDLKGTAATTTAPKEDEDLSRFFKSKATQVPYSGNDNDCSLHSYYFVPRRRRGQRSGMIFFGERFKPVKSLPKRKINIILPKSPDYFEGKSTDSESEIEFRTEKDRDYDSLITKEESGVSSNTVKERSTRSEKDRIIDLERLIEVLSKNKMKDDEIAEIIKQVETNAGRSEQTSHKDDLDSTVRNISPDMFEKQQRIIKATRDLREHLDQQQKEREQLPLIDYVEPSSTTEAPTTPPTYRPITTTIYATSFEDYEETTTRASPRPLIRATTSALPIPFSTVHQPYSPPSAPSPVPFASVPLSSPSSPSSSFLYPSQLYSVSGQAIPPVQQVHPHLAQLPTYPTYFSPQSQSQFALPAPAPPPPSPASLSALPTYYTYQNANAHTVTRGTVQLPVATGAALPALPPRNRARRVRQGAGAATDPRLAAAATRTTVNPPRPQQQQQQQQVSPAVQRRFKGRAEGVSGSMRRVEGNHGRRGTQVRAQAAKSHRIFASPLSTPSPSSFPRRMVPTQPLTTPSSVRRATAAAAAAASPNPKLRPSNQQQPFVVSSESRRSTRPAAPSATAAQGRTLVTPYWIDSNFVQRFPQQARSPAATGDDLVRAEIAAANRRMNSQHLQHLQQRTTSEEEYRRELQARLRQY >PPA00406 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2581419:2583005:1 gene:PPA00406 transcript:PPA00406 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLRFHALTSDADRVLYIVVRKRKIVIDEQAALPPIEPQRPKEAAKPAKVKRVMRADVFPSIKKSTPARDGRPERRKNRDKSNGKKKGKSSSPPKKRESQSMPRGRFTHQQTAQQRDAAKREIEKSCTVLVVSPGNADRPGRSRTVPRGP >PPA00323 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2116670:2119019:-1 gene:PPA00323 transcript:PPA00323 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRIQHATATLTTDDCSALPSIFLPALAGMLIFCSCGIIAAAEWHVRLRRRRARLYENFKQEFKRIRIDARVKILQVEALVAATQEKAANAQVMKRSSGESTKTVNSNSNSNGNAPAIPHREPLLDVASTPRDKSRKDRSGTDKKSKKDKKTEKTNKDPSSSKGSGNKTSTREKEGDKQKGTEKTDKKSKKSAKSQKSNKTDAREEQKEKSFEENNKDILR >PPA00506 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3242199:3247820:1 gene:PPA00506 transcript:PPA00506 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNDNVVPADPTNPNPTDPSSPPSLEEAAAQSSSTGPSSSISGPFPQVKLRIKFGQATPMAVPQIQGSVSYLYPGQAEEHETSGDESSAFRFSAVNPHAVRDLLSAAAVIDDGSQKNAEPGSSSSKRSGKGSKKRGRKGKNDVKQEMNDEDAEEGETKEENGDAGEDDEVFEVEKIYDHMWDEDGELKYKVRWVGYAEEWDTYEPVVVLGSATQRINEYKRSLPVETRQELEAGSQKKSKKKGRPSKGASTPKAKKPRRSEESLPMPSDEESDDDKEDKEDDDFKMEGGASTSRSRGGKGRAPKSKGELKLFEKPKRTTTSNEPRPKRQFLQNRQGWLDESDSNASDSEAEKKKEEKKEEKKEEKKERRVTESPAPKKETGRKRGGTVEQTPVPVAAPVTNGRHARGATAALVEGMYRHESGEVDVLISEGRSQRIVPLKEAHDIAGFGLVQFLADRFQFQA >PPA00247 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1595393:1598991:1 gene:PPA00247 transcript:PPA00247 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLAFGCAIGALILITVIAIIVLVLYYRRKNRKAGGGNQQKREELTAANGLTIIRREGMADKYVVKGCDFRIRGPLVRGRVHAEPPTPACKLKTKEDDVSSSTLLTFRHKHALDDQVGGDKIDDIFFDKNLNDAYFIGEGVEIVEEDSVSVSEGKDSKGNAIELLAMMDADDMMAINSQKSKKNKVDPNAAKNPRAKMSSKSSKVGISY >PPA00313 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2064570:2069671:1 gene:PPA00313 transcript:PPA00313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dgk-1 MKEGNLPRDSKCCVCKKTCYSNECLAGMRCEWCGATAHAVCYRQMPSECDYGALAKIMLPPNCLTIPRTELPMEQLLNISSPGGSSDPAYAHPLSSPCKTTADDVIGEREREKEDKEKEDMEILRIYDGNNSLRNQVYRTAAVPKMASTSQIRDIALRRFHIQGPPDNYYVTQVLNDAGEEENLEDPVPLRNVKRPAGARAQIFLRHRDDPERAVVKAYGGWLRVPMTFCSMTVDKDTLVQDCIADALDNFGLDRTAWNRYNMVEVSLEKGLAERTCNHHENMLQLVRNLRKDSLRRYHVMRFYVQEKEDPHDHAVFVGNLPVSLAQRQYERILLKQLGAIEKPFTAIGPIYFEYGSLVITFNTAKAATAAVQKLQNATYEEKKLIVLCLPNVQPAMLPPDCEPLLVLVNVKSGGCQGSELMKAFRKLLNPFQVFDVLKGGPLVGLYVFRNIPKYRILACGGDGTIGWVLQCLDIAKQDAACFSPPCGIVPLGTGNDLARVLRWGGGYTGEENPHDILKDVIEADEVRLDRWAVVFHEEERSQPPVTTTSGAEGGNVEAGTMSNPEDQTSMIIMNNYFGIGIDADVCLKFHNKRDANPEKFSSRLFNKTQYVKIGLQKAFFERTCKDLWKRIELEVDGKLVELPNIEGIIVLNLLSWGSGANPWGTAKEEPPFNKPTHYDGLLEVVGITDVSRLGLIQSKLAAGIRIAQGGSIKITTHEEWPVQVDGEPHVQSPGTITILKSALRVSLIFDL >PPA00100 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:585493:592657:-1 gene:PPA00100 transcript:PPA00100 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITKPVSAKSEWLHSGERDFVMKVFLLLSLLAVGAHGAIPIDRRIDCQPDSESTAESCLARGCVYDETTVGPIGTPKCYMRADEIGYTANFGPGGGEILTKNKGPSSPWGDDISSIRYRISGLGNGVLNVRIGTEERRYDPAPILNLPRETLPSDETLQVDIAGTDPFAIAVKRGDGSTLFDTSIGGLIFTDQFIQIAALLPSDKMYGWGENIHQSLKHDFTRYTSWGMFARGDAPNSTDLHTANLYGVHPFYLLLEPSGKAHGVFFLNTNAQDVTTLPAPGLVYRTTGGFLDMYFFPGPTPGQVIAQYHAFIGRPFMPSYWALGYQLCRWGYKSLDDLKSRVNAVMAAGIPLDVVYADIDYMDRRKDFTTDPEKWSGFNEYIDQLHSKGMSSILIFDPAVQADYDVFQRAKDKKVSFIEWERADQVQPEIQSQYPMANLDRAKKERSAYNPSQDTKIMLGLVWPDRHVAFPDFLDTTTATDEWWEDEFKRYYQQVKFDGAWIDMNEPQVFYTRNTPNDEIPSNGRYPVICPKTGPDAKWDSPPYQTHAVFYYGEDAFLGQDSLCLTGLSQRGTNRMYNTRNLYGWSESRSTSAVMEKTTGRRANVISRSTFASSGRYAGHWLGDNSATWSDLRTSISEAMEFNMFGLPYVGSDVCGFKGVSNEELCLRWHQMAAFHSFYRNHNAIAYPSQDPAVWPSVAAATKKANEFRYRYLPYLYNLHYRSSTLGDTVVRPLFFEYPLDEATHEISYQFMWGPSITVAPVYTQGATSVEAYIPAADTYFSLNDHNYGSKVTTGLNIYDAPTTSNAPTFVRAKLDISAASIIPRHQSSAITTTALRALPFSYLVVPGNGAASGTLFWDDGDSIVESWKDADYVLADLTYSSVGGNSLVVNVTRGTTTIIVPTLAELEIFDYPSAPDYSSFTLNGAKISVNTQASKYSAITKVFTVAFSQRIPLNKEGVSTIRWNNVNKS >PPA00145 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:818702:823235:-1 gene:PPA00145 transcript:PPA00145 gene_biotype:protein_coding transcript_biotype:protein_coding MELRNLRFKLPILPEPKQRKRRMAEELFESPSARSARLDNRLKRMDDSRDSLTPRQRKRMERKEAEMRLRRDAIAVNEKDSVFSNSSFVSARTLHRQLLAAVLERDEGRMRKLMRDGRMPLDAITTQYSYADDRTPIVEAFASENTSLIMSLLVARAEKKRKGVDESLLEPLYDDSLDLSLFAPFVPSVDSLVLREYAAPEKLTVPLPMQLVPYSIIEMFRPVSLYLDKPEADHTDGVNDIVKQAHALIGGNDGNHIILKEIKDQPPDSGEFYTAADYGTAAVEALRYGHCILALNLSRKNNVFSELTEAILEAATPFAEPSLVTMYKRSNTTHYFLPIHAAAARGRLDVLIWYHQQYASATELMDEDHCNALHYAAASEDSRALKWILAKGGLLKANKQGQTPLHVAVKAGRVENVKIIVSVLEARDCLSLDADDFSLEDNEFLDRRSSVNWKDGEAMSPLHWAATSGNLEIVEALCRHPFINVACKNMDGVTPFMMAAARGHLSCVQFLCRTCTKQIDNIERLKCVNARSHQWPVDLFHNSALHYACSYGWLPIVRMLVKIDTTILAKRNRMGLIPGICAYRNGHFGIIVWLNEYGFGQFVREDPGKIKISVDQWILTAQSFLIILLVSLIVNAEEERPCKWLGTSPYCFVFFRNYCEDGWHEITHIIEDGTNFTIKESKSQPRYIYTPFGEICLSSGYKTLCCKDGFTGKP >PPA00046 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:237951:239457:-1 gene:PPA00046 transcript:PPA00046 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRLKMIAKIERLHTEEEEIIQKGLEKDASVPEQRLNFLRAHVSELNRKTMELMESSERGFPAHLSLYVDFVYCSYNHCVSKNPSIYTCLSSICNYFHAFIQGAISLSDVSLVG >PPA00018 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:68133:70945:1 gene:PPA00018 transcript:PPA00018 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTLMSVISTLANILDVVDDHKEIMVAVEGSVLRIVKAVFLTANIDYYDDVLMLVQSLISTHVSVPMWDVFHDIHNAFMTGNNSVIIFADVTSVLHLYITTDTEQFLARPERLNCVLEMCKVVIEDMNQGDDNQLAAMKVLEILVLQCGRGVQEAIPLILTLTLHRMTKPFEGLTELQPMCCCVMVACIYMVDGPSMAVLTQLAQITNPPQNALDIIANQLLFLVNKFEGVHSRKDRQSIMLSSFQMAILGWCLLLRQNSELRPATIGYDPKKVLEHCISLFEGLQKAMKLQAEVKAMDESDSDDDSEGEEDDDEEDMGGKGKKNRHRKMDDDLGDSEDEIDEGTLEYLENLTKVQESSDDEEGEDGEEAFVEETDTEVYETSMDGDDSPDIFVLFKETMEGFEKIEPTLFAQMVNTLDADTAERLKSLITECGRHAALADSRKLENTGGYQFNMNAPVPSTFNFGG >PPA00446 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2820758:2822188:-1 gene:PPA00446 transcript:PPA00446 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLNYHDNDAVAHYIFKRRLGVRLPNILRGDHRVTKRRLETIYLKTVTSR >PPA00525 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3382688:3390035:-1 gene:PPA00525 transcript:PPA00525 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLVVLLATLAAVAIGARYVNIHGQLSCDNSYDYKDTLIYLWERDPIDPDDLIAGPIKPAKDGSFKMTGSETELGEVQFFLRIQHRCLLFAKYKALEILKIFGYLALEEEPHFIVFKLVAMRSSALLLAAAAVVALVQGADRVYDEVTDLPGVTFQVNFKHYAGYLDASLGNHLHYWFFESQSNSAAAPLVLWLNGGPGCSSIGGSLMENGPFRPTQDGAHLQENPFSWNKIANVLYIDSPRGVGYSYRDNTQPDDGMANNTKTTDDLVLALKDFIRAHPQMKFRDFYVAGESYGGVYVPQLVNALLKRDDVYLTLKGFAVGDGLMNLWDTINSAVGLLYFRGIVGKHQFNALDWCVGYANLTNDELIYDDFSYFIVVQDDGFVLPKTFDDDLLNQCADRIYEKYLYSVWQSECVFNHVYNTYQDCYVDGGDKEVKRGKREAKLYQNYEGPFVDQGANQYPGWTDPFGGYPCWAEIATTVYMNRPEVRTALHAADAATKKNWANCIDVPYIQNEHDMGYVFDEIIASGKPLRSLIYSGDVDMADSFIANQWFTERLAERNNLTVTAEYGQWLFATSAQNAPGGSGMVKRFATDTFKMDVLSVKGAGHQVPLDRPGPALQMISNFLFNTESYSNIAGISTDLAPLLPEFQPVQAAPLSRKEADRIFNLPGVTFDVNFNQYAGYLNAIKGNYLHYCIVLRRPLPEAGARYAVVSLADRSAN >PPA00476 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3041408:3042333:-1 gene:PPA00476 transcript:PPA00476 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTCIVLAFVALALTAQTTPRPTGNTTEEHKGGVAGFFEGIKNGVVTTWNKVKHSFEHGVEQIKNGTNHTAENGFFVTRIAELQNHTQRLSNSSTTH >PPA00253 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1628415:1630811:1 gene:PPA00253 transcript:PPA00253 gene_biotype:protein_coding transcript_biotype:protein_coding MTHMNETAEKTLEEYAVGFGSAEEADLWFGLDLISLYSNYQMMSLRLNLYRCAHNGVEAKWTDCTYKQFAVSGKTDEYRVTIPEVCRGTEIDYYDGWARWDLSKTGPKFLAVDNDNSTSHCSSTCGSANLNGVRYTCDDIPQGEDGQTYLFWNGDPINKADIIVEVPIHIEFNVYLRYAASFIISEVLFRLRKFYYKIIDLKDCFYLGRSPICRHHDCPEGTTEIHRLDKYSSSNYGRFGGHCAEGFKTLCCKSEFVHGDPAKKCHEMMNPYPCKLECPNNMTEVVREATLNWSYIWPQEDCTSFCCEPGFLTASQENWKRAG >PPA00201 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1295097:1295549:-1 gene:PPA00201 transcript:PPA00201 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTRKSFKATQQRHNLDVLVKSLFQDEYLLKGSGSRPPKFSSSIPLSTSHPPSNRRFWDVVVKCAAEDKEMPFI >PPA00480 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3069637:3076097:1 gene:PPA00480 transcript:PPA00480 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDLYTFSTAKVLQCNGGKAIEIGGIQYAFLHCDEARGWAYIHKILIRDPSTETITFTCETKSEHKSVARTCDKSLIDVLNKYKFEVEVLQDEKPDKTEIFCPADKPYIEILAHHNIYRSKLICDPSAGWKDDYGQVLLQADDEVNAECVKKSCDKDLLTIDAASGVIFENEGNENSLTCNDNSNELLVANKLHHKLTCVKESKWISGVNEQLDGQNFAVKCVEAACHHSLVITHGEGVARNGNKLSCKDNTKELSGNLQQEQARNCTLIASAKSVTKAMSLEIQASLLGRFHDSIECDKKVECDHQFINGDKNTIIKTKENKEAFELHCPPSKTQFAESPMAIVANGKQYTNLRCSLEDGWVNEERVAIASAETLLKLGITNAVVEVPLVDTITCSLRYGWQMNRVQINADTSKPLELESIRKTSDSSRSCVEMVTAKMQ >PPA00514 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3279097:3284064:1 gene:PPA00514 transcript:PPA00514 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSMSSPFIHPKRARTSQEMDYYPNSDPPGYQERRRVESTSLPASPTNYGFSCHPIVYGHEVKPEMMHSASALYSTVGFFKALKSDQPTMCVMCYKDASVMHYGVAACATCKTFFRRSLLSDRELHCKRKDECFMPPEYWLEKKNAIKPGQCTWCRLNRFVTRGMNPCAISPGPGKDLFEYPNIVKIFDTRKRDSALIFDLVEEYKRVRITETLEEIFWSVRRSDKKHRQLRASEFNPSPKTRFDWNLQAYFDQPREMDDDIRELIWARSESAKGSSPRRDSGDNDDEEESVVRSDRRRTLPLFALQKNWHYVDTICAVEYVKYFVATMKLQLDKADQMTLLRKSVVQIKLLEMYHFSWLAGFDQLTFPDGTQKSKILWNTNPRADTLLEMITSLSLSRAELSIIKAIVICNTDQISPSGRELLENLKVKLSKILINFCVQKFDHSIGIVRVTAIMDLFYALETQKSDETQQHVIAYLTKEEPAIMRDLYFRSD >PPA00199 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1282794:1284524:-1 gene:PPA00199 transcript:PPA00199 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLFILLLLLNSLIECGAPATLDPSATPSTLPSTAPSTSETAPPTLPSTAPTTVATTTPKYTDCQQYNRIFNAAYCLKEYPIWKVCVKVEKKAKRTGGYPGLYNLSLIGRKSESVYALCLRERDTTRPS >PPA00493 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3138324:3142415:-1 gene:PPA00493 transcript:PPA00493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cab-1 MGISVAFLIPSFFVSGIPAIDPSFLRSSHFSQALLALALCLAFTWRLNKRKTKREKTTRYKILWGWGYNWLARAEAQAAEEEAEREEQEKAMADEILREAQMEAVMAELAQLQQQYDEQQQEPLEEDEKEVAPREAEQQLEEEKIAVAPEVAGKDEEPKQEEQIDESAESQPTDRAPTMQKKGQSEFVSFVDPEASKLIKSVPSMDKRTVEQVRIQGANKGGHLLFVAVGCVMSVGMVAALVGGLIRYRKNREEPDDQEYAPYAGTGPRNLKKAMKGEKGDETLAYKAQLHHYQQAKQKIICGEDNAGVAGDSDDETDEIDDENNFSVYECPGLAPTGDIEVYVLSSLIAPSHSPPSPGGVVAVVPCVLQNPSSSSSPGALIRALAPVRIRPSVRGHLPLHSRPPVDAPLADARVSVV >PPA00553 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3620935:3623212:-1 gene:PPA00553 transcript:PPA00553 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDYVICKPVTSVLGAAIRVDRVENPTDLEVISSFALQSLFGCLRKYDFDSLPCGKQNEANKECVKASAKAAAEYKETVKEGARGEGSSQSMTKAQFNKVMQLFPHADIGQSPYKQMKLFFEIQV >PPA00154 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:873543:876201:-1 gene:PPA00154 transcript:PPA00154 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHSHSHDHEDSGSIIDWLNDSVLDLLIGATMLEYSLLAALVSFNSLFGAMLIYQNYYHAVFDVTEHIVNDRWSCIKHPHIVLLPATGCAMSLILPCIALDRFLAVSYPIYYYRLDNNSAKQLALVVSGLFVMITATLFTIGLSGPAHVHCHTFDFFSNAVNAAVMSCGWIGHLASVLLYFAVIRQLKKQHSCSTVLRECQKCSDNGFVSIRRMFAVFATITLILVVIPVAIMAGHELLICFRWSEYHELMSPHELHTHSHNSHEYFRLVLKISTLNPTINVVTYALKHKQVYIGISKTSGVSGQNVFAKLGAKISGSSV >PPA00065 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:369727:371475:1 gene:PPA00065 transcript:PPA00065 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFNIRDPESYSPFVKQMDSYLSKYENTNGTRKCDGTMSNKDAAKGDFEESCQFSLADFEKAGCSAKNGYGFKDGKPCVILSLNRLIGWEPTDYEGDSIPEQVRDRYKKGSIALHCDGTNNPDKEHIGKVTYIPSSGIDGKYYPYQVMDNYHQPIAMVKFDSLPRNQVVLVECRAFASNIVHDITSRLGLVHFEVYLQDKDPKDKTTA >PPA00391 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2512990:2514246:1 gene:PPA00391 transcript:PPA00391 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAVVEKIEYVNEVLDEFRKERIADEHVLQLKKAVKLLGEFYFHTMNLSQDFTPATEIIPIFSELVSFCDSFIASSTGEVVDLAISLRTNLRSRLMKYTSDVNLLSLMTLDPRFARDTQLIPSFMHDEIKEKLIDWFKPNCISGPPTRPVNGASNKFKRLIAERDTQQSPSLHSSDLNDELERYTAELTLNKVRMDANPMDWWRAHQFVFPALALAAREALALQATSKPSTLENTQRCALIACADMSDVARKLSCERIPAASLRKEEEELNEDEIDGDNEEEEEENEEGIEIIDSPGIDYNEEDDELSFGSNDRREDRNGSDSPSSTGSDDFLLEI >PPA00444 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2812147:2814482:1 gene:PPA00444 transcript:PPA00444 gene_biotype:protein_coding transcript_biotype:protein_coding MWAWRFSVPFDQPCHFRCARKTQAVRQTRVLKAPAVLIVQLKRFNAYGGKIRQPIAAEKELDLGKFTYDGIVPEGEGGGGKKAVYALTGVVEHLGSTVDHGHYIAYCRSTDSTSWFKFDDEEVSRIQTYSQNPYLLFYSRKDLQPKMKNGQVNGAASVPSTSKLVPSINNGNGMKMNGNGRPMMGGATSSSGQNNVSHLNGVVARPPIPMGGGAYVKQFQVLGQQWLWRQ >PPA00088 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:531534:539467:1 gene:PPA00088 transcript:PPA00088 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLAIFLILYVLQVAAGVAPCDPSLINEGSDPTAEFEFNDGVLKCKDNKEIVLDDMRYDDLNCDIQQGLWIYGKTELTPSRKANELLNITCRGKACGISNIKGVKTSEIQYNGGKLNCTDGKQIVLGEKSYKSLTCNSRVGWSDESGSVVANAEQKLVGYCKSFCTTTANVNYKMSSDGSYAVTCKELAVMLYGDIEAKKEVREMTCNVDGWTADGEYIGKSETELQQLECKKACNMLRKPKTAADGHSSDDSKWENGLTDLAKETKSSQKYTFKCKNNQLYTKYVIKLNDNLVDSHLNCDIRGYTDKNGRNMASALDSVKIACVKICETTADVKYKLSYDGSYVVTCKKFEVMNYDDGTGMKEVKDMRCSVSGWKRDGADAGKSDSPLEKLECKKPCTKPQKPKNPADSDPSDQSKWEEGLTDFAEVVPKSPGKVQIECKNKKLQDPNNVLRLNGKFVNSHLKCDIGGYTDIHGNKLADAFETVKIECVNFDCSRAAPFKTKCEKDWERRPIGCNSADIDFNIFSKLDRTCSGGKIFYKQRGAAYFEEGAKRLTCDTMKGVWTVEKEGGYDELRRGGHVICADSNPLPNPNSPPKANILQLLHP >PPA00101 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:594123:595114:-1 gene:PPA00101 transcript:PPA00101 gene_biotype:protein_coding transcript_biotype:protein_coding MESFYPVLLLILFWNAQAIDGSTPCNPALETGIPCSPCLGKNCKAHPAYLRPGTMGKEREREAYARP >PPA00035 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:172618:173976:1 gene:PPA00035 transcript:PPA00035 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTNNASLVVSIRGRRTASSDNRNRSERAESVSPTKPVPPSTPPPGFRLKPQLNLPIGAATSPLPRKVMERAAHLGITATPLILPPDDEMSPGTRERRARAQRLQEIKKKANQLRIFGEGQMNVNGLSELSHRRRIGEGNYGSVDEYTFRGQVMAVKRIFLQGGRDKENTKNVLMEISFCMADHKHENIVNSYGFLYFDVMP >PPA00448 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2836949:2838929:1 gene:PPA00448 transcript:PPA00448 gene_biotype:protein_coding transcript_biotype:protein_coding MRANEDEVCFEEDRWPQAVRMSSSTMPPAQPPQPREWFVTVYGSKAIHHGREEIIERFTDAVTTICLEEGVLLCERPFRRTLFEDDDVDFLFEDMRETIKHVNRKHPEGSHMPLVLVVDDQSLINLYEDVVEPAFQNEAFIFSLKGHSVKMFAATGKWHQVREDFKVLGPMMQKYNPLVPLPGQ >PPA00262 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1733646:1734351:-1 gene:PPA00262 transcript:PPA00262 gene_biotype:protein_coding transcript_biotype:protein_coding MILEILLMLRSSIVLLEDQPPYMESLWNHSNNYLVTTLDDFDLFDLPILDDLDDFFEDFDYRSLFFFDLGMTGYEKSAQPVVQLTSHISPPPVRTPERIPKPVVPKKQKALKMQQKYEKKQKYQTKKYKPTKFGKRKS >PPA00519 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3336688:3337965:1 gene:PPA00519 transcript:PPA00519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ubc-12 MINLQKRIKGVDENKKYMEYTRICIREKLLTEEVKDLERTLAGDNVCRLTFPSPGVLHEMHLTITPNDGFYKGGIYHFDIKVPAECNNVHSHIMNAQLLQWPEAVPVISSTSTVGGLQIEETQGAHARKIFVAEQESIP >PPA00450 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2846658:2849392:1 gene:PPA00450 transcript:PPA00450 gene_biotype:protein_coding transcript_biotype:protein_coding MYRKAAEEEARRNYERRLQLQALQQAKLQQDWEESPEQCIAWHDNDASEPPVSFRTLATRPAYSDLYRPKVPYLRRALIDGAYSDNEHYLDVQFRLMREDMVAPLRDGIYSQKMKVREGELITSSTDLKIYPTIQIGALQVRSLDGVPMRIVQIPPCLMQQVREDRQLKFGQCVVLSSDKFSAEFHVGWICEKFQDAGMPWSGRLAIAFFDEQMPLREGAMPIDKTPHCAFCFTGRT >PPA00491 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3131905:3132616:-1 gene:PPA00491 transcript:PPA00491 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVLFPHYYSSFSPHSWPLLASRAVVMIRRLPMLMMIGMCIGVHRRLGVDHADLRLDHGGMRRIAR >PPA00095 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:564431:566176:1 gene:PPA00095 transcript:PPA00095 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKEEQDTEWEVLLSIYEGDDAFKKVSDGRLHYRVDGNKPFVLEIDWPEDYPNVPPRISLDVFFNSYICEADRIKVRDALMRVAEENQGMAVSFTLIEWAKEHAHELTSQFHEKKVEVKEEEEEKQDDRKENAMSKNAKRKMWDRVNAKGELLR >PPA00466 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2988724:2992120:1 gene:PPA00466 transcript:PPA00466 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLIPSLARAASQSVAPKRFGSSGLAVHRDTEKNNAKIKFEFTPENMKRVDAIMAIYPEGHKAGALMPLLDLAQRQYGWLPISAMHEVARILEIPRMRAYEVATFYTMYNRQPTGKYFLQVCGTTPCMLRGAETIIEACEKKLGIKCGEMTSDGLFSLAEVECLGACVNAPMIQINDDYYEDLEPKDVNDIIDELKAGKRPFPGPRSGRLAAEPNGGLTSLTTPPPGPGFRLQPGL >PPA00412 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2622080:2623427:1 gene:PPA00412 transcript:PPA00412 gene_biotype:protein_coding transcript_biotype:protein_coding MVIALLLLLLLPETRAQKVRLNHDRPAANSPTNTDQHPSDLIFPRTPLPVELFPRKGRNITISAPRVSFSLPPEHEMLHEMAIGVMAQLCVKEKFTFHLSFRPLDIMPFDDSPWSIVHSIEITLHDQPGSLVLPCELFYRRGLYSLKAVSEFGDEIEAVRNG >PPA00082 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:489661:490201:1 gene:PPA00082 transcript:PPA00082 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDAGEAQACFALGNAASLVSDNVTARDFHMKHLEIARRLDDRAGVARAYSSLAADLRALGEHPQAIFFLALRRRMAKERSGNGTTVAIGGC >PPA00382 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2431610:2434764:1 gene:PPA00382 transcript:PPA00382 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDKERLGRRSSYTPANIMPRTDKDLKRQWFRRAYINGDVKRDLPRAKKADDELIETPREVIDIIPVKPVGRPHDVPNHNRYHSPPREGAEAFKKNTRVAWVDQYYVEEKPGQKPADGRRNWTAEGSPTRRVQSGRGYSAPGARPRRDSHIRKATPPRGRDTRGVSPNRRYPDKHGNRSRNTNYRREGPYSPKRFLYTPPGRTHGRSPQRARSSADIFQPRSRRQADGRNARTPSPRRVNGRDAYRTSPDGITRRLSPSLPYTSGRRAPQRGGGGRSHGRVSSAPKYGRSMSHPKAPIIRLTSRSADGARYSPVRIKPAAHGKTKRTAKQRAVKGGAKKTPHARPTPWRPVGDPTARQYRTRPDNYSSGTIISN >PPA00345 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2230492:2233802:-1 gene:PPA00345 transcript:PPA00345 gene_biotype:protein_coding transcript_biotype:protein_coding MYENVIQPGDNPDLTKERKSASFNLWKMQCFVHEGEDVVRRRKEILDFVQKTREFDDPIPAEFLSREEIVDRGARKAKAMTDNADAIDGSDFFGEGLYFQSLVIGRELHSMSLHYGMFLPTIQGQTDDDQMDEWMGPTVGRAILGTFAQTEMGHGSNLTKLETTATYDPKTEEFVLHTPTLTATKWWPGGLGKSANVAVVMAQLYTNGVNKARGPHPFFVPLRDPETHMPMKGVTLGDIGPKFGINSNDNGFLIFDHYRIPRRNMFMRFSKVLPDGTYVAPPHAKLGFGTMVFVRSIMIKDQATQLGAAAVIGIRYSAVRRQGEINEGAGEVQVIDYRTQQFRLLPQLARAFAFLFAAYEIKTLYMLVTEQIGDGNMDVLPELHAVSSGLKSVVSWETAQGIEQLRLACGGHGYSRASAFPDIYTYAVGGCTYEGENIVMLLQVARQVTVRN >PPA00047 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:240615:241689:1 gene:PPA00047 transcript:PPA00047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nlp-2 MDLRLLLFLLATIVAAAAAPLAAEVADDDNQNPRAFLVISTDDDEDLTPDRREEIMEMLGSHEQTMGKRSLTGGRLGFRPGKRSLALGRTHFRPGKRSVALGRMGFRPGKRSLALGRNGFRPGKRMAPLVEEGDDY >PPA00246 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1580370:1586287:1 gene:PPA00246 transcript:PPA00246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tbck-1 MRYSKNWSTKPPVLAGALVAVDDLQLTGNEEGRRFDAVITTTVLPMTNLREKVRSLNALTLLHSMEATAGRLPTWREGEERLPVVVKEKDVSYQAARMSLLAHLLASHSHLQQAQPALLQAAVAADVPPLRRAGVWRALLGVSPLDGDQFAALDTVSAHTSDRQLEVDIPRCHQYEEVMTSPSAHYKLKRLLKAWLEAHPRYVYWQGLDSLAAPFLLLNFENLPMALACMTSFIDRYTRDFFLKDNSHIIQEYLAVFNHLISFVDATLYTCLAEMDFFPELYAIPWFLTCFAHVLPLHKLLHVWDALLRAETSFPLFVGVSVLLQLRPSLIGASFNDVILLFSDLPDLQIDRILSDTRELYARVPRSCVHLAQRREEGHTMTEYTAAQLRTFCCPRLSREDLIDLVQREAVAIVDVRSPPEFHRGSIVRSVNYPNVDDATMGSIRTLLETAQANRHPICIVDVATMTVAKRFSSLLVSNGVCGVTMLDGGYDAI >PPA00184 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1109918:1125357:-1 gene:PPA00184 transcript:PPA00184 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIIKVAHRLGYVDESNPTTSRETARPVDLPTLPSNGMATSNLLRVQDDDDDDSYTPTGSGPLPKRQKLLFLWEYLTLRGFFRLLGRQVGTQPLAFIAVACLLSLNSLGMYKMVLKDRIRDGYTPINAPSRYETDVIRQFWNSSGDPMMTLMIMVAKDGRSMHRKAHIDEAASVIRFFNSNFSVDFEGEKLVFNKMCEPYCGMTTAFDLFKTEYDNVYAKAIKGENVSSMAKLHYPVANLNGFEVHLERSMFGVRTRPAGTPFSHSDGIEQRITNMEHVEVIMMIFRGDKGTERLERQMATWELAAYDYAQDVYDSNLLDIMLVGTDVLDREMIRDGSRMMPFFAAGFGIMISFVSICVLATALFYDEMDPGKLLIACVATLCPILAITSSYGIVSLLGCRINSFMLVMPFLIMGIGVDDAFLMTHSWQRMSRQGYGVPERLGMVYEEVGPSITITSLTNFLSFGIGAFTPTPEIRLFCLVTAIAMAVVFVLQLVLFGPILAIACRFEKRKDEHEWEHRAGWRKRVEEWFENILTLYCKLIGHKMFTIAIVWAEYHPVTILVNAPFDVSNPYQVARFFQMVNDFESLHHAKDKASSLIWLRDYADYSTRGEPIVDLFSMMLGEMRMTNTEKVDPLATNMTLDKLDKFLASPFYKHWSSFLRQGVNEHGERVIDQFWINVAYQNTSSWEVRIDLMEEWREIAASCKPACPPSSVFCPCSSSTFV >PPA00130 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:755043:756395:-1 gene:PPA00130 transcript:PPA00130 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLKAGRGRPGRIVFTGNQPGLYIQPQSHRMQGAAWAHLFALLLLSILFMLVAMNMAAPNYLSIPATIIAVSVLILPFSMMGAIGLYTGMQYFMLFTSLFYCISFLVLTFPILVLLIQSLVGVCYPEIAGPYPIVTLAGLCLFSCYLFHGFHTMTIVRHDMIVLQGTFCGAAGPEQEVPPPP >PPA00121 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:696979:707879:1 gene:PPA00121 transcript:PPA00121 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKSRATKPLGEDEGVVKEGGAGGKELIEQIEALLGNAAGAAVYREVARAMERARGHVTGHLSSVASDGTTAASTGSLLLEGDRATPLTAAVYVTVRDLMVTVATVAFTADGDVAGVTRWSRELPAADADALAQRADALARLSAAPLALSDAVAQLAEVQLPPLHTTYRLKKDKRGSEAWKESDKKKAAAVQALDRHMDARWEFREELRERGQLPASETALVRQVGVIMRAKDARRQAAKTALKHPDLRETVREALRAVSGGEEPASEEVDAEVVRVLGRIQEDRRKAKERSEKRKKERAAKEERPATSLKEDERKEEDEEAHATGDAIADAADVDPMELDDVPVEKLAPADDPMRPDEDEEAAIEGEEKEQEEPQLPVAVGRKRSRSPIDDEKGEPDCSGCKRLCAAREADWAEFRARAAKINAESKAIREAATVADEARAEAEEKREEAEEKLEVALSNADAAAAHAYKQSLDADFEVARATFQRLEDAALARADRAEEAETTEKARADAAEKAAIVIAAAAAFAASLQPVAQAPAPPVAGTLPVPALGAPVVPASGPAPNTQFAADMAAIKARLLSYLTAPGVVTKINGNASERLSKEWKSLTITAGKRLAKIKEVATIGAARITAATVADPAHLVQLTDFFYEATVTLNEYDDLLGRVEEFGAKIVAEHGRTKRKVAWSKRRYGDDYTAIKKMSTEDLEGLKNFGETWQHGCAWGRQDAQRARVVWLPSSSWVVSPFRHGWCSSGGRTGPGCRGSCGGDTRTPCPGPCGFPLSAFLLLDPSIKTYPRDPPTPFDTHLRPIPPLCSPMQQQQPLSIRRLPSHISGPVPCPSSSRPFAYLAHLSSLELLAALPFSVKGNLPCSEEMLKKDDGQDGSFLAALPFSVKGNLPCSEEMLKKDDGQDGSFLAALPFSVKGNLPCSEEMLKKDDGQDGSFLAALPFSVKGNLPCSEEMLKKDDGQIGSFLAALPFSVKGNLSCSEEMLKKDDGQDGSFLAALPFSVKGNLSCSEEMLKKDDGQDGSFLAALPFSVKGNLPCSEEMLKKDDGQIGSFLAALPFSVKGNLPCSEEMLKKDDGQDELPRSSSLLCERESALIGRDVEER >PPA00284 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1871648:1873888:-1 gene:PPA00284 transcript:PPA00284 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLHSHGGHKASKLGELKEVDGDDDVFEDDNKLAEAKSGGGAWGSAVRMLSLDLLAEPAFVLFAVSNLLTSVGFNSPLYFLPMHAKNGLGLSNEDGDSVLSVFGICNTVGRITFGLVGDRRIPCLPFGWGNDTARNRLWIYNLSLSICGLLTCLSFVFDNYVLLCIYSGTFGFTISSYICLTSVILVDLLGLERLTNAFGLLLLFQGVGTVFGPPLSGWLADINGNDYNYSFAFCGVNLLVSGVMMFLTPCLRKNKPAPVSADAKKAVLMH >PPA00384 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2462672:2465198:-1 gene:PPA00384 transcript:PPA00384 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLICGRIGRMLASLFALLQRAICFGKKRDNIGELPFHVKSAAAARLSEDRVPLMGSDDEPTFAAHPMAAAAAAYAPAGDSWSEPNWDQQVIVESKIEEFRRKKAGEKTPPPPEEQIDFFSDMAPKLTKPKVLRPVAVRPQPQQRNVFEYSETAVLPGEGVRKYFSLTS >PPA00351 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2259000:2261094:1 gene:PPA00351 transcript:PPA00351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rbx-2 MEVDQSIDEKKSDYRPFSLKRWNACAVWTWDVECDTCAICRLQLMEECLRCQADATKGPERDPPPPVVTKAGRVTRVANNHLIINETPSPPPPSSTPSSTSSSMAATAEKVTALSNRPFTSLPSSGSSSTSPSASGECVVVWGDCSHSFHNCCMAQWVKQNARCPLCQQGVNKT >PPA00307 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2009845:2014709:-1 gene:PPA00307 transcript:PPA00307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3DSC6] MSDAAPIRNSPQPRKKPSDKNGKKDENTPADETFTGNDTDPNMKEDEKKDEKKEDKKDDKKEGGSKESTPGGAGKDDKFRKSLFKLVDNLQDPKYGKEYFPFSVKGVVRVLVEVKEIFKKEPVLVECRLPIVVVGDIHGQFPDLQRVFSIFSDKDRIGCFNQRYVFLGDYVDRGKQSLECIMLVFVLKILFPTEFILLRGNHEVRVINMAYGFLAELEERFERKKARELFLFFNQVFCFMPLCCIAGDTIFCMHGGICPEMTTREVFKGIPKPLISPGDHPVAESLLWADPMYGEPRSRPNAIRGLGVHFGEALVDEICENLGVKLIVRGHQMMMNGFKFFNGHKLVTIFSASHYYPDKLNHGAVMVVDAMGCCGFRIIMPSSRGEKMFRGEHENANAHDNGYQTNPDIMRAALMERARKEGSRRRKAAAAAKPKESILTRPKKII >PPA00008 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:21923:23614:-1 gene:PPA00008 transcript:PPA00008 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTSNLFIMTDSIPDAFFGRFKVDRSENFDEFLSAKGVGFLTRQIIKFASVTKVFTKGPSDGSYTFENLSSKKNVKYDFKLGESFTGEGLDGTQHEVTFNYNEGEVAEHHKRLDNPEFTPETYHYTMSDDNKELIMRMTNNGITCKRFFKRQDA >PPA00152 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:858071:861074:-1 gene:PPA00152 transcript:PPA00152 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGVLSQHDAIYDGILGFLMLSTNLTIFVFIQIHRTLRKEKEYILLGMLVFFNSILGGLLLYQAYYQFSFEPIAQVLPRSYCFRHPHIIFIPATAVIISLILPFMALDRLIAVIRPMYYYTLDGHCACKLAAVIMALYVAICLFLNISGRHGPPVTPHCHPLEIYNHHTILAQFLTVSLGHLASVIIYLLNEFSKMSPPKLAVITKVAY >PPA00554 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3622025:3622545:1 gene:PPA00554 transcript:PPA00554 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSNLYSNRLDIRRIYWMFGFAGELLSQLLKQPVKLELAFVENEKDCAYNFI >PPA00358 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2295235:2300220:-1 gene:PPA00358 transcript:PPA00358 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLLDAYLYPYLPVELDAETKKDINQRAVRLVQIADWFCKYQSPLNIWKNHPSFLAGEILFFILCALTFCHAWRHGGRYLYVWIGIAIHALNVENLCYWIPDMDNFWQAQGILTFFGARAPLYILLGIYHMFDYTAYVMIQRLRLPWWAEGPAVGLCAVMLDFPYDIMGIKEVWWTWHDTDPNIFDRFYWVPWNSFYFHASFACSFVWILNLSRKYIVEEVYDWKKFPREFLCAFLAGTGAFWLGTIQFALFYHPAHDFFKVHSEITSILFLSLYAVIVWSADRQSGKPGPARAGNRWWFDELSCAVALEYLFFMILVVIGQPSQIVAEGLHQAVGPCNYTQKVQTPTGLVLQKKMFLCADNYDEGYFDFKCLPGGKLRMPEDGSPLDWYAICGTEYENRAEYIVIVWGACILFGYIFYNLAAWSGETPVIPAKVYRAKAASPAKPARNPLFPAEPEKIHEPLIQPKS >PPA00038 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:184017:188551:1 gene:PPA00038 transcript:PPA00038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rrf-3 MLSAGIGRWKRGNQKRREREWNEGSGDSPESRIKIHGGMQNRVEEEFGKRQRVDACTRRVLCSRECQAACEEALELVLTAIDERRNITIERAFDRLYTTRVELYRRSASSHRSVQAVRTIPPNCVLVRKLMVTPSRLLPMSPDVMMTNRVVRQFGAENALRIVYRDENGQKLKVNDFGQDQMAPLLIDMVKNTMDKKVTICGRDYQFLAWSNSQMRDHGCYMYSQVEKEDGTIITIDKIRDWMGNFSSSKNVPKLMSRMGQCFTQAQPTVSLNMGEWRIDPDIVGGSGHAETKELYTFSDGVGRMSMSLARELAEMLELKIVPSCYQVRFRGFKGVLTIDRTLDAPGEPRVIFRNSQNKFIGSAEDDSSILEVVKYAMPSPMCLNRPLITILDFVSENQGKDYHENMCSRIHYYLEKELNTLSQMLLSDKEAATALTSRVPLSMDFHRLLSAGFTFTNEPFFHEMLVAIYRYSVSQHLAKAKLEMPSEMGRSMFGVLDETGLLQYGQVFIQYSPSVRNASDKPIVHLGPILVSKNPCHAPGDARVFEAVWQPALSHLSDVIVFPRYGERPHADEMAGSDLDGDEYSVIFDPKMLLGYNEEAMVFPKQTAANYNFTPTTSDIADFFLKYLQSDSVGLASNAHLHMADKRGLFTSVCESLARKCSIAVDFPKTGEPADALEQNERLDALPDFAGNRKKQSYRSTRLNGRLYRHPPGKKLQPDAR >PPA00473 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3022682:3023370:-1 gene:PPA00473 transcript:PPA00473 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKYTRFPHQREESIVRELGRALMTKVSGIGKVSHTYDNGQTEQWTSDQVQALINPEQEQSAWDFLFETLSSIQAKLPTNGEWCTVTYRKKKH >PPA00342 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2220334:2224768:1 gene:PPA00342 transcript:PPA00342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:H3DSG1] MPYANLIQPGDNPDLTKERKTASFNLWKMQCFVYEGEEVVKRRKEILEFVQSTPEFNDEIPAEFLSREEVVDRGARKAVVMTNKADAIDTSDFFGEGMYYQSLVIGRDLHSMSLHYIMFMPTIQGQSDDDQMDEWMGPTAARAFLGTFAQTELGHGSNLTKLEATATYDPKTEEFVLHTPTLTATKWWPGGLGKSCNVAVVMAQLYTNGQCKGPHPFFVPLRDPETHMPMKGVTLGDIGPKFGINGSDNGFLIFDHYRIPRRNMFMRFSKVLPDGTYVAPPHAKLGFGTMVFVRSIMIKDQATQLGAAAVIGIRYSAVRRQGEINEGAGEVQVIDYRTQQFRLLPQLARAFAFLFAAYEIKTLYLNVTEQIGTGNMDLLAELHAVSSGLKAVVSWETAQGVEQLRLACGGHGYSRASAFPDIYTYAVGGCTYEGENIVMLLQVARFLMKCAKEVRIGNAKLADIGAYIAKTDSGKSHIRSWRQCSDEDIIHDFEAVARRMIFASYDRLKSFETTMTKKEAWNKCSIDLCKTARMHVKLYLVKVFLRRVGTCQDAACKKVMHTLATLYAFDLISGAAGYFMKGGFMSELQSETVQSDLYEMLEVLRPESVALADSWDMSDLELRSVLGRRDGNVYPALLEWAKKSQLNRTEVLPTFAKYLGPMMKEGRIATFQQNCKIM >PPA00016 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:63261:64535:1 gene:PPA00016 transcript:PPA00016 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRTQRTDITLLRYGAPGQVESSYAEFAQHFLNTHSIPVVEAFLQLLAARETGTFVSNRVIHLALLFLTTGVSHAHVWKSLSEHVIFPLLCHTDDDEAMWEDDVEEYIRFKYDIFEDLNNPCNSAGGLLVASCKRKDMIQPILTFIIQTLVGKANEPVKVDGALRMAGELAAQLTTSKVREEKKIEEWGGWGGEG >PPA00252 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1624541:1627023:-1 gene:PPA00252 transcript:PPA00252 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSFGIAVRRLYELWMQRAPISCPRMGDMPRAVVFNSHQFLLLTCDEHEGWTGWRSENESTWIAQPDHRPTVECAQYCFASGDDIIYSPRNESNFAIGVTCRDGSLDLYYNDQLVAGNEAACGLASGWSVVDPDSEGRSVLTTNTHAPQRFECSKAPPTTTVLPTEGTPVDNTTHSSIASSPVPSTVPPARTTTLPVVAPRKPKKDMAGSSLETAEPPPRGLQILPDARDRRIQVESRRVGAQRVLVPVPPLVQHA >PPA00296 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1951658:1953803:-1 gene:PPA00296 transcript:PPA00296 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKASLLELEEHARGLRRLAFVGVTIATIATLVCVLSVPALYNYMQQMQTVMQNEVYASVKIGRRVSRQAGYTHESPGIEPRDEFVSAYQPTCCGCGTSPPGVQGPPGVPGKDGDDGTPGHPGRDGPPGAEGTPPPNIDWCFECPDAIPGPPGQQGQKGSPGAPGTPGSAGGAAHPGPPGPGGPPGPPGPEGAPGNRGTPGAPGNVNEVPGPEGPPGAPGGPGAPGANGAPGSQGAPGNDGLPGDVGDAGRPGGPGVPGAPGNPGPAGPTGHTGGCDHCPPPRTAPGY >PPA00092 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:555660:558678:-1 gene:PPA00092 transcript:PPA00092 gene_biotype:protein_coding transcript_biotype:protein_coding MISSQADDRRLLARDADIIDRAAIARVVPRIPPPETGTSSFVAAVIVFCANRPNAIRNHLEQLTRLRGNPARFPIVISQDGDARDVADAIAPFLQKGSIFHVQHTKEEPKVKMMKSDRNYFFIAQHYKWALDHAFREMGYSHVIVTEDDLDIAEDFFSYFFAMKPLLEKDPSLWCISAWNDNGGKDLTDRRDASRLWRTDFFPGLGWMLRAEVWTELREHWPEKCGIL >PPA00489 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3113077:3114015:-1 gene:PPA00489 transcript:PPA00489 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTRSETKPVWVYVIFRKDYGIAKIGKRWLQWPNHCSGHEKMTVNISFDGPIFWNRQVAYIYCCSCHFDEHEFAS >PPA00212 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1346812:1352842:1 gene:PPA00212 transcript:PPA00212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-alg-2 MMMNSAAAASASAQQTDLAGSLAPGGPIGDAPSAPGGQPVQQGGQAGPGSGSGSGGSGPGAGAQFQCPRRPNHGVEGRAILLRANHFAVRIPGGTIQHYQVDVQPDKCPRRVNREIIATMIRSFSKYFGTIRPVYDGKRNMYTKEMLPIGRERTEFEVTLPGDSAVERQFTVVIKWMGQVSLAALDDAMEGRVRQVPYEAVQAMDVILRHLPSLKYTPVGRSFFSPPMQAPPQMAQHGQYNTESKLGGGREVWFGFHQSVRPSQWKMMLNIDVSATAFYRSMPVIEFIAEVLELPVQALAERRALSDAQRVKFTKEIRGLKIEITHCGQMRRKYRVCNVTRRPAQTQTFPLQLETGQTIECTVAKYFYDKYRIQLKYPHLPCLQVGQEQKHTYLPPEVCNIVPGQRCIKKLTDTQTSTMIKATARSAPEREREISSLVRKAEFSADPFAHEFGIAINPAMTEVKGRVLSAPKLLYGGRTKATALPNQGVWDMRGKQFHTGIDVKVWAIACFAQQQHVKENDLRMFTTQLQRISNDAGMPIIGQPCFCKYAVGVDQVEPMFKYLKQSFQGIQLVCVVLPGKTPVYAEVKRVGDTVLGVATQCVQAKNVIKTTPQTLSNLCLKMNVKLGGVNSILLPAVRPRIFNEPVMFLGCDITHPPADSRKPSIAAVVGSMDAHPSRYAATVRVQQHRQEIITDLTYMVRELLVQFYRNTRFKPSRIIVYRDGVSEGQFFNVLQYELRAMREACMMLERGYQPGITFIAVQKRHHTRLFAVDKKDQVGKAFNIPPGTTVDVGITHPTEFDFYLCSHAGIQGTSRPSHYHVLWDDSSLTADELQQLTYQMCHTYVRCTRSVSIPAPAYYAHLVAFRARYHLVDREHDSGEGSQPSGTSEDTTLSNMARAVQVHPDANNVMYFA >PPA00176 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1062047:1063691:-1 gene:PPA00176 transcript:PPA00176 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIVKTWWTPTSIMTIITLTTLPVCLLTRSLSAAYYKRTIATKPLDVRYRISETIRLTHCIIPVALVGVLFSTLSFITFYAFLMDKLGRSFSTPFYRNGCTLQSLLTPWLLMLRHPFLHSRIQPFLPRCLSQPPTGPATKGASSRSTSLATAALPSSERAQATLVTRCYFDLLDRAWDVSPPPSQANRESTLVEQEE >PPA00234 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1504983:1506833:-1 gene:PPA00234 transcript:PPA00234 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDDDVTMAAIDNCQRRPDHAILRSLFPDDDIVEEEQMHSLSQLISTASTSSEPTTHILLHPASSASFVFVLTQEGRIHQSSAGVSGHLGFRQEEFVGQSVFNFVPVADQSLITRLLPVACHGAYFSKSEASLNTPPPLVLVDVSDFDALFSLGCDWTTHREKYSSRSIRIRIRIRSSAL >PPA00419 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2649451:2651885:-1 gene:PPA00419 transcript:PPA00419 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLTAAAYAPDPTACIATVSKNATGSFDAQETSSPYTKIYTSDDLLLGILARCDKLHDTCAGFAAALPDRNAVVISFRGTQGMEQLMAEIAELVTERPVPIPSGGAVGSYFKNAFDSVWIGGLQNAMLTLAAENEGKELWITGHSLGGSMASIAAATVVDQGLWPKQKIRLMTYGEPRTGNKDYASVMDSNILAMHRVVHERDMVVHVPPPVLGYEHNRQEIFYGEDMSNIYSYVPCTGDEDPKCSNNLFDMSVEDHLHYYGLEVGDWGKQGCTGTTKKAQAREFREEFNGIFQLAVR >PPA00074 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:433236:434089:-1 gene:PPA00074 transcript:PPA00074 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVLLISMLAVSKSYNITVEGTIKCHEPFMFNMRIFELDKYKHDFVAECKDPTSESSEKQYRLLGKASDMLQSEVEPLLFFWHTCGFGGKGDVNRTCVCKDLGNISADLNLKLDIDFTGKGAMKGFRHCKQACIKAMTGDRTLYGGM >PPA00226 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:1437338:1438514:1 gene:PPA00226 transcript:PPA00226 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLGAVGDRAARQLHQSQQQQMHHQTAGGFGSSGDQRGHPQQQQQTPGGFSMPPPMGGGLFSRPPPPSTDMWKGAAPSSALQHMQQQQQQQGGRTYGGVGGGGPMTGGGQYGGNSVGNRMMQQQHGQGMHGDHTFFFKGHHHPHQQQQQQHGPPSHAHPPPPPPPQHMQHAQQQQPFGGAGGAAGGGEMWMQQGGGGGGPFGGHPPMGRAIIDSIQNV >PPA00526 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3390968:3394408:1 gene:PPA00526 transcript:PPA00526 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFLLVFPLLLRTAESACINCGGADKTSAKRFKMPNKSVFTRLAGIELMEIFEITSQNNHEVGAQQCDAHAECAVFEFNLPNKLCVLASEYARLSEDLTKGPFETIVFVKVRGKFTKALPKEKEACIDKSEYEDYVKHEVDKWLNPSPKKSTSAHGSSSPTTVSEPAQTSVFTPSVASPTTTTAGATSGGTTTGTSSTTSKGSGGETTTGIGSAATSTASESMSKASSSVSTPESSTTSTRAQTNPSTTTEPEKTTTSAAGIKPTTTSDPGTTSTSTTTTSTPGAAPTVPVTSSISASTASRSDSSVPTTPTTVSKRAEDGVKRSTNGISQSTSITDESTTIDDVSTTQQRGEVVENEEPSSTESSPGTEESSTTTDYAEKVSTTVEPKEDDDSKYSEEKGGQAEIPEKTKEFPGGRYRIKNTADGYNLRAKDKKDVEMSSEWDSRTNWDIIIIQSAYHSGRFLRADVNNVVNLAPAAQTWEEWTVVDNGDRTWSFLSYDKTWLIMGKGRKVTHTRDSSKAARFLLEPLTLRLPSNKQATNQQDHELLP >PPA00299 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1978639:1979915:-1 gene:PPA00299 transcript:PPA00299 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIREEGNHLIWSVDETWVHKGMRPGHGWQDMEAAKAPLTFIKNGMTEFEKYIEGVFKELVAEADEKSLKPILLMDNASYHSRVIDKMPTQSDRKAVMADWLKAHDMQCPDGWKKRDMVEALKKLNRKDYNKYVVDTMGEQYGVQVVRTPPYMAEYAPIEFGWSAMKRAQHDLITHTDDGRKRIEEGALTFSPSLSTEEIVAAAEDIIDEADEQPVEDLEELLYMSDDEEDEYSELL >PPA00346 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2235567:2239905:-1 gene:PPA00346 transcript:PPA00346 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSRLLLRSLRGAVARAGAATRADTASNAPAIFLPASARKDDDNAATAAAAAAAAERQSLVLPKGAEVDPLEGPSGLRTEDLMAALRQAYYEEDPETAMTKSTDTSRESGAPAFRRIIYSEGELDASDLSKPPSRSNPHPLDTSLLPPSHARSLTPYVNHVPLLKRLVDVGVDLFEIECKYPAVPRHLLRLPYAEAREKIRWLVSIGFTPDSLGDYITRNPYVLLQKLDDMKARVNYLASIKFNRKEILKLVSEFRFWLNIDVKSTEKRLDTVTGMFGLRKSAMRQVLVKEPRLLMFGLGPIKRIWDLLTVEFGFTAEQVKDMLMDDPRLFIMDSRVLAANYGYATRVMGVQPAQLVQQPLLLRVAPHALRSRHEFLRTLGRARYTETQPAGKGLAANEVISLSDLLLPSDAQFAERVARVTPASYDIYLRTH >PPA00220 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:1405665:1408136:-1 gene:PPA00220 transcript:PPA00220 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRRHDDEDEVSLKKRRKREDSNGEIATTHETPDKKKREMTEFVVIFRAFSPNFVPVQCHLLRIETKKKGGDLTRVGVKNEVGGEVKWRVAVA >PPA00324 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:2133800:2134348:1 gene:PPA00324 transcript:PPA00324 gene_biotype:protein_coding transcript_biotype:protein_coding MKCVNECLNHTAFLFARETQLDQHDMAREVPSGALVHVVQVLSGAVFDL >PPA00405 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2575245:2578421:-1 gene:PPA00405 transcript:PPA00405 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTEASHGGIQSIVLRLDWFLSTVLGIVLYVAPDQIGDYVFQRKTDGVHWHLIRCVGGQLVATAFVNIRLSKSTPAAKSVCYLIRIISGIITVFLIYHCRSQTPTLVEPNVLEYVRVVEFGIIGLYVLFMFWNGWAVGNQFFPENRVGNFLYQLDCMASICIGLAWLTFPKWLLHRQVTVEMDESHEMVGRMMGAYFVATFCISQHALHWASAKDRKVVVESRAVCCAAILSAQLWSQKAYHNDWSGNHWVGISLFSIWTTLAVMYTLVAHASPSPRGKKTN >PPA00557 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3636298:3638898:1 gene:PPA00557 transcript:PPA00557 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKFGNLLECSKLKRKFQDLLESVQRFPDSIGNDYQKWKAIADELKEANYPHESGVHRSKHIHSRAKKARLLDRLIEGLITPLQEPTRVDAKVVTWLSFDLGGRREIVFIFGFVKILAEIISD >PPA00217 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1376086:1381749:1 gene:PPA00217 transcript:PPA00217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-efl-2 MSRALPVTRPVARAPAISISSEPPKAISPNENVLVDTMREPKLEPLSAATSPDQLYRPNIKQQTTPLQTAGKWPQKTPNLAQQQQQEKARRKLSLDKPRTEPTKAKKAKVTTPVSRSKAAAGASPGERGKEGNWVPAVSSLLPLRLNMRALPLPSREMDPSMISLLESTSVRRKPSSSYSTMTPSSWRGYDFNDELGPDPIETSDQWMELFGMAKEDKPPTMKMPTSSGNNNNNNNKRRKVESPPLPLREVIKQEPLDDDDDSLEEALTAAAAASDRETMNVVRRIKSKNPKMVKNARMMKGYSPQGKEGRGSPTTPGGRIENSLLVLTKKFMELRNNSEELNLNEAANALGVQKRRLYDITNVLEGIDLVVKTGKNSIKWCHGPSDDGSGSGNNVLGGGGDDSAEAAALEAELAELKVEEDRLDDLVRDLTNAFALVREDPTDKPYAYVNFNDLQQKINDIEDEKVTIVVKAGSRDACVEVADPVATGRFEMAMRCAQGLHAMLIPSDREGRKELLPGDGVGRVADDDELGLQNQQENDAIVLPTTSNAGLRQPCDDLITPSKMVEDNSCLSEYLSPLKFLIGGGPPMSHLTSSDGPYLSIETPNINGNILRPDLAGPSSQSQFAYDDADATILERYGDGWF >PPA00511 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3266186:3267209:-1 gene:PPA00511 transcript:PPA00511 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELSPSTSTQGAVAREQLFEVLQRICARDETMDDFLTLALSTEDLYTQGSIQDRILLDNMTQEEQQIARNYVLLVPLTVPDPMFLSDCIRGIEIRVHQGLFLFRTEVLPEFLHHAYPEGHLETCQFVLHSHLLGAGPRSEAVLLQNDTEIP >PPA00170 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:996697:998520:-1 gene:PPA00170 transcript:PPA00170 gene_biotype:protein_coding transcript_biotype:protein_coding MWKEQLVGARAREKIPPSLHFAHSLARSDEKENGSLEAVNVFTRESGWLPRPSKMEYVEVRKSMVGYTQPVLLIGSLSSHIHDLLMTRRPEAFGTAVPHTTRPRRLQERNGVDYWFVKRNTMESMFKSNLFVEYGHLGEFLYGTTEAAIRCVAQREKKHCLLDSSSSVQHLLARSLFPLVVFVGAQSWRQLQDVIAFDGGSEYQAKELMAKDEEIVRNMRMYLGGVVRERSLEESYSAVARVIHNHTRETWITEIGDPNARLI >PPA00548 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3591402:3594026:1 gene:PPA00548 transcript:PPA00548 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVEKLPEFKHMANQFNESTSDIAKQTAGQADQEDFAFTFRRFDIVAFFEEFGDWPVQAVSDGITEAPKPPPPGSPGTFDRIKNGEIGNWKDSDEELRKKGFVLEACSDEDAA >PPA00257 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1651245:1663317:1 gene:PPA00257 transcript:PPA00257 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYSIADWADRNRTKTGFAMKNIPDNQTRHADRFGIVMQFDGHLKYSPIFYGFYSSAEYLTEKVKYALPLAYFLVTISVFSISFFAILRKMAQNARLSKLSGSKAEQYIFNWKVFTGWDFTIGNADTASNTVMAIVIKLRESIAEKRAAGEHKIKWSKRFLRLLANLMVLSMLVFSIYAISSAVEGIGGSSTIGNGVEKEDKAMFKKAEIPTVISTITHVFPMIFDLIGRMEGYHPRTVLILYLLNYFTLMLSLYEKIKEPALNSTETPPNSTDAPRSKRFLLDDMFLNRNYASPDQFLDLLQQGNDRWAENETESPSAVTRASRLKRETATPTSFTVQPQFGPINVNNPNAYLQNTTGSEKEGFSSLHVGSPPLPIFTPPPKTHPPWKPGQRGTQVGGPDGRITPRPTKRPPKAGETRRTARPTTTTTATTTRPSTPSHSSSPTLEYPTSSEEEIEVERATATKSIRPTPGPTPGHKAELKSPITPLNMQCWETVIGQEIVKLVTMDLVFTIASILVIDFLRGLWIKYCSAWWCWDIETTFPEYGEFKVAENVLHIINNQGMVWLGFFFAPMLPFINNIKLIIIMYIRGWACMTCNVPAREIFRASRSSNFFLMILLMWLMMCATTVGIALSSFKPSAECGPFAGSDQFYTVLAKKFKDTLPTVVYDKFTFFSNPGIIVPLLILLLLVIYFLFSLVRALREANTDLKKQLVHERTEEKKKIFELAGGSKSKKETEARDREKQKNWMRKHLSKIESKRRQPWRQYNGKEYDPSLKASDSSSSSSSSETESETEEIIDIPPASSTAETIAVPLRDLQSPETPLDRPMSVLSNGQMSMKARRELVHSASSQFHRRLSRGQRSSVSINSLDVQSAVVEVATPEEIRQISRDLQHSSIVLRDSSHRSSKRNSYISLYENPDSALPTLVPSSSKHDIKVHHKPALTSTQEEDSARFSADDSLTKERKKKEKEEKKEMKAAKKKFEKDAACPDFQPWPSIDEAKEAQKQKKTRNPFAFFRPQTLPTTPIGSTAGQQPSTSQSSGDKQGTSSSQSDSAPPPPPPHGLPESRRSSASPTRRFRISVSPTRNLRADEELNTLQRRFVITQESMPSSSSALSPRAPIASFGDDDSPRVVEKPLR >PPA00447 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2824860:2832510:-1 gene:PPA00447 transcript:PPA00447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pbo-4 description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:H3DSR6] MKNPSLHSPYSLIDQNNERHDTHSGDYSDADFFLEMLLDDDESHHSVAEAHTPHGVQLASWKFDYVKEPLILTLFIVLIGLFKLVYHHTGWLQRFVPESCCLIIMGVLIGTFFIGNVAAVKFLEFNSKTFFFFLLPPIILEAAYSLKERAFIDNFGTILLYAVVGTILNIAMIGGGLIAASSFGWMGSFEINSLDCLLFAALIAAVDPVAVLAIFQEVGVNKMLYFMVFGESLLNDAVTVVCYTLVNEFRELPAISFMDVVFGFFSFICVSLGGTLIGCAFGFLSAVITRYTTHVRVVEPVMMFGMAYLAYMCSELFHWSGIIGILVCGLVQAHYACGNISSKSFISVTYCAKVLSSVSESLIFIILGVMLVNENAWFWDDWHPAFTVWALILCLIVRTIVTFALTYLANRSTGGVRYIAIKEQLIMAYGGLRGAVSFSLAFMISDSVSSKNTLLAATYLVILFTVFVQGCTIKPLVRYLNIKLARKDEKMRLFVEFNSGMISHLSQGIEDLCGYKDKSMMNQCSRFSRSFLRPVLEKDYKKERSSPEEKLLEMDRAVTMSENLRKNSSVKSFKQQQIIEQMAEEGQISRSLIEDEDRFINKRLSESEDVEAVTDEITKDSEHIRMLIRNPLTENYRNRNLVEEEEKERREAARIEQMKRLTERAEDIGGTHPRKRFGQRQKGGKQSVTKSLILSSMGSMYVQAIAEVPEEEAAAERISNNTSKRYRGKAKLD >PPA00274 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1813815:1822038:-1 gene:PPA00274 transcript:PPA00274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:H3DS93] MLNYLPLLFALARLSLASSGRTIYDFTVKDAAGKPVSMDEYRGQVLLIVNVASQCGLTDSNYRQLKVGTNIVPSVRFPIEVLEDDYGSKGFAIAAFPCNQFGYQEPSSEADIMTFVSERFEYAPRLFAKIAVNGDDADPLWVFLKEEQGGTLVDAIKWNFTKFLIDRNGRVVARFAPTTEPFALRAEIERLLRGKEGEQEGRKDGTYAVNSYANNTFPPIDRSQIRRTRRSVDSDLEAAQKALEQAGETRKEAELERQKADTTLIELQGNLTKANKLAEKMKDVYETAVDSENNLEKALQKAIAAVKPATDAFNDANKKYEEKMKEYKTKDDARNDYERNTFNKAIEDQTKAKGELKNAKDLESKMNGLMTKYDAEKATKKKYMDDIQLYGDKEKLVADIKTANENIKNKQLKENLEKAKKDKTSKEKEKNDIENNEILNGVITDDFEDLKLQNVKYKKNADINKWQTELDKKPKDSPEAATLQQKIDKAKKVLEFIEHLYTLDNTNMDKKVDDLKKGYEAMKTAAQAALTKAIDEVTKAKGELEIEENKITAAEAGLVNVDIDALKKAKETAAGNMKQVNDNIKKCTDDLDTFSNTNGGKKGEAEWVKVKKALEADVTAKTTALNAADQAVTNAQNTYKPMKEDVENMLKAIDKQEKKVMDEKKTAESDAVNAEGAARTEKENYKTYLETSKKEYESTRDRVTKLEGEIEEAKKNLKAKQDAEYIAMRAHEEAGKALDVAKGKKAEADKQAEEAATEARRKDQEHQEQVRALALGFGVTAGIVVIGAAVGLSVFIVMRRRRKQKKEAAAKVPKREELAAPNGLTIIRREGMQDKYVVKGEDFNFRGPLVRGRVHNEVPTKHMLDDQVGGDKIENIFFDKKLNDAYLIGEGVEIIEEDSVSVSEGKKTARIDPVELLANMSAEDMAGSSQKYIKDTDAKAVPPPGGDKKSSKSKRAQAATPKKAGAPSEGEKAPLLGENFDKCSYFSNN >PPA00349 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2251824:2255223:1 gene:PPA00349 transcript:PPA00349 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAESAVAEKFCNLNADQLEAVRGNIKKVTVQDGLSEHDFQKLLPHWGSFASVAFANLGRKKGLKKDRLPVSTFVAQVNLLEGIASDQAEALTNLFDAKWQEAIVACVCSLLQLPSAESAALLAFLQKDKSSNLTNMITSNRLLGELVHLPFKHLLSSKKSIMPKLSGNTTLLTPVAQLAIRSHIPVEFRDKWQLLFSSNQHGSSFSQMAQRVDGQGPCLLIIRSTKGHVFGLFASHGFVSGPTYTGDSLSFLFSLSPSIGIHEATGFCKKYCYLNQQQETLPNGMGIGGSSDDNWPLFLSEDYGSGIACANSSAFEKCDLAGEKHFEIHTLEVWRVGPPPAVKQTEKSILDKM >PPA00445 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2817650:2820589:1 gene:PPA00445 transcript:PPA00445 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKSGLHNYSSYDGGDQSPPCSFPYRPLSAAYNYDIGMYYLNGGKALIDPAIIHYTMGPVKPWRWWTYPLIDINHHWLRARTEMERAYNERRSPDYDLAWMIGVAALFLAVAYKIVHIYVGDMLLVERGMLRGIESFLCPPLLVALSMITAFHSVPEHTRPAAAWSYALAVMELSLIFVGLLYASLRRGRRASGMIAFKFMCMSMGVVIAVPMGEYRCDKLDRIPARYVLLQSSCLATCCRPFCLRHSRLSNFTRAYWRWRLC >PPA00481 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3080669:3081453:-1 gene:PPA00481 transcript:PPA00481 gene_biotype:protein_coding transcript_biotype:protein_coding MLQESVSYGELDLASTTGAKLSISPIVDGQIVILIPLSKSSSLVLILVITISTILLVSGLYVGGAVLFKIRSDFLLEEERKRFIMVDPATLHPPPPVYPADIPAIDYE >PPA00052 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:294155:295082:-1 gene:PPA00052 transcript:PPA00052 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKPRNWFTKIFGPSGWYLRGAQFITAPILALVVLYASFDRKPLSFGKGAREFVYPPWVEAIGWAIGSFPVLFIPLFAVINIIQFKRNGQSLRELISLQPEHPAIRYELKDPKKKPPQIK >PPA00273 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:1802949:1806403:1 gene:PPA00273 transcript:PPA00273 gene_biotype:protein_coding transcript_biotype:protein_coding MILVIQLVHSDYSISSEDGTYAVNSYANNTFPPIDRSQIRRTRRSVDSDLEAAQKALEQAGETRKEAELERQKADTTLIELQGNLTKANKLAEKMKDVYETTVNSENDLEEALQKAIAAVKTATDAFNDAKKKYEEKMKEYTTKDDARNDYERNTVNKAIEDQTKAKGELKNAKDLESKMNGLRTKYDAEKATKKKYMDDIQLYEDKEKLVADIKTANENIKNKQLKENLEKAEKDKASKEKEKNDIENNEILNGVIPEDFGDLELKNVKYQKNADIKNWQTELDKKPKDSPEAATLQQEIDKAKNVLKFIEHLYTLDNTNKDKKVVDLKKGYEAMKTAAQAALTKAIDEVTRAKGELEIEENKITAAEAGLINVDIDALKKAKETAAGNMKQVNDNIKKCTDDLDTFSNTNGGKKGEAEWVKLKTALEADVTAKTTALNAADQAVTNAQNTYKPMKEGVENMLKDIDKKEKKVMDEKKTAETDAVNAERAARTEKEDYKTYLEKSKKQYESSRDRVTKLEGEIEEAKKNLKAKQDAEYIAMRAHEEAGKALDVAKGKKAEADKQAEEAATEARRKDQEHQEQVRALALGFGVTAGIVVIGAAVGLSVFIVMRRRRKQKKEAADPV >PPA00367 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2342207:2344078:-1 gene:PPA00367 transcript:PPA00367 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMSHSGGGSGTSLESRLQAPFLKQTSKESTDSSYSDNWPPVVPDGQLGSFIDNLGPGQVVGRQVLASPVLGEIHLGIAASRSGIDVEVLQAKNLVVKPGIKICPAPYVKVYLMEGKTCVAKAKTNAVRKTTNPLFQQHLIFSESPRNKMLQVTVLGDYGRMERKAFMGIAQIRLDDLQLGPEPLIGLYKLFHSSSLAGTGPIRKDSETSLADPAQ >PPA00392 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:2516288:2520133:-1 gene:PPA00392 transcript:PPA00392 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSLRTMPRRGNESTRDYWRLSGALVPVECSMDRLIDELVHLEVAHDRIRRSNYRPLNGISIDECIRGHSKLGADYGFAPPREKPHDIPRWKFIPMKVRIRDRIPVSVPPLLCEMEKCNHPLPMMWPHLDLVHTIEYVKTYDFFHNLTEAEKYSLVKHVVAIVSFLTNSFHSLDMNSDVTMYPDGAIPHSGQILQWSESDARHDREVHYETIERMRRMRMDRREYALIKALITCDPESHQFCSYRRPLFLESKSTAAAEGTICQGTDVVRTIKERNIRGSYSCESVPNAEANSSF >PPA00494 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:3160028:3162210:1 gene:PPA00494 transcript:PPA00494 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQSLSRACLSPTDANQVRPADIQYIAAMGDSYTTGYLSFTSESDTTVDEMRNAVGNSFAMGGNDEMASHVTLANILRHLNPTLRGYSIGIGLNEQVTNLNVAVPGMWVDDMQRQARELIRRFNKYSVQSIQNDWKLIQIFAGTRDGGTNKQEYKRNMTEAIGILQQALPKTIISIIGTGNFDFLWNAVKNSDRSNEANIGFKMSGPCQIADAEILSQRRIEEYREANTEIVLEMQTRTRRDHAVIVQHIFDDLWMPLRNADGAFNAEFFAADVFHFSNYGNSLIAKQLWNQLVSPDSKKITNNARMADEKEALLCPELRCPFIRTPSNSVKCVMSEENIIDGVL >PPA00146 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:825386:826383:1 gene:PPA00146 transcript:PPA00146 gene_biotype:protein_coding transcript_biotype:protein_coding MILKISKIQAQERLKKKQDSGSRKTQENTRLRLKKDSRKHKTQAQERLKKTQDSDSRKTQENTRLRLKKDSRKHKTQTQERLKKTQDSGSRKTQENTRLRLKKDSRKHKTQAQERLKKTQDSDSRKTQENTRLRLKKDSRKHKTQTQERLKKTQDSDSRKTQENTRLRLKKDSRKHKTQTQERLKKTLMK >PPA00019 pep:known supercontig:P_pacificus-5.0:Ppa_Contig0:71829:73584:1 gene:PPA00019 transcript:PPA00019 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGDRCEMPPIPLRSTPIKGFILDITGVLYNSMEGTDGIPIQGSAHAVNRLYSESRVRFLSNESTGTVGHVVGKLGRLGYTGIREEDVITPAPTAAEYCKRNGLRPHLLVHPGIRSVFDGLSQEDPNCVLIGDAEHAFTFKAINTAFRHLMKMKDPLLLSLGCGKFYQRTDGPCIDVGAFAEALKYSSGCRHVVIGKPRGDYFQTALDSMGLAKDEVVMIGDDIVSDVGGAMAFGMRAIQVRTGKWRYHTYFYFFF >PPA00538 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig0:3493803:3495050:-1 gene:PPA00538 transcript:PPA00538 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDWIERALANHFGVIPTIIDMPGVTMANEALEATMEGMDEESREQMKKVGDTSVNAMSQLMHDTEAKTYALFRDCK >PPA00753 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1246999:1248846:-1 gene:PPA00753 transcript:PPA00753 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLSVRIDSLRPLLTRRQPPKEKSPNLPPEIVEKILMGADARTLVKARTAKHGWKQEYHRKNGPLGRPWVRFVDKQFVDFKVIYIDVMRVELAAGNKLIIHFEAEPFKFAYILPLLRCTGEGRSISVIHLKDRFEKNKSAKWYYFRLRLSCDLDDLDDLTALMATFPVRVFCLQSTKKWEGRRYIPLTTEMMDVLEPLFEVSKWKIMHASVCLESTHYIE >PPA00866 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1849369:1853764:-1 gene:PPA00866 transcript:PPA00866 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSRTIKIYIFCALIIPISAETTELTTHTNRDETLKALESLHAVLQATQALTKDASTTFLHVKNLIDLGAKTSAPVTTTESPTAEGNSESSHEDECDERTCSTTSVSSPVDQKMEASPKEACDRLTLFNILRSKITDGSDDADVDGHNMERDTIVRIQKNAEVMMALTSRIGFTNAFAIATKAFRNGTTLKAECYSFGLTEVNIKTGCIPSPNPSRPRKYRP >PPA00689 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:828217:829068:-1 gene:PPA00689 transcript:PPA00689 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAAKASGDSKPAPNEMTSKDYYFDYYSHFGIHEEMLKDEVRTNTYRNSIYHNKHLFKNTVDLV >PPA00874 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1879709:1881192:-1 gene:PPA00874 transcript:PPA00874 gene_biotype:protein_coding transcript_biotype:protein_coding MIISDPVLLSYDSASPPSMRMMPASLAPGGAAVPPRLPANGQRLQGFMSPHPPSTRSKSSHARRQGIVFNSPSSPDSSDDSAQLRLSRYGEGAPVRALAAPASVRDRSVPTRFSRDYAVNRFSRAETERQRERLPSAADYLYPASTRAHPLVDNSNESSFSNYRVVSPPVVASSVSRRAVDGHPQSSSMTASTTSSMTSSPFGTPQMARRQLGASVAPGRSAAALHAAMHGASPDLDVRRLAPATSPRLLDGRLAVETTSSSLTRGGAVVVDSDDEERRDSGAGSSLSRSPSSAPCTLRMRQSQMQPASAAAAAAAAAVPLSSSFTSSTTASSCSSNGSVGRGHLKGEVRFGTNREIKPWETHVR >PPA00599 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:186943:190583:-1 gene:PPA00599 transcript:PPA00599 gene_biotype:protein_coding transcript_biotype:protein_coding MMHKELIDFFGPTVVFHSHDEPKILKDEDFPELLMQKVAKAHRKVERCSCTRSCVVFMQNNPCGARDLSQEFEGSGYVWKNNLGCGRPTYEKLYSQIEFKPITATKWYVSTIVLDEGNGIRDIGFDFGKTAGLTFDGSKAFFTYTREGKQITSDSEMRHEKTFTSEYNKKSYKFKKYSFRMESKGTNITDINNSYVNFKKSGKNMTLNDDAFHEQLKQLKTPEHRKIEPCSEGKACLVFVENDACSCNGDFPQPADSGYVWTRNSTCRRPTYEVLLSRIDFDINFGNATISAHSANAMSFGWTNREGTVTSSVRPMRHNADEDVITSEDAKSVEEDGRPLTFKRYHFDIEAGGEELHNFFGPEIEFKLKGPNPMALAMNGTEFTKELGQIKKESGLSTLTIVLIVIGVFLLLIIIVAIVIGVCCYCCTKSQRALYNVDARNTYHPVPSNPPIRENPPPSSNSSKSSECESQYITCSANPK >PPA00629 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:429065:429769:-1 gene:PPA00629 transcript:PPA00629 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSGYSLLIGLLLLPFLFGLDLKNKFFDTVFETFVKIEPCHPKKVCVIFVLDECNDSNRNYVWAYGVDYHTAMIWEQSGKCKLGETHTIYATIEIEYAL >PPA00937 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2272658:2276611:1 gene:PPA00937 transcript:PPA00937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sulp-1 MNLQFPTVNPSTDHLLDGAAIAFLGICLHIRIAKTIAIEKMHTINRKQELLSFFSFTLPLSLLGLPPVGSAHGKSQLNVETARFSLVANIIAIIWIILFTSFGGSILAKIPYCVVAALALTSFPGLMGEWRMVKRLMLFSKVDASIWVVSFLLSLLCPNSCKGFLLAAVYPLVTIVLRVQRPSSDVLSRLSLDAPAYFGEEGHYEADPTETPAKVYRFNASLLFPNCDQFRREIAECAKTIKGAMALGIGTRTASMRSQLEIFDKKDSIKTNSRLNLANIVINQEPCKQEEEISPLALSISIRTRTFNARMAQRCEAGSATRVSRTPPNASMDSSLVRVLIIDCSTLSDIDSHGVDTLIDIYFELQEQSIRVIFASLSGHVSMNGCRDIIALSTATSNHELMDEAEANREWNRIFYRSRMVESVLLEELLDVSGPLVELVLESGEVRVLRRGCVADTLEDVRLDGGRFVVEMLLDEDSRLRDCNRVVGYSRRLTVGGVIGLLGRGIRSNGEGDESEDEKETQELVHSERITVGYGSMAVVNGA >PPA00571 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:44298:48531:1 gene:PPA00571 transcript:PPA00571 gene_biotype:protein_coding transcript_biotype:protein_coding MCQTPLDLWPSKEFGWNAMNRTIQLFDGKYKNDFEVQHFVDELKREIGRTERIDIVTKKRVTDPYEYLMVGDGWCIHDWLYVPTSNISFVGIFAQLAHEGELFVELFASKLLHILPSEGSHKDIDPLRVLWLWGGDRAIWERNYTDSLLGMHPIKLSTFQNSLGEDGQRQRVLMPERAKFCDVVLDAFYHNVFRIFEVIFDGVGINFAASFLHDEPAADYITHFVISPCYMALLECGAFNFLYKYAATCSKKLYDLFHNKYFIGGLSTLATIWVLLHVLTTVYFTPSQALREKVALALNDKFGTDFRNPNVYFGGIDKDASGKQAQGIGTVIATVNFMNDAVVRLENTADDRVEQNQRTSEESASKSIEIAGASDIQPTLFRCVPTVHSSDIDSSEKAFSWFNAYALLFFPLLNPVIVVLCIKEFRNTMLTRLNCWTRKAEPAHTLSLSRRSTP >PPA00812 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1533996:1535137:1 gene:PPA00812 transcript:PPA00812 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKNRDPMNLASLTVTTSATPSCPTRDLWTRCSWMRNLARRLPSVRPEEAAEEACEEACVTVEVVVDLAAIASRLSTFLSLLDFTHCLH >PPA00881 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1923600:1931119:-1 gene:PPA00881 transcript:PPA00881 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGQDLMNDYEIGGTQNKWVCPSDRHLQLRAQLKSGWSVRTVGARSPTGAKAQPTQMTDAEKEHIKAVLARAEESKQREQQRIGKMVERLDNLRMRATGDGVSHCLLCRTEFGLLASKSYAAMCMHCRKYVCQKNCGVETTDGRGGDTLFLCKICSEAREVLWKKSGAWFYKEMPEYQMAGEVTSAGPGPVMSPPASYPPGSPYGPTSSYPGPSCSQNGEARSPLKANGGPRRRTLTANRDPIDEERSKSPRPRITPSWVKEKVMSSMSVEEEEHSSNSDGDFVQSGVRKPPMSKMEMNLAKFELTKARMQESDEDSSTDSEPSSHSTSPRRSLATPSSYDSQQPLSVSAPQDGDAHSIDSGVVQSDHSVQQGSGGGTTVPATSLTPYSSSSSSHVSPPAPISIDLSPLIDRSSRLSLDRSIVDQARNNHRDVRELIGNPFNSPRIEKAPIFTRTPPPPSLSNDLLPHSSSSSLRGGDGRESTEKMRGGAHTSQESLRDSEGRGGSYSRDSLTLNKKQPDDDANAASFMSSPDDDSKYRRSSSGGSSAATAAVDEQPPSLRPRRSRLRPDAVPSMDRRTMVRLLLLFRIPCTRPVFFCRFRRREREC >PPA00594 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:138516:140481:1 gene:PPA00594 transcript:PPA00594 gene_biotype:protein_coding transcript_biotype:protein_coding MWLWIRRVSWNYGETFHSYAVQQCEQSNAHPPIIRSEEKEKFTTRVRSSWISCSSHWAYNKRVYDMARKMTQGLGAETKFMFWLGLTCNGKDYVWPDGSIAEYTNFNGEPHQGPWPCIPENANKEFFFMTDDYVVDPTISLPDSFEIICADKTCSVKIEQFRPPPGHAPTELSSASPYYSH >PPA00854 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1772252:1779949:-1 gene:PPA00854 transcript:PPA00854 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLILKVPPSVFSGAVNQVLRLGLRHRANCTIVSSSVRFASTTSGDDERAGKGAGIPLPTDPLDHLTHKEKKRLFRVARVSKRNRTYDNDKIDNLSAMAEYNLSEKDLEDLPQVPRPAKTYTAQAGNRPLYSLTDVVQRAVKKHGSAEAIASHRAPIVDMRNNLSNDEKNRLRMRVEATATAGADRVVGIAFALNTCDMIMKFGAAYLTGSKSLFAEAIHSTMDTCNQYPLVCKKKRVTELILLLGIRYSAKNPDPQFPYGYGNMRYVTSLISGCGILGFGCGLSMYHGISGLLNPTALEPLTYAYYALFMSLCFQGTSAITAYREVNRKAKLAGISMMNYVKTSADPSLNVVLLEDTAAVSGGGIALCAISLSSLLNSPIPDSVGSIMIGALLGTVAMFIIRTNAAHLVGRSLPDRITNDIVARLNNDPCIRSVHDVKTTSMGVEHSRFKAEIDFDGRMITRNYLKEKADIGMMLREAQSLENTAQLEEFMEEHGEKIIDRVGDEIDRIESEITKKHKDIRHVDLEAL >PPA00794 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1436441:1440942:1 gene:PPA00794 transcript:PPA00794 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFDRELIRKHFKGVFSLIDHVFGDTPRFRRYATSLAIRHVFGDTPRLRRYATSSAIRHVFGDTPRLRRYATSSAIHHVFGDTPRLRRYATSSAIRHVFGDTPRLRRYATSSAIHHVFGDTPRLCRYATSSAIHHVFVDTPRLRRYTTSSAIRHELRSIPECLYPYEQKAEHICINPYHYQKIENPNKPFLQSASTIGGHATSPYSDSYASGSSGLHPGSIVSSIAHADPIAHGQLLFHRVTIQYLFLIPDRRLALFDAIRGRRPNGHGESAGVRYRYPSPPIEMPQVPPPVYWTTITYYELNTRIGDAFKIVNRSVVVDGFTDPTNSDSRICLGQITNVNRNSTCENTRKAIGRGLKLDYTTDFDLHVTNQSSNSLFVQSILVNSKRYS >PPA00756 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1257890:1270665:1 gene:PPA00756 transcript:PPA00756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-abt-5 MGMTRLTYYTHHLLWALIKSLPAIVGVSAMIICDEIYLGFHFLFAFALFVTAEISLAMVIPIFINRPTIATLIALVVIPFNAMIGVTIPVPRTSILLSSLVALNPGHAMALAFDTLREAVFRGKLVYISEINYALTYGAIILIMIFDIVLYLSIAILIDIVGSSTENVCAMFKRAVTIDDDDDEFDLNVHEVDPSLTNAVDVELTDVHKTYPSGERAVRGVSMKLLRGQVTVLLGHNGAGKSTTFAMIAGITVPTKGSIRIGATSSKNDPRSSIGYCPQYNPIFPKLTVSEHLSFFAALKGLSNEAEYREEGDRLMMLLQLMEKKKERAAALSGGMKRKLSIAISLIGNSKVVLLDEPTAGVDAGARREIEKLIVAQKKERTFLLTTHYTDEAEHLGDRIMIMAGGKLVCSGSPHFLNREFGAGYILSCVATDSKLLQETAEETLKLAEEHIGGVKLERQHGHQFEIWLDKGRSERVGELTGVTRQAKVKEALGVLLKENENRSSGPCTYLKRFAYLQYKRLLYEFCELRSVLINLLPIILFIFSIASTMRTIEKAATQSFDQVIEYNEQSFDALKLPYCMRLGVNSKLNLSTALRRSFPTGSCILVEETSNTTEWYESSAMARRPPILATVDRYKHDFSAKDGLLVSMATNALLSSSALNVALIRALTNTSFSVIAQYKHYRPPNRRSRYRERRDGREPEIPQVSTIAVAIFMFVQPLLMYRLIGFYVVERTIKFTHQQLLTGLPRWLYWSASFFSDLVMFMMNYGFAVGCCVYFDVLAEQLWRLTPLCLLIFCVSQFKCYIAQRVFSSKSKAENLAVILLMVSYIALSTVYSFNTAMSMIKQQKENIDDFNLYYDWPLLLDPHVAVVFHFSRLALAEEKSVYGALLFQLATVLIVFISIEFGPTLIQMYKRRRSQSVQDSFTTSAPLLDDGNAKLVLEVNNLVKSYGSTMAVKGISFGVEQHECFGLLGLNGAGKTSTFEVLTGSSTATSGTATVAGVDCSTPTKIGYCPQFDALMQELSGRENLVILAALHGYRNPEIVADTVIECVGMGAHANKRSKRYSGGQRRKISVAAALLAQNSLIILDEPTAGIDPVTRREIWSVMCALRDATETAIVLTSHSMEEVEALGSRIAILRTGEIAAQGSSQALKSAYGNNFKLTLVVECKDAEVVRTAVRTAFHNARIINVSRPSPSLRRKCLIRRLVRIGVFVEDRMKLDYVLDLRAEDFLERRRRRRRASLEDAFIALTSGAEAFNNVDNGDNALTVGYNTLYKSCMLKYVETARPN >PPA00787 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1395797:1397049:1 gene:PPA00787 transcript:PPA00787 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRLLVPTIIIALAALTHAKEFKYLLDSELEMLNKYDDEPLKCYSKNNVTGAVALEVCSRGKPFIVSNAEYPYIQQFPYCSMFKFQGKMLQTCMYSPAIAMGHCAKTCIEHHPQVNREVSECCCTTSGCNGHMLPRAILELPDEE >PPA00863 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1806012:1808186:1 gene:PPA00863 transcript:PPA00863 gene_biotype:protein_coding transcript_biotype:protein_coding MQTILAATIAANHDNVLDGPEWVTLTTEVSMLKKRKTKHDKIIHVHPQTSGGYRGAARDEEEYERRRKREAEEERKEIEEEVGSFKVIKMSQWDGLLPIREEPKEKQPEIAVKDRVNPEFLNFAELNVEDEK >PPA00647 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:516688:518750:-1 gene:PPA00647 transcript:PPA00647 gene_biotype:protein_coding transcript_biotype:protein_coding MANIHFSVGPSMTQTISAPKLMLILPGFEVLNVVCIFGDCLSVLLNSAIWLLLYTYFGAYFKPTMKLRNKVRVNK >PPA00634 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:444516:446266:1 gene:PPA00634 transcript:PPA00634 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIPFKSEDTTGPFPANSIAILGVYTFVIEANGEKLLDYVGPVVTLELNGLNYLLNDTAFPEKLNKLNFLRSGLELYRPCTGARGIAHRRNPGNQLPINALVLIVVVCVLMKRKRNREFGGNTEKKFTLRDSDSKSKAQTPVGMTKCGRFIRDGKRENLNGHLPCQLFCV >PPA00917 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:2181439:2182053:1 gene:PPA00917 transcript:PPA00917 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSNLLLASVVLIGLVSQTLAQFGQFGGGPSRFGGGGFGGGGGPGGFGGGGLNGFYGGRGNPWAGGSPGFGGGFGAPGGFGGGLGGLGGLGGFGGGAPGEFGGGFGGPGGFGGFGGGAPGVF >PPA00620 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:385362:389015:1 gene:PPA00620 transcript:PPA00620 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVYEKTIADTLDTIAAADQARIEFDVARHELAGLQSSPTASPSAIMQAKEKTDAFRERYDNLKRDVRTKFRLLEENRVKVLTQQLDKLQTALAAYFSGNAQALALSVEELKAMVAPNNSFLQ >PPA00663 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:689045:703038:-1 gene:PPA00663 transcript:PPA00663 gene_biotype:protein_coding transcript_biotype:protein_coding MITSVLEVAGARLRLTGEEELDEAVEIDHRMHMKDSFEAFNEMRRDGMLCDVVVVAEDKRLSAHKVLLSARIPYFRAMFCNDMMESRANEVYIYEFTYETTEHLLEYAYTGRVRLSIHNVQAIMTGANFLRIENLMDECGDFLMKRLSIDNAVAIFVFCRSISYIGIELTVVRFIEKHFVLISPTPDFLELDIEEVAFILQRDSLYVDGEEQVFTAAMRWIEADESGGRRVHAAKLLACVRLPLLQPSFLSDFVAHHTLLKDDIRCRDLVDEAKDYLLMPERRPLLKSFRTIGRVCDQVPALIFVMGGLTTSSRQPRSQVEVYDAVTDAWTPCKPMTTVRSRIGIAVHDRKVYALGGFDGKDRLDLCEVFDTESNEWSTLPPLHRKRSAMATATLDGIIYVCGGYDGVSSLACVETFDVRRRLPEWRPAAKMIKPRSASGSCVLDGVIYVIGGHDGMSIFAGGERYDPHKKVWEEIAPMTSKRCRLGVAALAGKIYAAGGLIVDQCSYDGSQFLNSVECYDPVRNEWTPVTAMNMKRCRASLAVTHGKLYVIGGEHAGGVSCGTVPIPAMILTPSAPVLLKELIKKDE >PPA00878 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1903320:1903970:-1 gene:PPA00878 transcript:PPA00878 gene_biotype:protein_coding transcript_biotype:protein_coding MLAELESLPGSLMDINASTQSISRLGPSSHHPPSSSDTVSMTSSTLSIDPPRQQHYRNPTMTSSLIVDSEPSGGRSRRSSTLTAGPDTTVVGYGGMPTVSSRLAESISNLDALLLESASIASGLDAIGRDTVEEGRIDGAPPVPPHRASVENGRNSLMAEQENRH >PPA00806 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1509686:1509987:-1 gene:PPA00806 transcript:PPA00806 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNSEENAFEFPLEELTISSFFTLPERAKDRLIVGLFLIPIIYGAIANVILFIAVLGNKSMRNTPS >PPA00896 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2056121:2057269:-1 gene:PPA00896 transcript:PPA00896 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPYAWPHPVDEMEIPQEIFDLGVSNYTRGFLGDTIECGLGSDFIIQNGDGIPYRAWGVSMFKYELWPGVARWSDLLGEFNFGPKDLKISCVEGHVLNINDCRMFLNDNVVRHTSAFVHRTFKCPTNYKIAYLAPWTGVQTLATEICCEKGAGFLGKDLRNSPTSWILVLEGAKNKTICDINPHISCVDASIYV >PPA00582 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:78278:79088:1 gene:PPA00582 transcript:PPA00582 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNPIQADNCASWSDWGPCVWLKGKNKRWQRDYFEQLLPGRKGCRNHVFFRLLKDRWGVVKLISLWQNDENFILARKSKERKI >PPA00931 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2253917:2256651:-1 gene:PPA00931 transcript:PPA00931 gene_biotype:protein_coding transcript_biotype:protein_coding MITTGVRYNPCSGNIPRLGNALILDNSYGCIDGYTWNYAKTTEGDPFSEIPTCKHEGWVNGGGLMSDPITEMWCRPNWPSKFPKCGQITIGTGVECTERGFTCPKGQLPYLACLIDNLWSFTGGKFVYYQAKSWKVEYTTGTWSFEERYGFNVSCRKIAKHSNVFHSVCDKSIPRLGNALIQKDAYGCIDGFEWNYAKTKEGQAFNHIPQCSSEGWTAGGNLLDGPINEMWCKPIVEDNDRCGDIQKDDKVYCRKDGYHCADRFLPYLSCFINNQWSFTGGSRIYKEGDKWVVAYSSGKYSFNENDAKNITCIYAGTPKNKACEGNVPRYGNAYLAGDTFTCITGYSWNYGKTVNEAKFDGIPKCGKNGWEVAGKKLNSSIGEMWCKPM >PPA00606 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:239806:241712:1 gene:PPA00606 transcript:PPA00606 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVLSWECATLGAEPHYQRSVREMCDAMNTQDRGLPCPPNCPAIFFDFVQSCLSEPHRRPSFVAPIDSSSSVLFRCVAILLLIRLKQLEYFFANSQHCFEAVPNQLYLRYAPMRPTANYYLLHKAILFEEQVKHIDGSTLHE >PPA00724 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1091761:1094204:1 gene:PPA00724 transcript:PPA00724 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYWLHTQREFLAQLGIGVRLRRLIETCKERSKQEELIKSYNMLMGDMGERFQAMSIFPQTLQPIIRRRGGAPAGFNGAPGAEKVPEDAEAPKQK >PPA00587 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:103551:105998:-1 gene:PPA00587 transcript:PPA00587 gene_biotype:protein_coding transcript_biotype:protein_coding MQYWGYPSELHEVTTEDGYILSLFRIPHGRFSNAKYSCSRPPILLVHGVGIGAAEFILNLPESTPAFILADAGFDVFLLNHRGTTYSRRHETMKTSDNKYWEFSIDELVKFDAPAAIDKVLEVSGANGTYWVGHSQGTAVGYMTLAERPEYNGKVKALFQLTPTGTGGYVKGVNKVILFLYKAVHPILDFCRQAFGAHEVSFNLRALFQPFVQLCKATFPTQSCTLDRKWLLGPSTRATNESRVPVYRAHAKLSTSSWNVLHWAQMASRRRVQHFDYSPAENITPLAYDYSFITVPVYHFWSGDDWISTREDVEQTSMRMLRREVVKGSFEIAGYNHADFALAQDCGEMVFKPIVKIVRSEEDGMCEQ >PPA00855 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1781381:1781968:-1 gene:PPA00855 transcript:PPA00855 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSLPPNLSMTARTAASVSFIARTLCIEHAIRIAVKCAVNGMTTMDGSKKISFDSTKENNANNSSNNNLQRDIQGSILHLPKHPVKEPVLVRLCLAK >PPA00637 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:460408:462009:1 gene:PPA00637 transcript:PPA00637 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFTMKAGQKTKSSTHVLTKVDDHKLIDFFDSGNVVFTHPSKNLTMNDARFPQMLDELTPCDISEIDEECDDKPRTCSNLTITPRAIACESKLWMRMPAIKDIVAARWKHVDRIRCTRGSWYGVWSDGTQMISPHDKRVRCSEFEPNLVFMQATIPNFSVSTFSITLFVLFLFSAL >PPA00921 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:2194999:2195399:1 gene:PPA00921 transcript:PPA00921 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKPKFIIASSSVTNIYYPENKTECADDPLIPWNANDGKMFISNKKVF >PPA00890 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:2023624:2026285:1 gene:PPA00890 transcript:PPA00890 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPVTNLYTRKAINSCKSSPSHHSVHFAHCFECRKRGTDVIDKHQTLITARRQTHPEMAWICDDITELAEIEDAAYDVVLEKATIEALLVKEKSSWSPSASALSTLDAVWKAIDRVLKVQI >PPA00782 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1371219:1372260:1 gene:PPA00782 transcript:PPA00782 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIRHLWLLPVVTTIWCLIGIVVPYAVGAADGNIQPILPFISDGGAYPPEKNIFSLFQNISAVLIALSAYVKHLQFVTYYECRRLDTFWKPLSILTMVFGFLAATGLCVVGNFSRG >PPA00601 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:197647:200290:-1 gene:PPA00601 transcript:PPA00601 gene_biotype:protein_coding transcript_biotype:protein_coding MENTSLNSNVQDHLSQFSNDCLLDIFYRLNQYDLDELATLNRRLLKLTDAARTKARKIKAFRLELTQFVVDDHFLDWLESILLYAQFDFLFFEDLLWSDDDREATGKRLLSILISAKISYFVLHIVGTEKFVSEASLRKYVQNVPLGGLSIFASIERIRNNFRSKAH >PPA00719 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1047995:1069647:1 gene:PPA00719 transcript:PPA00719 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGNSGDFPEDEPTTEHGIGVVPGHEELPPPDINSLVASGSLVGVGVKYGLPQSRKASLMTPRQLFRHSDMTERWQKREISNFDYLMFLNTVAGRSFNDLNQYPVFPWVLTNYTDEKLDLSVASNFRDLSKPIGALSEARRKQFEERYQNWDDPSTPPFHYGTHYSTAAFTLNWLMRVEPFSTMLIHLQGGRFDCPDRMFHSMGETWTRAQRDTHDVKELIPELFYLPEMLRNSNKLPLGKRMDGVEVGDVALPPWAKTPEQFIQIHRQALESDLVSCQLNQWIDLIFGYKQKGAEAVRACNVFYYLTYEGGVDLAKETDPVRREALLNQIASFGQTPLQLLTEAHPPRHSVMTVSPLMFGRTEEELCMAMKFVSNSPVVFLAANTFAQLPTPTILSITGNLVYSLNRWHNSYTGNTRGTGSIALSGEKEGEAATTAELPLTVDPLLISASPSAPIPRRHLGEAMVNTIKVSSRHFVATNDSRSIISCAYPDYSFRVTDTDTGATRQVVYGHADVVTCLARSETSLYADCYLATGSLDCTVHLWHWSGQLGRVAGDSDRPEGGATSRAILTGHEAPITALAVSAEHGLVISGCADGAVVIHTTAGELLRRSQLSATVSALAISRDCLILAQEGPRGLVVFSNTLRVLDRRESQFSIDCLTLTRDGEYFVTGSEQGIVALWRLFPLEQLYTYHRMEASIRSVAVTSNQRYVLAGLESGAIVLRNFNVSDELEVILAFIPLLQPQRYHIHLLVEGDFDMETCFTIADAANIEKMLQQLHHGELSFQGKVWSIFIAIVRKSDRNVEMCSRAELISRLLDLLQTVPAHTGIFLIFLELISLVGQYSITVKETKRYLKALKVTEDGKWRRSSLRLLKMMPKLLQDSPDAFFRFPGTPGAAHRSKINPPQGIFLPPLRSFPYQTGWAFAAWVRMDPPDGTGFEKEKPVIYNFRSSKGASYSCYIVANFIVISVDKGQAGTPVMEKCVCSELRPRRWHHIALSHNYSRWGRSEVACYVDGHLADTVELNWAITVADTWPQCAVGSAAAGVTTEPPFTSFTGQMAGVYVFGESMSLQQAHSLYCMGPGYMSALRHEAECDLPEGYKKFLFDGRLHSSLLSLYSPKNCHGQLCLYTPPHKAAHAHYVQIAHAVMKGLKHDYARLKLDMYLNWASNHGKFVELGAGVEVIRTHSLQSSLQSVGGMQMLLPLFDQLDAEVEEGDGEEAIDLCATLLSIVTRLLECSYTFQQQFVHARGVLIIAQALQKSDPRHLEVVQCIASLARLCNSAAIGPVLLRELLDHILFNPKLWIMADPAVQIHFYSYLSLDFMDNNKFPEQIKRVATVIEMCRTLKHYYYVVVPRSPSDWIPEQRSKTLSTENIITIRGSLLEVINKLILLGIQDKDAITPFPSRDQEIHALMNLVTTVHENDNLYDVLALINRLQFEHPQLLIPALISRMIVHAPITAPKLFPPNRFGASPSFSGMLPSSSERVNGAAGDGEKEENGMDSNGMECNGVNTTDLYFDADEEDPVQDPKSLRYCDNLHGRWQLKKIRAIFLRSLLQNTALELFLASRTAVMFSFADHDTVRAVVGHLPRVGVGVKYGLPQSRKASLMTPRQLFRHSDMTERWQKHEISNFDYLMFLNTVAGRSFNDLNQYPVFPWVLTNYTDEKLDLSVASNFRDLSKNWDDPSTPPFHYGTHYSTAAFTLNWLMRVEPFATMLIRLQGGRFDCPDRMFHSMGETWTRAQRDTHDVKELIPELFFLPEMLRNSNKLPLGKRMDGVEVGDVVLPPWAKSPEQFIQIHRQALESDLVSCQLNQWIDLIFGYKQKGAKAVRACNVFYYLTYEGGVDLAKETDPVRRDALLNQIASFGQTPRQLLTEAHPPRHSVMTVNQIASFGQIPRQLLTEANPPRHSHDCRGEFER >PPA00942 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2343210:2344274:1 gene:PPA00942 transcript:PPA00942 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVEDESSVETKALKSLSVLLLVFVCTRFSSTAISNIMNFAGASREAIDCAHGYAVCNKSFLKIRLFNYCN >PPA00837 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1636343:1639210:1 gene:PPA00837 transcript:PPA00837 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLSSPLGVARPPHPPHAAHHGPHHHHHHHHPSQQQRPAVTHSTSVCNHLFSPSDLSAGSPATLARTLADTQQAARAPRRSRRQGSSLTSALRLASTKRTSTVLLARAECRLELELCWADEFRGLLTGKHSASLEDSANEMAREGAIYGGTHVNHGATTAPQQHQPTNGTVIPNLYSLSLDDTLPPPRPIHRSDLANNNHAHAASSSPDASEAAARTPLSPKHAPFYRAIGGHLIDERDIYAHRERPLNHSARASYASSASSSTSISPESDKAAAVAAQVHASIEVRRRTVMHASTTDLLRGLGRFVAKRAKIDNVDAAHVVMWMRAVDRSLLLQVSI >PPA00670 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:733557:734424:-1 gene:PPA00670 transcript:PPA00670 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTEEKLYEGEYRLTKILGVNKHEFCGKLAHVKALLRITETARGLRRMDLRIDARNYYSLTAMEYSFDKPLKRWNT >PPA00909 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2109582:2115396:1 gene:PPA00909 transcript:PPA00909 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQWIRKGAYHLGNCVARRSSIFVFLPLLIFVGLLQSTIHAIRSSLAPVWPNSFPMADTSQRGHGDRRTLMDALGLELYASLRSALERAEIPTSRGRVSLASLCANTHTRSHERRHNCKRDALSLVGLLGELQYPHMNVMLGPLDPVNSTLYRRINLSPLLAETSVDVEGNLVEAKMARMVIDLPRVEWITDKEWSSLDRLWLRAIDKFERRHESDLKLFFWSPLQYDADVRSVGKDTAKLVPLLFSALLLFSIITSIRWRHRVQSKPWVAMAGVISPALGISVSIGLLHLAGFVLVPIALLTPFLVLTVGIDDMFIMMSVWAKEREERPEAEGEELMALTYRESSISILLTTLSNVLVYGTGCISTLPAVRLFCLYCTLSMAVVFFFQITFFGSILSIDGKRELEGRNPLTFRKKRKTGTMSKNRSFSSSFSTFSMISDGRMSKFDISKFFISPWCLLPISLTYCIYCIGSGYALLYEVEEGLELSSLLPEGTRSHSYLTIYERYFTGGTPLELERATPYTADVSCWLVDFSRYVNTVYGVDLPIDNHKFVDLLQREFLQHPLYKYRRQIDNHWRNPRIEAEQSLREAAQDDLVLFDVSFGLAEQAAELPWTVISNLFLAGGATVISICILMPTLLNCVLMTWAVLSINAGVFGVLAHLGLNTIHHWTTNSEFRHGYITVNLVRTIITKRKWFWLHKIANDDNWKWSRSVIEWFPTRKRRRGRPMTRWSDIFRKTVGPNFLNEARKASWNAMHIRALT >PPA00616 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:335510:344646:-1 gene:PPA00616 transcript:PPA00616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-spk-1 MIEFCDLSEAENSLPSNGNAVEQNGGGKMKKSKTHDGATGGGQQKNNKAAVNHNNDSSVQQQMRSPPQPSLIDWASVEEKQHAAAAAAAMSPSYQPHYSASPGSHGSRGSSEDDYEHGGHGGRDEEVLGSDDEEQEDPKDYKRGGYHPVSIGDVFNGKYHVIRKLGWGHFSTVWLCWDTNARKFVALKIVKSAEHYTEAAMDEIKLLLGIRDGDSSDVHRDKVVQLLDSFTITGVNGNHVCMVFEVLGCNLLKLIIRSNYAGLEIEKVRNIIRQVLEGLDYMHTKCQIIHTDIKPENVLVTMTHDEIKQMAQHAVVATKLNVKMSGSAVSTAPSHVQKKVAETMNKNKKKKMKRKRKKQRELLEAQLSQMEGLAVDAEGIANVLSDDSKLVEDIDGSDVDVENDDVVPPSASARIPGSPTSVPPLLLPPSKETPPADQLTVKIADLGNACWTHHHFTEDIQTRQYRALEVLIGAGYGTPADIWSTACMAFELATGDYLFEPHQGSNYTRDDDHLAHIVELLGSIPPAVYKKGAHWRDFFNKHGKLLHINQLKPWSMMEVLLQKYEWNFKDALQFTSFLTPMLEFEQDKRATAAQCLQHDWLKPYGGRAPPGSAEAAAAEGREEDGQRDGVNGEKGHNGNNGEDGGGRRLRRVRQMKRNGVSFGRDKKLVEVEA >PPA00870 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1863765:1867401:-1 gene:PPA00870 transcript:PPA00870 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKKVSTMRCFRLDGIYSAIHQYQFTVLLTLHQNIFLNNPLIQLIVHIIFIVLFGVYVSFDELYAMPSAANDNTYLTIKYPYYMGTHVMIFIGFAYLMAFLKRYGYSAISINMLLACVTIEWAVLFLSPTKVLTRHRAFYGCTFGGIGITDPSRARRLLHSDFSAACILISMGVVLGKLSPIQYVIMTLIEIPAAAATEHLVVDTFQINDAGGSIVVHVFGAYFGIALSLAFSRKAQRAHEHDGSIYHTDMFAMLGTLFIFVNWPSLNAATAVTAEAHHRAIINTHLSLVGCTVATFLVCPIFEPHKKFNMVQIANSTIAGGVAIGTCANVVLDPILSLALGSIAGVISVLGYIYLTPYLSARLRLHDTCGLHNLHGMPGILAGLFSAVMAVAYPKERFGPSLASIYPAMMEGQTEQGQALMQLAGLGTVLGISIIIGAITGIILRCPLINQVRDEEYFADGDYFHTPDDYEFTTKISAMVRSHHFEKENADNGSTVVQRMITEVANGVA >PPA00744 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1227058:1229152:-1 gene:PPA00744 transcript:PPA00744 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQLTMVSVVVGSALSCAPTDPGTIPGGPGATTTTTLPPCCRTDIYKKASPDRALFNPALTACPDSANFICSVDTDLATSTTMIVINGGETIATGPNGMNSFATLTCNAQKKWTTASGTIVDSIALPACCRSDFVSSDRSMFVPMLTNCPHEANFFCAPESDASLATTMIAINGGEVIARGLNGTNCVAALTCNGMKLWQTGSGTIVQSIICSRNFEFI >PPA00605 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:236196:239588:1 gene:PPA00605 transcript:PPA00605 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPDNIWPYEKRLKQLKRELSHLLLEDMFIELCDHKLGQGSVGFVFKGFVYPNTQNRYKHKTPAAVKVVGTVDCLRQPIGQFWPSLFQMSYPLPAKSMGLLEEAARLARLSHPNIVKLLAVSQLSFSALRPMLVIEWLPGGSLGEYFRLNCRNPNDDPVVYVRDVVRLLQQVAAALQYLHEKRDADGSELTHRDVAARNVLLTSTDLTKCNAKLGDFGLPIDFGNHLPLPWLPPGAPLSAFY >PPA00673 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:745079:746131:-1 gene:PPA00673 transcript:PPA00673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-snt-2 MYSSGRIPTNPYAGHTIDPCGVENGVPSFSTALTVQPKGNGIAAADYADYVTTPQDDYGNITFSIEYDFSISKLSVTIIECCGLPAMDRNGMSDPYVKVSLQPEWKQKYETKIKTNTLHPIYNETFLFNDSGACAQAC >PPA00860 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1800719:1802091:1 gene:PPA00860 transcript:PPA00860 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVAAIAAVLAVAAAKDHYDLDGDGVVGIDEFAVVSFAEQGLSKGEIGEIVKVADHTADGVLARDELQQAKKALERVAKEKGAEWLKVKNSSNEQPDQWTADSLELNTWESLDIETWLTLLLPTYY >PPA00797 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1457800:1460857:-1 gene:PPA00797 transcript:PPA00797 gene_biotype:protein_coding transcript_biotype:protein_coding MENNEEEEEERIKTEEEKEEMMRLREMMGLTPIMVDSEMIKEEEESSGEEMADEDEEKEEDVVEHHQEPSPEPETREEWLEMRRIKEEKAKMMEEEDEDDEDELEDDDDSENELQDEEDSDNEQDDAEQSDSEVEDEDESEEEEEDDDEEVDSEEKGEYYDEEEHDEDEITRAVKEKKGPKKRTASEELTRALKAVKAQLVEKARYKRQKDAAKEGRRALMGLPPKEEEGEDEEEVSEKLSRKKLKGKRESMGVAARMKVTPKSRSRQSESERVVTTKQEITIDRSRNLVKVKRMLEDKKKEMPKPQSHKSLDSRRELKGSSKKMEVKKGETSKERSHVQLKKDRIPSKNDKEMTAKTRMRMLEVRKRRERQLNQSQYEYDSGYPLAKKMRIKVDPTGFSLMPTSTIHRYRMKFGLPIDESDSRAALVLGAQMHFQKLQGSAAAIPYFVQTLKSGGNKLDERDAVGMCGLNDLRKKHENLRRALAKKREEDEQLAAAGLQPATPPPTKGTRYRVVANQKKQLQKEATPPPAADSLNDSQNDSQNDEDSQ >PPA00759 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1276680:1278357:1 gene:PPA00759 transcript:PPA00759 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVNPDWYYIRAASLARRLYIRSPVGVITTSTEASSTVEFTPPATPKASYSVIPQGPQTGRLNRGWPGPHPLHTEDAALAILALVDPFEGLADDAIGSLCVAVGLNSTVELASVDVVLTPARDFS >PPA00590 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:123614:126489:1 gene:PPA00590 transcript:PPA00590 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSLLLPLITAVQSFNIFDTAIKTYFKVTKLETPCFRDIKRAYTDVLIAALTAQKCRTLLCLREEKIANASYAMKMLASIGRPHSLDLDEISLTWAGDAHLCRSVKASAPFPVAYCFAHVHIDWRKVDLASYGLDDLGRILSRSTNGTARLCEIACEGPKKEKSVLFYVFNSILVVLLSIALISFLLDYLAIRAMKEENIKDKTSWHMLMAFSIRRNTSQIFSLRKDPQSIPCLDAIRFISFTWVAALHSDVFAADGDNGLQYLRESDYIFSSIFLNACPSVDTFFLISGLLVAHSFFKKVDDVTGKIHVNPASAYSPAYWVKYYVHRWIRLTPAYLLFIAVYIAWTPRLLGAWAISTAQNSTLFVENCLNNWWMNALYVSNFADVTAMVLF >PPA00778 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1352716:1354750:-1 gene:PPA00778 transcript:PPA00778 gene_biotype:protein_coding transcript_biotype:protein_coding MKISFLLLSLLVVAETRRGRDRHTTTTTPKPTTTTTVKTTTVPPSTTTTTTPAPVNDENTAYLAFKIDDVKFAQRVQKLQQAAMKVSKDFSPFLVNTTQLYQPLISFLMEDDEKNRTSDMYDRQLELALCSILPRRIPYTRFKVVDGAMMAMSQTGVWLNRTKSALRDALNRQGFIFHSNLDENLVVIMNPLKLNLAKIDLRPLTLTASAEVDRGLLTERRYVLADRSHEEGRPRVR >PPA00715 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:992310:1002770:1 gene:PPA00715 transcript:PPA00715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-emb-5 MADFIDRHASESDASASDAGSAKSRSTGDSTDSDEEPVAKKQKKEKTKKRKGGEKGGKKDKKKRKINSSDEEDEEDEDEDEQAKEEMRGFLVDEPEEEEDEGNQSDRSEKSQKLDSDLDDDDLELMRENLDVNGVKKKGRVARIEDSDEEQDDRDKISKEIFGFGDDDDEPQSSRREPMRDPDSGDDRSGSDSENDNFIEYEQDERRGMKQRNRKRKEMNFAEGALDEARDIFGVEDFNFDEFYEDGGENEAGDEDDYDEEDMDGEDRPKTRRVRSDKPKQRETLLDTMEPSELEKGYFAAEDKNIQHKDVPERFQVRHVKLTEASEEEVELEAAWIYAHAFKDKPLTRQDDQTLCVLQNNESLTEDDVAKIDEEAPTRIPRDPQVPFIGFYRKEGVADMLQINDLWKVYKWDSRWCHLLNRRNKLIALMRRMQTYLEETGEQHHHPLTDNDFYDVEAVQTNETLADVQAQFQLFYGPDIAKMTEWEHQRRVETDAMEEEGGEGGERPEGGGVSAKYKQATRKDKYQMCVEYGLGELAQRFGLTPKQFAENLDWRRHDVEQDPTDPYVAAEDYITTSFATINDVIAGAQFMLAKQISREPLVRSRMRDHYRRRAVISVRPTQKGRETMDDAHPMWRKRYIKEKPIGDLEGDDYLQYHLILL >PPA00655 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:559068:559937:-1 gene:PPA00655 transcript:PPA00655 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALTSSSSSSISKAFEGVRFRPSPFNNVKTSSTSTPPSSLRRIRFHTPPLAALIEDAEELVHSTPSRPSASRPLIREVASRPRRSVPRPPSHAAPSSRHSPRLEPIREVAETAIDFVHAKNFTTPPPFIRISTTCDPSEHIHDTTD >PPA00803 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1499444:1499868:-1 gene:PPA00803 transcript:PPA00803 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKPLSGNGLTLTCKSIDCAGSYWFGEKKTVLFDATNRNTDFVLTFCPE >PPA00823 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1565336:1567106:-1 gene:PPA00823 transcript:PPA00823 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNENNQKVCAAVAPSLRSGRETSRQKLQRFRTAGCTIDSVLSMGRSELEEMLKPVGFYRRKAEYIQEAAAVLKEKHAGDIPDTVEGLCALKGVGPKMAHLVMQTAFGRTLGIAVDTHVHREEWARVNKLLVGFGQQICLPVRPKCAECRLKATCPSSSMKEKKKMKKEEDED >PPA00735 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1186003:1186456:1 gene:PPA00735 transcript:PPA00735 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVLFSLLDLALTVTAAPKGACCGNDIVLPNYRNQFKPPLKECPLKTTFLCSGSKVKILYENASNAIRNPAAELTCRKSDGKWTTSDGRSVDKISCDRQ >PPA00925 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2207847:2208413:-1 gene:PPA00925 transcript:PPA00925 gene_biotype:protein_coding transcript_biotype:protein_coding MESGCSDAAPPAADVQPAAAAADGDAAASGSQRQQRTTRKSSGDIADTDSGRPTSVDDEEEDEPGLTLHIPPRSESIEIKDQSEIKDQSGSSREEEGKAPPVRRIPTLVCEELRDEEEPESAEDQIKITDCRCL >PPA00785 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1381430:1382850:-1 gene:PPA00785 transcript:PPA00785 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLLPVAVVAVSALARANEDPFVLDPKTVMNMYDDGPLECYVKNNVTKAVELMECRAGGHHSLLHGDNMREYLTDKNVFRPIAAYPVCMMIKVSHGSIQKMEQKCVLLPSVAVGHCGRECLERERGFFSDCCCTTSKCNGKMLSHPRAILELPDEE >PPA00738 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1198039:1200589:1 gene:PPA00738 transcript:PPA00738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mys-1 MPPGGHTVPSVPTRDTHLRTSKTIATLHLEDLTAPVRNRRPPIGHGRSEPPNEVDLTILLSPQSTDLDQSFMDDESYVDLRNSNGEYSEKYYDLLRADLLERMTGDAADQIMTVERKIHQTHSHFQAKRSTLERKLKRVVLGGRFETPYKGFYPEDYKHCETLFICDGCFNAFANEQLWMRHATKCKRSGTRPPGNEVYRDEKSSAGFISVFQINGENEHCVYSNIYDFDFYVVTEFTTESGFIPCGYFSRKRLTILDTNLNCFCVFPCYQNKGLGRFLIDFSYQLSIISGIPGGPERPFSDAGLLAYSSYWKRTVSIALAKVREIDVEGLVRRLGMKADDIVEVIQNLFSTTTKNDELVINEQILKSIAAKEKEKDKSKLYPKMACFEQGFVKSIKAHKKIIEAIDEEEKNRGQKLGL >PPA00677 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:777242:778748:1 gene:PPA00677 transcript:PPA00677 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPYPHIVPLFQISRVLLEVGADRARLTGVFEADGGGLPLAVQGGYAAMRIASRGPAIRAEGARKLECLTAEVVSLPLKLTNVSAGVLRNVRHFRPAGDHC >PPA00698 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:884556:886767:1 gene:PPA00698 transcript:PPA00698 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGMVERWTIIKKLGEGGFGAVYKVSGQGGEYAFKTEGVNEQIQASYRTPDLLDTVPAYRIPLLLCAFDGASSASASTTMTSRGRHFCKIEDKGRFGTFNYVVMTFVGKSLQELRKEGPGGHMSLGTALSVGIQCLEALEDLHGIGYLHRDVKPGNYTVGRAELGELRKVYVLDFGMCRKFTNEQGVIRKPRAAAGFRGTVRYAPISCHMQRELCRKDDVETWLYMQVEITTGNLPWKNVQDMNQVGEYKKRCRFPPAINELFAGCPGEYAQCLTIIDNHKYYDAPNYAQIYQILRGSMAANGVQEFPYDWEK >PPA00740 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1210009:1216533:1 gene:PPA00740 transcript:PPA00740 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIGANPPPVVANAVERSRVYRTPVTAPPFPYKKVIIAKRPEKGIRGVPFDLKLYIYKVVVDKEIRKGKGKGVEPVTNPSNIAPCVYKVIHSYPQIFRNPWHFIFDDFEYLFSTELLEDRPGRELFTTDDRNNKVRVRISRFAKFELTKGGIDDIDAQWSAIFIKLLLSQNARFIPPQLKQDHELSIHARFAHFGGAMFYIPKSVNGINIQKSTVRKKMVQPGCEAWTGFYLAYRQWEDGNPVMNVGMVHKFFNSLNLNLLDFYEAIINKMGKATQKVTLTRNTVMSPAGIADFTERLSGLKVKCDIVPEKDRDGLLINGIVVRHYEFVRTMNTSECADNYYLTRFNRARRQKEDVRLDVWFENQGKPLKYPKLPLCEVKSGKRTDFLPMEVLFTHGKPTPYTKRLDTIAKMEIPTLLARSPQDHYRLTMEMTSKDLEYQKDPFMNAMGIKLETQMEECGSRVLYKTGIISKDDSGEKIDMNNETGEFPLSRAYQTTEKEIIFVACNVTNIINDDTAKSFCRKLVDKCKSRGMRVSTHNQYDKNALQFLEKRQRTDTEILVFLFFVGNMDEVLYGEIKVLSDLNHGVVTQVVTEHTVARMISTDPDRELYDYKSMFHHIWLKLNVKLGGVNQIVDFTGANEPDLPRLPSHERTMFIGIDAIHPSPNSPIRSFTLAAIVASLDRNATKYADRIMVNVNCNETVQHFEEHFALLLKEYHGERGHFPDRVVILRDGVSDSEMIKAASRELQSIKGAWKRCTDVKPPPFTYIVVQKRHRTRFYRSDVMENNANPFPGTVVTEGAVSPHKFDFYMISHYTVQGTSRPAHYTVVMDESEFSTDEITEMCFRLCCLYARCSKPVSIPAPVYYAHLRCKRAAVQFQFAARPENKHKTRQEEIEDKGRNEIERRYTERVAKHAQDIEQFLNRDFTKPKMHPGMAWL >PPA00772 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1318597:1319994:1 gene:PPA00772 transcript:PPA00772 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVVFATLISSVCAQIDFPRLMQPILQPTWLLSPFTQPLPELPGLPGIGVKQECCNGQTTTEEPTTTEDPNKSTTTNGYQALGWETDDDGNVFWGNDIGKIYLYQMPAEKYWAPIPKDWPEGPLVGSLWPDSPNYKPIPPGYIIPGGIKTGIQVPFPPNTPKQVK >PPA00624 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:411913:413671:-1 gene:PPA00624 transcript:PPA00624 gene_biotype:protein_coding transcript_biotype:protein_coding MANDLVIRLDIEDALVLKDTSIDTKKFFAKGLHWKLRAKTENSDRTGNEEKLSVYLFCNWESENPDWICDWTTEFVLINSDNTKHVTNTLEYQCKFDDSHRGFFGILKWSSVIDEKEGFLVNGGLKVEARIRANKVAGMRFEKNFESHGLLNAYRQYQQYRTILSMCSPVFYSLFFGGFNETSKEEVEIKEVDYDQFLDFLNVLHPTTFTEILKRTVPYILALADRFQVDTVLEKAESYLIASKEFETAEKLAFADKFRLNILLAHALSEHTTKQFKSFSSDTKAAICERLMELANKKASAES >PPA00565 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:8272:15905:-1 gene:PPA00565 transcript:PPA00565 gene_biotype:protein_coding transcript_biotype:protein_coding MWLFCYLYAIANGAQWIYDTDDDNKPFGKGLEQFEYATDRTRGLRFATLEWPNGTIKDLVYFSRESLFNPYRHFGRPDMWPRGFPLEHIKKHDHHDGAYRLCRVQRPSAVQQGIVQKDPDVDAIFRLLHAEPTTGLDESFNEFAPPVILAPGTYAPWNSQNTLFARSAFFGLFLPTTVAFRVTDIWRSYFTQALLHAAGETVSFVPVNAIQKRNAHSYLKDFDDEIDVYDKAGEIVEFIVEWECQAETMDKCTIELANHFAEKGFWGNEDAQLVVHWVHDLQKIGYTFPAVRRGARSEYAIGEDKDLTRNCRRAHVSFTNDLPVNNSMPAEKRAATKIDNYGDLKDWCDKSNSSTSKEWYFPAPDQLAEATLENKTLTDNYRTVAIITNNWQWGIGMGMLQRMYDANFAMVIFCGHYPKQGKDAEKKEYPEGMAGGDLTYPNLKRPINYIDLSNEEVRHGYWVYYCLSKVEEMKIQNVKGYVMFSDDAIFNFWNPLNLDIFQGTKRGPGWGPWWPNKEVGWNAMNRTIQLFDGKYKNDPEVQHFVKELNREIGRETRIDIATKKIVTDPNEYLMVGDGWVIADWMYVPTANISFVGMFAQLAHEGGLFLELFASKMMHILPSEGAHDVDKTRVLFLWGGERSRWDTYYNATLQGLHPIKLTNFQMLNTGNYYYCDEIAFL >PPA00843 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1684093:1687652:-1 gene:PPA00843 transcript:PPA00843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ung-1 description:Uracil-DNA glycosylase [Source:UniProtKB/TrEMBL;Acc:H3DTW2] MFLKALKRKQSSTVASAISIDAKMATAEKRPKKEEEPENEKPEKSSGESVREAESVAVEPPVENLIDLYSLVRDAEWKTALEAEFKKPYIANIEKELEKERKAGKTVYPPRDEIFAALNITPLSKVRVVLIGQDPYHNVDQAHGLCFSVKRGVKPPPSLKNIYKELATDMEGFKAPDHGFLESWARQGILMLNASLTVRAHEANSHSKFGWYTLTDKIISIVSKETEGVVFLLWGGFAHKKESLVDTKKHTIVKTAHPSPLSARHFMGCKCFSQVNAHLKGYGKEPINWGDL >PPA00623 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:410912:411763:1 gene:PPA00623 transcript:PPA00623 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFLLFFLLISTCLAGPLNHTKREVSAGDPFDLWIKGVQRMDRQSSIEIIKSCDVNLRTFEDDPKFGDSCYLWTKKALCCI >PPA00562 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:463:1585:1 gene:PPA00562 transcript:PPA00562 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSHPQLDFLVKGILDLHTFRWEEVKIPEHYITGRLDTMLIDKPPTELSPFVKPKRLRESSVSSNGSRMSKLRDSTSSPNSQMASPLTQSMNIPKIEEEIIPLEKKETCC >PPA00827 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1583901:1586583:-1 gene:PPA00827 transcript:PPA00827 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQEKKAIKQIEDELRGVLDSLTRKKDVGKARELLLLSSRLAEYHASILTRDVQETYRNHAEASLFRAAYYQPITVLKSCSNSSSVESKECRKMLTRLIKDGIDYYGRVIKSYAEKLGVVLTDRLPWPEAICPSSLEDAIDREAVVRRDETGGLSKYAIGSLSRHLVCLGDLHRYRSTVAGDDDYSAAYKIQTNT >PPA00817 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1543963:1545507:1 gene:PPA00817 transcript:PPA00817 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHAAKWIAGHKHFSWLAASSKDLAENRIWDDGKHTVAFPTNSRIARQRSSMERSCTSFKSSYSNRDGHTKIEKLSEVKCDGQCQTVVKEHQKLNREWTITAGCKKYDITESCETVEDSKDKFFVCNCDEKLCKGRPTDDPESHLYISDFLQFKFDPLPFPGFFREDPKHNEPRN >PPA00953 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:2395776:2396365:-1 gene:PPA00953 transcript:PPA00953 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVPRFDVVFDAFGAVEEYSNKRNDVRETNEETEGDGEETEEKKEDKKTADKDLRGAKKRDSVEFLDVVPAKKRKDEDGKENTRTNPGKSDFHPRM >PPA00704 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:921448:925169:-1 gene:PPA00704 transcript:PPA00704 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDHRMEDESLNEVDDEENEGLTEKRDREDEWADYRNALPDDSVGTLECHQKDVFAVATGGSRWMATGGEDDRALLFDLEKSESEPVLEIAHTDSVTYVAFNKTETLLATGDMSGKIYITELSTLQRRIELDDSSDLEWMFWHNTSDILFAGDKEGLVWMWLIGNSGVAQSKIYSAGSGARCTCGVLLPDGKRLLAGYEDGNLRLWALKE >PPA00964 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2452327:2453651:1 gene:PPA00964 transcript:PPA00964 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSFVYRSLEEIIAKNRKAVNLPCDFSRSSWVCGRNDVVVRKTNGEASNEVLIVSSSTITNPAVKNTDIGNFFNAKPTMKTADLQVATPFSPAPIKLATNNVTNNNLASIFNVNISRKSAGLQNNSSASGPSTGSYQGRKQVVIKKMSDPKMAVTDISITVKKVVELQKRSPIPQNIRPVKRSNGGQHSLDRFVHKKPKNDIPWKPQEVPLTRQEMEAMRLSQQHYSSKLFAYMFNILYSLSE >PPA00799 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1466785:1485105:-1 gene:PPA00799 transcript:PPA00799 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRTRMSRHQTWLKPSSFSLSVLSNGIDLSGLFGSPSPSAATATFTNGINGQHRPPKRAAAAAAGVAAAKTEPPRKRKTHGRTAASGAGGGSRDATVSIPASAMRGGPLLPTGKRQWKCLIKNCTVHYDNLGGLGWHISAKHWAEGEFVACCLNCEKRLSCGRACSHAKRPQCTTANFAYWFDDVLDDKQKQKLHTLESNILGDCPYDFCDTKIESLQNLYDHGRVTHRNHGRLVFVCSGCEVSMCKPSQLLKHAVRECGGARVIARILAKDTADETNDNKVRKQQETNEKKLKMGRTKRGEREVKQQNACGSFNITGATEEDITIYNRLQARHKKEDEKDLNTVPKWLERDFTAEEWLKLDPLERLYCRQEVKEEGSCSDAIAHQLLAKEGIEKGSTLIIGNLTFPSIPTLLVLDKDSGALRTVDVLEDDGLAGDDDDADGPTRGLPDWVIPKNRLLRSFFQFRAELDLKTEERLLRDAFMIFDDDPMMSTDPDKPTQGKPEILKLRPLKMTTVQWDNWKKEKPIPIIPAINPAHDLVKGKKRVEGKRYGMCWEHKIKRSEIAKLRDRGVSEEGPLYYWAGGSKSSLLGRNYFIDDDDAFHRRRNLAEIAVASLTSAGVPLTPKVIEKKIEELDERLPDVLDEELKAIRGVEDEEDEPMEEGDEPSTCRSEDAPKGMSTDNEEQELPILDDDLFKQEEEIVGRTKNGRENIVLVKRGEGYTIRFDKRAIRRQPVKKKQLKTPPLIIDDTALDEDEGAPNAEELMELHPSELIIYRDSRGEPRLTPRCCLADIVDGQWQPCKRPGRNDFMSGDLAKRAYEMDLNIAWRYEAANQRICQLHSRLLRTAGYGGDVPEEMLGWMGRRECSALEKQLVGTELGGPMHEIEKEETKWLDWSQPVPTQVLVRQMRDINKHAYRYVNGLRDQKSRVRAKRVRRVPKGMKKQERMFAFAKMILRRINVSNLTEADLNSLSGKERRSLAELIRINKLRIRREKEKMERSEEKNDQREGIFQSDDPITPISGDSHEDSARVFEKLIGPLIDSMIARPENEGVTETREIKKITEQPMDWEEAVRIAEKIAEGDDDVQIEKVAMKYPSLDRSRVLGRLYRQRSLVRQKCGNFLNIASYNQIMNHLRPMVEEMKSTPEKKAKAIEWWMGKAIPGLKRAVMLEQTRKEHAILPEEDRVTISFGMRYKGTTIKPHTFVIFGIRKDHQTEQRTNLIDPYLFFANLKSDRRKKKKLYRLMPMLPEEVMKWNEAKMDFHREQRLMKEEWETGNNKKIDIPLVDLTGKKPVVTKIRDEPVKQITVPFTYKFKRKYFVLRRKAIPPRGRELKEAVDKKEEEDAKEGDKKMTKKKKERKREADDMNEEESSEEGDRIKMMNTIKKKKEKKKKKKKIKAPTRFRIERTAITETHTRRYKIKMVVPQSEEEEEKQKEKEVAVRDGVQPAEEMTEDVIGGDIDEDVDVEGDGPSERSAETMEVDEGIEDDDGEPEVMYGEGKHSNIKIVAYPEKRVVKAPKIREGTAVFEVVDEESDQEEEGSREGTDRESSLEDIFEEGSHGVSDRESSAEVSERSSADGSGESEVSAEEESEEGGSEVSDEECEDGSVEGSDEDVEMYDPDDVDEIEVKEDELEEASECKYYEESPEVIDEDVDVAEFSEAQEAGSPEIIEEGFEVTEEVQRRVPVTRSSVFKIMRSKKAKVERNVLTTSKTGKAKKWEWVESTKDTRNILYKRPGIIVVRSTKGLETLLKVKRMCLDQIDRLPNFNDLLNRVVIESVRGICMVPFEEDEEPTKEEIREALKNPMEQKRREIWMKEIDKIHVRFASLRSL >PPA00712 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:974399:974940:1 gene:PPA00712 transcript:PPA00712 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSLTVEQRKLLAVFSELPFEAAALIDGEEKNPNEFSILALATGGPLSPPLSSIPILENPRPSAWATRRVSPTWIIKRRTQDGF >PPA00613 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:315728:320183:1 gene:PPA00613 transcript:PPA00613 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVEREYDGDFHLLPPKVQRFVAEKAELMRPRGIYICDGTEHESEEIVDKLVERGMLSPLKAYHNNYICRTDPKDVARVESKTWMVTNDKYDTVCRTAEGVEPLMGHWMSPNDLSTELDSRFPGCMAGRIMYVIPFSMGPVGGPLSKIGVQLTDSNYVVLSMRIMTRVTHEVFDVLGNSDFTRCIHSVGLPRPVKQRVINHWPCNPDRVLIAHRPPEREIWSFGSGYGGNSLLGKKCFALRIASNIAKDEGWMAEHMLIMGVTRPCGQEHFIAAAFPSACGKTNLAMLEPTLPGWKVRCVGDDIAWMKFSKEDGRLYAINPEAGFFGVAPGTSKKTNPMAVATFQANSIFTNVAETADGEYYWEGLEDEVKDKNVEITTWLGEKWHIGDEGVAAHPNSRFAAPARQCPIIHPKWEDPQGVPIDAIIFGGRRPAGVPLVFETNSWLHGIYTGACLKSETTAAAEHKGKSVMHDPMAMRPFMGYNFGKYLQHWIDLEQPGRIVSNFYC >PPA00643 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:491946:497931:-1 gene:PPA00643 transcript:PPA00643 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCILEYERGSQAISFSPPSSLASGRRDGEQMRRWISLLLLCLALTVDDETASEISEKIERCPANAACAIFLLGACSIDGAWPEERSNRGYFWDVVTHCRDDDSIDLFSAGLHIQPLTLRRWNVTMTTFLFDTNPKGYLRIDFADAKIEIANDTRMTFSWSKGTKSSMIPMSTEKFTSSKQVEYVRYSAEIEAHGEKLINLIHGREITATIGPYDIGVSFTMKDRRFEERLDELSICDSEINQVCCGACDQMTRANATVVCPSKMWYNYADEPNRRWKTVARIECRRGSWVAEWSGGTLKADATLEFQCAAGEPVRPATTTTTPPSPSTSIPPSSPAPTSFLGSSDRSSLIIGCSMGGAALLLLVGIAITLFFLVRACKRGRAVKGTEKGRRKVGNGEKSHSNVTQTEISVSVPYLIVDGSNEFS >PPA00906 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2095525:2100761:1 gene:PPA00906 transcript:PPA00906 gene_biotype:protein_coding transcript_biotype:protein_coding MNETGESPVDFYDFSGLRHLSQKGIEILEFYTTFNVIPVELQQFFKRTIDYYSKRKQRDGEVDLYAELPYYLRSWDDLEEDEPQPTSASQSANENDESRSEDNDFPGYDPAASACAGASTPLLDPFDNDSPASPMVHAPSSPFMMGSNGYDDPSPSHRGEDEQPQEQYITNEEDVDHQENPTGPVALIGDGTFYCPFVIVDDPSPPETAAPPAEVADSKEVIGQARSSSNQSPTITSSVLEAVDESHQRSHAVEPESIPSGSIDPVTPAPSSALFQGIQEFLHEEANLTLILPVAPPSPTDLQIDESESTAGDDGDEGTTKRDEGRDEIVPVATEPIPPAPSFIKEPTDDQSEEEDKEAPVDLKEKEDDPPTSNTNETTEAVGAEDIVDVVVEAQKEDAKEVLKDNEEPPKKKRRFSWNESSDAMEAAAVDSSAAGTSSTAPSALSTASPRVYENQVNSRPSSDPPTPPPIPHSSSSTSSVDKPKPNAAVETTKNPQDVASSSTKTTAPAAPPRVDEKQENANPSLPSPPSIPTSSAAKPYAAVETNQKSQTVQPVQQPHQRQPQRQIPVFTPTRHPSNFNGAQPPPSFQPYVVSAGAKKMNDPFSQPPPNMTGDGHPFNNMQCPPLQQLGGPFHQQSMRPYQPPGPGPWNSQWVPLAAWSPPNGPPQFTSNLQWASLGPFGPLAAPPMMAGPPGNAFAPRQFEEFCAFCDSIQPHASEDCGRFGYYASREARARDMNLCPHCLGKHNPRCCVAAQRPCPNCGKHNSHVAFCLYR >PPA00710 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:955039:963073:1 gene:PPA00710 transcript:PPA00710 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWKRVDLLVNGRTPLAVYTSLRSGLRFAIGDIPGPMVKTCVSLVTEAHTDDGLPHTLEHLVFMGSEKYPFKGFLDVIANRCFASGTNAWTDQDHTAYTMETVGADGFYKSFPVYLNHILRPTLTKSQYLTEVHHINEKAEDAGVVYCEMQDHESEMDSILDRRMRRLLYPEGHGYSCDTGGMLDAIRNLCSIDRVRAYHKKFYHIGNVFSIICGKVDPARVQKILEDLEEAELERVPADFKTPFSTPVPPLASSIVETVECPSDDPSHGGVHVAWHGPPSRDCLTAEALDILFNYLTDTAVSPFHKTFIDIPEPLASNASFHLSERTTSCIMLAFGGVPAERIEAVLPVLEKLLKQHQEPSAFDAERMGFVCQQAVLNAHAALETRPSKSVFQSLITHQLYGEASSDDLEHRMNDAKVIEQLAKEPMQFWADLVTRFFSAPSVSLMGKPSEKLVETLAEKENARVAAQREKLGCDGLERCKADHCKAVGENTSAEPPKALLDSLMVNEFDGFDNFKIEVGVFHSESSLSAAAPVRAILDAFPFPATLHHSADTRFVEADLGYDVESIRVRLRLSQSLFV >PPA00887 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:2013600:2014015:1 gene:PPA00887 transcript:PPA00887 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDMNSLSFDDFEVIQSAVEVPFHSLTPNLNGLNGSLVIPPMLVSTTTLTDEHSVGQPSIGGGEEQRRHNNCECLWHITPLT >PPA00936 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:2269274:2272601:1 gene:PPA00936 transcript:PPA00936 gene_biotype:protein_coding transcript_biotype:protein_coding MDVISILGPSGLQRSMNQDEFDARFSYISPTEKSQQKDEKAKWRNCLSRCMKEDWIDHKSIHDYLPALQWALKYGMKSDLPRDLAGSILLACLFLPQVTVTAVTVTVSAVTAGVLAVDNAYAGIYALVFPQLIYPLFGSSRHSSIGALSFVSLLVQSSIANSGTNAAGLALVSALVHFLLVILPLDPLIALIPDTLLSGFAAGLSLRLLGFFFSHAFTLNECTERILEVQLSSLPSCLLSSTGWLLLLASIVLLLLSIAHFLTLIRFTNSIPVTPPFQLAVMVASIALSYTLDLPGHGISVLGKGGLIRTRNDNEWNRNTQ >PPA00666 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:717719:719097:1 gene:PPA00666 transcript:PPA00666 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAIAGIVSFAVGVVYIIQNPEHALKANELAVILIGYGVLQILLATTYFVTCVQTSIAVTKGVEDTFQIVVGVIIAGIYVMFAFVSLTVGLFGFYKTLALCSSVDYFNPASPTYVPKANRILHIVDILGGYVLGFSRIL >PPA00749 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1236548:1236936:1 gene:PPA00749 transcript:PPA00749 gene_biotype:protein_coding transcript_biotype:protein_coding MNILIFLSLLALSSAAKEGCCGAEVFKSYSSTRGLFNPPIEKCPSKTKLTCDSTDSEVIYENGDKLSGSKIPELTCNKKNQKWTSYGFYVKSIRCV >PPA00971 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2482161:2485656:1 gene:PPA00971 transcript:PPA00971 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLQVCDVKRPEMGGNSGVVYLRNNKTKGPWNWVEIKPETPSMAATLIAELEAVSWQVLNTHIIPHRPYETPVAAGRVATGGASTDAASAAPAAAAPAAVVAAQSPAAPAAATVTTRAATTENTTHRKDVIRLSNFAPAPPLIHGFDGHHIRMACNTLLPGPTAHWTDQNLDKTSADHGDPTEMNKKIYRIGKSPLTAESKKNGYTEYDLAEMTINPIITVHRITLIMEFSIDMTFRTVCHILLLLHVIHDLLLGNEICPSTHSSSLLLPWFKHILLSFDSTLVVVLLSIHRFHQLFPSSSHGRLPILFFLLFLILEFLILHLFSIVLSIILHLIPPHCGPSLSSLGASIGAKSNGYGTRSLR >PPA00832 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1621176:1621709:-1 gene:PPA00832 transcript:PPA00832 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAVAHMQGGQPARSVKIESTEVKVEKSCEEEKTERWTVRVKIGAKAAARLKRILNSQPISLQRLGMSGVRLNSKGEMMSVKDKVDQVAKAEASRCALPSPRMISPPPPAQAPKRKKKRNDPDTESSV >PPA00729 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1128724:1135920:-1 gene:PPA00729 transcript:PPA00729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ubxn-4 MRWFEGDVVAAIAETKRLGALFVVYIQGGKEAESQHMSSLWDAVDAEKDLPWASVAVKLIEGSTDAKNFAQIYPIPCFPATYFIDGNGKPTNVVLFNKIESMDAAKFREALPRLVFPLPGKEATSGLTRKDGSAPPPPPVVAAAPAAVAAAPVAAPSTSSAEEIAEKIRRAKELLEKKKAADEEKKRKEELAKETQRREEAKEMHRFAEERRNKELLEAAAARRKDKLESAKDRERVKEQIKADKAEREARFRSQQSQQQSPAEEEKRGIAPPQAVASDRCRIQVRFPDGSVALHDFPSVDALASLRQIVAADARVRGSPFRLAQPAPRRVYTEDEMDKTFLDLALTPASTLLVLTDSAPSPSVRLSSAASAAVQPAYNPMTTLISFVTYIIWTPIQFVLSLVGMGGRGGGGRVREGGEQKKRDDPPAPSAAASHAAGDSAASAAGAAARRRHQDGSNIHTLGAANDDSEQSAEDDQDANWNGNSTQFL >PPA00608 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:248223:259160:1 gene:PPA00608 transcript:PPA00608 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAFQSLVKHHAIRATRTAGSAPRAAAGRDGPVNRYVMRANVNECLFTGVCPREQPDCMNTPGAYLCVCLAGEGTCGVGERPEVFAVKRVDISPHFAFHRPSTLAPSTTRAFFPHRLIGDEEGDQRSDLDDPQHPQIVIEQRVFPEEFSSASFNPRNVSVPASNEAFRSSELRELQHREQHSEGTRRAPLQTGTISIGGKQCAKCSPHATCLSTGCVCKPGWKGDGTLCLDVDECTEGGVGVSPCDAPSVCANTRGSYACLCPIGWEDRNGTCVDIDECKTKAHTCKKSEQCANTPGSYKCECDEGYKRNGTACEDVDECATFKEPCGKHALCTNTVGGYECECERGYSRLTMDSFCEAGSALADLRYLRRKPGTFQCACGEGYLGNGFECHETILYPIANDSLILRRANNALATISLPSPIRLCGELSSNGLISFDRGLSLLTMPPDMLNETAILGLHAEFDYSKQGLVAYTYVNQSDNASMALLTRSSLSIAEQFRISSFRTAHLHIFTFEDMLQKGTDRRNSFQIVIATSAAPSTETYVSMLYERATAAAGLAGLSCPVSYNELPADQLTSASNTARPGHWMLSAARNPPSVCPAGTTGPPLCRQDCPLGRWGVDCGGHCACAGGLPCDFPTGVCASGACEKGWSGVACDQDIDECSSTPSICGPHSICVNTQGSFECACEHGFEQQGGICTGKHRDSAKDQCLARFGRACHAQATCQQTSSQYISNDSLCICLAPMVGDGFECRLLEERKEEVEVADLTDEASLPTRITDSPTGMSTGILSTAPNSGSTTNSGSGSVNAGSGFANDGSVEESTEMGRGGIDGEERADEERTTTKAIKIFGAGG >PPA00963 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2441750:2447151:1 gene:PPA00963 transcript:PPA00963 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTRRICSLRNPTRPDRPIGFGWPESDPTLHSQDRVPESGFVDHAVCVCDTDRCEVEMRALNRSAEMKYLDAATMIVGPTFEFIVKMIVQVLTPDRGETIQDSIQFYRSQFVSCSGIFYAFGGAPQAMKLDIAERRAMSIMFHLPQILVHILYALEVKHIDAVRAGRSDNLWKSSGKDKNRWDYGWTYCSTIILGSAFSMAIWYFNWNTHQKAYTSLGIADVGSLGMLGWTAIAYCICAIYSFFKSMDIYLDGNRKKLETMRRDNKYETSKDPKDQEIEDKVWRSLIPCMIGAPLQCLYSISMILILAFDDKILKYVNLVLLVANFIANIHQSIRCDQLFLAWQLKLRMMTFRPLKEEFNKYSYLTTSEHFAKRGKALYKKNQQERAELEEAQRKEEIDKIKEKIKKFQELGIPRKFHPHLPMKGPAPLNNYEYDPCEKDYIPKREREWKFCEWTKEYLGARVKLTITVADAIKYVKNGLEDCGFLRGDDCPKKQAETMFKEWSDMMELQDGMDYAESTMVGCRESVLREHPAKFYNDMRGLSVACRVKEAGIDMKKQRLLEPVNQIFTFSCPEKEEAGLGLWADPFGFLWEKKVIKDLPVITREQENTVWNAIMNDYRKHNRLRDMIYDDDTLYSTLTKPENKENEQPWEAAVRKEMKVQSAFPEGPKATHSINPRKHAVNFAELKQNRPNFVGPRQPQFYIPCGPRVRRFWLENYYAPRRELIFRMMCEQAGVRRHNWITRNFLRGRQ >PPA00572 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:48811:49470:-1 gene:PPA00572 transcript:PPA00572 gene_biotype:protein_coding transcript_biotype:protein_coding MYNDKLTLCQKLAIGDEHGLIALQGHCLALLDKPRKVKAIAGEDEFGGLSDKLKVAALSKML >PPA00945 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2368695:2372508:-1 gene:PPA00945 transcript:PPA00945 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tyr-1 MLSDNERQRFHNALNILKNNGEYDRLSIMHRQVGTSSGAHSGPGFLPWHREFMKRMELAIRSVDPGLSLPYWDSVLDSYLPDPRDSIMFSAAFMGDTDASGQVVFGAFSNFRSLEGRPTIVRRLAGEGRLFSEANINAVYSRNAVQDVLAYTAPQGGCPYPTNFQALEYTHSSVHLWIGGDMKPPTTSANDPIFFLHHCFVDLIWENWRLMRQSRAQRETAYPPDVGTCSNSQHFSYAAMRPWDLLNREGIANYYTDNLFTYAARPTCSGGNPNCGSPYLFCDTRGNAHCVAKVKIGGRCNGFEGFDVCWGGVCTGGFCRAGAFTGARSNFGTTQAQTTFAQTTRPPTTPAQTRFTNTQTIRPQTTAQRTSFTTPRPTFPTTWASTTISRGADANCFNDDPCCEPWARIGECRTNAAYMSRYCRRSCHYCSNPFDNQNGCIDRHSSCGVWRQQGYCTRRRQWMSENCQRSCGWCNQTKQQLCQASLIVYGATM >PPA00619 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:371383:372876:1 gene:PPA00619 transcript:PPA00619 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGEALAKQWNASFVEISARDLEKKQLISNQPVVQEVPAEPVKEEGVFRKILLSCFPSGSVHQDRNQPAAKKSTDKVPNEVNAIFEQAILAIEFKKIAQSTVRLVAKGNMTPGGKPTTGPGSRESRCSMM >PPA00593 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:133660:135823:-1 gene:PPA00593 transcript:PPA00593 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSIFWLLLPLATAQIPFNILNMLNIPPSITGPLFKTYFEITKMETACFKDLKQAYTDGLLAGLTAMRCRSLECLRDEKITNATYAMKMLASIGRPHSLAMDELGVTYAGDPRLCRTIKASFPEASLSYLPGGRIPDIVDHSTNGIEGCTKMGVTNGHDLGRILHEATNGTATLCDISCEGPRVEEKSVFFYFFNTMLIALLCIALLASALDYWATNASREAELKGNNSELCKIRML >PPA00950 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2383849:2386279:1 gene:PPA00950 transcript:PPA00950 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFLKSTSSTIDTKTRIELVTSRVKRNLFTSARTAPTVKSRLSKQEREKKEIEMRAEKIVLEKYADLSSARTVMNKNAVDLLAAKRKPKTKFKKLKKLALILGQHVMKKKAMEMISIVNVEKQKFIRAEEKRAQRAEMEIFSANIAGSLRHPERMQERGTQNKEMAVNNIIGPSLLRKDVADGYQDIKKLRRGLVSRTTNTAIQDIATLFTIMHPLLTEEVSDIEQRISWIAIKLRGNSEDFYERFLLAEIDKLQKEKTKLIKKSVVEQIAEKYPDLGVARAVKSATEERNLFWHNDDNKFKRVESLRVLVGLQTMRKKASDMVKSAHKERKRLEKEAGLEDEKSSNELKGKSKKDGCEKRNIGNIRVARKLLE >PPA00675 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:759304:764818:1 gene:PPA00675 transcript:PPA00675 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVEAGEWLGSRPQQLILLTGIDAANRPGHSALLNALMNRSADRAPLNMRVISGELVLPAKEARARERGVLRREWPLKYTQKVPALIVLFMALEWDDASWHEKKSEAESKVESIRAASARHGSRLALVLLQERSLPHPDEMATERAAELCSQCQLSPKQLFVLPTSGDMQGYVSKLESALHELAQGFYQQKIKTIRARSIPNNSVPLVVRQLFKLAFLSELKQDTHTALRDHQDAWEAIDLFEWRSVVGLLNYKFNEQDFMNFKVDNDN >PPA00688 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:820940:822484:-1 gene:PPA00688 transcript:PPA00688 gene_biotype:protein_coding transcript_biotype:protein_coding MKWPMELKFIQYSYFDHETRRALSGIKLMEEIRENVEWKVDKLDIEVKHDGLLLSYDGVRRIIPHSEAAKEIEAIALNKIFNIAGIQNNRFVLNAIQFIRAKDCQMQGLNSIDQDALLAAAKNKEVFTVKNCEIPSSFYMGMYRFIKEALTQKCDFKLARIECYDDTALEDFKIDLGVCPDPEEDGFCNFADKALHLKAKMNAFGAMLIQDGRMRVEIMHNTSYDPGYVQFEKKTLEEIEMEWAPFKNSDEEEEDEEEVVESDEDYDFEGDSDWD >PPA00683 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:809560:810395:1 gene:PPA00683 transcript:PPA00683 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVIPMAVVTGFWALVGILGPFVVRRGPNQGIIRTMIVMTAVCCWAFWILVYLHQLNPLIGPQIPDID >PPA00600 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:191171:192291:-1 gene:PPA00600 transcript:PPA00600 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVRFEVFGIQLRKVTQLLLVVNIETASSTCSGVLPQLGYNIPASGTQLGSKQFLQFNVGYAEIRIISESEMEFKTDLTGLGMTIGHEPLLDLHALCQTDERVYKSRRGSCRFVVTNGN >PPA00880 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1920684:1922452:-1 gene:PPA00880 transcript:PPA00880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rbf-1 MDKNGFSDPYVKLHLIPGNIKATKLTSKTIEKTLNPEWNETLVYHGVTAEDKERKSLRVTVLDRDRIGSDFLGETRVALKKLADNQTKKFNLYLEHAIPVVNDKQDESERGKLLVALSYNVQQGSLYVTIKRGSEMVGMDSSGFSDPYCKVNLIPPTSKGHRQRTSVKKRTLNPEFNETLTFIVPYKDLKKKTLQVSVFDHDVAKSDDFIGCFLLSASAKGERGKHWIECIENPGQTIEYWHRLELEK >PPA00628 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:426873:428304:-1 gene:PPA00628 transcript:PPA00628 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPGLLYTSKTMDKDEYNNPKHIELNDTRFLEKLDENTFCNFTEIVEKCYDNCDKLKDVNKTVYCSSQMWYHNPSRPVTVLSLRDWKKVEAIECRMGSWWLGDSNTMVDHSLEVQCSKDEPAKLTATSKQILGFGICGPLLLLMIGLIVFFFVARYRRRMRDENTKASTKQSSESTKKDNKSEYFFKKSDKHAKSTDREGKNTDRHTKSSTRAVGRSPESPVTPSPKGAAAAAATRRLKLLQEPKGAENAAGPPLPEGFVSESLA >PPA00842 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1682912:1683847:1 gene:PPA00842 transcript:PPA00842 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKLVALVDRQDLNDECISLLNEEWPRSYTTRAHSQQKSCRSSPPMSLLLLEEGVLIGHARMCLLPDRRDACWVESVIVRKAHRGRGLGKILMNMVESKAKELKFSKIYLSTHDQVPFYRSCGYETCAPILHSTTATSVFPILAKLAPAPVAKPTVSQPSNGTVVSPSTVPPPPPPPPAPKATVSKGDTDECIEYMCKTIA >PPA00686 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:815567:817508:-1 gene:PPA00686 transcript:PPA00686 gene_biotype:protein_coding transcript_biotype:protein_coding MLMPLILILIAATITQTEVLTVEHLRPFVKEGVEVTVADVVESSMKLTSSKKMKSLAKRSGSSWPDVPNQLVYANFGLAYVDYYADFQTQIFTFFEFSVSRSNFRPTKLDHEPGVMVRNGPKKS >PPA00795 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1441420:1442532:-1 gene:PPA00795 transcript:PPA00795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:H3DTR4] MIQCSRSSRAGLKFPVGRVHSKLKKSNYTKRVGAGASVYLAAVLEYLAAEMLELAGNAAKDLERKRIAPRHILLAVRNDEELDKLMPKVSCPTFATCTSTMIQRTRKSVRNA >PPA00635 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:447551:449267:1 gene:PPA00635 transcript:PPA00635 gene_biotype:protein_coding transcript_biotype:protein_coding MEYNVHFDDSNNVATVETYDPNDKYDNLPSIRLLSSLTSLSLFTSDRLEKGFGCGSVLVLLLCDSSVEANHWKTYHFKLDPCPQDTNFTSIRLFSSFTPLSFFTSGRHGERCGYGSVWRRFTLFCCARMELRGTWEKRINCLRIVQQTVCLIFGTAKCYTDSKEILKDVTCYAVL >PPA00750 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1238077:1238566:1 gene:PPA00750 transcript:PPA00750 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLPLLSLLVLVGFTAAASNETCCKSDIAKESERNLFDPPLESCNSTTTFVCTGATMIVINEKTGIAASTGGKAIAELYCIGEVN >PPA00575 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:53112:54067:1 gene:PPA00575 transcript:PPA00575 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHTSAFRLFGRFVIAIAVILFVIFMFDWTKDSNVEIIRYIYSSSQEENMQFTCYDNKKASKGKSFPRNLTAFIDYWKISDIHVYKLKPINSSGVVFITYASSNHFHESRKAVSSLRSAYRNKIVFYDLGLTAKEATEMANVCNLEMWKFDYSKYPSFVTQLFQYHFKAIIMAEAFSQFESYWIMDASIRFNNHSSLHDFYSKGCHVSLHSSSSVTGQSDEREHAE >PPA00708 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:948995:950171:-1 gene:PPA00708 transcript:PPA00708 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQSHTYSTQDEITALATQLQEEKGAKESKEREMMAQLNEVQTNLGIANSQISTSEQVAVKSDAQARQITELQQTVAELEQQRFQCTAELPMS >PPA00905 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:2091325:2093362:1 gene:PPA00905 transcript:PPA00905 gene_biotype:protein_coding transcript_biotype:protein_coding MFYDHMQMATLTHQSISQLRKISHDRKNFNDPLRTFFKRVLYIHEHVKPEEKPSVDKRSLSPTTIESSGHYLKEGINEPINRDQCLKLQERFDEYAPTSPQFSPTYCDVYEPTSPIYDDSPPPTKKMMVEQSSAAHQSSSTSMPVHIPPMSAAMQHQRRSFDEDLTRKLHTTASGIQMSASSAAMHQQMRQRDQRVLEEQMQQHNHRQAAPYSIARDYRAPTPTPSVTSSGSSERSRAQRMLLTCPFCFLPHRPEKCAYCLDEHDGQDCPMYEGDRWCTNCGQHHFALCPVKNP >PPA00646 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:510450:512294:-1 gene:PPA00646 transcript:PPA00646 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCYMALLECGAFNFLYKYAATCNKALFLRFDDQLFVGALSSVAANPLFFAVVPAIAPVFFIQAKVTLPDAWSWFNAYGLLFFPLLNPIVFVICTKEFRY >PPA00727 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1119795:1121268:1 gene:PPA00727 transcript:PPA00727 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVNEEKVLVALPPLISSSSTHVARSQSHSRLGTPIPGPVEGVNRANKDLVQFLYRQDCAQRENVLKQVEKTTQLKREQVTYIGFGLLALLLCCHGNIASLLCNFIAFGYPAYLSVKAIRTVEKDDDMKWLKYWTVFGVFSVLDTFAEAILRFFPIYYLFKAVFLVYLYLPQTQGSEYLYLKYVDPLCSKIDAWIASRNAPAQLKLERAPPSNWFGIGNRHFGL >PPA00713 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:975238:985493:1 gene:PPA00713 transcript:PPA00713 gene_biotype:protein_coding transcript_biotype:protein_coding MCCYVCCLFTRDLLEHSLHTGFSSSFDRALVLWLKVDAARYGNVAKWSEIFLKGIKFDAEKIKNVATRLAGDARENKRDGDYVCQTAMNGITYRHDSNAYLMNELILQKVHEALAKEAKSNPKAVVARFEELRTALLSSGFNAHVLCDANAVAAGKQPSSKEMWAFAAREKTTPRFEFVPGEETSPSFTGKELIVPLGSCESSFMIQTTCREWAADALKDRWEYEHAVPGGLELGGAAGGDAPHAVPQPVRGFAWFRPTSNRRTAGPLWKSVRGNGLAYGIWLSANVDAQELSMTIYRSANIPEAYAKVEQIVREELERGRLDETQFEAAKRSLICDLHTKVSTVSDAGSRSVLAVNQKKNPEYLRRFSQTVWDSNPEKVLSLGSAPILSLFSKYSRAVCSHNRQATAIKKTFPSIQTIKIDTYTMNELRNSSSNRQISEQL >PPA00900 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2066597:2071302:1 gene:PPA00900 transcript:PPA00900 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVHGGGFAMGGSNVYGDRSICETLCQKDVIVVSIQYRLGYLGFWTTGDDACPSNLGLWDQTAALQWIQSNIGAFGGNKDNVTVMGQSAGGVSVDLLALSPHSSGLFHKVIPMGGNATARWAFAPSMQKVCERRAKKMKIQEWTDNKHLMEQLRALPASAFEISMFGAELIDGADLECTPVIDGDFLPVPVDELRKIAAPKPMMTGVAKLEALMFLLMAKKTPGKVRKAATKAVPEHVPNREEEIENLIREYIDLDTAKGKKAVHRALHEVHSDFATNAATLKMIKDTLATHPDNPVYSYVFAYLNPKSYGPLRWYLSVVEATHGMELPYLFGKSLMLKFDFNESDREMCDIFSSAFTNFAKYGNPNGPVSSSSSLPIEWERATIEHTERHYMFDKEFRNEDSYFNGRPSKLLQLRESTLEAPKI >PPA00871 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1872028:1875887:-1 gene:PPA00871 transcript:PPA00871 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRLSKTFAAIFEHVPEDERKSALRYAIVLLPEENREALQTLLFFFQEVAGHAATNSMSADNISVCLTPSLFALSASRLNSVTPSRRHKTIGGTGMPTEAEMAETRAAQKCLSYMISNVAELFIVVDQIYGEKHSEYEEDYPLVKGLHKGKGGARSYLQHRIQEITAERNERWPNWVVDGMHDGIEMSSKKSVDGHQLKHFRVWTDIAAPPRDLLHLIKSKRVLWDPSYLNQRLMENMGLDMELFQTHGENAPSLHTCFNWFTRFKQGDYNLEHQPHPGRPSSRVRGRVLRELKANPKSSVRDIEKTIHIPKTTVARILHDAGKTPKLPQVIPHDLTTAQLKKRVDVCQGLLHRRSNFNWVSHIVAMDEKWITYDNPERKLQWVDVDEKPQQAPKAEHHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVRVPPKLLSEGKILMLMDNARPHHAKITQKKMDELEMEWLPHPPYSPDLSPCDYHCFRSLSNFCRGKKFKNRDALVKEFEAWINSKPQAFWKRGIETLPDRWRQVYVWNDSPGHPTRDVHVVRLSQSDLLLDSRTSAGCALVERSVLCSETQLMGGVSAAVLEMKWLIEPHGQGRSRVTLVSRVDLRGRHADWYSAQYGGIMARSLARLRDFHRPSIHEDGPETKI >PPA00901 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2073790:2074021:1 gene:PPA00901 transcript:PPA00901 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVMDNVDVIAICAAAVSITALILYLKCKRPRQISVEDPEIRPNHIGHEFKWSLYA >PPA00566 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:18248:19258:-1 gene:PPA00566 transcript:PPA00566 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGCFDPVPQSGSHPGTSTTHLADRSHITEGRLVWHDVAIDDGATESGQFHGTDVGWPYTLSKVLL >PPA00913 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:2121555:2121804:-1 gene:PPA00913 transcript:PPA00913 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYKGKEGQSIKYGIWLRQMREGLRALSKFKLPQTTWGNRAHGWARFVLMKVVLEAGRGCLTIEEV >PPA00955 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:2399391:2399866:-1 gene:PPA00955 transcript:PPA00955 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAAARHEEAEEMHENEKKIERLRREIQELESPLPEHDQITTLTGEIEELKEERRKIERQIEEVHTSFLFKIRGSTIRVEERTRHARSRSEKTSRPSRD >PPA00588 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:108507:110580:1 gene:PPA00588 transcript:PPA00588 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIENSRSKFFNAVRQLVGEGPTQDHEQLPTFSEAIRHAFSKMIEHREEAIMTSLETNPTILGGIHSIKIELYFTSYPLTLTQSNAVVYVTGGAASKLSKIRVVRKNIARSDRDQSDGQRAVLCVALSPSTRLPSRCVLLNLTTTFAVIIQ >PPA00966 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2455897:2458507:-1 gene:PPA00966 transcript:PPA00966 gene_biotype:protein_coding transcript_biotype:protein_coding MVECGSFESEGPSATWKINSLDKKVKLDFKQFYDSTIRTYDAKKELFYVHIKLPGDKEDELKRELGKWSSEELWETDEDYIALYKTEQKLTRVCITKTPEANSDTFELFEIDSGRVHPKAHRNHLYKIPRESNLRKFEPQVVPVTYKDFSDGDFLSKTECMEHAQVYVNNNQQELIPALKSHREFKKYSVNRDGELTCCFGKNGVCRTSSPTPAKVTADESVIDHTLLACIIAGSLICVIIIVTLAATYLYRRFGHVISERHRRHHHGHRRHRQESQQNSDRDSPPSSQKREPLPVPMEDSAPPPPPSIPLPPPNESVISRMPQHNIVVSGSKEGTNPNYTQVNRNINPLVMENSHSPNRAMTPLKTQEMSTQTCTMTRSSEPMHDDDTSSNKAEPSRRVIVPQDSLIFDFSSLQQSNVCRFVVVGSERCDPSSAFAPIVSPFHPTVGLPSVGRFEQWFRDAVSSIDGLPR >PPA00813 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1536921:1537516:-1 gene:PPA00813 transcript:PPA00813 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKNRDPMNLASLTVTTSATPSCPTRDLWTRCSWMRNLARRLPSVRPEEAAEEACEEACVTVEVVVDLAAVDVEDS >PPA00766 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1302930:1304016:-1 gene:PPA00766 transcript:PPA00766 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDMCKYFSIHFTKCVRKRSDFAPVTIVDRCFTDMMMMGARMGSGQGIIAELDTEGFDLRNATDGRVLAILALASSAQLNFRGNLNIGSGVRIGGRAGTQNFYNAFIEFNQGVFGSLGNDGSNIRFTCNRTTCIYNHLFPKTGNGLVVTFCE >PPA00807 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1514601:1517868:1 gene:PPA00807 transcript:PPA00807 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGDLEEEMDQQETEEVKEEQVEYSLEEKFRACVDIIQNLPKSGPVKSTYPEMLSMYSLFKQATEGPCNIVQPAFWNVVDRYKWDAWNRLGELSKEEAMEKYVKGALEKIDYCAEQWDWDEMLSTHAKDYDKIEPVLKRNFRIIERDYLESVDKKGAADASSTTTCPTGASSANPEERRSTEQLTVEIPNDAPLVGDLTTPADPLSDAEYCDARDHDSLSSSRSSSLGSLNDTHHRHSPPGRVRSLKAYCARMDVELRAINAALNALSAATDARHQSIIKLIKNSLSGLAANGVLSS >PPA00918 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:2182194:2187144:-1 gene:PPA00918 transcript:PPA00918 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLNGIGGGPPQMAFLPGCMPAPPPPSMSMQQQFYGSPPPMGPPFAPIYAMPPTMGMHMTGQCSSSVVSFLLAAASVRAPVDLPVSGSDDDGRRRSSSAPASHSHGQGQEHGLFVNRFPAGHVGVPVDAVVVEKRRRRGKKGQGEVLFITETRKMNNDCGLAETSILWVVESLKPRALSAELTDSPTTGRPSKKTTESKKTKEGLTWFTMPPKDEKEKRKGGRRATEGDTNRPLQPKQHRLSTCGSQENDVSALPSLMDAPVQQMQQLQLQQGGAAGAAAAAATRANLPSLLPPPHAQYYTSSSEWTDEIFLSNHWGV >PPA00941 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2339228:2341217:-1 gene:PPA00941 transcript:PPA00941 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTTISSGLSLLFLLVLPLSALAFYCGGKAQASAEKGSAVNTSDKSAKSKTVSKSTIGSSGNAAVPDGSGGREAVAKAGEDYRPQWVTALLETQTPMAISKEFMAQLKDYSATGRTCISFEANTPRNRYADIPCFDQDRIILKGPTDYINANYMKAPDGVTYIATQGPLTETRSEFWQMVVQEEAVIILQLCKNIEGDTEKCSEYWPNGEKDTIALDKLTVRRLEKSEEIAPGTIRTKLRIEGKTTNRSVQHIFCDSWPDKLAPSDPATIIKIWNYVKANRGSGPIVVHCSAGVGRTATFIGLSYGVEMLKKQGATVIDVVKELRKCRQKAVQTHIQYVFLHAALLEMFLQMNLSPRSPQATAFMDAFRRQVERSMEKKEKTEKSIK >PPA00694 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:860918:861868:-1 gene:PPA00694 transcript:PPA00694 gene_biotype:protein_coding transcript_biotype:protein_coding MHDTNGCMGNPHDSAIHCDCSADNCNFPFSDENAAKFEGEYIVKSKIKSDTTACKEFELVYNWKEDERKIVSFVKNASDVLCTGANCHTWIEEKGQWETTISGGCGKLSGPAPKCEKIGITHVECVCAGVNCNVISDAVTAQSYHDEWSDHFPPGPYPRSGKE >PPA00948 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:2380864:2381647:1 gene:PPA00948 transcript:PPA00948 gene_biotype:protein_coding transcript_biotype:protein_coding MISRDGQSVGTLSRRSVKFNVPQINLCRILRLSRNVRKVDIEGICDSITAQDLNLIRKQIVQDT >PPA00636 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:450164:451904:1 gene:PPA00636 transcript:PPA00636 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCLLSKLIELFGPEVTCHLKQDKYVLNDIAFPEGLSALTKCDTSEIKEVCYDTCDKIFPNFNSTNSSSAVDPDNVPDCKSHLWVHTPSEVGFFRKWKKVEKLDCYMGWWRVKWTEWDADATKGTKNILSSDEVQCSITKPSKMTIIAWVTFSIAGVVGGLILAAGVIALVCFLNKRKKRHGHGHTEKNLTLRDSDSKSRAQTPGGKSTEREKY >PPA00659 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:566386:573758:-1 gene:PPA00659 transcript:PPA00659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dars-1 MSESATTEMADLAVDGEKKMSKKELNKLAKAAKKNEAKAAAQATKGEAAGDNAGQDDGEDVSVGMYGSYGLIQSADEKKDMEYTELRAINASLDGKEIWVRARIHATRSKGKTAFLVLRHRVHTAQLMLFVGEKLSKQMLKFVAGISKESIVDVRGLVKKVEGEGIASCTQKDAELHAVEVFVVSAAEPRLPLQIEDASRGEHDASGLSCVNLDTRLDNRVLDLRTPTAQAIFAIQAGVCRYFRNCLAARGFTEIHTPKIISAASEGGANVFQVTYFKGSAYLAQSPQLYKQMAIAGDFDRVYTIGSVFRAEDSNTHRHMTEFMGLDLEMAFKHHYHEVMLTIGETLINIFKNLQEEFDQEILTVAQQYPAEPFVFCEPALVLKFPEAIAMLRADGVEIGDEEDMSTPVEKQLGRLVKAKYNTDFYILDKFPLAVRPFYTMPDPKDGRYSNSYDMFMRGEEILSGAQRIHDAAFLTERAKHHGIALEQIQSYIDSFKYGCPPHAGGGIGLERVTMLFLGLHNIRLASLFPRDPKRITP >PPA00957 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2405945:2410752:-1 gene:PPA00957 transcript:PPA00957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tir-1 MTPPLSPLSLARRASHASEPPEDGLEDPRHNPPGPSRESPEPESMALISTKDAILASPAYYRSVKMAQFKRSRTRRFFHPYKEIGAIQALKEVASSPDEVAAKFASEALTVIGEEVPYKLAQQVPNWTINDVQYWVKKIGFEEYAPAFSKHMVDGDLLLHLSEKELEDDLCIKSGILRKRFVRELESLKIAADYSGVDDSQLDSFLMSLSPELSVYTYNMLTAGINRSILPSLTDDVMMSACGIANPIHRLKLKQAFQDAKHIDDIEIALLRQQIDVFISYRRATGNQLASLIKVLLQLRGYKVFIDVDKLYAGKFDASLLKNIQAAKHFILVLTPNSLDRLLNDVNCDDWIHKELRCAFEHNKNVIPIFDQHFEFPDRENDIPQDIRNITKYNGVRWVHDYQEACMDKVERFIKGELGRVPSINQTSTPSSTRKVPTRWGSAVRQTSTSSGKFSVNRGQLDTPPTPNCQ >PPA00804 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1503283:1503670:-1 gene:PPA00804 transcript:PPA00804 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVFLVTFSTYFWLPYLGESQFVALIAPTLFVVQNITHPTIAFIFNTAIRQEAMRRLGCKGSPKRGNVNPVRTPSIGDTDRF >PPA00938 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2278633:2289851:1 gene:PPA00938 transcript:PPA00938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-clu-1 MPADTVDAKKEEVVEVGEAASAASVESSPISVGKGTPKENRDSGHESSATSTPDAERAMTPELKDGEVPEEAVYILHIELPSGDKFDVQVNNTEMLQEIHQVLLEREGTCHRTCYSLQLEGKSLDHFTEIRNVPGLKSGSTLAVVEEPYTLREARIHIRHVRDLSRMSEQGDAASAVDGMSLSYLPIVGLGEKKQEKGEKEKTFEGLPPENVLPGTKERSLSGVIPSAAIAANKSPYALKNLGLTPYNPPIPPRKMKGDVLYLFADTLEKRRVHITCCTGGFYVNGSDENTFKPTPASGQKTVVYHSLVDLIAAVSPLFKKNFTALIKKRVERPILDRLPTPYPQFAWLAPASEPIEDALRADDATQPHRVGIEEHLPGQIRDWNEELQTTGEMARTTLNERINRDRSVFKIHSDFISASVKGAQAVVEGNVMAINPADDPKTHMYIWNNIFFSLGFDVKDHYKEFGGDAAAFAATAADLQGVRAYSSVCDESKLATLGMAIIDYRGFRVTAQSIIPGILEREQEQSVVYGSIDTGKTVVSNDKYHELLDQAAKELKMLPHEVWNGKEGDEKAKVKLYTSFETKGIIGNDSRYYVLDLLRTFPPDVHYLPEFNAVSDFARENGFPHEFPHKLVAFRHELVNAFIDASYMKFVRVATYHIQMAAKEKKEKQIANGAEVQLSQEDATELNAEAMKKAAESVHSLNPNEFDIRFNPDCFSTTVKHAEEENLEAQRQLVIDCGEFLLKHQIPAFVEDLVECTVAPIDGEGLIEQMHTRGINVRYLGQIAKLAPKHIAWLRSLIGSELVVRATKHVFRGLFQSVKAEKTAAAAAHLLSCLLAAEGGVDSVAEPVKKKSGKKGGIRARASTASEWEMTSQKSLWKAICDDAAFYYNYELQVSSADALLEEWGVQKSALLRRMCRAFGIQLLAKDYNLFVAGRPIFVEDDVQNVFPVTKHREPEANDAKRLFTRGSQEMANGRLKEAYEYIAESVNLMTSVYGAMHGELAQALRSLARLSYILGDPQDALAQQHRAAIMAERCYGLDHSETITEYVNLAHMAFSNLLVPTALKLLYRARHLLLIAAGESHPFMSTIDGNIGVILFAVQEFDLACKFIQSAEKIAAAAGETKRLKSALLNHVMARAHACRGDFRTALACEKETYTIYSSLFGVEHEKTKESNETLRQLTQQAVQFQRRMQDASKGTTNLAQLLPINAQQPSLSTIIDVLNVVNGIIVFSIREPSNGEKEEGVEAVERTDKVAAIEEVKEEIKLEEETLD >PPA00826 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1579126:1582271:-1 gene:PPA00826 transcript:PPA00826 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRSTRRARLTPLAVLSNIRQERVLAELFYLVRAASATHPYESARERMTQRLAAARKKGEKYEQLLDKEHGSLMGGEDALRSTERPREYWIDVVEEGRPGAAVVADKEEESPFADTEITQSVMY >PPA00831 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1617940:1620802:-1 gene:PPA00831 transcript:PPA00831 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPPSHPQHQSPQNQQQISQAPALVNLLNTSQITPPSIRSLSQSPFTPGGSGVSPTGVSPPFPSARLQNGMFQQANPPNQMHQPPQQPNVQQNNAMQNEQNMQQQHQQQVYLLQQVQQQRQIIHDQQLNHLHQSQHGHQPVHQNPTIHDYMENHRQQQQLQQQKRLHQQNLQLQIMLLEKDQIQPPVQQQPYHPPQRQDSMKQPHQQNYTMQHRAQLNQRNHMNHQPMQHQQPNMQLQQPQVQQMQLQSAHQNHQPHNFSYHLQHQQQLIDPLRQEHIRQRRNEMQQRLNQGLPSAGVAGAPGSRPPLRPMFPVHQKRMISSRAKAHPKQPREPHPPRNEASRRNTKEKEQAEQVQRILQGIHEDMRKQQQQQQQQQIRTPPSNSLDENDEDGRSSSPEIVSVLNTTSAPSPIQQFQHHKLPQVQRPQMQSQRQQHQQQLLMRPPPPTPAPTPYEHGERAPNGTSTTQRQQFEYFIKQPHQSPLHPQPLPQRNTPDTAAQNTGASEVWDYFADLDEIMPMGYSATPRDEFVGEGPFRSAPKLLNENHDVPKQKLQPRVLPKEQKQQRQRNWLDNNGNKRVHDHSTNRVPDSTPSDVAARARMLVQQGGRVSYDAAYQHKMPTQRPNDRTAQQPIMLEQQENGGIYGAPTQHKMTSPTRNEVRDQQLLRSMQSISRREQMRSPPPPVQL >PPA00671 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:736907:739913:-1 gene:PPA00671 transcript:PPA00671 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAQNPYVKIYLFQGKKLLQKKKTSRKYKTLNPYYNESFQFKIEPQLMDKVHLVISVWDYDKMSKNDFIGEVVMASPELDHPAVSHACRFHG >PPA00576 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:54293:54613:1 gene:PPA00576 transcript:PPA00576 gene_biotype:protein_coding transcript_biotype:protein_coding MYDYLPINATRAIDVTMRAATVMFITRSDLGREAVKWNVLCALTGDCFAPPGSELTCKFDGPRRVYAHCNSRIVND >PPA00641 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:480287:480956:-1 gene:PPA00641 transcript:PPA00641 gene_biotype:protein_coding transcript_biotype:protein_coding MFMASEGLPIDGSILPEYTAQTDFEGLKTEILEETTATAKLGDEPVQDAGTPLGTARPSLVPSPVPTAGTPSVIAVATAEFSPAV >PPA00654 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:557879:558754:-1 gene:PPA00654 transcript:PPA00654 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTVASTISLRHKSTKSNRRKEKQSQVDLQRIEKETFKVKGNSTLEDSDCSLNVAFNNGSFNEDSANCSMVNENKDDTFHGENDVLNVAFIEDAPSESSIPTEILSPLSTVEGADIPDLHCTPAHMPSIFDDAECRPRPKRQLTRHMRRARYRYKPDLSTIREVAETSASALDLSSLVRSFYTGFERERYEMRVSIGLHSRRIK >PPA00773 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1320299:1320830:1 gene:PPA00773 transcript:PPA00773 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLILGLLMETVSSSSSDLHGDEEEQHQRLHSSIIPTILCAKCITIMQQEWDGHRRESNDDEQMEHISLSTVMIIVSIALIAFFFWADMGDRKSYERQH >PPA00690 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:836551:841139:-1 gene:PPA00690 transcript:PPA00690 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDWPSQLFREHVIHRLEPELQRNSRNGPNLPVPGDARQVEEYVFQKCASKDEYMRTIAKVINAINCNSKSAAVPPALPPPANPQLGSAPPPPDPQPTQTAARAAAPAAASAAAVEEDAAGSDRTPQQQRRPRQVLQPPAAQQQPQQQPMHRAPPPQMHNPYAAPQQHQYGQSQPQHSYGSPGMGGPGGGMAGLPGGGLPPLSAAEQIVYDNKLRALSPYIEHLRAKAQQVRAEGSLEGAGKLETMIEVLEGRRRVRLDYLTNLEAWIIKKKEYLLAQSPSTPHGSAGSIMSGLSSDGGGGAISEALSATMMIDHGQGPPPPTGMGGYGPQSGYGMQPPPQQQPQQQHHGMGGGGGWHPQIAGPGAGAGPQSHHLMQPPQQQYGGGGPMHPHVPYRASHALEMARPYPSAHARPTPGHHHAMVGGGGHISGHPGSPFDQGGPSGLSGLSEVATRECRAAADRFDVARMAEERTAPGHVLLRCTMRSRQVPPLRLLVPLSYPQQGAVKVDREEIDLAAFMFDDLQSAVHSRLAMPGLRTITDYLDTWESIVRQWFLAHPLTQGGGPPPVPSYGPPHAAGGPQSSSCGAPHDGPSGPAYGGPPNSFYGGPPGPSYGPPHGVAGAPGPGYGPPHGGGGPHDSAYGGASDTPNQSYDQPPTEDGGGYEDDPFAQYDF >PPA00739 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1200742:1205892:-1 gene:PPA00739 transcript:PPA00739 gene_biotype:protein_coding transcript_biotype:protein_coding MIKMSIHARFYHFRGAMFYIPKLVNGINVQKSTLRKKIVQPGTEAWTGLYLAKMQLEDGDPVMNVGEVNKFFDSLNLNLLDFYEAIINKMGKAPEKVTLTKNSVMKPAGVADFTERLSGLKDNSGEMVDMNNETGEFPLSRAYQTTDKELIFVACNVTNAINDDTAKAFCRKLVDKCKSRGMRVSTHNQYDKVNKSGVQKYIEKGLQFLENRKRRDTEILVFLFFVGNKDELLYGEIKMLSDLNHGVVTQVITEHTVARMISTDPDKDLYDYKSMFHHIWLKLNVKLGGVNQIVDFTGANEPDLPQLPSHERTMFIGIDAIHPSPNSPIRSFTLAAIVASLDRNATKYADRIMVNVNCNETVQHFEDHFALLLKEYHGERGHFPDRVVILRDGVSDSEMIVAASRELQSIKSAWKRCTDVKPPPFTYIVVQKRHRTRFYRSDVMENNANPFPGTVVTQGAVSPHKFDFYMISHSTPQGTSRPAHYTVVMDESAFSTDEITEMCFRLCCLYARCSKPVSIPAPVYYAHLKCKRAAVQFQFAARPENWSKTRQEEIRDKEKTETDQTYKERVAKQALSIEKFLNRDFTKPKIYPGMAWL >PPA00894 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2047285:2054737:1 gene:PPA00894 transcript:PPA00894 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSLAAVGDVVAAMVEKRDATLLEDAPGCWQVKLLAGEKLPKGEVVLIEMNKLQKKNSEGYVTLALSDENRIRRFLILGATGGTFYAKEQKLTMENVQALKEIIIRGGASTILETLREVSIAGKSQKQEAILLALGLCSRFGVSSLSSAPSDLAAHPFYVYLREMHKEATGLVNTICRTATHLFSYVAYCEMVAADIIITHDEEVEKRHEEPGHQARKRKTREMLEKKEKESAPVRKSTGWGRVMRKCIQNWYLSKTPEELAVAVTKYRARNGWSHKDLLRLSHPIPRNDHQRIVFEHIFYYATKGECQPRKRLFPADTCWAETVRMKYTEAQLVEEDISEGLLYLERVSSLSPYTSEEEMIWSIRHFRLTWEQVPSKHLNSLEVWKAIVERMPMLAMIRNLAKMQNVGLFKGEKKEGDKIAHVVAHLANIEAIKKARIHPIQILLAKTVYDVGTGDRGSLTWTPKPELSAALEKAFYLAFSLMPKTEKRFCIALDCSSSMCSKLSGSVLTGRIASAAMAMNILKTADSAECITFCNKTETLPFTAESTLAEITDHMKTIKKGDTSGALPMTWATRSGKKFDVFIIFTDNDLNGEGPSTARALANYRRQSGIEEAKLIVVSMSANGAAIASGDDRGMMDIAGFDPAIPQIVHDFVLGNI >PPA00786 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1392083:1393086:1 gene:PPA00786 transcript:PPA00786 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLLVPIVVVVALAAVAHAKPKMSERDREFLETHRMALSLYADGPLECYKSASIQNKSSSVMEVHSLTIEDIDIENNRVLLSEYPQYPACVLGKMLATGKLFASFVYMPAAAVADCGHTCIEKELLPDSYVANCCCIRNGCNDRILPPSDLEDSEEE >PPA00645 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:506658:509564:-1 gene:PPA00645 transcript:PPA00645 gene_biotype:protein_coding transcript_biotype:protein_coding MRILPGFENLNYVSITVDFLSIMLNIGLIQRLRTRHTSLDRKTVKFTIAFGVLSIIFAFFHALIQPFEVIFDGVGLSFPASFLHDSSVVGYIQGYGMSPCYMALLECGAFHFLYKYAATCNKALYNRFDDRVFVGSLSSIAALNLLLYTFFGAFFRPSPAFREKVAVALNDRFHTDFRDPNICFSGIDSEEQAESSEALGSVIAMVNFLTLTSNPLFFAVVPAIAPIFFIQAHVTLPEGFSWFIAYGLLFFPLLNPIVFVICTKEFRNWILSQVCGARIVSKPPLTSVTIHRTEF >PPA00858 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1795018:1795430:1 gene:PPA00858 transcript:PPA00858 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWSWHGSGRCLPLRTFQLSAQISVAVCVPLAARPVATSIKKTFQQQLINCK >PPA00678 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:779533:782683:1 gene:PPA00678 transcript:PPA00678 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKRPPPSQSAVGMAVLFLDEGGQLQADLAAEVAGEMKEGETVSRCFMGKIREGDVGGRLHAQALGHDDGHDRTAADRGSRVCCRSVSSRRRPSP >PPA00682 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:802210:806064:1 gene:PPA00682 transcript:PPA00682 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPAKRKCMAPIIDMNDYDEGTLKYTLSDVSKIATGTDKYFPIQYAGLFPWSVVIDRSDRGEGEGDWLGAFICCENQKASNIWLCDCTITFMIVNQDPTKTIRKELSKGRYSHEDNEELLTGIPKLEQWRVISDPAKGFIKDDAVTVEVLIKVKSVLANGFRDKISYDFFTPSEKADVVLSVEGKDFYVSKQEFESLLRIVYRIDIATTNLTVEPILRLADMYDMKSVIDEAERTLLTTDWTALERKLLLANQYRLRNLLDQCITELSSCAKIHAIMKSQDYLRYSADLKVNLLERFTDLCMCKVP >PPA00758 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1275147:1276348:-1 gene:PPA00758 transcript:PPA00758 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRLHTAKTINSFGANGATFGKAIIAIHRFFVMKNRDFYEQGSAEIRSIMVYQSRMFIIVTFTTFIATYLDMSDLVKTMILVYPIINGLATYAAPVCLVWNRVNYICHCYIGRAF >PPA00914 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:2123369:2125840:-1 gene:PPA00914 transcript:PPA00914 gene_biotype:protein_coding transcript_biotype:protein_coding MFMETKIKLEKGHYSSILDIVCANLRKAGEYAVNESQQQMLRNFADYFETGGYLEYAQAIKLWSNEDNPKIQTSFVFKENTRNGSAMAAGDPIDRPFLRDVDQWMEQLYDCKQLSEQQVKMLIP >PPA00648 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:519450:522983:-1 gene:PPA00648 transcript:PPA00648 gene_biotype:protein_coding transcript_biotype:protein_coding MILPGFLALNIVSITADTVAVVLNIGLIYLMQSRPTQLDPKTVKLAAIFSVLSIIFGISHALTQPIFFAFKGLGVSFCGSFLHGSWISADIISPCYMAMLMCGAVNFLYKYAVTCSPSLRHRFGSPVFIISLCSLGTVWITIYTVSGKIYGTPNESFREKVAITLNDYFHTDFRQVPFSGVESETYAGDQSFGIILVTLNYFSIIFSCLGTMIVCGWRIHRMISRNKMSERVRRLHKQALRLLILQTINPIVFAVFPAIIPVMYLQSGKDFPESATWTNAYVLFAFPLLNPIIFVICTKEYR >PPA00665 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:711180:711667:1 gene:PPA00665 transcript:PPA00665 gene_biotype:protein_coding transcript_biotype:protein_coding MDADTVVFAIEVPQLADMYKISSSDPEDKKKKLKEKEKAERALSPEQIPTEPQSSLIALEMARCRGYFAAEQIMCL >PPA00836 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1627541:1632180:-1 gene:PPA00836 transcript:PPA00836 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLTLLSLLLMESAEAQFGGFFNLIGPAIGLATNKGSRRYYGGGAPQPYVPPTPAAPPSSSWFPQRPSSFGGNSFGSSSGSFGGSPSSFGGSSNSFGGGPSSFGGSGSSFGGRGGSSDLFGPTSSFGDGGPGGVRGGGGGLGGLRTATPSSFGDYDTSSFGRKREVSSFAPSSFGSNQGSFGGGTGGLRGNGGARPQDRIHRRIVPGSSSSSFSRPSPSFGGNTGSFGGTGGLRSAASAPTPSVQAVGGGGGLKSGFVNPFPNRPPPPSGGGGLGGIGSLLGGGGSVGTTAGLLSGLGGLGPLGVLQAKPHRRRGGRVRNHGRRRANRRKHNGSFSVLRGAGNGLNFGSAIVASIE >PPA00818 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1547059:1548001:-1 gene:PPA00818 transcript:PPA00818 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLVGVVSAGALVATLACLFSCSVLVGNISKLQDDINGGMSEFREVSEGAWTRILTLHLNPTGSSKAAPTFATLLGRNKRQADAQCNCGPQSQGCPAGPPGAPGQPGERGPDGKDGEPGRPGANGIALAATFDIPGGCVKCPPGPPGPRGPPGPVGPAGPLGRPGYKGPAGNPGAPGDRGEGGEAGPAGPDGRPGNDGHPGRDGVTYTPGPEGRPGNDGRPGPLGAPGKQGEPGPDGQPGKPGEQGRAGRPGRPGQPGPKGEKGGNGAPGPDAEYCPCTAKNRYL >PPA00775 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1327215:1329292:1 gene:PPA00775 transcript:PPA00775 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEKIEEFSEMISIEEKKNDALRNELYEHKDDEALDMSETPILGVTSSDEIIAISQTHSINFHEKMDLMSYAGAAADEIINLMKIMKEDITKIIQSLKLAQDRNMEQKMKVAAKKIQNELECGEDHAVVQQVVTSFASQLDTSEGDLHEKIDNIWARLPKIGEKLSERQMLECAKMTLHDTADARSFVQLFAFLNEYECNGCLDFTDLNISLSIQFPKEVMARHSRKVRSRLRGINNVYRIMKVTNNEKVTSPEDAVTVFQEEIIDHPLIFRLDNDHSSYYLYTLTRCIKVEGGIQRAILALMALRFFRVIPPTHLDTGLLMWFLRRATGVDEKPIDKCGGVRSAFDAVN >PPA00875 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1881802:1883138:-1 gene:PPA00875 transcript:PPA00875 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRAVRQVYWADTSEIYEGSFPLQLDAVIADHAGILDADSIHALSKRIATLNRCAIMRMDGVVLRDRSSTIADQMHGMHSDEDGVALSGNWRYQRHSQTWSRMADVRRAPQPLQPARPPYRSLQLQRPEQPQLQQQQQQSLQPQQLQRNSLLQRSHRTSKSYYQKDGRAIGKQ >PPA00847 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1711878:1714281:1 gene:PPA00847 transcript:PPA00847 gene_biotype:protein_coding transcript_biotype:protein_coding MHSIGIISTLLGFLVAADARVTFPFSEVLQKGDLYDNDAPFNCDDGCTIYVDQSYWHIEVAQNGEPIVTFAEIFGNISSADEGVQLPAGENYTLHRNFGNSDEFVFYVVSAKAPNYGSPVSILEAGSNLWAHITDRLHTIMTSENNLVLYGFTGTFAPGFPKIYAAGFDVASDTYGADSCSPVYSSRSLNPQLSTISVTSPVVTVDFGYVGDHSEMWLKTPVSSTVYTSEGFVGCPFVGDQLYEKETASVNDEFTLDSSSLDISAVSFNLQESEAVQLRVNGEKLDFSMASSPSFTKHYDANTFGVSLSWKRGTIQSSWTVQLDFGASAATSTTLTTEKATTSVPSTTTAKPTTSYLLRVLCVFLAIFELSSQRSSALNMFELLL >PPA00577 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:56837:57831:1 gene:PPA00577 transcript:PPA00577 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIRYDKSKALCRGLPFSCELKTFIDEWKISDAYSNKIKPINPSEVVLITYASSNHFKQSSKGIISLRSAFQNKIIFYDLGLSKKEEVYSEFESFWMLDASIRFITDNSKLLEFYAKISSEKIEPLVLRLPVGHSNFAATHPGMYDKFHASDGNNDAFCSDVQHLQ >PPA00716 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1006365:1007440:1 gene:PPA00716 transcript:PPA00716 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGVEMLREQGVLDVRIDVDKQSDHEMRMTLLENTLNEQPFHRDEYSEVAEQWNLLRRQVVEMALRDMLFPELKTELEKKLLEEAKDCVVKVLVNKRLGST >PPA00933 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2259007:2260960:-1 gene:PPA00933 transcript:PPA00933 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTCSRDQYHSSTSGSAKKAMCSHDFNQEPENIATITKCEDKRILAEANLEGKSDISVSSRSVLFGPLVVYDKSECETACRCANGEPKQSCDCGPFSCLFNSSLTCTGFAFESTRRQCALLGEKTEGCDNKEKDYTFYSLPSVVVLDETPDDGTKTTDEVPVINDNPLCAPIKTDKKVKCGDDGYVCPENYLPYLVCNIYGQLSFTGGERISKKAATWVVTYSTGDWSFSESVAVNITCLQAGIQLKKQPHQLD >PPA00711 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:965109:965740:-1 gene:PPA00711 transcript:PPA00711 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSSPPCPLKCDPPFQCEYENGQPWCLSPGDFVNLHKIMSDKKATTPFPTLPSVLYKNNPTIAPSPYYEEIEQPG >PPA00889 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:2018307:2019557:1 gene:PPA00889 transcript:PPA00889 gene_biotype:protein_coding transcript_biotype:protein_coding MASMESNAQYADKDYWESRFEKETHYEWLAGFDSYREVLLKYLRPEQRTLWSFDI >PPA00884 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2000331:2004655:-1 gene:PPA00884 transcript:PPA00884 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIDLSLDAIIAKTKKTGKVRGHAKSPKSIKRVSGKIGGGIKKRAMGVPKNEHLFTKAVPTGKWKHDKFASIESLRPQAAPSSGINSFVSANKKVRLNISNLAPTVTTADLKELFSSYPFDSAVVHYSESGEHLGTGEVTMKKADAHKAIDDFRGISVDGSRIRLAIVEGGAGGSIFDRVQIVKKVGGGAIKKRSIVARPKKNLVRKKMIGASPKARDGKKTNEGRLFSLDIANVKKSSKGRGKGGNIPKKSMNEEELDAELNEGKKVLAEGVSLVGKIAVVTGANSGIGLETVKELNLRGAKVYMLCRSEQRAGEAKKKIVEAGCDPSRLIFSQCDLSKFASVRACGKRLNDAESHIDILINNAGIMFYPQFELTEDGHEMTWQSDHLGPFLLTELLLPLVKNAAEGRIVNLSSAMHLKQNGPIDLSTVDDKSSYSRFAPYNRSKMANVMHARELTRRFSDSGVKNVTANSLHPGVIATDLSRHLPFPAAWTKAIKGVFLKSEEEGAQTTLFLAMAKEVKGVSGGDCARAKENPAALDDNACKKLYEYSMKAVGLA >PPA00642 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:483439:486363:-1 gene:PPA00642 transcript:PPA00642 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNSQVCHGSCDDFASNSTAPNALPVCKSILWFNDKSGDGLTRKWKRVEGSLDCKAGEWSLNWTSWEKGSDPKGYTMIDGNSELQCSSIIPEKLTGMGKAVIGVGAGVGLLGAVALIFICGRRRRNNRKNKNGARTPDSSSKNAVWSATEREDKAGTPRGATTRSTEREGTQRAAATPESPPPPYQLKDPKPMRRWKPLATILVFLLCINGLPVNGDKTTTKTPESEDSGAGLAIGLGIGGTMLVILLLIAGYCWWKRKQLHETTSYKRSPSFPGFTSGPRTTHTELSEYIANTLRSSSEKARTPNTPNKKKKSKNPLKKMLHIKDDDTNIEGLHTEHISKENTSNRVVASAEVPGNAAAAEGAAAAAATAKPRGAFRSTPPQSPFKLQEPKELL >PPA00622 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:399854:400650:1 gene:PPA00622 transcript:PPA00622 gene_biotype:protein_coding transcript_biotype:protein_coding MQWTNKRNYDVQGPEPPTRWNVMMTLIHCHVGCHVTDQATKLQRAKLKAAKFDFGNFTLSFPDEFNVTQAWKDDTAPTTTEMHYKGHFRAFNGHWYNYYSFNVESENKV >PPA00763 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1291966:1296555:1 gene:PPA00763 transcript:PPA00763 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTGSAYSMELSYIAYDNFFLMTIMLYVAVMNPIFYSKRLKFRHCFMIRWGLWAVVITVGRPQTDSDSGRPFGGHYFLNHTDLCIAHSMACERALFSLRVSFGVITLVYVSAIVTYTIAIVNFESIAGLGDSFASPCSRLNSGSSLLLCCNLVGATTICWLIGMTIDALFNLATEAKLYEHFSLLRKLKGLVIRPKPESV >PPA00932 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2257477:2258187:1 gene:PPA00932 transcript:PPA00932 gene_biotype:protein_coding transcript_biotype:protein_coding MGKELYKTLFLKFLHHCPLRDVLLYSGDAEIVACGDEMTTWTETHAAFPTAPSWLLDGTVRMMEEKRHT >PPA00798 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1463871:1466610:-1 gene:PPA00798 transcript:PPA00798 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCLRLPPSSLSTGVHRGAGGGTGGANGYIIEVEEALHYEEQRQRAVDAAANAYSAIDADSDEERERAEEIDERIEALDSDRLAGQTSPVPLATRTNSRKLLKVRSVYDRLKDERYYWNKQEDERFRLPRPFKVHRRRRRGRFAHQLFEIAPGLKKKIKIGGKLTKIPRGGKLMRITSKDTKKLFRSLNLMKMKISDKDKKAIKRFLEENMPQRKMKTGKRKKFTNKTMKDWSDQGAGNDRHKSANRKAGEEIYSGMLRRPEYWAKARKEIRTRRRLREALKRRQEEKRKARIPVSNENDEDLADPSPIQAASLLEWSMAPPCLAEVAKDLQENGLDVRTASQNECEYL >PPA00612 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:308253:309634:-1 gene:PPA00612 transcript:PPA00612 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSQCAVFLELSNSVEYHILSAIKAFLCLAGALRLSLQWKRYGVRFLVHENTKIIFRFFLALNIIVGIIFGWIHLFEMIRLRFDCFLLDFRYILLTRGAGVSLICASQNTLGTTIYGISALSDQFRLFEVKNGQNQIGFKRIVKMSGGSNFISLLLLCVDFYINFLRKRKINPSLGVAYQMIENRRIVLDLIPIELVQTMLNLFTGMALLIHRKVVTNPTPVGQQLIHESVTLGFEPTATYRSRD >PPA00732 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1164606:1170794:1 gene:PPA00732 transcript:PPA00732 gene_biotype:protein_coding transcript_biotype:protein_coding MISSQPSTNPTPDSATGLGGPRPPPIPAPMTPGGPVAPIAPMAPLQRPAAPPPLPPRTPGAAAAATAATPVQPMLPSPAAAPAAAAVPPPLPPRTPAAAAAAPAASAESLGPSLGPSRGSVAGTPGTPGTPTPPPPKTRKAAASQPVTITMEQVEAPGLLEYGMHVLGRSGVAVPTDGEQKRRGRSFSCYNACVSPLHVVAGWAVAALSADQKTKDFIESMLEKKALDLTVRGRGEKSTLHPSINLLLNELGIPSFVRLYIEPQFAIPQVAAIVDEINNAYGGDAETPIIKPLCFSCDDSGDATARKINHDVLTITGKSEATVIDSNANLNRLAQACLVTVFSSHFTWALREPSSQTALAHFYSSYDRKKKYLSQVRCITDSGQYRCSTGGQYDVVELAGQAPGVTCCLIRPRNQSISEWVATATGALIDNIIAELPKPKPMSAAAPTRGKYSLWRLFDREKCKLTRIFYKNNEDSLCTLPLWDHYHQTVFELSNKLPAAPSTPGSSLPGSAPGTNPGTNPEEQPKTDIESLDAFDNKPIDGRAGGGADRFAKLIYHKLEDCTRGVWADEPRPVPPKDEGETSNMSGKLCFDTPFLFVVRKHNAKKTPIPMCVGLFTNADTDSRKDAPVD >PPA00839 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1653004:1666691:-1 gene:PPA00839 transcript:PPA00839 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIATVSSEEELTALLVSLSESEKETLAEQLIDKCLAANCTGFVAATTSPFLESSGREQAAFGLNKPAAGARCVGAIVVLRRIFPEGDEGKATSQKGLDGSPFSILHSCAHDGRSTLTPALFHPQFGESLSVSLGNPTSIAHLDHQEEDPGRILIVSCDLRSRKAPFKKTTSLLLHFRHLLLWQLLLIEPAADIKRRIENVISLISSLSSISTKERVSVHLEMYHTWMRYYEHEKASEALSSALSASGLQLELTGRMGKRTRFQQKELAQLVLDLTSSSAYGVLSPEEEDCDEESDVPLIVANQDDTLLQKVTLTDEDPTGPPPPLTSLHLALLLAAAEHERQNEHNPELRLEKCDAYLEQILIRRRCWSVQASALSLRAQLESSSKRRVERACQQMELLVHLQMGVEPSLSDPIRLVQRSQLCLAAGLAPVWKMRENHAKILTSLGCVPEALLIFESLEEWDRVVECFKRLGQLEKAEGLLRRLLEERGEDAEILCSLGDITNRREYYDRAIKSSSDRCAHAHRSLGMLFLNDRKYGEAYDHFKRSLELQPIQLGAWFNAGHCAWKQDRFAEAVSAYHRCTSLEPEHFEAWNNLAAAYIRMGQKERAARILFEALKYNYEHANVWENYFLLCVDTQNQRGALLALDRLADLKKKIEDDEAIEALCVQITLIEDDIIRKDMRETAAKTLGHLAACQQLSGRQWRAYARLRQPTSSGEGDAEKYTTLMEKATAAFSGVQNWHRESAPSLRVIDSALALARHRLECGEKAGTESALNQARVRVRMSLRQIVTMLEKTADEGLELEEDVQKGLTEAKELLATVGERKPDSDRTNQQLERVGDIAMQKDCQFDDPHSSSLLLHFRHLPLCGLKLYDSDRMSNSITVERRRPIARSNTPNHHMHMDEKRTSSTSATGGLNQDDQRSTDQLCQPTSSGEGDAEKYTTLMEKATAALSGVQNQFNFGNWRAQSGRSTINGSTMPTSSGEGDAEKYTTLMEKATAALSGVQNWYRESAPSLRVLDSALSLARHRLECGEKAGTESALNQARVRVRMSLRQIVTMLEKTADEGLELEEDVQKGLTEAKELLATVLLRF >PPA00705 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:934558:940813:1 gene:PPA00705 transcript:PPA00705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-epc-1 MEREEAEYDMDSEDEEYLRDKPHISSRDLEKIMADLEAQSSESAICQPATARKVLMPNFDDNVIDDVYDYWLSKRKDAATTKTLGFGGLIPRIRTECRKENEKLNPYVAFRRRAEKMQTRKNRKNDEDSYEKVLRLGHDLRRAVHLFEMVKRREKSKLAMIDLDSEILEARANLGDYGSVVYNQILAKLQPEEERVPPTAPSAAAASAATVDPALQLLRGEENGVKRKKMRKRVSMGALGATFDRDLPNKAWLKKNAEVWNQSPAAFISGMGNFSPAVEQVDQSVAAANTANADGRYAFKRRKFCHYRPPAPLKDKNGDLPSLDSFPPERRFHYVSMPSTSREAEPMVVDDDTPSVVAAEGAEPATAAAATADASPSKKLMRRRIGRGGRVVFDLFPLPARRSLHAASSPPKKNAYVAGRRKDIVIHDPFELDCVAMESEETSKWEARRRPAMIDDEDSSDGLPPLSSRYLHSARYRHTSISAEDALREEVAERDWMESAVSPSPSPEPPAEEEEMEATPTEVEEGEDETSSPPKRPTPIRPQPSGNDSPGGGGGECTPSEHEDTSSSTTAKGAAAATPRKNDVYDRLRDVVIDYGGGGAKPSNGAAATAAGRRRGREEEDATMDEDGASSSSSSVTTTPIEDSPTSSSEQDATETAAAAPLGGGAVLAHHNHQQLHLAGNASVLSPPDSTAPSPRVSNGGSGALLVAGSSPLLAAIPSPHSNGHSGHAGSGNGVASGYEAARVADNASLTERDADAWRMRTKLVSMDVVASRLNRVNKDPDASSRESGCSPTEKSLPHSPSEDGEGVVEEEEEDGEPVDGVVVQRVQPMQQQQPTPDCWRQPSNSPPSESNSSSDWTPPVSTRPSGDAVVRLAAYSTLTSEEESGARTGDHPSLPAKPTRKPNGATTQQMGGGMPRAAFALAAAATTP >PPA00862 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1805403:1805904:-1 gene:PPA00862 transcript:PPA00862 gene_biotype:protein_coding transcript_biotype:protein_coding MMNEKNDYFRFPLALCPSEKSLTSTFGTWEAHGRLRPIASALKGRGRPRKTVSSNAPNSKTRKRIIAVDDIVVGRTEAEAGDDLAKNSWDTITNVTNDKEGYDDEDEEEETILVNRQDDEEIMMCMIQEGFNDVFYH >PPA00630 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:431214:434090:1 gene:PPA00630 transcript:PPA00630 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVRIRLEIPTDSPTVSIPKLITILGGPGMLYTSKVLEKDEYFNPKELELSDKRFLEKLEENTFCNFSEIIEKCYDNCDQVPQPKQTSHRIISSRLEESRGNRVSNGKLVLIVFIFIARYRRRMRDENVETIHLVPAKDSTKRSSESTKKDNKSEYFFKKSDKHAKSTDREGKNTDRHTKSSTRAVGRSPDSPGTPSPKGAGAAAIRRPKLLQEPKGAENAARPPLPEGFVSESLA >PPA00723 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1082006:1084651:1 gene:PPA00723 transcript:PPA00723 gene_biotype:protein_coding transcript_biotype:protein_coding MLLARRSRALGAVVARAFAAASSEAVVDAPPANALERFLVDKIRVSGPITVAEYMKTAVASSSVGYYGKHSDEKKVFGAEGDFITAPELTQLFGEMIGIWCYHELGFTGHKGAWNLVECGPGTGQLSADLLRVFDKFGEKDVSLHLVEASDSLIDEQEYTLCGQKTSNCSTPSEGQKWIRANRTTTGVPVYWYANIDDLPDGVHA >PPA00809 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1525285:1526234:-1 gene:PPA00809 transcript:PPA00809 gene_biotype:protein_coding transcript_biotype:protein_coding MIELNYLSISAAVILVAFICANLPTSLTIGHIKPTMAHLKKARLVKIDKERSVDRTKTISADEFLSQPSLVLAVRRPGCILCRREAEHLSKLKGLLEKAGIRLVVVTHQLKGVDAFRPYFDGDIYLDTQK >PPA00748 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1235331:1236339:1 gene:PPA00748 transcript:PPA00748 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMIFLFLIALASAADNCCKSDITTGPSRSLFMPSLQSCPAKTTFICSAANDKAVTSITINGNTTIASSKDGANSVAEIVCRLSDLKWTLNGQVVDTISCL >PPA00611 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:292214:302528:1 gene:PPA00611 transcript:PPA00611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rsks-1 MSHHDTFFMDEDYEDARNCRYKQVLEEEEMMDEDMDDDIDEYKEVLDGTVAAPPPSSSYIPNPIDPRFEAVELTDIVVNPSVKVGPQDFVLLKVLGKGGYGKVFQVRKTSGQDAGKIFAMKVLKKATIVRNQKDTAHTRAERNILEAVKSPFICDLSYAFQTNGKLYLILEYLSGGELFMHLEREGIFLEDTAAFYLSEIVVSLEHLHEQGIIYRDLKPENILLDAKGHVKLTDFGLCKETLDAEEKTHTFCGTIEYMAPEILMRCGHDKAVDWWSLGALMFDMLTGGPPFTAESRKKTIDKILKGRLTLPAYLSPEARDLIKKLLKRHVETRLGAGADDANDIKAPPPLPCATPGVTRAGGRNRRRRGACMEELETRLGSGPDDADPIKAHPFFRHLDWDLVYAREIEPPFKPDIQSEEDASLFDTKFTKMTPVDSPCEATFSLAENNPFAGFTYVAPSVLEQFDRDYILWLAAEQHHHEQAESEEEQSHERSAPFAGLHSENFSNCGSPNPEFCGSPGNYDI >PPA00926 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2220145:2221697:-1 gene:PPA00926 transcript:PPA00926 gene_biotype:protein_coding transcript_biotype:protein_coding MDETAKSTLRAIPLCKTRAGPRDGDLWIQRLGEELTSIIEFVKKNKENDSDWFRLESNADGTKWFGKCWYFHNMIKYEFDVEFDIPITYPVTAPEIALPELDGKTAKMYRGGKICLSEHFKPLWAKNVPKFGIAHAFSLGLGPWMAVEIPDLVDKGIIQAAN >PPA00728 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1121912:1128112:-1 gene:PPA00728 transcript:PPA00728 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLTMIDCSSATTSPWTNQPTFERQARRRNCVGMNELIPWHLESSSDSATWSRTRRGSTGNGARSTSFSSIERHDVFPSLDSPLSLTLLCACGVSRGIGTVTLPSLFRNQQPVDPRLNATEITELANLNTSEVTIKIPKITRADAKSTVQPLFSLTISYRIPSYPPQDAESMVVSSEHIIIEEMDYANVPMTGAFCVGDCILKVNNDPPQSSEHFKQILTDLSPCTVVVSRRSHVIAPGELRLRKSLIAMGLPYQKGFSYQVICCKKQSWDRFLTTSFGFLAEDCTVPQVNTNKFIIGEINTNTAAEKYFSVGDGLIDFKGVAIKPQKDPNCLKQFNVTFQQGGEMEVLVERPVSKDARTLTESFIKKHLLRHDTPAMNDDAINIGINAALYHHMLWRKLASVSILVRPPPVAATPTPPKKKGKKKKKVGKTRRAKKRTTVDATAIEGTEADGEDSGKRITLEAKKSYQEISTDVSDGTELETVGYRYSSEGEDDEEKEDNDEDDTEKKKSPKSPAAPGKKAPIVVPLVSANAAAGGGGKKALLLVTGFKEE >PPA00762 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1289778:1291539:1 gene:PPA00762 transcript:PPA00762 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLLALIGTCAALCPDGYLQISRTDCYTIYFTNQTTFVAAEADCVLDGGHLASLHSYDEQHSLAGMTYGMNPLIGMKCDDEAIANCTWTDGSVVDYQNFPGGVPVLSYGGCVRLGSNDEMWYSWNCAAPTDSFLCKVPAYDDIVTIPVEMTTAEPVPTTPIDHIKGCPKQQYTADTYVFSPNWPSPYQAGVDCLYFITAKNSRKLTIQFEYVETNDMIIVYDGSDMQSRVIANVTGKNGAAVWQYYSTGSTLTLDFIANSTTGGKGWSAAVYNV >PPA00849 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1730445:1731222:-1 gene:PPA00849 transcript:PPA00849 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNCAETVTKTGMVLLAGEITSKAVVDYQSLVRNAVKKIGFDVQVSYVIGVAKPLSNTVISYNTSPLSELELLSIVNDNFDLRPGMLMKDLGLKNPIYEQTARNGHFGHEAFPWRSPKSSDQARVACQAQARDVNGA >PPA00627 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:425135:425817:1 gene:PPA00627 transcript:PPA00627 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKSKIWVGVAIGAGVVLLIAVILLIVWVVLKSRRKGMGHGSDSKAGTPGAKSSQRIDSTPAIAPAYTPPAPYELANPYEVPMPPVPK >PPA00954 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:2398102:2398309:1 gene:PPA00954 transcript:PPA00954 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIRLCSSRPSGLSLLADGEYIGRPDLRLYLNLRVYLNLKPKIKPKIKIKIKIKP >PPA00850 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1748386:1756766:1 gene:PPA00850 transcript:PPA00850 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLNSSTFQLQNIVDGPSNIAIDDSPDTGGAQDSPAGPSSARSSNGSTPPEDEINNELNPFQSNIFEMLSKNLFGGVFKMDQFAPLLLPDASSLLSTPPSSTPMAPPAPVVPGAVALANMPTAKLFDKNDWSWHRNPAASIRSGGTNKQTPVWKYFVYDKSDNLSRCIVDQCTYQLKGPHTSTLACHLKKHVTEYAEFTKLKDLQNEYSRERAVSQMPPSPASSTSGSGSTTSAATTAATAAAAAAAGGKLTEAMLLQHNNNNEQLQSQHTQQQQQHKEQQQAQQLQQIERMHQAAMAAAAANGQRPKSNGNVAHRSTPPPPTTPQQQQHHHQQQTQQPNPAAAMMSPFSYLLQNPGAAAAAAANPLFAHTLMQHGIAMGPNRELQFSKKWRKDERKQREMEMESRDSVESYGRLPYPNQSIIQHRLSLFISSARLPSSIVHDAAFRDLLEVAQPKFACPTDAAQIEQVLTAQQGRLQMAVRQAIHSVRRMSLMVDCIAVGERSYRLAVSASLPSPSGNREQLLLALRPIELDEDEQMGGGVVEGIVQQVMAEHGLSTDKITRVITNGVERDERSSIGGVKRLIAYRPRLLATFMTIIDTNERVQELKKRFCELIVTLVSQEGLMNALINTIGGPVHLPFNESFVAILEAVFRTRDQLVAVLNDANIEILSAEDWKLAELILAILSCLSNRVNPSQDSIDTVLPSLMQILGILNENEEVSSSIADEMRAEIESLCGSIASPDESVMESDFLVATALNPERILLLNEEQIGYAKRELERRVQERMARQEEAASRKRPFSCLDQLLHKVSNIQNDDLSSISSASSIYPAFAAKKEPAERNRFAEAIVQAYFDEVTQSDSFSSVSSFSNRHLPPAAFWHANTHRCPQLAEIALELLSIPASTPGIEGLFGAKASTFDPSSLLQLSDRLERDTLLRFNRALVHKGL >PPA00899 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:2064898:2065837:1 gene:PPA00899 transcript:PPA00899 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSQSSPVPDSRIVETEYGRVQGRRLIAEGDRQVDAFQGIPYAKAPVGELRFKKPQPPDRWEDVLDTKKWGPRAVQKDVFTFGLWKV >PPA00888 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:2014689:2017903:1 gene:PPA00888 transcript:PPA00888 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPGMAIDLEHHVREECELRRVIDLEHHVREEWELRREEERRARAEFDPARGKKLIAKFLETKWKITDRVSYTIEFMCLVTLPGTERYKYCTKWSNGYISSYVYFNVDLNESSKGVKSLALTYTFPNNNSIQRENSSPDEFNAFLRQFDHNCDVKHR >PPA00869 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1862417:1863397:-1 gene:PPA00869 transcript:PPA00869 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAGPLAGLSLILCCSIVVGFAFDVSWKAGVPLLFLMSGVGVGAGVCVARSEMVNDPFVNLSLLVGFSILSGVVMELTWIGGGLTFAIMASIVLFSGVASINASIYAPFGFITLNVVMVAATPVVCLLLACQSDKV >PPA00919 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2187452:2190012:-1 gene:PPA00919 transcript:PPA00919 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNESESPPEKHERPPAERKLSTSEEPRVRTRSFNAHGTLHLTREYVGDAMDKQLHTRNLVKKAIPKDNAIFRAVCEQISSVQTDNSDLRYLVEKILDPIAKQHFKDSNNTTFERDIEILKILANITSSRIIVYRSHTDDPEVYEGEEMIENREIQVCETQYRSVYEPVYSEEIHEALAISQSIVYRALYEGVFDYPKTMVDKMINYIREDNDKHAKSTINQVYSQCDHRVRTESFNSPLHSANALCTAKPPQFPFCVCKSLDPSIYRNVEYTLYKKKTENEVRAAQNSMEVKFGQGARCQARDGPRTRYAVVEKGNGRNEESIGCGEAGHSSRALR >PPA00661 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:603827:611300:1 gene:PPA00661 transcript:PPA00661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sax-2 MTRSESSRDRDLYTHLQSPPNSPHGGASSSFVRTTQAEYREMLLHSNAMFSSPGDLVNAIVFCLSENMDRPLWACESTSTRQWRIASANQLTCLVRHLAELLLPAFPLLPITWTQMAMRQALSVSDRHIAGRCFQISSALCQSPGAWVPTLLARLVETAGETSEETQAYVTDLMLYLVASVPHIAPPPLDMPGGSGHNTTVSGISPTHIRSTSYTPALLRSSVIARAHDRKDARLSLLVSEDPTTSSWIGAAAAAQLARSKSAEALSSDQDAEEEATSTRALVGSIALALMESGIENEFLLATHLMEKLLETTTGVPKTAFLTKLEKTIGQIDWKQFQGVVGLLTRGVVTSGSYEQCSSTLILLADVISSPIVGGHRSLGLLVASVLPYMLLHFEAPTPLAQRAAGALKQCCQHISEAEEGNESGGAESPLVHAATMFSQYESGTFAKDRLQWAKCVLKYVCEGTTPPVAPIVAMLAEMLERGVPSTHSFALQMLWVMMEQVDVKEKETIEAVNVNGQGISGE >PPA00962 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2440757:2441296:-1 gene:PPA00962 transcript:PPA00962 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTRRICSLRNPTLPDRPIGFGRPESDPTLHSQDRVPESGSRPRLTRLDFTNPILQASTSSVRYESRSTSKPASTTQEFCEEPCPEGFFESAQGCLWLYSISDVPKSPLYYGE >PPA00664 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:703446:708990:1 gene:PPA00664 transcript:PPA00664 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGSSRILAKRVPQKLAEVAEDIQDWENHVILRVPEHLADRIGRLVDEEGPRDDLAISFTSTDMHHANVRVGQHILSGKIHELPCVVEVMKTIDKKNLYKVTDLSQVLVLSDESVVKPPPEKEDDSKKKESKRATAWPHGLTPPMKSAKIRRFRKTKKKKYMDAPEVEKELKRLLRADIEAHSSRWEIIDAKEEKKEVKEIKEEQTADDPVFGDKLSSSSEDEGAEEQQDVDGDNDDDEKTRDEPGSSQP >PPA00808 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1520266:1525084:-1 gene:PPA00808 transcript:PPA00808 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLWGDLKGEGRLLGGVFLIAHDSLVWSYLEKEWGDKPNVQEIKAVEIEYGGWKITTKDNYIQVGGMVDIVAILNQHLLSVMPAHGIFVDFMMTSIVIGQANIAIAWGVRYAQGMTAIVLAMNRLTAVVFPVKFKQIWSNRNIFIVNSVQVVPGIVMGAGTFTGKFAYKKSDLGGIYCFARSDAVSRAKKHQENHQHMALLTVGICHLGERAERDARKDCRAFNFQIPSRLFHLIFDALYDVYACTPPYLLIGLQRLPKTQPSSSTAMSIGTSTNSTSTRGHRSATNSTQVAASSPLQPLSLHRPLSWLSVRSRTDWWEYTEELGNGENRKYDPPQGAENDIEPLYSSLRLYHGKHIGDCALLMQLLVQIVQSLLKLGRQRHFL >PPA00765 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1301633:1302559:1 gene:PPA00765 transcript:PPA00765 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGAMQTVFLIFYIASVALGEQVTVVNRCSYEVQMQGVNIGNGQSRTTELGTVGDIKNAGNGAVLANISHDMNQNKNNFPGNLIIGAGVRLNLFGPQTWNDAVVVLTNGVSVSMSNSGKTFLKTCDQNPNCLYDYLFPKGNDTFTISFCEKSSSIN >PPA00841 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1677894:1681392:-1 gene:PPA00841 transcript:PPA00841 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEEMEVDEGVNGGEKEKGETIEMTTEMWAEKIANGDEGLVELSKRAIPQPFVQASIEAPWEPTEALRRLIKSLFVHLASKDTAHKPKQLRQLGMAVFARVDVLNWVGKAAEEEFKVGKVAKEEFMVDMDEFMVMGRRSIEIEEESFPSSSIAWKNAFAFIKAIPLPHENWTTPLFPSASESQARKFTKENKGKVLSKALEEYVLALTKQKLPTVVQLSLMSWLSGAPMQHLPRPQLTAQSVFLIN >PPA00685 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:812108:814804:-1 gene:PPA00685 transcript:PPA00685 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTQWLRMPKFEPCQSTISILELEHERNTTVSCSGLVLSAIVVSVVNNCSVKDDGSEAVCSVCFTLPGFEGVDLDIDLNMTGRGIEKEWMAMGMTFIHHPVTRDPSVRLDWIMETIVSITTACVIVTQFFFTNQMYYAIAGMAIVQGSFTILSFATDGPHFMSDKTRRLAGNTAILMMFHAFVHEILALRGIKLLDVFQPTGASSPPVETAGKKRALPRAILPPKLPIAQVKNTANQSKSVERSTEKGRKGKKGKKGK >PPA00754 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1250075:1252740:-1 gene:PPA00754 transcript:PPA00754 gene_biotype:protein_coding transcript_biotype:protein_coding MDAECSVRLLDGTELNELGAPSPILLPPEIIELIFKNRDWEMLIKARRISRSWLKKVDAALYPPRPMFIDVMRVEVKGNTLHIQMDAMPFKFAFIMPILRSGEHRTISVGKVKEKKQDAPYYFNRVNLSCKLSDLSTLTTLMSTFPVRVYWLQSMDMFMGKRIFMLDDPIMSILERLFEHWKIIHTAVCVETGSFLDARFMTFMDRTEMLSAYKYRARIQTGWRKIKQPFTRRTPIMKPETLVYGTSTSWVRTLTRIRRGTLPEKCCNCAQIEFGDEFRSIVFHNIENNNSIDFNRLSGYIPKELLQKVQLTKKQCLRHTALARFS >PPA00596 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:145752:147458:-1 gene:PPA00596 transcript:PPA00596 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFASLLVAAMLAITLADTNVTDLTPIPGAAAAAVVDASNATSNVSIPAAPLANLTVPVAAAANDHEEISTGKKAAGGDDEPADETKAESSSDAAASENAAVEIGSTTPSAANVTVDMTIGRPAQGGEGTLPPMGESILKLYKDKQGKPADETKAESSSDAAASENAAVEIGSTTPSAANVTVDMTIGRPAQGGEGTLPPMGESILKLYKDKQGNLLPNASSVAEGPTGSASTSSVLMIGALVALLGAAF >PPA00814 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1538188:1539402:1 gene:PPA00814 transcript:PPA00814 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMHGGVVRSNRKNDQKENKKKAGEKKEEKKEQVGERPANSYEQYGTSSNGRKKNKSDRGKGKNIELMRKHYGIIRRRQTKITDYLMLGDVRRLARRPNKSHSYCLNCITYKRTGVLHVHRREACVPPRMPPLPPLPAARLAAFLRGSSSTSSVSRGHESGRMV >PPA00574 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:50694:51394:-1 gene:PPA00574 transcript:PPA00574 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPSLARQPAAAVETARTLSYHSAGCRTITSIYDGSYLPANEPAWTADFMAKSPREWEHWYIEDWKGGKVVLKGRGGPKKPGQFLRAYSNGHVDLTGKHPKDERLAIWMSYKNSNETWSFQSADGLWLSAHQDGSDARCGTAAQFTLENW >PPA00902 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:2075045:2075449:-1 gene:PPA00902 transcript:PPA00902 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYVEGKDKSIPRIIQLCLAPDTVKLWSDGLIGDQGIQGVSLWRYNLHNEYDLPMNKCAYNGGEVVCACGQ >PPA00603 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:225406:229913:1 gene:PPA00603 transcript:PPA00603 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLLVSTLIAHSSQQELSTNLSQPVNYRGNASSALSRARNVEDIILLKTSKDALKELKVALIIVDYQVDFATGSLAISAGDAGEDPVARIPAVNRLLALPFHTIIMTKDWHPADHISFLSAARNGDRQLAANSMLEMFRTAHFVQPKREQVLYPDHCVAHTRGAELVPELKIPGRAITVLKGRDTLVDSYSAFMDNEGEGRSELYEVLKRAGVDAVVVAGLAYDICVFHTTKDARNLGFYAATVRDASAAFSRVGAAEAAKYHRDAKIKEMTTDQVSIAPVDCKIRQDEQRNCETCLAKGTSCFWCNENDACLPYEWYFPGCGLPEVQYSSCWVNWSAVAIVLAILAGILIVLIFACVCCCCCKMRAAGRRRRQKAGERREAVGIL >PPA00872 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1876256:1877271:1 gene:PPA00872 transcript:PPA00872 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHVDHMTLAKLHPDIMRNIILIELESVYELRLNSIFFRNLPWDIPTQTAPQARPRDDILLANRIKFVDKFKRSNENISGEEEITEKVHFY >PPA00761 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1285915:1286333:-1 gene:PPA00761 transcript:PPA00761 gene_biotype:protein_coding transcript_biotype:protein_coding MFWHFDYFTFQTGGVVSMVVQDLSTCEWSGNIYGSRMSHLLFGQSQRKNVL >PPA00883 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1988810:2000264:-1 gene:PPA00883 transcript:PPA00883 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDFRSELYDLALSFMVGAYRFLLLSFAVVDILISIVHFVLVPAIIMTEFGFIFYGFRFMADPTAIGVWMNLLFVALFYQTFVLLAFHYVYRYVLLCNPSWLRWIGRSNPWRNWIALAIVADALYIGGFMLAIKGGWIPNDKTRNAFEPMLKESYNIDLEAPNQPGYLGITYRERQEDGSLAWSFQSLVSLLLVLLLFFGSGIVIVFCIWKVRAAMKRTDIQLASKTRHMQNQLFRALLVQTIIPTLTSYGPLGTVFIIPLTGLNMGGWGTIFMMSTAFFPLVDPFLVLFLVSGYRANLPSFLRKLVSSARPSVVTTTTGRITIRRMGEIQRLWLLPIITAVFCNVGIIVPYAIGAANGNIPAILPFISDGGAYPPEKSIFLLFLNISAVLITLTVLVKHVQFVDYYESRRPDILWRRLSITTLVFGFLTAAGLCVSRAILEMDALMVHNGAAAVTFLSVILYLCFTTGLSFLRPLLCSRAIAFTRVIFTILAIFFLVFHEITLQLHIFVPAGSNFSEASGGYKPEFFPTDSTFFVNHFISTLTDWLLAFTVFAYFGTFAEEFRKSSLAFPSIYFHAVEVQCNPLRVVDSPVPPPLGPSSTGANFVVAACAFLELERGIQLRNVPYLGAVAAAYWILQKSYQYLSKTMNQTEAEIRARFNRFFSNFSHIETLVLDGWFEAKTFDAVNSNYETVQEQQAEFVRALMSQEVILEIHERCHNESLYRPEIVHFFGENKKFWGKMRDDLTKDGVSLRMATVYNKRKILSREEKTASMLPLEDAVGPNAPSEGTRRPDVIENHIPDIIGGRFTLAMKDVNLNNERRYNARMRRIKAQVRRVDPNYHPQVSDSYYFRLSVGKERHCNLYRVGLSDMCTVLAGPELNKKEGRVHLYQYWQPNYDSTRLELYYRLNSFTEQNAKRAMRLHAIWDLNAICMMMQNFEDLKLSKLLKTGKRRSHSISGILHPRAYQGALATEARRGILLLPFELIAAHEKIAAWREEKVGDIIQTLAEGPEKKGELTKQVADFVEANREWVSRAISCSIFGEQVQLPRHEYQLTNFLHKQQPLAVDSLRNQARWITFSLLPYWVGHGAHFVRCRKKNLKIQWTGRSPAVVDADGSTRPIKVRPSTLTSLPPPICNIVISSAKDDAELAIPQPNSKPGSLASGGPDTCTVSTATTTPMSFMHDWRYTSTHADYDHRLSSGPPKGCETAVNLEWRMRVNAEKQRRLRMLTSDGFVCHTTDVRYSSHGWGRAYREMQKRDNQFRRAQPFYQSRFLPSPDVYQEMKKEVEVRERVRELTGMTAEQQNEGTRSELKVLQHVLNQMALRRAIRTPDYRSTGKSLWASTGMFNPAIDVIQHSISYTDRPIEELIDRISINPALRVAAQSNLLSRRVVEMIATVIDVRRNLLVPLNIPYSSKIGVLRPTTRTDVLSNDSLLDRSPLFIVLLGALFLILNTVY >PPA00877 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1900362:1901141:-1 gene:PPA00877 transcript:PPA00877 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHASVSIYAEAPLRARGRQVPAAPVHLQTVSVDVRRLVDGGRRLSDGGKDGEMAEGEEGEGIQVQLVY >PPA00825 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1571367:1577000:-1 gene:PPA00825 transcript:PPA00825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nth-1 MHLLYPILTVIPSHSQLHRRTVSYTAYVHALLVCKIGMERFASAASRMFAQLSSIAYIGTDFFLPISAQLETRLPLVHSRELLHRPDCPMSAAQLVQCAALSIYAVHAASGSGSASATPTPHSRIAVRVLLTLLGVLLERVRADAPALARAAESGAPPPPAGARVLPALHVLAEWLASSVGAAHYAAAERLRPLELAMVPKAAKGVWEDLAEVANVVEGLEREGALKEAVENDGASDDEHQIVGLYKWNDLSSRVVLPESLLLSSFTSAFPSPPKHFSFMDKPESTTVAALHVRLRGILELARFLDGTDACAIVYSMERRRFESTDAFENNNEDEEAREIRRRKSEKDDDRSVSPEPVTDSLRRPIVVCPKFVVIDTNMYVDDLSDVKRILDSGRYQILVPTTVIDELLGLERGRGSVEDQREAAHAVKTVEKAKEALSWLREQTAKKQPKMGTLTLRGQRMAISLANEDADEETAKLVNDDRILEAAAKFTATLPTTASAAAAAAIPAAVAAARPLHRQLALITGDRGMNIKANARSIPTRKSSILFTSLCVLCVFTALLLVIQY >PPA00777 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1348366:1352001:1 gene:PPA00777 transcript:PPA00777 gene_biotype:protein_coding transcript_biotype:protein_coding MIILLIVASVVVVLMLLGTAIFWVRGVAIGTSESRPKNFTGCLEKARVEGNKHLGNKSISREELILLTLEVAKTCDLDKKMILSTSDAAVYSWSLYSTVGYGDMFMHSGLGQAVTVFYAFFSSALYLALKAECGTIIARHLSDFIHFFRMAWRKIRCFKHRDPHPHPLRPIVRFFICLGLFFFLMFLLAVYMKMVEDWPWGRAIYFAYITMALIGLGDVVPDKKVPFIICAQPLLVVGDTLFSQVNWYMQDRLRFGLHTLLRLCQCERKDDKDDAENVYPSVTSKMLPIMVPATSVTALNAKNNNKKMTKKERKLFKARRKESNYSLRKIPNEKVFGPDRKRTSQTTTSTSSAPKTAIAKAYETTTIEFTLRSAVAAPPTQSGSGEPIEKESLLSTLEHTSDVEMQKNGVVHKWDVLIRV >PPA00859 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1795992:1797267:1 gene:PPA00859 transcript:PPA00859 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEEKPKKEEPPPAVESPELSTEPLEESMIDRGSNLDSSILTTSSAISQLHSTIDTAELAIGHYSGTQFIEQRVLDDDYRPRRPSQPPPTMWYRAKDQGIQ >PPA00615 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:322612:323972:-1 gene:PPA00615 transcript:PPA00615 gene_biotype:protein_coding transcript_biotype:protein_coding MADDWIYSNNAEFFKVEVSEGLRSVTFVIPDFVYQLTAAVKITGIFTKSENEFLFLGTKDVNWVETFLEMFDRGLRELRVDNSRTAYITAAEAAFIAETLAVRGKPFIFQTTLHDTPIQPKGCDWGLSRFPVELATQKFK >PPA00967 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2458911:2460983:1 gene:PPA00967 transcript:PPA00967 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog [Source:UniProtKB/TrEMBL;Acc:H3DU86] MLSRTIARVGSVVGRSAEAGLLSKSSRLSVALNSTQAAESSNGSTVKFELKDAKGVRLSGDAYVNIVRERLAVKEGEEGQEWQVPHPAFDALVKEYDSLIEEGKEWKDRYTRALAETENVRRRGTKQVEDTKVFAVQGFCKDLLEVADILDLAVDSVKEEQLKTASKDMKDLYDGVKMTKTQEKAKCKYAPNSLLLQVLLKTFAKHGLQPCNPIGEQFDPNLHEAVFQVPKEQAKFEVGHIEHVMKIGYILKERPVRAAQVGVVKE >PPA00592 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:128514:133597:-1 gene:PPA00592 transcript:PPA00592 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDSKKGREYYKWKSVLRAQYPTMSGTEMASSHDPNAIQCLDAIRCISFTWVASLHSNLCSADGDNSLQYIREADYLFSDIFLNSYTSVDTFFLISGLLVSFSFFKRMHKNPEEAYDRSKWIKHYVHRWIRLTPAYMLFIAFYIAWTPRMHGVWAAGTIQARNSTAFIENCEKTWWMNALYVNNINSVVDMCYGVSWFLAVDMQLYWIAPIFLIAIFYSWRTGLISILSGIFLSMFTIVFLTAYYDLPSLPIIAKDPGNLQYTDLIYMKPWTRCIPYLTGILCGYLIVQWMILVSWLIAAALALTVVFAVYDYVRGASDWSIATRALYGCFARIGWSVAIGWVILACTFGWAGPVSTILSHPLWYPLGRLSYCMFLTHWFLIHLLLDGDDRPAHFVSLSHTYLTVTVPVVFLSFIAAYMWSCLVEIPFGNIEGIVMDKLSRRPNIHDKSTEPRMAEAAWRNGIDAGSKHNDASVITTIEVNNQRAH >PPA00721 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1077665:1078373:-1 gene:PPA00721 transcript:PPA00721 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNDPNIGYQGVGSGSEPSIGAIRVPTNPYQSQVKQYCSNKVQMRKAHVNDRINRLVKNL >PPA00674 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:758292:759090:1 gene:PPA00674 transcript:PPA00674 gene_biotype:protein_coding transcript_biotype:protein_coding MGFANIWFSHPRNYGPGSRTCRVCSNHHGLIRKYGLDMCRRCFREYSKDIGFKKLN >PPA00822 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1564056:1564774:-1 gene:PPA00822 transcript:PPA00822 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVDSSKDLKTVPFQTHEPEDPNYTHFSILIPTDQETVDGYFAIKLDNAFDHLSREIREKESNVTEEFASQSVLMNPDQEIRILNFTVGKASLKTI >PPA00609 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:278849:279755:1 gene:PPA00609 transcript:PPA00609 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGKKKSEDKEKSAMKPPPGAPPKSGGDHNPLSGGGNPGSVIKPAPGAPPPGAAPAAPPPAAADPPKEKTAEDGAADAGGGDQNYENVDLKK >PPA00604 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:230815:232071:-1 gene:PPA00604 transcript:PPA00604 gene_biotype:protein_coding transcript_biotype:protein_coding MYVMDKHAPLLTFEAIGEAKSEYYWSGEETVYNEPANGDAGDLMDDKIPFSARNATSANVVHSAIDQHHTMVDRDRLFNLLMAYQNLSQTSVDRDRLFNLLMAYQNLSQTSVNQHCQVSAAIGLPRDKLQTAIEKCKIGCGKAIP >PPA00733 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1171407:1172808:-1 gene:PPA00733 transcript:PPA00733 gene_biotype:protein_coding transcript_biotype:protein_coding MMCGNSDVFNRRSHSSRSQYMGCVSIVYTWMAVVLTALRFRALRSKGKWEPSYALALFATALMNFKRHDWKQGACSRNWMARNSHMAVAARSAKKSDDARNAVIILTIIALLTLLSELPQGLACLANAVFPVGFWYMYTYALDDNSDILDKLQLDHHSRDVEKLPKSCARNDFLQEEEEYFIAKRFCYFWD >PPA00709 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:950742:954201:-1 gene:PPA00709 transcript:PPA00709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rabn-5 MSSSTTMAEGELISILDATTISPVGSATVSPTPTSSALPCEMCQNYELSLKNAQDSERKMQDELRAARSLAERYESELVEERQYRQNLEKKMMETAAQTEEQLNDSAKSFAALTTRFEEAIQASGKARLETNITLDAAKEHISLLEKEHSDLVDRFEKLLGVKAATAAMMREEPIDLSSDVDSLQFTMLKMREELIECKASKEFREAEMNVKLRST >PPA00651 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:536113:543272:-1 gene:PPA00651 transcript:PPA00651 gene_biotype:protein_coding transcript_biotype:protein_coding MRDKMSYRWKGNDVAFSRRVTPEVLKELLQRMRLHTLFDRQQIANWVADGFSNSIGLFLENKRSQLPTFEALDFVCTLYEQTNNIHGFFEFAELILSKRLPHIETAIRHCNSIIIPGLVSAQYTYVFCSYLVKHLQYFVHYERAPKVVDLLYLALEQSLKAVDYPRNGFGRAAAAAVYHLRDQLIESEVVESTDMLGGDKEFAHIFDQGTVASEGSSKYNRHFMMDNFQLLIAPASLRIYDYHDFKKRLPSFADPINRYSFVVNAFVAASKLQRDFDRLNDLASFCSHWTAHHPEMANDWMGAMKALCNAKSNYGFKHLLEQVEVSDCSIHYSLSTFASLLASKYAFSVPQMICEISNSTFANVLKKETAKASSRNSYKNDYESEPSACIALLFLTQVCCASDDPFIRESHQLSCHQRREIIADLDPNLYVSDHFRGRAPTKKLLEWGSTDLNILAIVHWCEMNDVVWKMIVRLGIMNDTLQSRVRDHNLQLEVPEGEEPSRRDYKKEYLPVILRQVLISITEQDWVKDRMWKVSAQDDMAAYGEEQRLKQNCLGQQLLRLGTRRRCERETLAQLAVCNGNSKRALIDKLFSALNIWNFRATLFDLRLMIKEMSPDGSSKHAQQGAIAADALMGEIAKCARELYVQAFRQNKIIMKKDERFSFSSLTNYLLLPTLIDDCPTPANMPSSFSASFKSKFLAECCTMLDVGPEPSEDKIKMSAWLIQDRSFLNVIMACMKGTDREDQAAKENLMSQILKQIQDLAKIKEDDVLTSQEERIDIFLRLQLVGYVFKQVLKKDTAEAWALVLFQLMLRGVITPTRNL >PPA00743 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1222759:1224391:-1 gene:PPA00743 transcript:PPA00743 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAQCQNDALFTKFECLVIAENPNEIMSPFMQLPGELILTILEYVPEAFRELRLTCPLLQYRVDEFVRQPLRIPLVHKINIQHYHDYDDDADRMNVVQHVPLQNVPLFELRRRYFTREWQLNSTNKLKRWANAKCESGENAICYSLNIPPLDYGRDEWEFLVASMGKRVTRVLLSSSSVGTQFATECQLLEEFHFKELTLYINTITESMKKQLIETIKRHKIKCLSLCITGRAPETPQVDFHLELSSHLQSLHIRGCFPSPYMRPFEWAPLIIQMLSRRLDKLHIEPPLWEECLTREGADYLIKRPSAGVLPDAATLSKAIIESTTSE >PPA00867 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1856120:1858137:1 gene:PPA00867 transcript:PPA00867 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNMLITLINEVKQCFRHDQIPTVSKAQKLFRRKQQKKLKSHDGIDRMELHLKVLLSSSILPSSSKIGRSRARRIGKPLRCSSENEFIQALRAIVDQYYSLLQLERDTTVLQKLLKIYEKMKGALPSEMFKKKTVKHVKILLKIIAKLAGLTARVLLALLPAFRARNLQLTGDMYEAASCYWEEGRFEEAEMSQIIVIFCTIISELNVVDLSKVSRDEHLLNIIFDGLRNE >PPA00924 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2202745:2205294:-1 gene:PPA00924 transcript:PPA00924 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRRELAAQLLGRTPLTLSQSEGNLERAKTISSSTSKTGGNTKQLVRSHALCGDDDTRLRPPPEFARSNPSFAATKSLSRDSSATDYTDSSGVDLFSFIVTTLHKNEKDRAILLDLERQLLEFLKDEGKQSYKFGSMSSYNRMLVHRVAAFFGLDHNIDQSGQCIVVNRNENTRMWNAFKAAA >PPA00726 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1110898:1118638:1 gene:PPA00726 transcript:PPA00726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pxd-1 MDVGEMGYVASVAVEQWPVKTLSLDVQLSFFDPYNFVPTRDGEFSSISDRRSKRQAAGAALQVSAPSTTVTSPASIDGKTTRKPVEKINIDPKWFRNSENWQTETADDDTVDKLWKRPDNATDEKEEDARIPLKTTLVDSTDHQYYTMQVITNDEEKTKQYWVDVDALLDKPGVVGNRSHPLLKNSYRRAVGAKLSFDFPFYGHKMNNLTIATGGFCYIGDQTHSWLAATQYIAPLMANFDTQLDGANILYADDGDRFVVEWRKVQLRMQKGYGDFTFQVVLHKNGTMHFVYKDVPVDPMDISDAHHPCKLGISDAYLFHHSTTVGTLNTMSKRVIYEYHRIEVNATKVRNNTVVILEALPTCMSLTTCAECSNATLGKFVCSWCHAKRANGGPFCTDQAGLHRRRQQFIEGNCKDQRKTIYCPTNEAENENEVEEDSSASPSPADTSATLVPLDTKKASVPSPATSSGVGSPALFFLLSLVVVAVGWLAYAYYNPQTASGQLLIREVLCVKH >PPA00657 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:562331:562746:1 gene:PPA00657 transcript:PPA00657 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLLLISVHSADTHLSLVLRDARSTSFIDCTNDDYVETSTEDEEIENTEYVPEKECDSNYCAKGSGCKLHWTPLAKRWDPVCT >PPA00904 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2085721:2089785:1 gene:PPA00904 transcript:PPA00904 gene_biotype:protein_coding transcript_biotype:protein_coding MSSARRKKEQEQKELAEKQKAEARKKREEGQLRLKFYEHVGLNFLTSLALTQLEQLSTSLGIEPPLRPLFKKLLMIYKEGKKLSDEAKKLVELSDEEDSAATPVVPTVKSKEDERAELRRQAFSITDPPAKPPARTSATSASNSKPSSKDKRSVSNKPWLSGSDDSPYIPPPRVPGAVSLSREEARRLAFSLDEPSSTVASPSTSKEKGGDEDVVLSSDEQRRQSSSITDMPLEPVIPSNKPWLSGSDAPAYIPPPRAPGSLSREEGRRLAFNLSESTSTRVESLARAPSGSSPSTSRADDGQQQNGGRAQNGSSTRTTSTPLRPSSKADDDTPSSTSNDKDEDEKANNDSPGYSPTSPSYSAASPGYSPCSPIYETPKKKKAVDSREPSPESPPFASTNRSSSNNVPSEYYPSSNILSDGDDDVAPRERTPPRQHPRDETGRASPAYEPCSPIYDDVPEETTEAASNGQDAPPPLKRRRADDESPSSANNERPALHNAQVEAKLEEANELRRKLDEIKRLKKEAELKRREKHGASWRPSRRNPQDEMIGGQWQQGTDALPSTSWGQQQPANGFNRFPSFAAHPQNRSNDWPMITSQYQHPAQNRRESLVCPLCSFPHLPEDCNEYRSGTQRECRQRELQLCLLCLGNHRMSNCHLKQYQLKCMVQGCGSHYSHAALCPVRFPEETSEQRWKRG >PPA00668 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:725304:725776:-1 gene:PPA00668 transcript:PPA00668 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKLLLICIVAAFIASEVSSQYLYSPYAGYASYGYPYASYASAWGYPGYGYYWGSNKGGQGAGSPPPAGPSGPSGLTGNQ >PPA00796 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1453079:1457351:1 gene:PPA00796 transcript:PPA00796 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKNGKLSRSESGVASDDGFSDDEIPDVIKHKKALFNPFFRTLITECYSQAHTDLPARIIHRCSQQRHDFKDFLDALGSEGKGALREGLKIYLEEVMSRLDDEEAVKRVSRTYGRAQVPLRHLGHKPDFFASIADAIATECVFIGSQATAASPTNTFKAWTVLVGVMFTAVRDGYYTELRRARKGTSPGLEGERTLASSKHSSVDSSSSQSGDRPSPSVSSRDSPHFNRSSSGDAPLHQPSTIITVTAPTSSSGSAARRAYKGSRSAAVVGSDGATSSRSCDAICGEGQPPKQHRDYRLPPQHRSHDLLRADSPREGRFKKNVSLDHDKRKQRLEDIGKKHSNGYFNFQVSPKKATIAELAIIRALLRVASSIPTESWTHS >PPA00802 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1493071:1496316:-1 gene:PPA00802 transcript:PPA00802 gene_biotype:protein_coding transcript_biotype:protein_coding MWTLQPTPSLLSQLQCPGGASFFDFETCDPTKRSQCPAGFACRRGSSVNDATAVVNLCCDSSVMTLRLGDLGQGTSSPDIHISDEIITLTFPDFTTALVNTVQLSNPLQPGGFIHGITLVDPLSSPWAVFASINIVWPGGQVVDLTAARKGNGLFFSHIANATVPTKNSYRSQYVVLLYQTMAALPITFQNEVANCSDAACVFTRLSPSQGGSPVVGSFFYLTTARPLFAVGPVVNSSPSASILSIPIAFLLAKCLF >PPA00649 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:524590:527248:1 gene:PPA00649 transcript:PPA00649 gene_biotype:protein_coding transcript_biotype:protein_coding MPWQLLLLFVSALLPIASALSCYTSEVSRTARAVLVPQYSTSYANCSADCDEMKSCLAFYYNDVIDKCAPLGEVLTAMKYCPAGPPPTVQVKCTRDETTPDVTNGTETSTIQQEYNTTESTPDITNGTTTIPGDVNATDTQLVKLDTDKSKVAMSQWWSGVRHGFCVAEGHCLSTIKKQIGNSLTNTKAGVGKIVKQSEIDAVRAQCPIGSKHLVLLGFTYNANGQSYTHNFQGNGLPQGYVSRVNGSCGSTRRVNWHKHTIDKHNFYGYKDKQVGYDPKKANVFFTWN >PPA00885 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2004906:2006729:-1 gene:PPA00885 transcript:PPA00885 gene_biotype:protein_coding transcript_biotype:protein_coding MNETLFGYHFTYSDTNNAAGCFGPLGRRHPTASRRVDLVSTTPLASGEQERYGEKKMKYQTFIEDEVDNVAKEFDTHQEPTNFIQSYLTEIEKNKQLEFVLEFLHNLYAIVVDLWMAGMETTSTTLRWALLFLMKNNHVHDKIRAELFSVVGKERRIEMGYKAKLPYFNAAIAEIQRCANMIPHRRHGYRRENPSEFKPERFLEDDGKTANKKQLERFIAFGMGKRQCLGEGLARMELFLVLGTLLLNYRFEPTDPLYISHIFGATLVPKPYKCILVAV >PPA00776 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1330249:1332601:-1 gene:PPA00776 transcript:PPA00776 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLEVGYLPSHPHPESMSDAFFILLALLGGAAISLLMTFLLYCVSRSKNRTGQETIALMDLEKGQSGNEFKQRLTLGEAAYRMCANSNEHIAHFLDSFNVNSIVLRYEENGCLEDYVKRVTFTPMEALSFSISLLDGLAFLHDVEREGKFPIVHRDIKSRNVLVKSNGLAALADFGLAKISTGPESFKGRYYLYGTLAYMAPELLNGQATYSLTALKKVDIYAAALVIWEVLRRTLIDDEDEPRAAEIPYTSELQEEIEMDEELFHELNEDPKEIYARKSDENYLKRIVFEFQQRPVISERLRSDPITEVLSLTIQQMWCHDEEGRPSASYVLQRLNRMKTCAINGDMDDIRLF >PPA00959 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2418124:2420014:-1 gene:PPA00959 transcript:PPA00959 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPAASLAPSGSAPSALKSAIASSSSLYRGMQQRNIHNLFIRLENSTSVTDDLWLELEDDDILNDSPEIQGKNAVAVSVPMSAPPIGEICQIRTVMFEDLRSALRQGQTQVQLDQNGHSSYGNSGTPTIMRNDEAIRNEMDEHLEDPPQSAPPVGKPNGISNTPAPSFTSPLHSLHGHPPLNSKQASTQIMRGPAPQWGVGRAWNESTTAVVVYSLSTRECAFDDKEGERIQEV >PPA00695 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:862701:870220:-1 gene:PPA00695 transcript:PPA00695 gene_biotype:protein_coding transcript_biotype:protein_coding MHFYYYQNVCSLNQYRQLTTYNDENSFWTKKPIAYDGRDLSYMTANANNKYYRSFLVHSSFAWSDTAIHHQSACHTCNMKAAADARKREISEMIDKVLEKGAKRSPSSHLELEAMDIKEKEEEETKTRIEDEKAKKRTEDKKNKEKPETIHIFGQQDAERVKRGTRSNISPTSSYLPRSFAFPRKNVIEQSSAVYQFSRKARLRMVKKAAIHVHLRRLFPSSTPPVDVEAPLVTVEVREGKPNGEVGDLVGVTIATVPLKYSYTSVAIEPNIVYRWIIERTENVTLHVRANMYGQNLAVMPGDTDDDRKLPILAVTMDPEDFNDPKRDMCGPDEKGCCLRQLKINYNDLKPLMRNSDFEVFGGTTLHVGMCAGDCSLSATETKWGEGVEGETFRARALNYTGVCCHPASATQLNDKEEIQQNEILKRIKKEIGQPPANFIRTEEIIRKEEQLKKMLGLREGMKPAKAEFVRANGQIDNVFVFSDTLSKRIVKKATLNVALRRPVVDPPLHNSVRYKPNMARVDIHERNPSGKDMGWYHVFAPERYSTKLCSGKCGNTVRQMHYGVYLEAATNDEAACCHPFGRVAYLVDAGRENRAARVKRCSYKDGKPCTGDWKKLLVTTTKPVKFTRTTKKIRDGPIY >PPA00780 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1360236:1363935:1 gene:PPA00780 transcript:PPA00780 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYYEREEYHLEERERKNGHTTFENNEGWMRQSPIRGNGGGYSTRRGTSVRDYPREDIGREPISIDREHRDYTDRSYVDRSQSYPTTRERESRWEREESRRASAHPRSSSDYYTPPVTVLDRERDFETTDRYHSSEPYRRPISEVRDTLYAPRGDRESIPHRGRSHSVRRPKTVTEEYKEYRE >PPA00927 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2221809:2241765:1 gene:PPA00927 transcript:PPA00927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-set-2 MGYYNSRPGPSWGQTDRKHQVQHLHYTEPPHSDRSFDGAKLRREQYQKPVIPEKKRSREAEIGRMVKYFEAGKAVKRREDMRNEFDNLRASLPVTKTKEFVEKKINKEVEESMVTVKIEETDWTEVKSLLDLLEDKDDMVVKKEIKMEQVKEVKKEVMIDGFKKLVTGSYAHHKKFRPSPTKEKTPSGPHPNEFTQNGYYLRLYKVVYDPTYMKTLKTKDFVRRYNGKCGEKYKQTAGQLDQAGAPTDPRTKYRRIVEKDLPIPNLIVDDQYTGIPPKREVTISNLNDNVNHDFLKKMASNHKLKPQEVHIFHHPETRKHLGMGLILFEKSKDARAFVDACDGQSVMGLAISCILDPFAAMLAAMHVKLTTLPLPPLGYLSGMSEHELSLRREKITGSAEAAAAPVEGAPAAAVEEEAQRDRSLSPMDTSNSPVYEGLPPPPPSAPPVPQQMYYDDAGTSSYKVVSPPPPYSELPPSNHGVFEERRSESHRRSLDPEGKPRRDKRRRASTSSSSSYSSTGEKMHSQAHGSGGSSRHRTDGGVKKKREEYLKVKRYVSSERDSNGDKRILKEVKTVTYKRRTEQRTTIGEEKKRETPDSFDEEMKRRERSMSKGKRIAGEMRGKDGTGWSTPSSDSDSGRGRGRKRAKEYTDDERRAPPPSDATPSTPLHSSISSCSATPSSASRRSGFHSAPIFDPTQPPPVIVPPVGLVLPATASRPSQHAPPPPPMMVLPPPPLPGGFIPPPEFFGIQSNAAMMCAASVAAGLAPPPPGMPPLPPPPPLPMMTPMTPMMGGGQTPSMMTPMYGGATPMAAYGSSVKKESPKTLTEGLKEMHPSTPYKSSSAMEEAVAAASSSSSSLSTLLSSPSMTSSSSGMARASSSSSLTAVGRSQGEKGMATPPTSSEGGSTPRAVTVAEKKEKANLDDRISQLFGLKKSKKEEDFKEMKHVASAPSISSLAAVEHQKSRDDDDMEIDDDDDMDVASSSSGERRGSMQMTTMRETKESEDERKRKEEAEIRRKEQMAEEITRECLGVLMMDLQQQIIRDLQRKLEATAWQVLGEWEKKQKNEGEEKKKQMIRDSLAAAAARQNSSPKKDPMEEMLAKKLMSPEGLSSGISKVFSAINIIKKKVPTQSASKSVLEHHDGLLARWHANVVEGFARPIVHSESLLISFVLFELRRFFLEEKGPNLSMNPESARSCESASTVDSDKNEEERGDTMRGRKEPSTTSAPTNPTATIGRRRTVRNSPDVDIMEVRDDEQKDIKEEEMDSDSSQEESAPSTSSAAVAARAAEEKVLKKEEEEEEAPPPPRVAPPPSVSAPMPQQQQQPISAADAARLAALLQAELLRQQPSMRPDAGGYDPIRYDHPYVRQLGVPVLNTRPTVYHHQQYRVPAVPNAAAAAARTAKAAADAAAACSLAQPLYPAASAAAGPAVQLKPAKKKIEGARVPHALLGLLPYDEPKKPKKERKQKSLQPMRTEEEELRIRDSFKCGMDAEDEKFLALALAELQLNGARPFGVPREIEFESRMRAYTPKELTKPGKAGRVDLYFEDKDLAGIVPHSTGCARTEGFYRLSQKQKIRIMRRPEAFQDRTEINERDEVVTRHQVQAQKEQRSMNRRLQTMVDSNPNSEFFKVNQLKYRKKMIKFARSRIHGWGLYALEPIAPDDMIVEYIGQKIRPIIADEREKAYERRGIGSSYLFRIDEDEVIDATRQGNFARFINHSCQPNCYAKVVTVDGDKRIVIYSKSLIQKGDEITYDYKFPLEENKIECLCGAPSCRGTLN >PPA00910 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2115446:2117168:-1 gene:PPA00910 transcript:PPA00910 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAHVFANIISAMEVSTEFIKDRDCELLRKYNMSAREVFTGLHELFGHGSGKLFKGHWWARYIMLKVILEAGQGCVTVEQTNSGLYINLNKSKIDSVGMPAVRIFLKKLQAYKSTADVKGATALFNKYAIDLRAELPWALASLCVDIRSKAIMFVQPNTVLTEEGGVDLVTYDETPEGVILSVIDRYTMESVANLEELWNAEKKMPLRQDYRPN >PPA00958 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2412508:2417357:-1 gene:PPA00958 transcript:PPA00958 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSTISIPHSERKNRMPLFPQDSVVTGPLAKHSKTEQVMMMHTLKTKMSKYTAFFDKAFQHLAPNAPDEQIIEGCTIIAKVMTKAWLFPKISHDLSYALCDYMRSSSRSIDGQEDRDNNYFDNLIAHFVSPSKNESVRLACGRVLEECMSTNNREYIVNKGLLRKIATTAEKLNKNPDQQRMSLSIMENLFKHSSATSNRLIDYGVLDHILLTCKRATDTPKTLRHAALALANLALYSDNECKKKIIQKKVPEWLFLLASQHDDITRYYACLAVCMLASTKELEHAVIKSGTLPLVESFLKLKNPQEFAQDHYKYSQNRPPNWLTLLLPMLRSKTREAKQMAAFHLTMEATIKKEQNKLDLLADARSQSGRDDL >PPA00892 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2039991:2043576:1 gene:PPA00892 transcript:PPA00892 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEALSIASSNSSDGLTRILRTQAESIAIMEKQLEEMTETVNTSSQLQSKIQELKAKNELLEKEKKEMEEKANTSSLMKAKAQELFEENEKLEKEKAELQEKANASSLLQSKVQHLYSDNDRLEKLLEDAKEKANESFNLQARVYELSAKNEVLEKKVKEVEGMQAVGASMILSESSILQSMANDLTAKNEMLKRDLHDCRMSSSRLQSTVDEVMKKNESMGRQMENDSRALSLLQSRVDELTMTNDALERQMAENVKASSLLQVRVDEMASANGELERHLTEESQLVSHLQSRVAEFSSENEALKRQLVRASTDRSAENDMRRVVDEEIRKKDVTIVSLMQQVTSLELALKESLESKAREQLLHRAPSFNEVNKLRGDNENLQRRVEDLNTLLSEVHVSSLENQGTVQELVATMDENEMMKGKIRQLEMELNECKFESANNVAIIATLNDVKDEAEKMLDQNRRKMENMKRTLERYGHFSD >PPA00589 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:121084:121429:1 gene:PPA00589 transcript:PPA00589 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVSNARIALCLPASCQEDKDLGRILSRSTNGTARLCEIACEGPKKEKRIIATKMK >PPA00767 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1305422:1306997:-1 gene:PPA00767 transcript:PPA00767 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAIGVASAGALCAVVASLLACGVILRDLNDLQAEVQQEMAEFNEVAEQTWTRIVSVHINPSGSSDAPPTFVTLTGRNKRHANSQCNCGPSSRGCPAGPPGPPGQAGHRGEDGRPGAPGRPGAKGIALAVTHSIPGGCIRCPPGRPGPRGQPGHPGPAGQPGHPGRPGPPGNPGRGGGGRGRPGQPGPRGHDGKPGADGRPGVPGVNYTPGPAGRPGSAGRPGPPGPGGRPGGPGRPGNDGRPGGRGPNGHPGRPGQAGVPGSNGSDGLPGSDAAYCPCPSRSGSPSYSPPSAPANGYSQAHDNEGYRRRYASIA >PPA00835 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1625331:1626688:-1 gene:PPA00835 transcript:PPA00835 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIIALTVAVVAVAAQFGGVPLGGGGFTGGLNGGMGRGGFNSNANAGFNGGGQNFGGRGGFQGQNGGWGGQQQYGGRGGFNGQGQGPYGNQGGFNGNSGWNGGRSGPYGGQQGGPYGNQGGFQGQGRGGFQAQGPQWSANPGVNAGINAGLGTFLG >PPA00934 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2262889:2263415:1 gene:PPA00934 transcript:PPA00934 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSSVLSVAVLLLAVHQSTAQLRTKDEREACMAHMIAAQQAETDKDLVDKLTKAHVMIKGTVDLVQGLNADQKAKLVNTYFVGV >PPA00811 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1532526:1533337:-1 gene:PPA00811 transcript:PPA00811 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMHGGVVRSNRKNDQKENKKKAGEKKEEKEEQVGERPANSYEQYGTSSNGRKKNKSDRGKGKNIELMRKHYGIIRRRQTKITDYLMLGDVRRLARRPNKSHSYCLNCRAQMEEASRREPVFIDLVSSSDDDDDYEEDEEGDENEED >PPA00915 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2128686:2152809:-1 gene:PPA00915 transcript:PPA00915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mua-3 MQGWMERQHQCTAIDCAAEAECRESPTGPVCQCISGYVDVSRQDQISKTNPLLPLQHGRPQGRVCRAVVNECAEGRHDCSSHAACIDTAEGYACRCHDGYRDESTQPHSKPGRVCVRAFTPDPPECDVNDPLSCDANKKEVCVFANGTYKCRCASGYSRLSDGRCLVINECADSRLNNCHKDAQCIDQAEGYACQCNPGFADVSPKGESGRICRRRVNECNEKTKYGVDCSNNAICVDKDDGYECRSKIKDQSGLARCRPGFADVSASYAKLPGRQSLNDCSEHAICEDTKESYRCSCRAGFVDASPNSVHYPGRVCRKPIEKVSVQDDARTSLSVDECDPKNPKCGRNEVCSAKVVQGQNVCACADNAFRYHDGSCRLYSACSRNHNCDQNAVCLNMFDSFTCQCRAGFLDVSPDAERSPGRKCKELVNECATGENTCDRNARCIDATDGYACVCDSAYTDVSSTHGLPPGRRCVAAHNECSSKSLNTCDENADCVVTNDGYTCQCYAGFIDVSSMANLAPGRVCTVQTTCPKQKTDLMFLIDGSGSIGSYVFKNEVLRFVREFVELFEIGSDKTRVGLIQYSDQIRHEFDLNQYPDKASVLRAITETQYLTGLTRTGAAIQHMVKEGFSERRGARARSNDVSRVAIVLTDGRSQDNVTEPAMSARQLGVSWDERSEINLNLQINLQTYKLQINTFAIGVTDHVLASELETIAGSSQHWFYVDRFKDLDTRLRSIIQKVACPQARREPTANDKLIFDLLQHGCNARSQSGCDRSLNEFCVEESGLGKCVCPDGFQRHPLTRVCGGSLCNPQLLTSCIFPEECLITPFLNHRCACPEGYTRDYRSGFCVSIKEVHISPQHDDDCANGGTRCEEEEQCVRDRSGQGHYCECRAGFQRRSRDGRCAPPGTCDPSHPFACDVRKRERCLAHGNYYTCQCDHSERRHPVTGTCLRDECMLGLHDCDRSARCIDTDEGDGFICACPNGFFDRSPNPIAKPGRLCIAEQNECLDGSARCSPNALCTDTDQGYACRCKSGYVDHSPNPSAFPGLVCTQLVNECASPRLNNCDRNAICIDTAEAYTCVCKAGFVDEDEFRNPGRRCKKLHQNDRCQPGKNDCDRNARCIQIGDNDYSCACPAEFRDKSPDVFNRPGRVCIPVIPECDNPTLNDCDSPDRAICTDTDEGYNCRCRSGFLDISPSISHKPGRLCKQLTNECAIGTHDCARDGGICEDTPDSYLCRCALNYLDVSFDRVNRPGRKCKRLIDECATGQNDCSAEATCTDTEDSYICACPASHNDVSPDVASRPGRRCLLRINECTSNRHDCSSNADCIDTPQSYQCRCRDDFVDESPDRQHRPGRVCRPALVDECRIGKHDCSRDAICHDLQQGFTCECRDEFIDQSPSRVTHPGRVCSPRPTPPPDECRVDSLTSCHVHLNEVCRLVGGIPKCSCPVNYERDASGACTITNECANPSLNDCHLSAECIDQQVGFTCRCRQGFKDIGDRRKPGRMCKPLTNECQFPHLNDCHQHAGFKDLSQGRPGRLCKQLVNECANPSLNSCDKNARCFDEEEGYRCECRSGFLDISPTPMLKGRACRQLVNECANPSLNDCDKHALCRDTPDSYECECPAQSRDISPSPAFPGRVCLMFENECETGRNDCDQHAICRDNEQSFSCECAPGFTDRSPNKMQRPGRVCVQLEEERKREDAIRRKINVDECSSGRHTCSKKADCRDLEEGYTCECKDGYVDRSPNMLSQPGRVCQPPEVCPQSNECSAAAICTPQGGNRYECTCIQGYVDQSPAGQKGRVCVRNNACRDARLNSCSRNAICYDDVNSPGGYRCECSRGFVDRSDDPKLTGRVCEPAQPPTPPPRHPCQDPQLNDCHSAASCRTTGPSSYTCECLQGYADKSPDPRGQPGRICMLTQPVCLDSSQNDCHAAAICAEVDGPDRFTCRCRDGYVDQSPDKHHRAGRICVEQVNECLSRTLNDCDPLAVCEDRVEGYTCRCPVNTIDQSPDAARRPGRKCFAPVNECAHPSLNSCSRFADCFDKENGYECRCRTGYHDDNPSQPGTKCSYVINECESANLNDCDDHAECIDKPGGYDCRCRNPYRDESPPGQPGRICRLNECKNPSLNNCDQNAACVDTDDGFYCQCNHGFYDNSPNPSEPGRVCLAFQQEKPAERVTIQHTRTQQADDDHFGRTIVQHEGTQCGRSWCNIKRREVCISGTYCGCRPGESRSSEGDICVKVESHPMKLRVVTRDRRPLLYSSQYGSEKSPTYVEFVDVFKKDIAKTFGGTTYAPRYVTTEMNYITHPKTVNSEWPDGLLVDFDVEMKPTPAGGVPADECDVYQQMMASLQKSNNHIGGGALEIAMDSDILDPCHVEKNEDVLPIGPCGGLTCRDGLGEVCIGGSICGCPNGYKRKGPNEPCYAVEGWNVPLLVVRDHQTPISYNRSYGNPQESLNKKITERFETGVGGCYPHTEFRQSYITAEVNDIEEPSSVNATLDRGILVNATMYFRKGAVRVPSDVYYSLVKYIKEKNHDEIGDSELYISDFQLDPFKPCFKNSCHASARCIDISATAYKCECTEGHRDLDPTNPGRKCLATKGYNECERPEDNECSENARCIDLEHLYKCECLPSFTDSSPPGSIPGSMCVLDYCSDIDFCPRNTTCKNLEQQAECACDAGMADIRKSERRSLLGIGDSLCMNLRDVNECALGLTNCSGVAECTDKPLGYECKCPEGYIDGNPDEPGRVCGALLCDMCNAHGDCVHNAATKNVTCMCTDGWTGEFCQVAPSNASMILLILLALLFLLLALCCLLYFCTKCHCFGGARPGGLFYRDQSALGGYRKGGAAAGGAWPWSTLEGSSSSESGAEFSGGSAAGEYYPDMGIPRAKLRSENIARGGSSEHVEVSRMEEYLATGGDVRIPRVHLAGGARGGMAEFDAISEASSGYTIREEVERKVITDVTTKEVTTTTTTDEAGNTVVTTAEIYYPGSMRETLGGEERSAEFESAHNLSSSSYVSSRAAGGMMRGDEQERGESVAEFSIGRATTNRSAAAAASSHHVGATSGDRELSEYISEGDESETEEHELGDTSTRVTHSRSFDPIPGGGAERYRKETVTTTSNVTTNQF >PPA00691 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:842423:843151:-1 gene:PPA00691 transcript:PPA00691 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTNSLLRFKVPQYLLHHWRTRAVRLSLPETSFPGHQVALRSHAIDLTTIQLKEELAELTCSPSHCERDLESVPHKDAHPMPIAAYWIGILHFDSLAQPGRSSRVNFQQKIDDEIEEKH >PPA00944 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:2368386:2368602:1 gene:PPA00944 transcript:PPA00944 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVNIALLLMIALIFVSLMPISEGRPFEKEETPKNMPWFLKALYYMDLYRPNY >PPA00581 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:75418:76422:1 gene:PPA00581 transcript:PPA00581 gene_biotype:protein_coding transcript_biotype:protein_coding MSITSLFILFTLLLPISDSVTTCKKFPVDYFIGYPEDVVTCTPEAGRYGCYYLRYTRDSESRDGELEGGCGHDLCRGKEANCRVYIESNDQVDTVANVCCCYTDECNALEKAERLPLWARLD >PPA00585 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:93443:99185:-1 gene:PPA00585 transcript:PPA00585 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSRDHSRDDPLLAKAKKAKGSSSGHFENYRQKPARGGYSSYGASPYGSGGGEYGSRKDDEEDYKEERTKQQNNTPFHVFPARDAKASSPQAKSFVTGVADNSDECFSRYANVIIVNAQPYERRSRTSLSECKQQCMLSQQPVYACASFVYDNVNQVCDLFAHAGDAAPARLLKFQSRDYFEPNFGETCDLDSRLPRPTTTTAAPILARASNTDEREGDGNSPDKLIAPTEAVDDLAPQGTTCAAGKVSRFMRTEGFELYQSDDEIVGGTNEQQCIEQCESNKIGEAPLACRSFDYTQGQCAFSAEAAVPLGNGQLKLNKAAAYYEKLCVEEKLVKGCETVFTRFPQMILVGFAETVTDSPTFESCFERCLNSLETHGFNCTSGMYYFEEQQLNCILNTESRKTQADLFSEENTDIVDYFEISCGERTPTPPARARGVRTLNPSSGRSENLVLAPSTNTEWSACENGRQHRRIDCERGMDERSCGLQSRLCVTDPLPSIAMSAEIRKSPVPIGVNKRVKALEGRRRKEKRREGMIDKITKKGKQNKTVCYSTSECEHTVELENAVSSLFLSVWKEVERNASTAPPASAVLFSVAAMWD >PPA00730 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1137364:1144781:-1 gene:PPA00730 transcript:PPA00730 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKATPSQPKASPSPSRQVSLLSPVRRAARSLTKCLRSQQEDEMVQPSSLLRSKLPTAEEMAGPASVEVRKAATEFISFLNKSVTPFHAVQECVTRLKAAGFEELKECDQWTVQPKKKYFVTKNRSCILAFAVGGAYKPGNGFSVVVGHTDSPCLRVKPISRQQGDKFIQVGVSTYGGGIWRTWFDRDLSLAGEVALKKDNKLVRKLINIARPVMCIPNLAIHLETDRTKFECNNETNLRPILESFAAAGLDGAGPKKPETPSCDPRDISGEHHSAFLELLCEAVGDGCKATDLVDLDLYLYDAQPAAITGLKEEFISGARLDNLVGTYTAVTGLINSLDDDAAFSSCPNVRVAACYDNEEVGSQSAQGAETAFTEHVLRKLAAGGSTTEFECAIGRSMLISADQAHAAHPNYSAKHEENHRPAFHGGVVVKVNHNQRYATTMTTHAVLKQIAYEAGVPLQKMIVRNDSPCGSTVGPILSSRLGLATIDVGCPQLAMHSIREFGDTSSILQAQTLYTTFFKRLHDVLEPML >PPA00658 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:564306:565214:-1 gene:PPA00658 transcript:PPA00658 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLTILLLSSLALMAQASHQTVGVRGTFMCGDKPLVDAEVSLWDRDRWPDADDRLSTVHTDKNGHFEIFGTDDEWLSIEPVLKIYHRCNNKGWFNLPGVCKRKNSFEIPTSYINKGQQVGKWYEMGTMNMEAKHKDEDSKCT >PPA00722 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1080242:1081251:-1 gene:PPA00722 transcript:PPA00722 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHKIKVKQTLPKGCAAKVKKGKQPVGGPRKGHNLHIAPKKKVAVQEAKTSAEVSKIINDKNEEMSDTEH >PPA00861 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1802819:1805363:1 gene:PPA00861 transcript:PPA00861 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVGLGHKDIADMWKMADKNRDDFISYNEAAMALYLIRTKSILEANKMLEKFDSDKNSRLSEDEAILMADVGFDVGTEEALDAFERVARNEVEIGSSLMEGLLETLRESAIDNVEERIREVDSDGDGVVSFSELRKRFRKKKGTLLKKLFRKCDVNRRETPSFRPIRRPARCGINSFDE >PPA00640 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:473837:476304:1 gene:PPA00640 transcript:PPA00640 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDHQVPRRTLRITKCSDRDSVPLNAKKWKVAAVLLEDEQKYKLEDVGINFGTEKADFMFIDETQMKFTWGKDSYSMRIMKLEDTFKSEASRESYTFRRYSFEIEADNEPLWPLFTNEVKFMVKRGEEGKMTDSRFTEELGEICDIVDVTEVCYNTCGKCRKYDPAVSCQVVIKDRLLDIRYHRLADDGWTRRWKPINKLHCKEARWVATWEGGDNFINHDVELQCSEMEPSKNTTALMGLIGGLVGGLVLLSAAVAGGFFFHLWWKKRNAKKASKEVLGVKVRNLRDQWAWPEENNESNTTGRTPGAESGRKVEEFTAKTDFEGIKTEIFDKETTGAKETTGGPTNIDGLVTEQGFHAGDSGPARTPPTLTGPATPPPPTAAGGGVSSPPGPILARKGRTANVY >PPA00626 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:422257:424975:1 gene:PPA00626 transcript:PPA00626 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPGMQIMLNDTAFPEQLKLLTPESKSEGMTAQAKTLLGFGICFGVLVLLVGAVIIVWYHRKNGTIGGKATIRDSDSKAHTPGSTRRSCPAIAPAYTPPAPYELANPYEASVPPLPNLATVFALLSFVNGEATDDVKLEPCSEGSVCIFFGNDKCTVRGVRVETGGYIWDVNTTCDEGNYGHVYARLDIKPVTATEWIINATFHEKTDNASVHTPEHYKFGFGETTLTFPSGSVALFSSNEGSELTKIGAIASRYNRWYTIGEPLYEFSRYSFEIAANGEDLIDFFGPEVTFNLSGIKLGFLKDNAFPELLRGLAPEYWKKDGKH >PPA00783 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1374114:1375589:1 gene:PPA00783 transcript:PPA00783 gene_biotype:protein_coding transcript_biotype:protein_coding MDELAMHNYAALVTFFSSILYLCFTAGLSFLRPLLCSPPVAFLRVALAIVAAVSLAFHECTLQFSIFVPAGTNETENSSGPGYVPEWLTPDSPFYVNHLVATGTEWLLAFSIFTYFITFVEEFRKASLSLPAINFNVDRTSSSFRRQQTEESWKL >PPA00660 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:574588:594537:1 gene:PPA00660 transcript:PPA00660 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRRERNGRRKSDPGTLSYRPVSEKTVLACELPWGAPKFVPLTPLDYDLPPGIYAAQSVLMDLFAVFDRKLNAVIEEEPEKLINTTLQRGQDSYMDNLINTLDDLSELCLPSVLKAVISWYERKEKEILEKINPANENRFRLQKKLMAANYLACLVFIEVLPQAEFHLTVCQDQINYILNLCFKYVAYKDPVTLGVNHNNSMVLGEINAEVLGVLSLNYFSHIHRAFFAQLNDLKKDMTTTGGVIALVVSMKYQKIKTNQVTDFETGISFLDELATFFLEVKEKDVKHAVAGLMVEILLPVASQIKRETNIPALIAFVSKLYGAVNDMTSKKQHKFAAFPLQTCLLCVSQRSFFLTNWVSFLQSTLANLKNRDTRLSRVALESLYRLVWCYMIRIGGDGNTSTRSRLESICNSIFPKGNRATTPRDAPINIFVKIIHFIAQQKLDFAFREIIFELMGVNRQQRSLYPERMNIGIRALMVIADGLQQKEEPPAMPKTIGPNPASGTIARVKRKVYITKPLTADTARQIGLDQYYGPCRRAFDTILRILDQQVGKSLMLTAVQARGKEPEEMTGGDAKPKLDLLRTCVAAIPRLLPDPMTPIELVDMLTRISIHIDDDLREMSAQTLQNLVAEFPEWREHVVQACLNLLITQLTDNYPVVLDMALRLLIQIVTTWRNRAVIEKKKEDEEANERRKNGGSPPVPPLYVVNYPSVLHAIEGFALVMLCQTRPGPRRVAVQIMKECKTILETLSDKIKDLDEPVITVLDDATPYVVRKYIEHVPMHERECWTMDFATVCEKMGNLETEPHILNCFVNVDRGNEYLQWDPWACALSGYSEHRFLIARCPSAVAAAWPTLFTRFNAVCGYVDPNNPQNESRASLLRSSKSKASPICGEALNHESCLQLWQKYVLLCCAFVPPVGGYSNSTVPSRSFSPTSAMESDVFRSVSSSFRISSIRTVPSSSSSLLFTKCQSEIAITMLRWENMTDMRDSVVLGVGSMNAHAMETFIEELATRGVLREASEKKIETNVRRRKRKDLLRIQIIRLIEVAVFRGALDVSFIDANGHLNGHIADFIDAMRTSLENDTDRDLQLVTSLRLHLAKLVALIIDSVPLDSRAHLFPEDRKQNLFYLFISWCSRSIAATDKQKSVSGHRESEVGSFVEQKAVVAMSRLLCCGPIFEAPKAIGEDGYLYGWLEKVVSSHNHIMEAEAEETLGCMLELNESCSNLREWLEAQCYKQSARVGARCFRALLRVFSSSHDFSCEFVPLFVLCQTMVADASVADGAVQMIELLRKRFLEGAVTSPLASQSTSSNLATINNVPMRSRPAPAHQSILAEEDHCLPTEQHALCSRLAKAHPQLTITVFSEVCSKLDSAQPHCRASFLTLLLPWVENLELVDPMAGDEDACEGPRGWGSEEATQLLLNDLMYLSITQSEQHSRELGDLWRALAIAFPANLPVILAFLYATVVLGAETILPHAKRVCVWIASSGGGGARLAALLIDQLSGATDGLQRGAKLERSEHAPFYKWNRELERDTLRQKDSLMTSSCLASPTTGEGGGGGEGDGAEKNHLTPNSYVDRSKRSCVGNY >PPA00669 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:726350:732017:1 gene:PPA00669 transcript:PPA00669 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKERLFEEGRSCKHAFDDESEYLMFRRIKGLLFSFPDDFPPAARDLIEKLIVIEPQLRLGCADVLEKVPVDAHSPHHGDALRAHPFFASHNVPWDDILSMTPPTNSYPINLCYDVIHASATVTSDGQDRWVFRPHGKWHRYLPRRNDAVKEFDYASSGATLADFRRDYDDDDRMTTMSAAFAAQGETVPSTSAKPSKLWLAWIYREPTGEPKWTKASIEGLFGKKWKVGRMEIFKNTVATNEELWKVKHLIDLRPVSFAEGAEPTEGDVPYLKIGTDGRVSRATDGPLREADLVLTDETKHWVVINEDSTLSL >PPA00687 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:818520:820303:-1 gene:PPA00687 transcript:PPA00687 gene_biotype:protein_coding transcript_biotype:protein_coding MNDNEEKDAVRVSYANIDRIIPHADAEKEFESLGCNKFFDKVTYESPLSRELRPVHALKFIRAKKIELNIPRFSVLDFRLVAQNKEEVFVHISEDEDHADLDAIYEELQEMNREDSDLKTLTIESDLDDGRVEFMDNLGVYEEDGTYLSSNRNICISNRYGEVEIKDRRVLMTIRPYWYSDEYVTYEDGYNCDEKFVTA >PPA00792 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1419462:1420938:-1 gene:PPA00792 transcript:PPA00792 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCFLVLTIDFLLAVPTIDAVLPLITLTLAAYALLHYPIRLLALITGSMAALFILVYTWFVRPLLLNELLSLVIVLVWTTLVAIYANVSIDRVINMD >PPA00939 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2291719:2308216:-1 gene:PPA00939 transcript:PPA00939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pod-1 MAEIRIYWGFWMNISKMAWRFQPSKFKNTTPKVPKKEETIFDLPIGTLSCTNNGIQSNQGFLAFCIEGEGGKLGVLPSDARGRRVRTEIDVVCAHGDQLDDFAFLPFEENKLVTCSRDDKVKVWTLCASSPAVLSAEVDIGSGRLLSALAPHSTASDIVAVASTSSVFVVDVARGEAVGECSGVREKALSVSWSDDGKMMAASADKGRQGLFWDVRASSTPVHEMELHSGMGREARCIHAGDNFVSTAFTNKRVQEVRVWDARKWATPLMVKEYTATTGVLIPQFDPDTKLLFLAGKGTNKIFLQELQQKQPVISDVYELNVPDQVLGFSLAPKRTVKPMDGEVARMYQLTKNSIVPIPCIVPRRSYRELHVDLFPDTRGPIAGCSGKAWLEGSDEMPERVSMTAGHRGPECPPPEPTPPPALRIAAVPKLAPTMAKPAPPPSAAAAAPVAAPRASVETEEPKELAYEGGEKTAASSTATTISAVEPEQVAPKLRLSKQLSDEKADATSLKENVPASSSSSSAVPSSTAASAAAAAPPSARPSLARAPSMPASAVPEVKLRVLARSDNDATPRLNQRIRPKSCVVGQVQSKYRHVETLAGVKAGAVFSNLRNVNTRLPTECNGAVASSKYVAVPLAGPAGVIMVLDVDSPQKIPDGVVDGIYNKAGVTDLAWSPFDSSLLVVGTDQGLVNIWRIPEKKEGEEETPRRTELEPEQQLRLGGEKIVNVRWHPLAEGLLAIGLSDGAVELWTISSSGSSRRARLHSHSSPILSLAWSIDGSRLATVAKDLELHVWSPQTSTEALEHRLEGAIESARGARVVFACDDSMIIVAGFTKSSGRQLLLYCTASSSLLYRHQLDNAVQPLVPYYDFDSSVLFLTGKGDQSIQMFEVCREAPFLLELSRFQGQPGHQSIAYHNKSVCKVMEVEFVRAWRLTEKTLEMLRFRVPRVKKDVFQSDLFPDALVTWEPRMTADEWLAGAKTAPLFRSLRPEGVAALAVVAPTPAATPARSTARTAASETSATTVSMKEEPAAPAPILIPAATPKEKEAHLDGDGSVVDEDRIGRWTIGAG >PPA00692 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:845480:852328:-1 gene:PPA00692 transcript:PPA00692 gene_biotype:protein_coding transcript_biotype:protein_coding MAPINGSTVSPLPSPVSKNGPSLTSPTRKRKPSTIATNVTMMEHSVGREQRAGVLGQHEGFRGCTIWFTGLSGAGKTTVSFALEKALTQMGIPCYGLDGDNVRHGLCKNLGFSKEDRAENIRRVAEVAKLFADAGMVSLASFISPFRADRDSAREIHKALGLPFFEVYVNAPLEVCEERDPRDLYKKARKGQIQGMTGIDSAYEPPLSPDLVLHHKETALEAVNTVLEFLVRQGIVPEEALSSLAPAPIRELTVTPEEKPALAAELASLEHEVQLDLVELQWLQVLAEGWASPLYGFMRERQYLQSLHFGQMLDLKRKCLFPGEEDPNAEDGEDTFPMDGPLNQSVPIVLTIDADEKARLTKDGRVVSAIALSYEGKRIAMLRKGEIFEHRRKERSARQFGSIDERHPGVAQILNAGEFCLGGDIEVLERITYNDGIDKFRFTPIELRKILAEKNADAVFVFQLRNPIHNGHALLMRETRAKLLEKYRNPILLLHPLGGWTKDDDVPLEVRIRQHEAVIEEGLLGADWTVLSIFPSPMLYGGPTEVQWHARARLAAGVHAYIVGRDPAGIADPASESGDALYEVTHGAKVLSMAPGLSHLEIVPFRVAAYDKKFCIN >PPA00956 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2400367:2401951:-1 gene:PPA00956 transcript:PPA00956 gene_biotype:protein_coding transcript_biotype:protein_coding MLVREEVARISSVVDQSLEEKRKRVDGLVRETNEAVDGMEQFLDETNAEYNSMLERFSPPEEKSIDEIVEKMEEEFRSVFDIYKSEMIFDVGSTRGSMVEGGDEEVFSSRSGASSDCTGLTGASDRRPVKMSWKMMEEDVKRIKTRCEANIALEMELTEKIARENQAIEKVGIVSFSHNQIMFLS >PPA00706 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:942490:945034:1 gene:PPA00706 transcript:PPA00706 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPLSYYFSLFLTIIFPPTFLIMMCGRGKKNQTQSKATTPSDISRTSRRAVSSHSELPQISSSDNRISQISQILAATAPPPAVIPISPPPPPARAAVVASAPRAATAAAPAAPASPKIISPRSESGTRTDMAKIKDQSNNWGKPVGAALSPVASDPLDSSYAMPERRQVQETPKASSTNDSDLFHTFNSDNDDNSEKKDPTGSVKKRSVRCKTPQTPLEQATQSCSYLVSPIAKT >PPA00876 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1890054:1892897:-1 gene:PPA00876 transcript:PPA00876 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYCPLTWLRARNESVRRLNHNCAQPKWHHTPPPSVDHVVQSLLRAAKKGEKKAGKDAVTQTSPALSRSSSFDWLETGSVITSTPVSLRADESSSEDAADREVRAVNSAASARKMRLDQTMDESLALLHMMAKDGGEAAPVASPRNNARLSRVSRDSRALRSSALMQQQLQNGSATARQSQLPGN >PPA00700 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:905971:908598:-1 gene:PPA00700 transcript:PPA00700 gene_biotype:protein_coding transcript_biotype:protein_coding MENTSVTYEFTLDQLTVKAFFTLSIRADDRLVIFLWSLPIMYALGANHLVLTAILRCPAMWKIPSYFFIILMCVCDLTMLYISIFLPLAYTAFRQAYIEDPLSAANFAPWYVYNSCWWTFVISLVIMAVNRVDETQSFLPFSEAHWSPQIEYGRMRITEFHSCAPSPLISIRRIVLKIDLVSRTIPF >PPA00567 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:20368:20952:1 gene:PPA00567 transcript:PPA00567 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSSKDLEDGFTNPEDGFTDLEDGFTNPEDGFTELEDGFTNPEDGFTDLEDGFTNPEDGFTDLEDGFTNPEEDLVHRLPERSETILRA >PPA00632 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:440574:440900:1 gene:PPA00632 transcript:PPA00632 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLAKIVIGVSCGVGGLMIVLIIVICVLMKRKRNQGMGGDTEKKFTLRDSDSKAQTPGGKSTEREKN >PPA00846 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1707072:1707888:-1 gene:PPA00846 transcript:PPA00846 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDGVAFIRKMKVALIEIWEDDSNDIWRFGDDHQSKGHDGISDEKGLFVAEAEDTEKIYPLDFVLGAKNGRDVFRNKPEL >PPA00618 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:366055:367905:1 gene:PPA00618 transcript:PPA00618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rheb-1 MTKRNSLTEEATEAVEGIIMNPSPQLSSRNTDNHKGMRYNRKIAIMGYPCVGKSSITLRFINGNFPEAYDTTIEDRHDKTYSWKGRDYALRITDTAGQQEFTIFPRSCSVDVDGFILVYAIDDRKSDWLVHISRRFDVF >PPA00895 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:2054946:2055871:-1 gene:PPA00895 transcript:PPA00895 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGHHGVGKTSIIGASGIILVYDITNKQSFDNISSRIKAIDKNVSQKVVKILVGNKCDLSDRVVSKECGEKLASDHSSLFLETSAKEKINIDAIFHELAENILERISKKSEENLLWKTARMMRSLCRQFGVCH >PPA00736 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1186871:1191691:-1 gene:PPA00736 transcript:PPA00736 gene_biotype:protein_coding transcript_biotype:protein_coding MASATVNTNPLKDGSSKFNQSTSGKKPKAGVKAGTKAAKNPGSSSRSQKSGSGSGKEAGKKRTTGRTKESRGGSSRDRSKSGSKRKSGSSSSGSQKKGAGSKSSKGGMSRSETIKLSCGPDVYYVSSRHFAACSNLIKKELAVNAHARSVDLRQFDKTTVRVLAEYIESKKIKTDISFYSLAELLKFSKVFEMDNLRSQLEKFITVIAANDLATLHQVLLIIGITSVTRSTERVILERAAKNFTGLAAQSTFQRIPFNIVVTLFARCDLNVKNEVQVVDAMLLWLAGQANLPSVVPSLFYLVRHDFIHSIQKAFIVERARALRFPDDAIMAIERCFECRNGTRICVLREHYEAKYARCGIADASNLDAKNPDMPLSLPREAILYKPAATDSETAKTNNRSFHSSEARPYTHKREHSSARSKKAAGKKSKNTKGGARKKSSATQGSSSKRSKSTEKSGNRAKKDNKSGIQ >PPA00774 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1322454:1323161:-1 gene:PPA00774 transcript:PPA00774 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLGYMGLDYMITSVVVTKLCILELYSEPNYKGHELELSGNRLSAQYYPEDPGPEPERRSAFPPIAIIILAIIGVAAAVALCALHSKLHQH >PPA00920 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2191344:2194044:-1 gene:PPA00920 transcript:PPA00920 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKDGSKKPGTREEKVDEKGESSASGRRVQEDQFQELLDGICLKQPVPSLPKEVIDRLFKDQKDRLARSHDQLEKMSKDRNELYAKDQQRKEIEMELERKQERAENRQKALESAMNGQCVLEARQHRNEQEKALDGKRVLLGTSSLLHPSHKCSVCKERTLGSVFAYASSTRIGGTKFENLRQLAALVSIEGDWKNISPQTALEVMNTRTSRDQISSNAITRAYKEGLCIHAADEGKAYDIHGVYFGGENEAMNDSVSRAIARVPVKNTKTPCVALVPMESVLRKCEGSFKEMSMISYKDMVGLEKELDVMRKNGKFPNGLFILFQSNFVKEFVMKINEFCEKVWKNVCTTSEYIAAQSGKAAYEENQKLRKDAEEEALEEASKLKSLRTMEFLAKNRQLYLIKL >PPA00584 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:87071:88641:1 gene:PPA00584 transcript:PPA00584 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQERLFGATAQPTTPKKVTPTFKSSIFGDEPTPSPQRTPKKTIRTIETRAEWQKI >PPA00779 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1355914:1357769:-1 gene:PPA00779 transcript:PPA00779 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAALINDTVPTPEESDIAYFAFKIQDTKLSERVRRLQEAALNVSDEFAPYLVDPNSLHQPLISFRLEQDEVDRKFSEYLRAALNTQGFIVEKDLEENMIIIAQASSTLLQILDRLYPYSFKLSANPYICRGRPPKDFARFWWTQTISYFR >PPA00912 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2120050:2121092:1 gene:PPA00912 transcript:PPA00912 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYKGKEGQSIKYGIWLRQMREGLRALSKFKLPQTTWGNRAHGWARFVLMKVVLEAGRGCLTIEETVDSNGKDDLIVNLNKNKIDSVGVPAVREFLKKLQGYKYAVGPIDLQWRNIYVGQNLQKDKNILFVQPNTKLTDDGGVQLENYETTAEGAIQSVVDR >PPA00717 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1013146:1024705:1 gene:PPA00717 transcript:PPA00717 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPQDIFCLSLHPLQADVPKEDLQEAIMLELINRVNEVGVDINKCVEFSHHSGMLQFVCGLGPRKASYLLKTIRQNSSCLESRTKLVTLCKIGPKVDDTTDPTAALEEVLQSPERLKDLDLDAFAEELARQGFGKKDLTLYDIRSELFCRYKDLRPEYHNKNLSDTQNFKSPAERVKLRQVIHARVMKVDPDKYSVDLSCKSSDLRRDDWFDKDDHWDDEAEAADKRGDEEAQEKKKNAASNQFVKRVISHPNFHNVTYKDAERLLAKMEQGDAIIRPRPSPPTTLTVTWKVAEGIYAHIDVLEQEKINQFTLGKKLLIGGEEFEDLEEILSRFIQPMAANCREVSNHRYAFDSQTEKKDEIEAHLREMRRADPGKIPYSFCPSAMYPGKYSINFLAGKLRQEYFTPLSDGFRFRGQVFGSLEQMLGYFKMNYKNAVGNNGSVYDSHVNSDYAFNSEMTKAGTKPVCVDFFAEWCGPCKQIAPFFEQLSAKYPGVVFLKVDVDQCQNAAASNGVQAMPTFMMFVNRTKKDMVRGADSSSLENMVRKYASGGGGGGFGGRGATLAGGMGSSTSSSGAGAAPEADGGEPSLWFNANDRLRGMGVPQLASQENDICFCTDTRRELEELAQKWTDRLSKFGLRGRFPLMEIPYRSALPSSTSDGSISDSATDRANSAWLKWREVTGVLCDRRMSDRLKGKIYRSVVRPVALYDTETWPATKNTEQSLHTMEMRMLRWSLGKTKLDRIRNKEIRKKIGIAPIVDKMRESRLRWLGHVLRRDPDHIARREYERVVYGGFVFEPAALVLALASLLFYGPFGPVIVLGLVALAQTSASPFDRYVPPGAGGAGPAPAARSASAGRGAASGSAPAPAPTRAFGGSGRRLGD >PPA00829 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1605690:1614880:1 gene:PPA00829 transcript:PPA00829 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYSVIDSIEASFFVDDDEFDSNDYELKKLAGIDRLCIDDVDRERVRLKSQLLVVSKKISTLILEKGNSYTSQMDEMASIRSELIEVVQRVQTVRKYLSECRRKSSYALGVLVVNRQKELLLQLKESLERIKTLHETEYRIHEFSELPYPEIQYQFQRGLYPLAIRVCTEAQDAANSYSHFDCVKQLSTSLSSCSSSLETALDAALCSSICAFDPDRYLQVYGAYRMLGKVEEAASRLVTLSCAALERRARSCIVAEAAQHATPSTTPTDQMSFEKICEIIPCDRVAESLRELGFALCQILANVHAVIALHSEEDERERLVEGEGHAPSLIARTLSSSLYTIFRTALVRFNTLLCCHDFAQLKFDDKEVLQSSNIPVIRFRSFGRLHFGHAGVEIDVSLEKQSVLFFARQHAEKMDELRMFVENEAFAVCPLPPNFSLFDLQEFAFLRQSNGTMELSPRQTENGIGEQLDFVMLTHDSVNPFSTEAVASKPKPSKITKKSNGSSSFDTLDGSVDQGSPTKTTSPKLCNAALMVLRLLGRYIRMTSLLHSVADRSIPAITELFEYFLFAMVEFFARDGTEFADPLPVRLSAVLETVDAKIFRSGNSVLTRPLLSSAVQTSQPDRLFALSERLVAIDSIDFVARQLDLTRPVVESLLRPEDEKSVAALGDFYNRVLACVPDVRFAILNAVGSRSLKLVYPLLVSTIGTTQWNVNELQSKHSTYIDFLVQDLEVFSLRLQHLTLEFPCDEAIRNLLWDRVINAALKAILHGYGQIGARCSNEGRALMQLDVQHLSGRLEKLTGRKATEEIAKIDAYVKAYYLPEKHLEEWALQHTEYTLEQVTSLLAAATHVSKKTRTRIINTLTSSG >PPA00563 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:3033:3371:-1 gene:PPA00563 transcript:PPA00563 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVCGRDVEQEESDDTPSGREEEEKEEEVEEEDELEFLMSALMEEKEELFLSD >PPA00908 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2105888:2108242:-1 gene:PPA00908 transcript:PPA00908 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMLLAARFIEIVTTLPGLALQTLAVMVLHRTKLFGFTLKTFLMLMVIANIWFSISNFLAAIVTTMEATTFRYGFVLRSNSSFLESVQFYAQYESNHALMIVSMSFCMISIDRIVSTNSIAFHDAHFSKKSSSMPFLFTPILMRSYSMLKSSLNFAELTKKFQMKQNIKILKMVVRLAKLMNLHSASVVILIAIPSTFFPDSTPTALAEGLFYMGQGVFSMVLPIVILKDRAVRSSLRTFSIFRSTAATNRTEVLTVRVADASSTTSTMDPPNNNESGWRTNMTGPNRYTLN >PPA00573 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:50017:50348:1 gene:PPA00573 transcript:PPA00573 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPIFLLVLLFSFDSSSALRGGLLRQGRSVQGMEENQEIFDRAVRAPLRPFRSFDGYYMGDYYNYPPRQRPN >PPA00653 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:557108:557800:1 gene:PPA00653 transcript:PPA00653 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGELILAEIRVLKFFNKKAHFFTEAARLVNKVELTAEDMITEDWTKDNALQVDVA >PPA00891 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2026504:2027038:-1 gene:PPA00891 transcript:PPA00891 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTINYKSGLWQIFTLSPSRNALCKLCKVPTEVPRHSGNTNQMKEHVKKFHPAQFAEVLKSKPRLLYRSHLKSRLSAEHGEDQLMLRMTQVKSTQN >PPA00951 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2389052:2389458:1 gene:PPA00951 transcript:PPA00951 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSLFSDSEEISAIQLQVDWMEYIAKELSGHNFVPELMLLEKRKMEKYPDLALARALRHNKERRLAGMIRGSDSIRLHLRD >PPA00857 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1792418:1793523:-1 gene:PPA00857 transcript:PPA00857 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLEIEGFLSDERSVNPLKRNVTSADKRRVEAEVVTNWRSIYAVSAFYFLSSITMHAISANAYPYLGRLDKNRSEAWFGMCFGASKIGQAITLVIGSILTNRTQKYRLSFLFGRLLCIAGLIGYGVAGMFVVNNRKYLILGAFFLVGASEVRLGPVI >PPA00696 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:873066:879996:1 gene:PPA00696 transcript:PPA00696 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLLASTAFVSVAPFGIEHSVYPGPSFDRILERIVTEEAEKINRTADEHAAYCTVRLSWQLRFLISQEEELALRMETAFFVPDEEIRFNSTDEVLPYFAEKTPRMFSAYRKFGEYLSKKMHELNVGQETVDFMSTIERAILESALSASNTPRDLTAEQHDDIAEQLGKNLIGTVIGSYKALSEDGKNDVEKMWCLRTVYRVIEATGKAGEIKEFGKEVAPPQEMAWYHLPSSPRVLSNFLIRRILRDKELTSCDATFATKSMQLIVPVLPYFREKTPHMYSAYMKFRVYLEKKMYQLNVGQERVDFMRTLEKDRLTWVVYASCSPSDLTAEQNIAVAKQLVIDQISINSGVKILSYSFSETQQGKSGADRDISKGGRKMRSHRDKQHNVITPEDMFDALNATLQLRATSVFLAELVENSVSSTKIKKITELSYFEYHGSDAKDLHTNATLDIKKQGGKLATAAVNIEDRKRILASFDKNPGQYEEPTFWLLPHEVAPMLDIEPNARDDDIVTPNRPDPSNPAGAAKQSLFYCRDCGSSFILYRNLLKHIEKGKHFIRPEHVKLLDRVLGLFMRAIEDTLAPEPLSPVSDVVKAFKRASDPELPQGWAIKHGRKVGRYSEATKAFVKAKFDEYAKRGAKLKADEAERLMRADRFIEPKDWMTKSQLRNYINSLKSQLPKMRAWRRQVEHEDMDDEHFEVEVEPSDEDIVITEEDFHRHLTPTMLKKFFSDVDKPATSGYKALREEGRNDIEKMWCVRTAFRVIEASLYIGMVDEYLKYVYASNQ >PPA00610 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:279995:288664:-1 gene:PPA00610 transcript:PPA00610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-340 MSGRVLLRASSFDSHTMHYPLLGGDGRISKAGSSESLALSEAQMPLLDRRRFVVLSFFDFMLVMLLWFICTVSSGMDIGDAFIKEIDIFKETFMKESLFDVVLIVALRMIVLMVCYGALRFTTWVPVAVTTGFSSAYLLIKVIFFFSHKSSVTLPQYLIVLASFIVAWVELWFMPFRVLPRERHAQELAEVTSVTSSRPASITRPVIPFSDDEFRSALEFSSSEEEENLFEILELYYPRGMYNASTSTVAANKGKKGSKASYIKAACTAQERTTRMLSTAVTWRALRPHDPVVRQSDSGAFYVRSTFPVTAKELWEAVWVRNLEWNDQVLDAKEVLKVDERTCLFYSVSAPAMRGYIASRDFLDVRRVDAEPELGEYSGYFMSVDSHLLPPNTNKKIVREPCLKISITSP >PPA00949 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2382143:2383418:-1 gene:PPA00949 transcript:PPA00949 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPKCKCYCTNCAADSTPFADRPVSPGEEGKISQLTEIEEIIDFRHPNAAKMKSACDVPEGEGFFFVKWKGFNQKDWIKGDCVFDKGLTAIRKYFLKIEEKRKEQEKVYLDKLTHISSLYDIYSAGIVCEHLNDYKVKIGGLSDDFLFELAHPMWGLNITKQFEIVREKGELFVWVNHLQVRSVFRTDVMEWEKKQHTRPTQEEIDAMDWIDFGRYLVRKDQGFYYGSEGVNSSSEEGSCEAGEV >PPA00702 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:912584:914125:-1 gene:PPA00702 transcript:PPA00702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIA subunit 2 [Source:UniProtKB/TrEMBL;Acc:H3DTH1] MATYQLYRNTTVGQALQQTLNDFQAEDIISRALAERVMATFDKVINKTLNTKAKNKMNFKLGYAEKLRAYRFCDNVWTFVMEKVEFREAITAPKERLKIVACDGSNKTLAPPAAP >PPA00898 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2061815:2063627:1 gene:PPA00898 transcript:PPA00898 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGCREGILPIVHDHSPNLAKSPEVRIFKSGKTDTDGFECGKPAHTEATGTVEGDACSRITTEQLELNCKPGDDYDECLRKIHCTGKNCTSKVALTYIAAKLQGAPLQSDQLALFRFSIYESLTTDGSLNPENYAARHNSSKATTFNPRIHSKRELFGHLRKMFLAICCIIIATAAFYKKVSRWINKLNGKKRKTAKVVQPRLSREAVAKSPEDARIEEYLRGDHLLFEWTRPQQLLTPFVCFPKGFGIDQERITEVEEKPVRCNQTKEYLIVSA >PPA00853 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1770518:1771359:-1 gene:PPA00853 transcript:PPA00853 gene_biotype:protein_coding transcript_biotype:protein_coding MPADSRLITNNKSVEFVGKKEFHSRLPTPSRRVIPMKGRGGGGPPTTTAALPLRATPNSV >PPA00819 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1554603:1555419:-1 gene:PPA00819 transcript:PPA00819 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRLLLIVILLPIVSSESHIRAKRCLGCFQLPRFELPRLQLPCFQLPRLQLPNLFGCGGLFGGGGGGGCSYGGCTGGGGNYGSYGGGYVQSPCGGYGGYAGPCGKKGNQIVLVGPENFFDR >PPA00747 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1233554:1234596:1 gene:PPA00747 transcript:PPA00747 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIFTVADRVGIVPRERLRSAWPIPFFGSPSAPRAFRQGLAADMAHGSPSRIVINGNETIASAETGKKALVELTCNQKNAKWTTLSGKSVNTISCK >PPA00865 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1826955:1847644:1 gene:PPA00865 transcript:PPA00865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cdh-1 MREKALLVSHTLLLVACVSGHDPSSHIENSVSTWSEDGWCGTSPSKVRFALKGDGDGLFTVDQKTGQICIKKALDFERTHLHELSVDLIDEDVHSPPSDSCRVSVRVEDVNDNAPLFSPLLYKMNLRESESLPSMPLLRVSATDLDEGSYGEIRYKLVSTSEGLFHLNSTTGELSSKQRLTVGLYRMEVIALDGGEKESIETAMVEIRVLPHGAHLPRFVTRSPLVVETSEDILPGIEIGKVEAVGNSHIHYSFYSGDPSHFFAIDGESGRITVVKYLDADANDAVLLNVQASLNGGENAYTQVLIKIEDHNDNAPSFPSESVVVRVREDHPLEKPFYVVTSRDRDSGKNGRLSYSLLSSYPIGPFAVHSLTGHLRLTAPIDFETVKLYRVLVKATDGGMPARSANQTIVVQVIDVNDNAPIFEKAVYSATIVENSAVMSRVTRVKANDVDSGMGGRVEYSLSGADSTLFSIDSRTGDVYTRKELDREEREEYAMNVTAMDKGTPSHSTSSLLRITVVDVNDNAPSCSSVVPITVSATADARKSIGTIVAHDRDAGANGTLTYRTQQHNGLFLVRANGEVFVRRSLQRDEGQRVSLPVLVSDGGSPAKSTLCSIKNDPHQISIIISSGEPAIALEGDLTRDVILPDECPTLPCPVIRINASGVVRWRLQSSDISSSLSISQSGLVSQSSPLSTHSVRILHVVMEDKNGRQKTLNLRVHPPKLRKSSGRVIRVPTSTSIGALVATFGDEKEREDGSAVYYEFMNKTDVFELDSSTGALYVVAPLQRLSGTVQSLVVKRNNLENQTVFNEAIWIEIEGDLPVRPSFSEQIVRKSVLETAQIGLVVHRLVAIPSEEGAGLILYRIVEEDGVFSCDPATGEIVVAGSLEAYAGLEILLNAVADIDGIEATSVVVIAVQDENNHAPVFKSSPVISLREDAPSSSSSFHHIVAIDDDVGVNGRVTYSIVGENEQFEIDAETGALSLLARLSSDAWVTVRATDGGSPPLHTDQPVHVVVEKAKWRSEDVSLLLLPRSSPFSVVDGELKAKGQLTEMKYSLTAFAESASGNTDWMLLTVDVGEAERALPKIASMSCQSVTIPENQKIDGFKGVVAASRGVNGTFHIKGGNEAGQFAIDPLSGLLSCEELDRERKKEHILVVGLKDELNADSCTIRVRIRDENDNPPVLLSKHREIVLRDAEEGTVVATLKAEDADEGDNGRVSFELQSDPSRVLQVERDGRVVMARNIPYSAREWPIKLRLFDHGPSKSLESIWELTVKDERKKKDGDPSKPSFLRAAYYGFVEEGRPAGVQVLTVSTTTDRDAPMTYSIVQGNVDLAFEIDSHGLITTAQELDSEIRTSYSLTIIATSPSLPSSSPPLSTLVSVGVLNVNDNPPTVQTPPRRKLSEGTPPGTFVSTVTATDIDEDSLLTFAIDLPSDSFAIDRFTGVVHTTAPLDYEKERSIELPVSDGLHPVRTFLSVSVLDDNDNPPVFSAPLYDFVFPHTLGRNSVIGHITATDADSDEKKQIEYTLVSPDPLPFKLDAKTGALTAIDPSLLRSSHLFSVAASDNGTPPLRSLAAVRVRAASGVTHAPGFRQLSYRFSIVENAPAWSLLGNISIAQTPMRYRISDPSASSSFFISDAGLLFTKVPLDRETLATHRFRVDAGDSWHDLSANSSTSITVEVADENDNEPRFETINPIVITEEMQRGDVIQRLIASDPDAAENGSVSYRIVVGNDYSVFTLDESTGALNFNEWSDAQLLSSSTSPFSWKMVIVAEDGGRPGRRAMQTVHVQVAASSWRGAAPFFPLPVYNVFVLESAHVGMVVLKSRPISRVGVKLDGPTFTLHDNDGEKFTINAQSGLISLAAPLDYETRQQYTITLSVTDAHSRSAVSSVIVHVLPVDEFAPVFTKSAYTFMIPQDASVGSSIGAVHASDADEGRDGRVKYRLSNAGGNRVQGQMVDIDEASGEITLRRRLEKDGNRTLEQVTVIASSGPLQQASTIVFLEMGAIPSRPSSHHSMVRTSTLLIGVVLISFFALLILLVVCVCLRVYTIKRDTASSNHVDIQRESPTFEPPTMQPPTLRSIDPNRHSVRDLLSNRSQPDSGIDPDNCSINSSITEYLASIGVAPLPTSALQNRMRNQRREPETEDDRAVNDVIYAPVSEILTPGPLNLSRHPHYLDAVALQIYDFAAQLIVHFLSTAQSTNLPTARDTSRADGRTD >PPA00578 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:62411:72516:-1 gene:PPA00578 transcript:PPA00578 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPFFYNCAILLATTVAVMMFLELSEIAKISSRVSRSKQMPQKRTSQWIVLASSQARTETVKKLASLPDWTLVVVGNEKTPESDLSDLPGVHFLSAEIQASLDFASTHALPSSSQSRKNAGYLYAFANGAQWIYDTDDDHELYGKGVDHFDYSLERTRGLRFTTLEWPNATIQESLFNPYRHFGRPDIWPRGFPMEMVKNHDHHDGAYRLCRVQRPPVVQQGMVMKNPDVDSIYRLQPDIASDENFNEIAPPVILAPGTYAPFNSRNTLFSRSAFFGLFLPSSVPEGIADIWRSYFTQALLHLARESISFVPANAIKRGNTTSFTKGFDDEIKLFDEAGEIVQFIDEWECGLDMLDKCTIELTMQFASRGFWGEKDAKLIGHWVEDLKKIGYVFPALRPGARCEYPIGEDKDLRKNCRRAHVVFSTDLPMKKSEPAVERAEKKIENFGDLKVAIVTNNWQWTLGMGMLQRMYQANFAMLILCGHYPKQGKDAEKKEYPEGMSGGDSHYPNLKRPFNYIHLSNEEVRWDYLMYYCLAKVEEMKIQNVKGYLMFSDDAITNFWNPLNLDVMQGTIRGAITLGPWNLMSLTTKTLCLKNKHVLPSACNDVLKSVVAKFNASQLITPRQQVPLCRPSTCSPHPSRMNRLVSLALVGLLVVAPVLSDNFESALEAHPHMLVEFYAPWCGHYKLLAPSTTRLKDEGSEVKLAKVDATVLGNLARKFEVRGYSTLTFFRAGKTTEYTCECLFATRGRDADAIVNWLKKKTGPAAVTIESSDDLKAVILVNRVMYNRSRGWDTKPVKVLVGKNFNEVYKNSGKGLLFKFYVPWYVEIAMKTYTCEHCKSLVPLWEELGEKYGTSDKVLIAKVGSSHIEMGETTEDVKKEEHTELWPNQQFGFNAMNRTIELIPRVRNTCKYKSDPEVAVFERELMREIGRLPRVNPYTKKNITDAYEYLMVGDGWTVADWMFVPAANILFVAVFAQLAHEGELFHELFASKMMHILPSEGTSGPVRVDLWNEDRNRWARFYSEHKHALHPVKLSEFQKMPAKAQFCDVVLDSFYKNIFKIGNRTK >PPA00681 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:799039:800912:1 gene:PPA00681 transcript:PPA00681 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPTRAISLPNSIDLESPLVSVDVFERTSSGEIGAKIATRLVTVPLNAEFSHTTVPLDSEDVQRWLVEGGASLYVVANNEGENLAVMPDDEDASLKRIVLAMKVSGVPRRRRRQAHCTPDMKEKSCCLYDLIVDFEQIGWKFIIAPLKYNAYMCSGDCASTKKETVYGQLDATSRLQATNYNSACCHPDSFDSLDVIYLNEKGEVINTKIPNMLVTKCTCG >PPA00644 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:500611:505725:1 gene:PPA00644 transcript:PPA00644 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLCTLPLPPHKGSINLRAPPKGLERERPPKTTMAKVEVQIRRCCCCGLSMGATSIALYCLILFSILTGLAAWGLSDTNEHGDASHYNSCELEAQGKINAVKMIKGNSCELEAQGKINADNRKLVFTGGQTTVVVEDSTSYHCSFGLYTEELKYTAQPRYLHLIVDILIYIGVILCSLLLLVGVCTYSYWCLAPWLVVMLIEIVRGLISCFFMFWYSHGNLARLAAAIFFTGLQIFHMSLWVLILAKFQRIYNIKHGNYIDRPYDTRVYPGGGVCPAPSTYAYSPDMRRADFYPPEAGHAPAGTMAPPPPPGSYYADQRYRY >PPA00639 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:469260:473043:1 gene:PPA00639 transcript:PPA00639 gene_biotype:protein_coding transcript_biotype:protein_coding MPMESGGSFDTKYNGGRTKTAQRYVFEIDADGKNLTDLIGSVIEYKEKSTPISMAVNDTELPELIDGLTMPLVHRTIEPCPDTRVCVIYVQNEACTTHGHDFPEPSNTESSSEFPMRSEEIFFSSNKTTSYKFKRYSFEVEAGGQELSNFIGPVVKYYYREAEYSLNDTSFAEQIIKLKTPPEHRKLEPCPENKACFVWASNSTCNRPTYEVLFSRLDIQPITPKKWSVVTTSREKQKIDEIGFNFGKAHFEFLNEKKMKVTWIRNGSESATFHRMSHNPRTDVFVSEDEKTSWTFKRYSFEIEAYGEDLIDFFESEVIFNRRGKLTAAVNDTKYTEELKAQTAKLGQKPKDNTLVLVLAIGAGIILILIILFVIAVFCYCAKRKARLHDYDARNTYRPITSNSESI >PPA00923 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2198297:2200025:-1 gene:PPA00923 transcript:PPA00923 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHVPDERMEAGIPHVARRRGVGLAQLPKAGSFGGVPVFYRNNSNGVRPVEEMRTRPRNGTLQLRSESQRSRPDSQNGMLDSSEYYGSGASMAPPPDPYFREDGYRPEYNGNTMPAHAYFQSDPSRMLYVDTYGAPVGAPPMDYGSGGYYYAAPVSNPIVPMQPVQQQQQAYVQQPDQMDQLAREMSGASIASSRQSQSPPQPAPRPVVAQPPAYYSPVPAAAVPVYQPAGFYTPVYTGYAMPYDSPMYFAPVHYSYAPYPGAPSPYHPMTYHNGTTYYAPTVYQQQNYGVGYYGDQQPQQHEEYQGEEETEQTPQAEGQEANEAHPIDNHH >PPA00968 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2461419:2465485:1 gene:PPA00968 transcript:PPA00968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pph-6 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3DU87] MAEVADVAVDGAQPRFGCMPSTASEYSQEEKEHLVSYRGIRISPLHWVEQAKQCQYLPEAEMIALCNALVSRLTEMPNVVSVASPVTICGDIHGQFFDLLQLFTTGGQMPDTSYVFLGDYVDRGRHSLETLTYLFALLFAYPDRMVLLRGNHETRRISQVYGFYDECLNKYGHSLVYRACCRVFDVMPIAAVVDNEIMCVHGGLSPEVRTLEKLSILDRAHEPPSKGPLCDIMWSDPDEEEEDWMMSPRGAGWVFGDKVTTEFLDSNGLSLICRSHQLVQEGYKYMFDGKLCTVWSAPNYCYRCGNAASVLALSDGCQTKQIKVFEASPDEPVS >PPA00764 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1296887:1298887:1 gene:PPA00764 transcript:PPA00764 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSLLLLCLFTITFCCHVAVINRCAFDIRSKEKLIATGHRAAIGLDSIGFYVKNASNEKVIGKIRRDTMKNKSLTMQNAVVVLSDGVSGCIGNDGKNATMTSVCCRESVACIYDYIYPSAVPNSSSPFLCSVNQLTDVDDMDN >PPA00969 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2466372:2467936:-1 gene:PPA00969 transcript:PPA00969 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDPCECLWNHEVAMRRLLSLLRNSQDECTDGDCTGPDGMFNGPQGMMMWTLLWGVIAMALFFLRPRSLRNNGPDATDPAQKRPGGPNDDSHNEPPPPGVN >PPA00755 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1256340:1257208:1 gene:PPA00755 transcript:PPA00755 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMFIESFVEKVSSSDFLQQPKWDVNDMEKLIGGFTKYVTNSTTSLIFKTLGTPQKMSKISYPLLHYPLVLLAMTLVPVLAAINVAREIAVEKESSMKEV >PPA00952 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2390270:2394653:1 gene:PPA00952 transcript:PPA00952 gene_biotype:protein_coding transcript_biotype:protein_coding MIPMFDNFPEITEIQKKIDWTLFLAKAIDDGQNYYAPELAVLEKEKKEVMKKVIEEDFAKKYPDVEIAHAVKRDAPRRKIERRKKRENMKSDEFRKEGSLRIRAGRKIMIKKAGILKVQGEVERLKRETFADDCRSIRSDADERMASSMTPKGNRLWKMYVDNKEKEGEACKHSVASLVLKYSRFAEKKMHTIKDVPAEKVLFIYKHFETVHDPASIKQIQKKFSEFDVTFGTKGNVIKYSKRDAEKRDDEKKGDGKKKGDGDKKDGGEKKKVIKRASVEFIEVTPSKKGRTEDDDGKEMNTAEATLQKLESIMKDNEKSTEDKMNEVNSVLAVPFV >PPA00815 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1539603:1540443:1 gene:PPA00815 transcript:PPA00815 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTLAKARYGLAPPNSTVKVLRNGVIYYIWICNETNCTTYPNGTSSQPDDAELMWFLGKFGIHSLTR >PPA00631 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:438542:439083:1 gene:PPA00631 transcript:PPA00631 gene_biotype:protein_coding transcript_biotype:protein_coding MHCYVWEFHEKVHGYGKGVPDCFYGNYEFVFAKLDSEPINLTNWKVWVALADVKYYTDFYKDFK >PPA00930 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2250599:2253684:-1 gene:PPA00930 transcript:PPA00930 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTTATSLEHRLKTDKSLLIRILLGFSLHSHFSSSSSQSELMTTPSKIVALVLIVFSALLLIGALLSTVMLTLKKNYREKMINQYIATYATSFVILLLYVLLFGIWGYAIEMKSEPDLGPSFFLTLVAAIFMLLNLMVAAIIVMICSKWGNADNRPPVSNILDHPPVPASVCTWLMIIVNVVYFWKLVDT >PPA00607 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:244850:247598:1 gene:PPA00607 transcript:PPA00607 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWPVIEAFIRQMRRNEKAIRRDGCLSLFSSAEDKKSSEDSPLIAPFCSESRAAKVFYRESTDPAILLLTGNEVDIQYRYGGAFSPRSALIITWTGPNAAVDNIFQSVLIIGERMAFAHFVYSRLVSGMEPVAGFSSASFTYDLPGSATGEAFKLTDKSDIGIPGEWLFRVDGSSVLLCGAGFKGEECVEECAVDEWGEDCLRRWCHCENGELSL >PPA00662 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:681983:687375:-1 gene:PPA00662 transcript:PPA00662 gene_biotype:protein_coding transcript_biotype:protein_coding MPYFTNPSIPGHKVDLSTKPLPSSVEINDEVPESYARYAALRSELNDFDVTIVVGDEKIQAHKAILSARIPFFRGLFDSMMVESAAGKVTLPNFNYPTAKALLDYIYTGRIIIDECNVEDLLMGANFLGIEPVQYACGHFMVKRLRVDNALPLLMVCKSIGYHGLDDLVHRFIDKNFVSISRTPEFLNLTVDELEGLLRRDYINVDSEKQVFDAITNWMEEIDDRSTYAKRLFKCVRCHRLIDSDMNDVSWTPWRAPIINLHELLDKAKEQRRNPSLLTSFDTKERRCDEAHNLIFAVGHLSSTQNPEESGMEFYEPIRNTWSTCQKLPTIRGRNGVAVVGRKILAIGGYNAKERLQTCDVYDTETDRWSPAPTLNQTRSAMAVGVIDDKIYVAGGFDGQHALDSMEVLNTKDNAPVWTNVMPSMGKLRGTPAACVLNGMLYVIGGHDGSNIHKDGEFFNPATKTWTAISPMKDKRCRFNAAVLNGQIYVAGGYDGAAFLREAERYDPATNTWTVLKPMNERRSRPALAVSCGKLYVFGGFDGLYNVTTVEMYDPATDTWTNRANMNAHSGCVNIGCVPIPASIPSPVANLEADDLRAEAVAV >PPA00621 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:393385:397582:1 gene:PPA00621 transcript:PPA00621 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGHVWDKDFCTKTGGMKYGRNYAGLEILPVPPKRWEIKMTIIHCHMSCGDDPVARLIGKARLEAAQCYGTKQEKLIDLLNDDIAYYGFVLDEGEDIHRNPHQKRRTKFSDRNDIWKSAKQLSCRGMKWKDDNGNSADLRSERDLKCESGFDLEVELKKDWIYHKDVRYTSISEPTSWKLLDKLECIENQLRLTNQTKRSDIVPLNVRCMKKKKCDLNLFAQPASDCGADNCGPNTRTIFGVGDNTSDILNFECSKFDNGASFWKLNGTSAAKKLEIKIVFVAVSLEKSRYRFDDDWTEMKGVSELQCLAGSIVENGKRKNYDFQANCRMKIPKSAAAKSKLALYLGVMFLILLLTVVIIATIIIRVSGKGWLHTMFVLVVIKET >PPA00940 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2317097:2317924:1 gene:PPA00940 transcript:PPA00940 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVKHIFLRGEIKIDIAHSFLFADSSIEDIVFEDVEGKFSNLFLSDHEATNVAILESHLSITSKGKPAKQRQKCVNSGMQLLVTNSTMDNFETTNFDFERIDIRYSTLGRLRSGHGAHSPPCLPSGKRRMRRLSIDHSMVEAITEKAFASADWERVEINRTRINEIQQGAFEGSKNTAR >PPA00801 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1487194:1489248:-1 gene:PPA00801 transcript:PPA00801 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVQISLLISKSARIAASVAAALGPSISGLDWTSVSSLCGIIESLMDNDQALDLKIENAKADLAVMRAACGISDFKGIATVHFFEAFLGMVGVFRAGGFTGVHNGCNGNGLFVDTFITPKMEPETGDEHAGESEEVCELL >PPA00752 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1245190:1245917:1 gene:PPA00752 transcript:PPA00752 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWRNRQRVRLLTERSVKQYTLAEQCAKDITPKIIDEIDIVLKYALYRALVAQMSGQQIEMERMIRSLDWKRQQRVYDHFLVGTCEPYKAVIKSHENMPLPMPIKNNATPQPIVSVHTN >PPA00667 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:720789:725104:1 gene:PPA00667 transcript:PPA00667 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPRDVSASMARYGPLREIGEGSYSTVYAGLDKEKELLPVAIKACLKRQIVKEKKAQYVHREKNMLARIAAATEGGHSLIVTLYATLQDEAHLYFVLSLAEKGDLLHLMLKQAGKRFPLDATTFYAAEIAAAMQFCHSHYEACESLIIPPPIRSHSIVHRDIKPENVLIRASGHIMLSDFGSCKDLLEQLAVQPEAVADAAAAAGPSRQRRASFVGTAQYVSPEILTGDRTTRATDYWSLGVVIYQLLTVFPKEELVRPEV >PPA00720 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1074854:1077275:-1 gene:PPA00720 transcript:PPA00720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mab-21 MDIMKQVEQHEPRFIPTLVQSEATGRYEGLIVHSPSEYEVILYLNQMGVFNFVDDGSIQGCAVLKLSDGRKRSMSLWVEFITASGYLSARKIRGRFHTLVAQTVEKAPFRSNCRLLPETSDVRIRIDDQFTVQITCAFRCHGIWPRSAAHWPGQAPWPIPQAVLQVKQEGFDLCSRDTSLQIVVPKGQQANASSMEGDAFAMCMFTSESLLLIGQRRRCLAMLKCLRDTHLDVPGTPVTNYIVKTLLLGECEKHPNEFEWEDECLGDRIIGEW >PPA00838 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1643749:1644520:1 gene:PPA00838 transcript:PPA00838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cdka-1 MGKEGWQDVAFINPANLVFVFLLMRSVDEDDECLCSLDGLHQWVLMCLYIAYSYMGNEISYPLKPFLVQKKN >PPA00788 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1398034:1400438:1 gene:PPA00788 transcript:PPA00788 gene_biotype:protein_coding transcript_biotype:protein_coding MAICSGFSPLSVALTIYVKHVQFVTFYENRRSDFFWKFLSYIMTAIGLLAAFGLSLVGDFSEKDLSDVHNAVADTCVLEFDLFFPPDANITAYNGDDEPIFLDYDSPFFAHHTVATSSEWALGILIFAYFITVVEEFRKSSLTLPSINFNADQLLPVEKRPSVENQWN >PPA00856 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1783504:1787106:-1 gene:PPA00856 transcript:PPA00856 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRKLENKRSLFVVTGASRGIGREIVLQCAARAAESSAFLITARNETALMEVKAEIKNKNKNARVWIVVCDMADLNDEAKKGFDTVMREITEQNSYESLFLFHNAGYLGDVSKKALKLNDVENWSEFLNANFVNMILLNNLILKWITNLTCPHRYIINMSSILAVQGFVSFTQHACGKASSMRDSSSLISLTISSWFARKNDNAAREAFFRGLAVEEPSLRVLNYTKGGFLGGAAREAFFRGLAVEEPSLRVLNYAPGPVLTDMYKIVQEKSYDPTIRNAYTVNENGHSAEKSDVHVAQLTPEQTVTKLIRILNDNQYESGAHVDYFDDEEQSVSDSRMSSLEQSSIDNLKDENEEREEKKGSKDNEYCLEGSQSDWLKPVDLRLLVIESRPIRQTTPPESIGLRRSGTGEGRDI >PPA00769 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1311121:1312592:-1 gene:PPA00769 transcript:PPA00769 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDGTNWLIYMDDSSYLADNSDPDPTSWVTFNTISCSQIEYTPTKINENPTQNENPSVRFAFIMNSIPVHPPHIENTSPYQKTNT >PPA00868 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1859199:1861085:-1 gene:PPA00868 transcript:PPA00868 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVQYSKNQKLTAVVYVSSSERSNHGKPGARLPGFDDHCLGCIVSISLCVHCFIIAYTGDLAAEDRKKAAAATAAPPPVPAAPPVAVVVQRDVDSDYDSGDDACDVSLNLFIPRDFSHVEAEPAQPAKREIAYRISGGHGIPGQAGGRRRKAYDYYGGFQGWDFRKRRDVKA >PPA00893 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2043962:2046221:1 gene:PPA00893 transcript:PPA00893 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTLPVEQTSCMKCITEAVPPVTKCTNCEPPIRAKSGIDSECSNTLTDVQCSHHSSNEEQVWIGRASDGRTIAIENAACFITPISCISLGSFSTRCPRGFICSEVKMNDDQSLVSCGEKGWKEKLKNFFHIYVNRKSLWFRNGINWEELTEDLKCDPKLGAWVETIPVKTEKDGVRRSIITDRLICGKKEFKLFGKMVIDHYLAELCVLTIQICIPFLICIFCEVRNRSFLRDTKTYLDEQFKYGKESKREIKEKEKATVKRLIDRWREVNKFVVEKNKPIVQAYMKKEKGKRR >PPA00848 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1719781:1723562:1 gene:PPA00848 transcript:PPA00848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-bed-2 MSTLLGENILQLANRLQQKQQMLYGDSPSSSSPPPSTSLDHLFPIKEEIRDEDPTTPPPSQSQSDSEPSPPLVQQQQSTLLSALSAMNSASPQLNDAPGGQSPWMRNAGRKKSHPVWEFFRDLKLENGSSSVLCLHCGWTGEDRSPNNLRTHLKKSHSHDGVFQKFSEKLANTPTQPYVKRVRAGGILHSSQSAVQQLQHTLQQLPGSDVINIDEMMSNFLDSIPKMEEVINITASFSTPADHDDNDMNGDSHELVNSRSSSSPSTSDPAPPSSPALSVNNHQGGPAIVAVNGVPAVTTTTTTVPSLAGLNSMMMASNPLLFMSALANASNGTTPTVSSSSSSSISSTPPFFLTDVSCVTILMKMAVDLDLTFSYHKRRGEVEITFESNRTAEKSGGRGKIVALSDIGKDIRVSERVNGSNTESELWTKTDVQQFQWAIRGKCTKLLK >PPA00718 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1025817:1046700:1 gene:PPA00718 transcript:PPA00718 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEDVNVVVDAPLSAPPAPAGAPHAPAAPDADRKAEAAEPEETVPPPPRTAENNNALAEPTFDSFPKLIDSAVPEPEADTVEIPSGTPEKKEEDTVAELEEVMEEIAIESAIVDEVKEEEEVTVAQKKEERSTVAPQQADTPLQQLHSALAAAKEQQEREQAEKRQREEPVKQSPVIETQPQSIKDVEVPAATVAAAAAPQAAPAAAPAAPAAAASPELPRQLLRTTVILSSDEKPAETFARLAAGMRDGSIQRNVVVDTIFNVLVAGAFDMEARFTIDDAANIERMLQLLQIGDLSFQAEVWSVFVAVVRKSIRNVEMCSRVGLISRLLDLLQTAPALLSDIYLQLVALVGQYSITVKETKRYLRALKVTEEGKWRRNSLRLVDVMRTLPKQDSTDVFFSFPGTPGAGIFLPPLRSFPYQAGWTFAAWVRMDPPNGTGFEKEKPVIYNFRSSKGASYSCHIVANFIVISVDKGKAGTPIMEKWHHIALSHNYSRWGRSEVACYVDGHLADTVEMNWAITVADTWPQCAVGSAAGGVAAEPPFASFTGQMAGVYVFAESMSLQQAHSLYCMGPGYMSALRHEAECDLPEGYKKFLFDGRLHSSLLSLYSPKNCHGQLCLYTPPHKAAHAHYVQIAHAVMKGGVEVIRTHSLQSSLQSVGGMQMLLPLFDQLDAEVEEGDGEEAIDLCATLLSIVTRLLECSYTFQQQFVHARGVLIIAHALQKSDPRHLTVEVVQCIASLARLCNSAASGPVLLRHLLDHILFNPKLWIMADPAVQIQFYSYLSLDFMANSKFPEHIKRVATVIEMCHTLKHYYYVVAARYPSDWIPEQRSKTLSTENIITIRGSLLGLINKLILLGIPDKEAIVPSPMRDQEIHVLLNLVSTVHENDNLYDVLALVNRLLCDYPQLLIPALDRDHAIGMIFSLLAKPSPLIRIPALKLLGHFLARSTMKLTQDGGNARREAVGANNALALLSDRLAMHTPHLAMPIYSVLFEILTEKMTPQQMYTDHMPPPKDSRFENPSLLKVISQLLINSDDSQEAMTVRKTFLIDLITMCKDSRENRRTILQMSVWQEWLIQLAYVYPLDEAQSEICELVYDLFNILLHHAIRHEYGGWRVWVDTLAIAHSQVSRERFHAEKAAATPTKSASSGQPSPTGSKSGSSEGKEAGDANGEEDGEKSTPDTPARTEPVYRTPEFSWSRVHLRLLRDLLQSIENVIDEWRTGAAQGTDPSNAVSDAVNHNDNHVFVSNVVHVISQLADSLIMACGGLLPLLASATSPNSELEIKDACGQDLPIEAAADLLSRFASLADTFVFQSSVSLVELEQEKNMPTGGILRETLRLIATCSVRHILACRERPPSFALDARATEKWDSITKFVKGALNARGKEGLHDMEHLMQEVDLTRIKGVVYRDMEETRQAQFLALAVIYLLSVLMVSRYRDILEPPSSPSPFFNSTNDKEASEQSSPSSSGMLPSSSERVNGAAGDGEKEENGMESNGVNGENGNDDTDSDSAIKKEKTEEKEVSAIRVQGGAEGVKDANPHSETELPRLAARSASVSSQPPAERRAYLTSKLCTALESTSPLLREIMTDFRSFFQKTLLGTHGQEIMNDTKVLETLKNKQGSVVELVMLLCSQEWQTSLQKHAGLAFIELVNEGRLMAHATRDHVLRVANEAEFILNRLRAEDNAKHELFQKKAQEELETRKAEEARMEHVLRASRRRDTLVATRLLDKAKGVLTGPSGAWKEKEKEKTEEFWRLDVWEDDSRRRLRFVPNQWGTRHVEEACETAYSNDEKRDGREIEEEEIAKLAGITPGRGQVVELVDESDIEKWATEVDAPPPTVYAHVTERICDFD >PPA00833 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1622470:1623926:1 gene:PPA00833 transcript:PPA00833 gene_biotype:protein_coding transcript_biotype:protein_coding MQFRTLQKTHKWRDQSAYMFANKKRYENIPERRDLEKRKMRRLKTNNRADDLPLASAGDCPILSDARSILPPYSPSAGDESFLDKLLWENAARTDAGDYSFLDKTDTEDVQTGRTYTVDERTARSSSSAVSRYYSTNHKSSPTTHDEIDLFSVLVDGLHSIALLAQYLLENPVVNQALTFRPPMTFLLQALTTTIPINNIY >PPA00672 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:741413:743003:-1 gene:PPA00672 transcript:PPA00672 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKARERKELPENLLCKDRNRRIPFPELQCKRLSLVVYDYDRLSKDDRMGQILVPLDSVDFGTTTQMTRKLGKPERDSDAENRLGDLCFSTRYRAATGTVTVTIMEARNLKKMDLGGTSVIGATAFV >PPA00805 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1505983:1508446:-1 gene:PPA00805 transcript:PPA00805 gene_biotype:protein_coding transcript_biotype:protein_coding MHWAKYCPVKQFCMRKFIPFNKISGTIRLHRLSAMLFSRKSSLIFAFSTAAVGIAFSAPNLSPCCRLIWFFDDWVSSYYPFTTWYIQFDLAVNITASTIIIICYAFVCWRVRQVQSKARKGSKNRRELRICLQVGLMCGVYIFNFTTWNWIPYLATSRWLNAFIASTFYIQSALHPTIAFLFNGQIRNECIVLLGIKTRSQISSGLVNPSNPSGTVNRTTNATVVSARQ >PPA00725 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1095754:1099646:1 gene:PPA00725 transcript:PPA00725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vps-29 MVLVLVIGDIHIPHRAFSIPAKFRKLLVPNKMQHVLSTGNLCTREMLDYLRSLTGDVHVVKGDFDDDTAITKTASDSKVVTVGAFRIGLIHGHQIVPWGSDKALSTRAIQLDVDVLVSGHSHVCSSRVHKGILYLNPGSATGAFTPLLTERFHGYIWC >PPA00757 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1271891:1273582:-1 gene:PPA00757 transcript:PPA00757 gene_biotype:protein_coding transcript_biotype:protein_coding MTQFHYTEHYWTVYIYKTVYVLKAFSVSTYVLYIICNGIFTTLTFRELIRLQKDLKGVATMQKIMVTQRNLFIVVTVCSVSYLIKALHQFAIAVSTFLSLDELFKHLSATLR >PPA00617 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:355649:358029:-1 gene:PPA00617 transcript:PPA00617 gene_biotype:protein_coding transcript_biotype:protein_coding MGACASVNARRHAKATSRSPSAGASTSTSTSSPSSKRAKLGLEQQPPAVAAAAAAAPPAAPAAAASVAATTESFFASLVPPLPYDSVITTSSASGAAGQKAKKPHHPIPALIKERKEESSSEESEEEESGSEDSSEEEQEMVCYEEGGPPKVPGAAGQPPQSPRNNGNDVVSDGCISYEVELWLVDEDYGFE >PPA00731 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1151944:1165057:-1 gene:PPA00731 transcript:PPA00731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-him-18 MVMVTGWDAAALRVFGGGGVGVPGVPGVPATEPLDGPRDGPRDSAEAAGAAAAAAGVRGGSGGGTAAAAGAAAGDGSMGCTGVAAVAAAAAPGVRGGSGGGAAGRCSGAMGAIGATGPPGVMGAGIGGGRGPPRPVAESGVGLVDGWELIMRGACESFTRRFMEVIQLSDDDDDFIQSVNPSAPRRSCSKQAEASFSKDANVFKRPPPISTRIDSDPILKPSTSATSIDDDECPICGRDLRLLNEQRRTLHLNSCLDDGEAKADLEKSMDKWKSTMDCPVCKTPLAAGPFRSAHIKKCGREHAINGKDLLNLVNTQEKVADSRRKRALPHTSAKTPKLRPKKVSKLDGEPKSVAEEELLMAKALSASMMDPSEMEKEEELKKKKKEEEKRGKIGEGVSPQFTRRWRDKWQCDAQRVGSTETTGVETMDRLVKKKEVGDESSVLKKKKREEKKKKEETDRVKERLNEGEKRTLRTVHIEATMANTLSRLDALSWAYRNLAIEGEQKGDVTIECTDGQIRSFSWALLARTTALGRVVEENMKLSIDQPTTVVRHWLQYVCCGRVEWAVGGENDGVREIAKQHGPEGLVEECARMAKTRREYLGFGRIGGVTEEEDTVATIPKETTVLPTVPSEGTVPPEATVPPVETREEIAAEEPEVLAPPPQEDLLPSDDARLEEREEEEGHKEGQTMDSEGSISDALKKCAAARFSSPRPLDSSFLAALQAPPPIEVTPITVPPLPPARETPKDSFFDSIVYGETPTRKEEEQAPPPTVTPPPSTVVQPTDPTESAESMDEEDAVRNILDVSCLRRSPRIRLKGDEEEGRKDTVVGWRNDEKEGDDDDENISPELFDDCEEDGEGGGEGSKKEMGQRENNDAKSASLMIDSIEAELKHSRGGVIVARIPSCLGVPNHCPYSALASFVASSLLQPIDTRGCRNPDDQSTLPEKIDTRPEEAAAQSRHRNVIDSQEVIYLDDTPVSSPATVAIKPVTVSIGKKEEITVDESFPRVDQPSYGQGGMDTVDTVDGGEEQENRDASMDEGEAREGGGEEEEENVYQRSFEYNDDYGAHDWNDYEGGGEEADPSVSASAREEVDSFLQSLNESRHKASFSSPSKAGRPSTEIDSFLLSMRMGMESSKEGEEEEGTGDILGALSPVRTETEPVFKTPIVRGGRGRGRGGRGGRGGRGGRGAAFGSNVKTGRLRRKWRNTTLVGHNGRWSEAMLTHDGVETQS >PPA00790 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1403192:1413545:-1 gene:PPA00790 transcript:PPA00790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acy-3 MDPAHAERALGFARDLTALISSFRDATTADVTASAVITTGSVSAGVIGQAKWHYDVIGPAVDEALRLYGRIGGQPGIWVDEQTRRILLSRGETIQQTGQCWRVAEGPYPVSPIAGDLCFPVNKRFSLVTVPQAVNRLLQTLIAAERAKQGGGGTLVPGHRKRIRPSDGNNNQNGANAATANSNGTIEGKRGGILRVRESGCGWAGTVWGPRRNSSLIDPLTLRFRNAHLEQEYHKEMDRWLIPALAISIFFLVVYGLYHMLVMPRLITSLALIIVSLAMMFFILLMLYIDYFHSFSQFITRTSAGHSVTILLIMAVVLLCGVVNTFSCPSTSSASSLIPPPREPDVCRTPHFSAFSFALFFLTSTVFVRFSCLLHFVVLLISLLIYTLQIVFAHPPIGSVSFGVEFDLIAGLIQLCSVILILTREYEQLMRLDFLSAVKCSQECTVAENVRALCGQIQLNIVPPHLASWLAGRQKEAAHGEVLQHVHHTLGIAYISLEKYMIPRAFPYTILPEYKGIEKVKSWNRYYVLAAGLLPDSHNVEEAPRTIGDLLHTLALFVINATQYATQKQFEVTVGMDCGSVLSVLCDAEKPQFDLWGDTLERAKMLCDRSATHGRISVSEEIFLALRPRSFIFSKHPTKVMDGLSSYILHCDEVASATEDEDSDGSGRASKLTHSEAPSKYPDGMNIMEAIQHHHLDMTSSMASSYASELRSLGGGEGGETDSEIEWITPETAQEGFRQAYSEYETDGSRAVSRASSRNDNTPRRKRLRGLKGSLPRLTGWLRRTTSGGEGLARDEEECRDAANDNLTSSYASLEPTATERLDEAARRVDRMLRELQQFGETVLPSTPREEQPFPTTIGSTRSMLRPGSSACHTEYDNDSDAGPGYASVTRSRPTKRQTRAALAARTRRLRDASVSSIELEPLHKNWRSGYSIGYEDEYERVTGSEMETDDEEGQRLGEYSSSSRFQPMQVEDEVDVEEENARQLDFANLLEAEQLRALSRDIRKNFGDFQLASFDDERQV >PPA00961 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2439054:2440052:1 gene:PPA00961 transcript:PPA00961 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSISNHPPSDTIKPSTRKYNKQGQFGQFGQSFNRFELDQRTADKTIEQRYSAVFDLDKRLEVEDRNHHSVGLMKSRKPDTRGLDQKLPLYSRSITKPDSGTRS >PPA00568 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:24969:30497:1 gene:PPA00568 transcript:PPA00568 gene_biotype:protein_coding transcript_biotype:protein_coding METDLRAEKPLLVTGGKAKTAETADELRVCSNGVSSREPRRLEEWNRSREWGDSEGIAPISSKLDWGTISRLRLEQRKPGDFAELMKSMPTKRTSKWIVVTSIAAPTDDVKRLASYADWTLVVVGDTKTPADWSLPDVHYLSIETQEAMGFDSVLRLPTRSYTRKNAGYLYAIANGAQWIYDTDDDNKPFGKGLDQFEYARDRMRGLRFTTLEWPNGTIQESLFNPYRHFGRPDMWPRGFPLEHIKKHDHHDGAYRLCRVQRPSAVQQGIVQKDPDVDAIFRLLHAEPAAGLDETFSEFAPPVILAPGTYAPWNSQNTLFARSAFFGLVLPTTVAFRVTDIWRSYFTQALLHTAGETVSFVPVNAIQKRNAHSYLKDFDDEIDVYDKTGEIVAFIDKWECKEDTMDKCTIELAGHFAEKGFWGNEDAQLVVHWVLDLQKIGYTFPAVRRGARNEYTIGDDKDLRRNCRRVHVSFSNDLPVNKSMPAEKRAAAKINNFGDLKFSLSRES >PPA00864 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1813640:1821195:1 gene:PPA00864 transcript:PPA00864 gene_biotype:protein_coding transcript_biotype:protein_coding MIVYLLFFLFAGLNAGVVFNVSEDAARSTLIGYIAEPSTSTEVTYLIVYPDNATEKAIYVDERTGAVRVADELDYETRTRFDILGVPLNGGEGVQITVNVVDVNDNAPVFSPDFLQLDISEVARKGSLFHLPAATDVDSPPFDVQSYSILRGNVNNVFRLQTSKVEGELVTKLQLNGQLDREYRESYELIIEAKDGGEPARSAQLTVRVLVKDVNDNAPKFAKTNYSLTINANVSTHTPVLNLTATDLDEGINARISYRLANVRSDHISPFTLHPNGSLYVSAAGAAAAPLAGTYDLVVVAADGGSPPLEGTAFVTVHVQTPDEPVNHFDIVWLTEHAEAALDENITLGAIVARLSVAPPDPRIHLEMSGCVSLCIRETDTLHVYLLSVCAPFDRETTSEYQLMFSLKREQTTVLDHPITLQIRDVNDNAPVWATPSVSIRLNRSRDDAVDLSATDADAGYNARISYSIEGSNAVIIDPESGRVRLADKGCTPSPFISFSVIARDHGRPSLSSSLAVRAEIAAVPSSVRCALPLYETTVREDLQHGSCILEIGETIEYESKRCVHAGGVRFLTLAERARSGAPAAAPEPGRAAEPVLEVGRAPSFDAGRERGGGGAAAPPFDAGRAPEEGRVALFEAGRAGAPSSVEGRPVAFLVVGRSPSALAGLFFGAFRLPPLFLAPVGGRAAASSNMSNTIGEHWQR >PPA00851 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1759221:1760850:-1 gene:PPA00851 transcript:PPA00851 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGCICEDFCTRNPARLSFSLALSSVRASKRVWEMERVAQLQSNAQSNAETASEIVGEREKVYFYDFVKVLSHFRPINKNKPHLWNTREAKLRFAFTMYDLNKSETITKDEFKNILQMMIGSNVSEEQVNSIADRTMREADKDNDGYITFQEFCHIRALRLTKLSLHVACSLTVQSGKRVRGMKILREENAMEKTDIEQKMSFHFLA >PPA00760 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1280135:1282926:1 gene:PPA00760 transcript:PPA00760 gene_biotype:protein_coding transcript_biotype:protein_coding MIKATHRWPYEIVQLLHTEVEPVLNRSQLKTANRFALSLRGVNQGVISCAKDGPLKMVRLKDLNADEDDEIRVYEERKSSVDDKEKRKLFSLVKLEPVLWDFRSQKYKKGTTQRKRTWASIDHALCLEDGTASKAFKAACAARKRAKSAIKDTPSGSGKSMTVKEIEYDEELSFLDEVEMESTLNSDSAVEDIDDPSQPSIKKEFSSVRAMGESTDGKSVTSGRKKKKKCDVQDSIMVSIQKTYEMHNLRE >PPA00916 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2178069:2181077:-1 gene:PPA00916 transcript:PPA00916 gene_biotype:protein_coding transcript_biotype:protein_coding MEASFAQLQQETSCMKWGEGGGRMNTVFKDGEGNTSQLSIVMNQRDGSLLSSSSMEAQPPSMGFHMMMGGPVGGCAQISAPNFTMQEFYDEVSKDASYSEKRDGSDLPNMTTTRFFYNLGLQFYNLNLKDSIMAPPADALPMPPTFSVTSPTMPPQSPFFPDGMPYAVFNPSG >PPA00656 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:560379:560938:1 gene:PPA00656 transcript:PPA00656 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRCLFFVYMLVALHVAVAFPPLRGDRAAMDTAEDPVETTAEEDWEIEDTEYVPEIECGLKEFGFCAYDYSICAVGYIGHRCDEPYDKNVFAAP >PPA00586 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:100722:101906:1 gene:PPA00586 transcript:PPA00586 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMCSTFTRLLLISSLLLHLVFWYLGRFGNPVKLVKQEKGQEACSNETLTANKIHAGRAYVVLFNWAVKLNFLRDEPDCDLPPEIPVLQWYLKKTSGKSEEADQDDDGKPAPILIKFAKNGTIDGEIRDVLKLQVNQAIANATQNKHKWDEAQQQKKASTSSLSIGLIVGLFIAVILVSICIICYFRRKKVPSVEGAPKVETTKDEKKEGKAEKKSDKK >PPA00965 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:2454944:2455586:-1 gene:PPA00965 transcript:PPA00965 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVIDSEEDDDEDSVTRSVEDCERVLAAYKAPGHSTPAAPISAHRTESHLSTRPQFSSASHYTENETSRDGALPNRVSRPHLISLPDRSPRGEEKRRIVENRPLRPIDSLV >PPA00650 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:529730:535887:-1 gene:PPA00650 transcript:PPA00650 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-15 MYNTTFDMLSSIIIWSLTDEGTVQPATDKNDKVDKSVTSAEKREEKKEEAKYRFPYYMQIVRKFRKELVDTKTTMETRHLHAFLPLPKAFQQLLPLEPFRAPQGNSGKSQRKQANVGLRRGRPCVQVKKSVDRIKISAFENLQGYNPDHTTRKFPSLNFYMPIRLDMSMTHPYRVVERLIGHSHPAKGFVNNPLQLEGELNGFFLDPVHMEDVGEPPWVVKNKSNKKRRRGPNGESETDSDYEVDVKETVDDDKDDDEEAKDKDDKDDGRSSVATPAALAGGDMAMNIKQEPKLNIKEEDIVVLDSPLKTIKAETNPTPTKESELAKIMSQPFQATPSYPGVGPMGAGGMGAVPMGAGHMGPMGSGPMGGGPMGGGPMGTGPMGQMGPGAMGGGPMGGPMGPGSMGPGAMGPGGVAPAPIKATRRKSTPKEPAEKKERKRPTKRNSAGLTLQTTMTPPMMNTPPKGGVPLTHPSQLQQPGMLQLSQPPDWPPQGPSHGAPPTMQQDHMAPPRDTATGPATTLSQLMGHHQPPQQQQQPQQMTQMQQQQLHMQQQQRQQQQQQQGSSQQIMMQHLQAQQAQKEAQMKQEQAMKQDHFDSSDATAKSKLHDMVMKRQDEKAARTHGMPPDYVTPDKSQAGGPQKRVSPELAAQMHQQPQQQQQYPQQQPGPGMMQQQPTGPGQMGQPGMMQRQPDHKQTNHILANHIKNQQLAAQAAHASAAQSQQQQQLPGGSTQQPGMQQTPSYPQQPQQQPQHMQQQQQHPGAEMHPSQQQQRGGPLPGQPQRPPLTIEEQRRMREMEMQRQQQQQQQQFLTQQQQQQMQQAQGMHHDVVGGPGGPQQSKMPPMGSGAAPYPNRPAAPALNQSTNQPPQQQQPPLGAPPNYQAYQSQQQPQQQLPQYTPAQMEEMKRRQMTPQQGAQLMKQQQARIQAHQAQQAAAAQAQAQAQGIPQSMKRGDRQSVGKVELIDRAKNLWGMHPGMHPQQQQQPTSAAQQAHQQQMFYQQQQQQQQRAMAQQRYQQGMQDPNQMDPRQQPHPQAQQYNQRQYQQQPPQ >PPA00882 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1963576:1978873:1 gene:PPA00882 transcript:PPA00882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mlh-1 MPVASSNVGIADQSSGMVLLMGNSHPELARLVSDRLGVRLGDAVVYNKTNRETSVDIKQSVRGKHVFILQSGSKNVNNDIVELLILIYACKTSAATKITVVMPYLPYSKQCRMLRRSSIPMRLVAEMISKSGATRLVSLDLYRKEIQGFFSIPVDNLRASLFLLQYIREYIPDHKNAIIVAKNPGVMHKATSYADRLRVGVAVIHGEQKESEESGTEDGRQSPPPNFAPFELFPSPLPKEKPPLTVVGDVGGRIAIMVDDIIDDAASFVAAAEVLKARGAYKIYVMATHGVLSSDAPALLEASPITEIIVTNTVPHEMQKMRCHKIKTVDISLMLCEAEQLENLLDTGNTEITKTIMDRGMEALLQVKDNGSAIFNDELPLMCERFATPKIGWWPGTGLGGIPALPFSEDRLVAGDGNLCDFVSRVAQSVKHIDLVDYKYHPGIVDQLPEDVVRKIAAGEVIVRPANAVKELIENSLDAGATEITVTIKEGGMTLLQVKDNGTGIYKDDLPLVCERFATSKLRSIDDLSRMTTFGFRGEALASIAMVSSLNVTSKRRDAPCAYQIAYKNGAMTGRIRPSAGGDGTTITVDGLFEASESRRAALKNHAEESARVIDIVAKYAVHRPDVSFIVMRDERGCDLRSLGTGKREDVLGVLYGDELCKEALVLRLNDPTLHFEMEGIMASPYSIHSAEAKDSKRKRNKQFVLFINNRPVSCAVLKSSTEQTLASKGLATTYLMMALMIDPSRVDVNVHPTKDCVFFLQQDDVTERIQEYLDAVLEADINKYRPKLPSLQSMMEAQMLAPLGLSRSSDSVKTPQPTKSDSSEKRCDYNLVRVSGSERRLEQFFLPGHSSAHATVDTVDAPSSQEDYRVTESGTREFSLESIESLRQSVIRESSTELRAIFKGHFVVGCASPECVLLQHDTTLYACTLQTLLEEIMYQIILFSLGNLGSYRLDEPLPVVELLKASGCEEGDEQLKEAATFLSSKASMLNDFFSLNFEEIQETDGGEARVCITTLPSLIHAHSPQMAALPELILCLLVEVDWDEEIRCIEGVARSIAAFYGLHKRWLDGDLYSSDGNTKWTSVVENLLMPKASYSLLWWGSDTVEQIMGYILYVFLGMNNVKDRLFPPSKWTEAGTTPNTERNIKMMKKHHRMNRRTLMN >PPA00960 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:2433973:2434519:1 gene:PPA00960 transcript:PPA00960 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFRCGFLVDEGERGGRGEAEREEEGRDERRGEKTRGGGRYRGNRRQSDSIK >PPA00947 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:2379197:2379852:1 gene:PPA00947 transcript:PPA00947 gene_biotype:protein_coding transcript_biotype:protein_coding MFISVVAVAVAVNYCIHYKHATVEQMCGGKSFTTSHRGAYRLVHSLCERCSCSSKP >PPA00886 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:2008135:2008910:-1 gene:PPA00886 transcript:PPA00886 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRYIQSGLRDLPERAIVELAYLVTMREAAHPELRAFFKRTLQFYSERKLEEGGIDHSADLPDMEDIGGEYMFKSTVEENILSTTNDNGIPFQPAPSPSAASRLVYYSDIITLDDDDEGELTPHRSSIDEALPSHFITLDDSPDLKVNDAPNEIHVVSQQTCNLTPPDSTFKMDSP >PPA00840 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1667655:1676695:-1 gene:PPA00840 transcript:PPA00840 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDSAPLKSVTWLYRATLEPVSAWFFFSNFSKGSTHAIFALEGLFQLVVKHNFEHPTLFEEVYALTTPSSFHLNHKRKFLDLLDMLLSSTHLSTYIVAAFVKKLSRCLLYVPFDIQEPLMGLIRNAITRHPTASFLVHREQPDSVACDPFDEEERDLKKTGAMESSLWEVKTLQTHFLYPVAKRARFIDAPKQDVESFIRFRGADGYWNDTMTRAYGEAVGGGMEGRRDQRGRMEEEQEGGEDRERRKIPIVENLVNTKILPQKTFLVEMNSPASHLGSGLGGDTPSHLHGLGGPSSILGGGGPSSMLNSHLSGGGPGSVMGLMSNHGPGSVLGPGSILGPSSILGPSSLNMGGSQMGGSLANSLLHSQQGPSSVQAYSSGAGGASERGPASNLNINPGSVMNMGGGPGSVFHDMNPSSVGPSNLAVPMTPLAGDALEMYKHGPSLSHSAIPTHALPSTPASALDIPSPTLQNIVSTVNLGVQLDLKKIALHARNAEYNPKRFAAVIMRIREPRTTALIFSSGKMVCTGAKSEEASRLAARKYARIVQKLGFPAKFTEFKVQNMVGSCDVRFPIQLEGLCITHGQFSTYEPELFPGLIYRMVKPRVVLLIFVSGKVVITGAKFKKEIDDAFSQIYPILKGFKK >PPA00742 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1218288:1221141:-1 gene:PPA00742 transcript:PPA00742 gene_biotype:protein_coding transcript_biotype:protein_coding MHPDGRMEVIENYKEKIAQEAGWTSEPYKSVQPRSISLHSCVDDAQAGRIAFYIHRVFPIDFEKLTSSGHYSIDDHHGHVLWSYSLMVDKHDDDSYSLALASNGSRAGRAEKTCPSNSPPSLGQPPLIDVRMYIAHADKKGADELELDGLQQGHIFFNDQFTEAKLREYAGPFHLRRRSSVGASHLYAGTLNKSDPSSMADLLYLLNIIWESPYGLFPVGKRVAIGVMADMKRPIAGAPQLQLQLARVGPGVVDSSHRGIEYPHVTSVLNSLYKTMRSIPHVEKIAMAQAGGVLNPAIQREIEEMKVKLP >PPA00597 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:153538:155547:-1 gene:PPA00597 transcript:PPA00597 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVLASFLPLLRLLSLIWTHDGSMPVARRVAVGKMVDVYHLVPKFDLDWKRDFPKPANPKDAASLKENEHLGSIMMSVKKHRALVSSVRKIGVHLPSDNPADKFTINGNSSDPKT >PPA00679 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:783653:786077:1 gene:PPA00679 transcript:PPA00679 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPRNRCDYTFMNPETPRCLLRVMGVPVASLLNHNEHVLKVDITAHATIVITKAEWLLILLGEFGEVNLEQIMELGRNWTVGPLATVVVTEKAKWLLPDFLKPKDGGELQLLQDELTEGEVISYLCVVDVAEGRAATAAAAAGAAAAGLHVPEGNMSSASSDSSVTSSAYSASTTGGVAPSTATAATTTTSLERALGRVALEWRRPASPFAAVRSVVPLAAAALAACPLSLRARVAPSARPPAPGAATGAFAPPPAGAKCTAGTPIGIEYELRSHHHEPLSVQCGVEVPDVFLFSGKRQRTFSGNR >PPA00897 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:2059363:2060870:1 gene:PPA00897 transcript:PPA00897 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVGMEHCRFHIVHEHSSNETSPYTRLLKNEKTGVDVECGVEAHTEGTGVVVGDACSRLLTEDLKLNCKDGEGKDECLREIECVCTGNKCTTTIGMAYIAAKLQGAPLQSEELALYRFSIYESLTTDGSLNPENYAARHNSSKATTFNPRIHSKRELFGHLRKMFLAICCIIIATAAFYKKVSGWINKLNGKKRKTAKVVQPRLSREAVAESPEDARIEEYLRVGISLTLRMDSSAATAHSFRLLSQRIRNRSRENH >PPA00633 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:441078:442995:1 gene:PPA00633 transcript:PPA00633 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSARPGLNLHGGRCSRVENDRRWHWEDAESGLSCELNFSSIRLLPLIHLSFSLHEWPTRGTMRLWLGLVTVLVLLLCDKSAAGKHQKIPPCSNRAVCLSFSDLSCEVNGVFPNLGGKFQGYVWEDFESPHCNKHPDFFKLIAKLDIE >PPA00903 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2081356:2084201:1 gene:PPA00903 transcript:PPA00903 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQATSEKPETSKDDFYVSSGLRDLPERAITDMMYISTMRHALHPQLRAFLKTTLAMYSKRKRQEGGVDFTEDDYEMPEIGEEYQFKDNEQHETTPIADEAPGPAGPSSSVESDDDDDIICIDDIVRPVVTAPLPPAPLVDSNHREPRAGTFLVSPSEPSRKSSSMIPPKTEEPEAIAEDDDEIAIIDPPPKPVPVAVTIDDESEAEIPAETTVCPSKQMEGVGEKHPAADTRNPFASMFATDEDVKRVGSTETMLSPSIKKHETKDEPLVSPSSSATVPFVDGKPAVSLSFSRKRPRTSSPETERQSSRPMVAPPVLDNTDELAQLQLKFLRDQNVMQSLPPIPKLDELTSISEEGRDILLKQVQYKMVTNQLNVLFVTPTAHPPPPLVEPHSVLNADAFDLPPPQENHQPIADIDMRQKHPKRIAIDCNGFSKSVRDMCILQTWPSFGKVHPISFVLAEGEHSEGTAIVLPLLESLRSRSSIGIRSRTAEAVV >PPA00745 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1229644:1230781:1 gene:PPA00745 transcript:PPA00745 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPHHLLALSLLLTVCWSCAPTVPTTTPRIPTSTAAPTTTTTRATTTTTTAVPTTTTTLPPCCRTNIIRETGNRALFNPALNSCPAQANFICSVMVDLQILPNTIIINGGTVIANGPMGENSFAVLVCNPQKK >PPA00564 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:6562:7168:1 gene:PPA00564 transcript:PPA00564 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMDPSFVAAATGEKFVYFIASEASTDELFAMPKRGYAQGADAFGRWIRVDVNRILLADDDHWTRDKLIRIGKTDEEGKIITTTQSFSE >PPA00830 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1615221:1617600:1 gene:PPA00830 transcript:PPA00830 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIPAIRSIIRSSDHKCIYFCKCITCKLSGKVPPCAGCDRNDVMLAAAEERAHQFLNEYKVAIQEVDMDRNLELPECFKRCEAKREFLEEIEDRTAYQQTRHAEIFTPYTARAKETFTTHCPECYPWKLHALDIIDEYKVILGERRFKEERRKMRVEMRRRDCTSEARAIQYSRECAICMLETPRTRVAFVKCGHITCVICAEENEELNQKSECPFCRAESGYIKLFETVIEDCTKKEGEPSNTIEMSGGSRSKRKVSANDSDEPVDKKSHSSSRKRIKQLY >PPA00680 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:793896:795796:1 gene:PPA00680 transcript:PPA00680 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQLVTATLLLSWTAMFSTACRTCNLTEKAETRQNEILGRMSSFLGIRPDFIERSLTDEMLQNARIDALRDLDLDIEDREKPESIHVFASGNADPEQPSAVFAFSHKTAKRTVEKATLSVYLRR >PPA00935 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2263919:2265436:1 gene:PPA00935 transcript:PPA00935 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEQIKERIHLSKISQVKENEEVEKLEALCREVEEMLPVWKMSTDTLCRDTGELLKNLESTSGALESAFRKMQMEIEDEIRSIKDKIRDLSQRAEEVHKLQSEVLREITARPVISAGRKCLDDLYLYHCQVHLQLVQAKIVQFAAIFTWIYDFVAGKIESVFSGGDREKVNHDA >PPA00791 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1415563:1416123:1 gene:PPA00791 transcript:PPA00791 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKMCLLMIIAVALCSSFPWSTARHRSRPRPAFMAPAIPSKREAPVIFTQTIPLSPAPIHGFSSRSSLPIELFVPPFVAYEDGREEPRIFRGFPMML >PPA00824 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1567546:1571253:-1 gene:PPA00824 transcript:PPA00824 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNVHRKREVTPSSEASDQLKAKQPRTDDDRAETSKEGEENGTKPKVDHPIDIEDIWKRDLREIAEFRKSEDAPVDTMGYHLQYDKDAAPEDRRFQILVAAIISRGTKDEVASAAMQRVLAAGCTLKSGRFLRMNEAEAVRLLHGVSSAKVLQAAFRLKIGIAVDTHVHRIVNRLGWMKTADHDETRKKLEELLPKEEWARVNKLLVGYGQQICLPVKPKTFRSIARSIMLSTRSAARKREGGGESSMQEIKDQLKTKYQRKTKAQTAVKEEEKVEVKEEQKEGDVKAKVDHPIDIEDIWKRDLREIAEMRKNEDAAVDTMGCHMLHDRAAEPHVQRFQILLALMLSSQTKDEVTSAAMQRNETSTRSRRASFDARYEYSRGQ >PPA00699 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:901351:902553:-1 gene:PPA00699 transcript:PPA00699 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLWFVQNATHPTIALIFNSKVRSEAARLVFGRRVIATPVTTTISNRTSRASRGSRG >PPA00781 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1367428:1370148:1 gene:PPA00781 transcript:PPA00781 gene_biotype:protein_coding transcript_biotype:protein_coding MQIAQAHTVVMTAFRWLRRSTDNLASSVGRPSHGLSSSAYEREPRDLREVPYYSVAGRRSASRHDLSSPLDSQSRPGSPGQVAGVGDIVNTEHGFTIQLDVNHFRPEEIKTLRRTFSRKYCIPSDIKLDSIQSHLTDSGFLIVNGARRGWKETQIMAHPPTYNEFAASRGYNDHRHASGRNSVISHV >PPA00734 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1184732:1185376:-1 gene:PPA00734 transcript:PPA00734 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIKINPDAECPICLRPFLTEGSTQGKAMTGGKCDHAFCRPCFRRRWIMNPSCPLCRESLIIDQPILVAPIPPKPLIDLQPLMIRRRLIDTEWRKPVVLPLRTLVPKKIRTFALLDVFDDTSRSSSTVDLPLIPLTPIVLPLAPFKELFVDDEISLERIRERKRKSKKLLICEDLLLPIVRKKNPNLI >PPA00834 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1624389:1625157:1 gene:PPA00834 transcript:PPA00834 gene_biotype:protein_coding transcript_biotype:protein_coding MRSILLLFCLVLVVIAQRSATQKPRVDPRADPCAVCKTIAEHARKIHMDEDDETAVRRTMEVAKYVTDFNQYIWKIIIQQYWDPIFHKVNAGQSPEAVCDMLNICHQKQF >PPA00816 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1540940:1541907:-1 gene:PPA00816 transcript:PPA00816 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDLLTDDQEITVALQNENIWKEFHDNATEMLVTRPGRQLFPKLAFKISGLDEKAQYKVTVIITRSDNLKYRYRAGKWQKECESDEEVGKCGNVVYHLRGIEQTGEEWMRGVTDFGHFKITNDKERSDHHMQPLEMTSFLTVTTYNNPAIKQLKVSQE >PPA00770 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1313979:1315567:-1 gene:PPA00770 transcript:PPA00770 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWQVLLLLLAGWVHSVHSQSCACDFNQLTKNTGVVPNEQTYGNVVFNQDPTTCDVTVTCNGFLPLTLFDHYSNTVEGDSPNNDPDEVAILSQSDGMGIPGTPDTIQFEYMRCDGTNWVPYIADIDYLAVNDASDPTMWITYNTITCSQIDFTPIINENPPQTENQRFRLAFRPIVYSSKDDTSLFKCLTRDFLHNIS >PPA00907 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2103023:2104088:-1 gene:PPA00907 transcript:PPA00907 gene_biotype:protein_coding transcript_biotype:protein_coding METEKRPIDEPPPPYTNKKMKNEPLFEEEWSKIYPDELIIPWFYFPTATSKRVDTKKIRGIYYQTQDFKSDIGVTKNWGMSLSPVWWACDMKRGFRSNAEQRGFYNVVVDIGDGTMKLKLLQGFTTSNLRAFLRILRQQCEPGVICRQGFPF >PPA00873 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1878071:1879052:-1 gene:PPA00873 transcript:PPA00873 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVIPTQSMECFFTDAQLPHAVDALSAKDMALYRKICHLRVTSILEKHMFGGRGGMINIEEEAPGSPSSRFHKLIKRFKTGDSKSKEDRSSVFGAALSDIRRRGGACLPHPLFEILRFLRIHAPEAVGIFRKNGVKSRIAELREIANIEREGDVFQDENALNASQITVEKMPSRASKAPAF >PPA00943 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2345637:2346775:-1 gene:PPA00943 transcript:PPA00943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ral-1 MKHICCREQILRVKSDHPSVPIMLVGNKADLNVERSVSMATAQARAEQWNVPYIETSAKDRSNVDKVFYDLMREIKQRKGGTLTGGANSSMAGTGQGERRKKKKCTIL >PPA00583 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:81145:81607:1 gene:PPA00583 transcript:PPA00583 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGLTGKRNFVWEKGEVSVINPLFVAERKCQGVEQSEACVSKFTPGCKLWPNPAIQLPNVTDSMQAIIDGLDYLTCVPENR >PPA00746 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1231748:1232717:1 gene:PPA00746 transcript:PPA00746 gene_biotype:protein_coding transcript_biotype:protein_coding MIMRHKMSFSTTEFSATYAEGQKATPTKIFINGVTKIAEADSPRGMTFKWITEAEQVIDVIHCRRH >PPA00701 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:909434:911156:-1 gene:PPA00701 transcript:PPA00701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIA subunit 2 [Source:UniProtKB/TrEMBL;Acc:H3DTH0] MATTEYQLYRETTMGMALTEVLDQMIEDHLIPKTLAMKVLGTFDKNMNKALAQRAKTKYQFKADKLVAYRYCDNVWTFVMADIEFRDYYRTLDGKHPRVKFVACDGRMAITGVPAPH >PPA00911 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2117579:2119057:-1 gene:PPA00911 transcript:PPA00911 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMKSLARSHVYWPGIDQCVERLVRCCGGCASDAKKPSKVEPVPWPVTEKPLERIHMDFAGPMNGRHYLIIVDVHSRWPEVSTMERITAEATVRAVRDFVSRYGGPETIVSDNGNQFTSEKMRVFCTEYGIQQVFSPPYHPQSNGQAERFVDTFKRSLLKMKAEGPEDENIQKFLMMYRCTPNNQLKGRTPAEVFLGRKMRFPLTLMSPREGEGRRKRDGMVVTREFAIDRDGLNHEKWSEATVLKKIGSVLYEIERESGTKVVAHANQMKQRFVREDIDPLSVLIESFDMDRNRFVNVSPPPSPIRAHDDFDNISSPTAPRIVPAKDVENDQKKIDIEPTDVVENDQVFLPTEDLRRSQRVRKAPSRLTITNTKGQSYNFFRRRSVCYAVYSSRC >PPA00714 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:989525:991520:1 gene:PPA00714 transcript:PPA00714 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRQFTTIVKLMQFYQKEHLHVKAGGRAKLDTLTKEQIRDILREARIMRDLKHPNVVKLYGLAAGQDPLMLVMKLAPDGDLETYLGKNRLLSQGKRMEMICQAAWGIDYLHGRNVIHRDIAVRSCLYGGGMVPFRWLPPETFKTGKYSRATDVWSWGVLVWEIFSNCFEPYPDMNVVDYVKGGSYLDIDEKMDNKSVAEMVEKRAFAYVPEDRWAMNQIVKELEKMTGLKQEERNKDDKGDKTTFTNAPTAPNVDPSESVHLLWAATRWIRERRIS >PPA00852 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1765717:1766986:-1 gene:PPA00852 transcript:PPA00852 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVHTNLAVNLTTRAEESMESVYCLQMRRMRNYITKKSVLTLYKRFLQLASHREKDSGQYFLTKSDFIAIEELKLNPLGERIVDAFFADAERVHGLGRMVN >PPA00928 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2245138:2245650:1 gene:PPA00928 transcript:PPA00928 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEASSSASTASLPTPIKVPANTKENRILKMSEAQATKSQLQASAVLKPEGIFPLALRSFPNHRGCLFKAE >PPA00693 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:856680:859926:1 gene:PPA00693 transcript:PPA00693 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCDASDALKEKYLNSIPLAEQWAGFIWPGGVTSSEKVIALKDMEFTEDDVVIISYPKSGTTWASEVLSAIAYEGNTEKLKMTRMDERVPWIELDHKKLSPDAPLLASERGPVREGKKQVWFTHLHPEYLPPSVREGKCKVVYVARNPKDNAVSYFHFHRLTTFMGLQKNLSWNDFFPLFCSGYICCGSWFKHATDYWKFCQETPNAKFLVYEDMKADLMAQMEPLEQFVGIHLSPEQREEVVKHCSFDSMKDNKMTNREGLAVFDHGETKFMRKGIVGDWKNYFTVGQNEAFDKLYKEKMAGTGLDFKFE >PPA00703 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:914539:915922:-1 gene:PPA00703 transcript:PPA00703 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKLRARNVEVSKESDIGEMVGYADQLESCASGYVAVGRNDGTVAVHGIDQVSPRFTHRNDSGQAITRVRWCTMGSAVPPRPLLVTASVDSFVRAHDARDGTMVKEVCCAGDSVLDLVVLQCDPVCRLLAACSEGAIRVLQIDEDDAAAPAAE >PPA00820 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1556121:1561069:-1 gene:PPA00820 transcript:PPA00820 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNDENSNVDSRRIVRGNKRRVLSETGRSSNITNTLSGVSKHKAQPTVSKKGKNQTEKRWFGFMFNVRGEVSYCGPLEESNRKMKNKPMELAVGDTFPMLWEDGKTYEAKCICIGNEKEVDAIVGDLVEGARRLSSLNLPIESSPPVPTESRGYSGRPLPAKQRDELEKKSITPFPIYPFPRFAHIDDFETFSPSQRKKGILLDLMEDEEVEIDDKTKLDYTIGSVLFHGHQGVLLNWDGFMYPSWLPDIDKKGEAYQDYERRNGILDQVMKNLMAKEKLEQSDLSIMYPNWTIMKLANYNENVMNPYLVEPTMSDAAEEIMKQIITDPDRRSCNCDKCKRSSCCCKPVYDSNNNANSVDDVHIYECGDDCPCDKKCAQRCVQKGRRGTLVIFQHPLKGWTLWTGTAHSKGSFVCEYAGKGITEKEAEEMEDQTFHFALDVRTAKSDKKIVIDSLNQIEGRVKRRIAFFAKRDIGIDAVRNRAERQNIKKRSNAVPLNSDHRFLT >PPA00652 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:544283:554316:-1 gene:PPA00652 transcript:PPA00652 gene_biotype:protein_coding transcript_biotype:protein_coding MNFCEAEVTETRHLTQIEAYYSRRKEGGVEFHLCSDELHAVSLKAKEKLLISSQTIEAIDSDFGCERPFKVVSVTSWQQNTPDRRALKGTAKTRLANGDVYPHEAAQDEDSLNSEKVRKGFLTGPIAHEHASLNGGIDRDDANKRAALLYLQINQKKGDTNLLSGKKTKDSVSQLPVASPPKEKDIRTQWYQNLAKGKAYGSLIQNPPSLKNTERMFNDLYYYRIESQRAIWVMKLAANIAGNNNSRGKNKSFNGEKFAVEYAHILSREVRQVFVSLFQLPSLDECEETSERWYYFTQLSRDAFDDGVIDRQEYVNELCNIFTDVFLQRKEFEDTKYQIQLWMQYFHHFAPSATQNIVLARRVATMACQKIDMLKDEFEEDEAELLDEIRNGTYDYSYVPSRDDEAPSTSKSPSQTHEPMDLSREIKKEEPDQESPMTSGTTPGKADGGSVNDVVMREETAERKNVVEIVTGKVKEEPLDDDEEPPKLPVSEEAKKDDGKKDGEKKKGIPYDPERPDKFILDVMFATSDYRDVLNALVSLIFMCIGEQPGAIVWNKFYVGFNRAPYMLTQLGGSPLDYLDNYSLADLPFDFGNADANENVRELMRNHESDLSLRSELVQHQWVLTSQTQRAHAKLIDGCIDVVGHLDYVDVLAPGTVKRTFERIFMSINEARYAHEVVSRIRLCLTWAITVQRDGQWRGWLVAKVLKLLLDTRPHDEKHGYFGGNHLNLILIDFINHDLPKSGEENYYKEFSNFCSLFFELARFDVITHANFYEMWKNFHRINCRHNGLDEEQPLMIDFPPVRDDEVGKKKEADTSAGSDENRPHEVDNTKMEVDGEGNAVKIEVIGLKELELEPKKSLIPFITIPDETKDGFRLLDEDIPPLTQLIIQLPIPADKTMMDKRTLILYRNARTKKDYVAAAKKNHRDTVGYSINKKYSRAVFHACSYVFTFGFEPASTIAF >PPA00922 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2195527:2197299:-1 gene:PPA00922 transcript:PPA00922 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIDFAFILPLLHHEKHPLISHCLTCYHCIGTDCDTVVTRSTSTIECPVGSFCQTAKFQYFDSDYNQVRATKPARGCSVARGCMNSLNVDSCKSDPQQFMMIGCPTRYCCDTDLCNTIEAKDLL >PPA00929 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2246881:2248263:1 gene:PPA00929 transcript:PPA00929 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAKQKCGAVFEMTSDVMPALTAVESFSIALFSIMTIHSDFPMANRFAKLSFAISASLNMLATTLIIFNFKSKTKNPLETLSVGVKIVSLFVFCYLSPQYFQYHHTVSLQPMCHSYLPRIYAVLEYILVVSYALFHLSWLIDIRDLHFLCYPRSCSGECEPLEPTNYVRGAKYEHCRAFEERQWQRRMRVGEEKEEKDGGGGDILSIK >PPA00741 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1217384:1217955:1 gene:PPA00741 transcript:PPA00741 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLILSVAVLVVVLAGTAQEDACEKEVKVKMNSETDFSLKLAVGMAMIFQKLGNKSAMKTTYIGLSKANQDRVRNYYLVGACLPFQSKLDE >PPA00845 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1704719:1708187:1 gene:PPA00845 transcript:PPA00845 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPHQFPTYINACLPAHNAVPHCYASPPSSPIIWIQPIVRLPMPLQLIQPSVQYNQFPSTSDSRAVNINGLMVYSVAVPIAPRRNDLCTLDHDHNSGCLMKSQDVRKQGALVRTAQEVLKKKDVVQTVPNNNEETPMAPSHPMELAERPPTVAEKVEKAFPFLADAKAVEAIRKKHPERYNVGVIATRLIRKFNLIEQKYKICTQSLPSLMLKMKFANKAIRYNRHAENIRTKHLNNEKETQTKMRKRRRIDRI >PPA00789 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1401635:1402593:1 gene:PPA00789 transcript:PPA00789 gene_biotype:protein_coding transcript_biotype:protein_coding MCQNDDVLHIIDEQKIYALTGTDPELLVKQLDDFDVTNVANLTKEIYTRLIDYKPGRLWTVYSTIFTTRSTANLITEDGTTISPSICFVQFNENPQILVVADLAEVV >PPA00625 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:416091:418627:1 gene:PPA00625 transcript:PPA00625 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVRGIIGGKGNACTVNGDRAVREEYLTDAQLGRSTTLLKHYISKEDRKSYAWEADTSCDDETYLALETANPAKTSAISRKSSKFATDPVTRFSEDGLRGVWKAVDHVRCRKGIWHAEWSGGTRTVDETLEIQCSAEKPEEKPTSVNKTGNKIRNSPEQSQSASQKGAIIGFTVGGVLLIAGLLVAGFFLFRALKRRKEKPTVHSVGIYDLSSPKQKESARTPGETMPEYTAQTDFEGLKTEILEKKTATAKLTERSIEKTRGSKKRSRHPSTESIKVDTATFTPTFTCEV >PPA00768 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1309896:1310460:-1 gene:PPA00768 transcript:PPA00768 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQKWDKHYKIITVLCYGFGIVLWFFAGGFYNTVNADSKFVYDPAADAYTMIVADRSNLPISLSTQVVFGMVLLVTCAILNVICFRQLILTRLSTKKAILLVNSN >PPA00844 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1690512:1703336:-1 gene:PPA00844 transcript:PPA00844 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGAQYSTSTREPIGLPSISTFTPLLNSNNANNGFRDQHSPRFTTTQHTTHTTTSTSNSNSNSNSNSNSAAAAADSNSRPFSASISFAPLSANASKLPVMPKRDGPSYPSHSTTIYNPEPVPLGKKKVVSPLKKHSPIPKNGPPPAKKIALTPLSPPKNGSNGSGSGGGGAAASGMPALEAALRSPVDADARRMPAPPPGAIMGATSQSGPLPMAPRGGPPTMPPHQGRPQMDMSLDGLIPFNPNEEGGMMQNQPTSNGNNGYGYAPSPSNGHRGYFGETPRDSPLTTLAPLEPYQPHSSQFSSSPISVFSDPSPSASLLSISLPPPHQQQQQQAAAAARPQSRPAADFGHYSGPLSHQSSSSIVWDEPPPPPTPTTTTTHAAMQATTHAVPMGGGGGHPKSGWTGGVLLNPSLAQKEQKDMRRVKVLPGFESPQKEEKEDPVACSKIHAILANAKAAESYEEARKQAELRGEPIPPPPTAMRADVKIGGIGKGKGKGAREAEKRMDEDHESFERREKKRIAQNEARRKKRDAQKEQQDRMKAESSHIGLYPVHVQQQHPPYHHHPHPKQHLQHPHPGPHPGPRPIQQPLLQLSMQQQPMRQLVESSEVRKDSKNWNYRAIQMLSKLNVLVIVLIVWERDEGQLQCDGLDQCSGRASGQRCPSRSVPNILNVLQHLHGFLLIWRNGVKRIRLIIPCALSQFIAARVQAESRYTFFNMAALIMMSIQQGQQAPQHQPVVIIRTPNCALRCWRKKSHCCNRKFNKILLSSSMPHPPPHPPHPPPSGSSSGNAIAAVNPMVRQQQPTLPPQKPTPLSAPPAHPHTHHPLTPHQLMRMKLVSGIQKARAGLMKQYHASLGINPLSSKSYADVVLATRKVEAAQQQAEWEVEQMQRHCALLAEQTKLQHITMQRHLKRIAKGEDTTDLPTTALPGQTGVMREGFSLRSMNVLDQEIRCRREGIDPSESRRGVAIAAVLTPPSAPAAALRMAGTADGQLKTEAKETATASTMTDKEEKRDEKPSRRMMNDQSTRPLERCYLAGVKELIAEGYSSPVPHPTVLQ >PPA00598 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:181587:182902:1 gene:PPA00598 transcript:PPA00598 gene_biotype:protein_coding transcript_biotype:protein_coding MKCTMYRLVNNDDVVALPESCCGNAVEKKKRTCLHQLDSDTDQLPFLKLIFKKTEWTRTTLMISTLVTCDEGPCSHQPGFATIADTSAI >PPA00784 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1378732:1380538:1 gene:PPA00784 transcript:PPA00784 gene_biotype:protein_coding transcript_biotype:protein_coding MWNGNKQNSSLYKSYNRLQEIGPGMGACDFYKLNLWKTPSLLKRVAVAVEAESPHFLAVQTLDAALTIDSLLQKCLSYGLLAVSQHFARAHYSFDNGIDDVAPIFRQCLDKHPEFKQLFKEWTVGGDLRTETAQIGAAIADRVKRAGQWYILERDDDDVWEL >PPA00800 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1478433:1479064:1 gene:PPA00800 transcript:PPA00800 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpl-38 MPKQITGIKDFLEKTKRKDAKSVVIKKNKDNTKFRVRTARTLYTLVIEDPTKAEMIKRSLPENIENKRSHISLRI >PPA00697 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:880302:881002:-1 gene:PPA00697 transcript:PPA00697 gene_biotype:protein_coding transcript_biotype:protein_coding MFASVLKIRYHKSHTFRLPWQLRFTVNDEDELSLRMELSTLRNRNERQLNSSDEMLLHFQKESPVGLSIYKKLNAFVDGKMKEYRFGQEAIAFIKSLERTQLFFAAWGYNELAGRYVAGTEREGKVLIRKAVSAYLALSETAKNDLEKMWCIRTFYQTLTFLEATGNIRIMREILRD >PPA00737 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1192889:1194144:1 gene:PPA00737 transcript:PPA00737 gene_biotype:protein_coding transcript_biotype:protein_coding MMVNGICNVETQTYSIAVHTLLEVNTWAAFRSFYTWMDVVLTALRFRALRFKGKWEPSYALALSATALVAVISTAADIPVYFTNVIMSFPVDQVCGGNPDVVGNQELVPATGWSDSMFSDNCLAHNSHMAVAARSAKKSDDARNAAIILTIIALLTLLSELPQGFACLANAVFPVGFWYMYTYALDDNSDILDKLQLDHHSRDVE >PPA00879 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1907262:1909301:-1 gene:PPA00879 transcript:PPA00879 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLQSIRPSSAIFPHFLSRSLHNVYADSSSSTPSWASKPSLSQAHLPQLKEDEEKRLERVESRPVLRRRRFKHRKSINLEPETSVILARGVVDSSSSEEEEERPALRRLTVEQSYNLARDQRSIAPQIEEPKLRESLLPRPYRSLSFTVLKHCVKKQ >PPA00614 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:320529:321323:1 gene:PPA00614 transcript:PPA00614 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIYHVNWFRKSAEGKFLWPGYGENIRVIDWIIKRLDGVEGIGKETAIGTVPTPESLNLDGLDGVKLDELMSVPKEYWAEDAVEVKNFLTTQVGEDLPAPIRNEMEQQEKRINDM >PPA00591 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:126782:128437:1 gene:PPA00591 transcript:PPA00591 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGALLSFGTIISLTAHYDLPVMTTTTKSVGNLAYTNLIYMKPWTRITPYLVGILCGYMIARVRNGTVAIRTPKTCTIILGWLISAILALTVIFSVYDYVRGPVSSILSHPLWHPLGRLSYCAFLCHWFMLHLLMNIGDGPAHFVSLWHTYLTVTIPVVFMSYVFAYVWSCLMELPFSHIEGIALERIAQRRSAQKKAGKLNTHQRMEMCPYNSTLSG >PPA00771 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1316878:1317754:1 gene:PPA00771 transcript:PPA00771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3DTP0] MFQRCKEEDGTGGEEAMRMRPQYETTLRVVKRTNVVHTAKSVPPGDIATQPGTKIVFNAPYDSKHTYNIKVTNSSARRIGWAFKTTNMKRLGADPAAGVLDPKENALDTNNDRITIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIE >PPA00707 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:945154:946107:-1 gene:PPA00707 transcript:PPA00707 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGRGIRMLGALVHADGRPTASEAALHALRQDLLRALRVDYRTRRQEQSTGARLRSVPHTTEQGLGTVLLKRAEQVGLPRDDVTYLTPLPAETGSLKCMIPFAFQSVSLTLGL >PPA00595 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:143046:145115:1 gene:PPA00595 transcript:PPA00595 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFMRRTAVANGYTNGMHIGMAQLDYMNYSWTDKSPVDYTNYGDNEPDDSKGGCVKMTTDTVTAPWVSEQCVSGNLPYFCTKKTITITDAPQPAGCPANAQYKAGDHIYSPSFPSPGGAALCNYRIGEADIEKKVQLDIVFFESNQLHGYMGPTALQVKATGNTMTLKWNATSGVGVRGFQAIAGNY >PPA00569 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:33110:36216:1 gene:PPA00569 transcript:PPA00569 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFCGHYPKQGKDAEKKEYPEGMAGGDLTYPNLKRPINYIDLSNEEVRWGYLMYYCLAKVEEMKIQNVKGYVMFSDDAIFNFWNPLNLDIMQGTKRAPGWGPWWPNKQFGWDAMNRTIQLFDGKYKDDLEVQHFVEELKREIGRVPRIDIITKKEVTDPWAYLMVGDGWVIGDWMYVPTANISFVGMFAQLAHEGEFFHELFASKMMHILPSEGSNDSDPTRILFLWGSERPGWANNFTASLHGMHPIKLTEFQKTGIY >PPA00946 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:2373746:2374042:-1 gene:PPA00946 transcript:PPA00946 gene_biotype:protein_coding transcript_biotype:protein_coding MREVSLIAAVLTALLAAAAAESSFCSQAPDADIEKACEMMQEWDQTARKEIRKRQTFTLVVAIATASFFRSY >PPA00580 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:73967:74522:-1 gene:PPA00580 transcript:PPA00580 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDMPTSNTRMVNIDDYDPMRNHRRVAAHSHVKGLGLNPESKESLPQLYETH >PPA00638 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:462321:465510:1 gene:PPA00638 transcript:PPA00638 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVLPLICEAFKIGAAQDKTVHVIFIDDACDVHGVRATSALRGYLWNADTHCERGDTDQLYAMLRIVPRNLSNWFVIVDFTLLNTQWRPTIVTNASAVPTCVSNLWFHSLPEAGLYRYWKKIDGLRCHMGYWMANWYAHGSDVALRGSQRIESSHEVQCSTVEPDNKTSKFRMVLGFGLGGGALFIIAAIAFFCWRYKPLNRGTETHSTSLQEKPRKKKKKVKISRKSNRTMTATDIERLHTEKFSTKEPSGKPSTPGVYSPPPGDGLAETAGPPLPNGFASALIA >PPA00828 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1592694:1604318:-1 gene:PPA00828 transcript:PPA00828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-smg-6 MQDDGGGGGAPDGGASTPATRTRAARPAVQIYRPGMMKQGTDITLQARKDDDSSPRDALSSHRRPPRGPGGGGFDRGGRIPNRRRSSPESISGRSNVSSIRDSGSTTPEAGTPTRSGGGGRGGGRKYSGERTFHRGGGNGGGGSSQYGGGYRNGESRESSVRGSTDDVRSTGTYNSTQSLLNPRRQGGYLDYRSERGGGGNRQKRYGGGPGYNNEYGDHDDRRRGGGGNQHYQGGPPNRLPPLMAQPLGRAAPFQRKSGERASLRAEEGNRRQPRRRNDSIGSTQSEMPRGVMNGWSGGGRRSGQKEDPSTQSCAASEVGDYAESSFTDDTSSNWDAQTTVTSFADFCSSYASLAEMDWSKEVEREYIERQEIQNRLAEVAEQDAVDEEENGQEEEGEDGGEGEEEQRYEETVSPRFSNSRVTYDRHDNRSGNQRRGGGGGYGSQERRGYGSQERSKITVRVGANGGRAVGRGGRFADENDDEEDGQRTPTSITARRSSKEEDARAWIQGIGAELATAAGAAPPGGRLAGRVTRDADADASASDAPPRRVDRRPERGSYVPRGMRGEGLR >PPA00970 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:2469355:2480811:1 gene:PPA00970 transcript:PPA00970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dog-1 MSAKPLVPWSYEDIKKNIVDQVVNNRKKRQSTGSSQSTQGSAKRKPFFGKKQKKVVQVYKVPECYTMPINGVHVSLPAGLTPYRTQKLMMVRILQAIIRSQNALAESPTGSGKTMALLASSCAWLRQYKEKRLESKESCPVHSSKEYKEMKEKEMSDLLMQPIDSQIRSVSESKFADDDEISCVYDKFDESMQKLTEGLDDDFIPAKRQNSSKAAEIAKKKAEEEEMMENFEGELTAEEKVRKEKCTCLPKVRIYYGTRTHKQIGQVVKEFGRLDHAPNLRHTILGSREQMCINELGCQFKSNMRPNYERPYNVREVIKGCGADVWDIDALVEALGNENGPQLCPYFEATRILTQDADIIFCPFNYMIDPIIRDMSDVHMKDAVVILDEAHNVEDICRDAASFTFSEKEIHDALSSFMEKQEAVRREILDQGNRLKGEEKGEEAVDYILRLKEFAKALENMVKTVSSLRTWLMTVSEGVRDPKPNDRFDKGTSTNGWELLWTSLEQRNLLFDRNSQKYKELQEAMMKLVEKDNPEVKNFTMDSFKPTVTALVCIEKFLYFLFYFTKEDRRSTYRLNITVERPTDAQLAAEAHHGMKKVGGGTGQTWGASYGPRNQLYTSQNVTGVDLFNEYSARTFVDPKNMPNSSFTAIRQGLRITAHMWCMSPELAYMDAFSECRTVVLASGTLCPTETLKTELGMPFNFEMEGEQVIPREQIFATVITRGPHRTQMKATFENSKNDKFIEELGMIIRSISKRTPGGVLVFFPSYRLLDRVYEWMFQATFLRQIEQYKIVVKEPRRSSELTEIMSQYEAGILKPLSFGPKVTGSLMFAVFRGKVSEGIDFADDMARCVISIGIPYPNAFDDLVLEKKKYNNENATKMRILTGDQWYTSQAYRALNQGLGRCLRHRGDWGAIVMVDERLAVPRAGAPVFAASAAASAARVSRWIRDQSVNYDAFGEFEADLESFVERMTRPVMFPRARNELISEEVEVTLVHRHPSSSLDADRFAARVHVTAGTIRLRPIDLTKRGIKMNTSLFAGPPIGPTSEKEKEGEILKEEGKGGKKKDETLMATLDIKDELETVYKTALFKLAARPDDFDVVAEKFRTLLYYAWCEPPQDPSTPHSQPLPVKESSQSENGGRSPSLKRSATSPSCSELSVYLTKAIAKMSNGSSKSPQGSGRERGDSSEESGQRFDLKSVQEYRKLAKFFEEVASAVYRLDQPKRARIVRIVTDLVELTNGEK >PPA00793 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1:1433881:1434159:1 gene:PPA00793 transcript:PPA00793 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLFTWSNPQVKKLLGWRTGSEEEKWAEKAVESLVKKLKKKNSLIDGLMGQ >PPA00676 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:766429:774609:1 gene:PPA00676 transcript:PPA00676 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLCFLHSTALEAITQMRRHQQLFFDKNPGVYPTAQLASIEYELWKSKQCWHFAELFERAVVAGLTAVPTLNPGTHLDAAAAHFSRANKEIASLKAAMAAAGAPPPAYPTPDPLERTTATRIRVSFFGQRPWRVGCEGAASVSKEQEAVVALQQRLRVNSEGVCALLSGAMGQYKKYGCYNMQRKMLGEMADEYAAAGDWQRALQLLQIVGREQLPLRVRAVAATKAARAAWLVADARAYVAALAHAAATEYELAKEQPPSATSTERAASLMAALHEVLASRVPPAPEGAPEAAMAKARADWERTLAEPVFFSIAAPAPARAIPQLVALSAAFLPLEPLEDAAAASSGAAPAAAGFAAATRADARVPLRVTLRLHAAAPLQLARVRVAVDIGGKRRAADEKTPWEMVAEKVTLEPSVDKHLTFVVNLAEHPVPEGCNVVSNH >PPA00684 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:810517:811804:-1 gene:PPA00684 transcript:PPA00684 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIDLSLDAIIAKTKKTNKIRGQPKPSKTVKRISGKIGAGVKKGAMGATKKENLFTKAVPTGKWKHDKFASLESTRIQPIPSTVLNSFVSANKKVRLNISNLAPTLTTADLKELFSSYPFDSAVVHYSENGVHLGTGEVTMKKNDVQKAIDDFRGIAVDGSRIRLAIVKGGAGGSIFDRVQIVKKVGGGAIKKRSIIARPQKIRVGKKTIGSSSKGRGKGGNIPKKAMNEEELDAELNEYMKKSAN >PPA00821 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1562650:1563728:1 gene:PPA00821 transcript:PPA00821 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVTFILLSFFCYNAVAVIVDGSTDHASIAYLAISARVRLLHKAALNISKDFAPFLVAPDKLFQPLVAFRLEDDDVNRTSEIYDRKLQLALCQYFPRRIPYTEFKVVNPERYYYTGMHAMPQMDVWIKSVKDELLQSLNRHGFISLGDVEENMVVISAPQGINHRVSDIDLRPLKLTASAYVDKIYLCCGPVPNGYSNITPCDKAQEFPVIQCDE >PPA00602 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:206769:212419:1 gene:PPA00602 transcript:PPA00602 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSTILLLFHMSNANSSLTVMTFNMYHFGAHIIGVYGGYSRGYNVRIQLRDSEQEISVWSLYLHWKDYGPYEARKGNATTLQELAANSHSQINNSDRTPVIVAGDFNTPSHLDWTEATRHIHFGWTVEWPVTKLLQTAAGMTDSFREVHPDPLAAPGHTWGVYEALVKDRIDFIFYRGPMRPVRSFPFAGTTPVLYPKKHANLIKINEWPSDHYAVVTKFEHRTNEVIETKALSTTATTSISTTAPPTTQLKKRVDVCQGLLHRRSNFNWVSHIVAMDEKWITYDNPERKLQWVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVENGLRKVADQIKYVNPDVVALQEAGDADNLDTLCGLLGEEWSGDQQNRGNINGRGVITRHKIVGYYDGYSRGYNLRIQLRGTEQEISVWSVYLSWKDYGPDAAKKGATVDHIMSQERDDFMAFVHILNSHFSVKGRVHNIRELLGNEEFVKQRYEILEINNADFTPVIVAGDFNTPSHLDWTNATK >PPA00810 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1529377:1530226:-1 gene:PPA00810 transcript:PPA00810 gene_biotype:protein_coding transcript_biotype:protein_coding MKASKFHHLCHSAASSKDLAENRIWDDGKRTFAFPTNSRIARQGSSMERSCTSFKSSYSNRDGHTKIEKLSEVKCDGQCQTVVKEHQKLNREWTITAGCKKYDITESCETVEDSKDKFFVCNCDEKLCKGRPTDDPESHLYISDFLQFKFDPLPFPGFFREDPKHNEPRN >PPA00751 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:1238793:1243450:-1 gene:PPA00751 transcript:PPA00751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-spl-1 MPLSDGISLIADKIHHLHEITSEHLRKLAAYAFTQLRKLQYVQNKIAEKLEPAKEDILQSIHKDDTDRVFITDIPTAGKSTADVLALANKYDAYGHFEVAKGKVSGTVYTDRGDEHLEMLAKIYHDYAYSNPLHPDVFPGVRKMEAEVIRMVLNLYHAPRGSSGSMTTGGTESIVMACFAYRNLAHSRGIESPIILCATTAHAAFDKAAHLCGMRIRHVPVDENNRLNVKKMERMITKEVCMLVGSAPNYPSGSVDPIKEISKLGLKYGIPVHVDACLGGFLIPFLEEAGYGIDLFDFRLSGVMSVSCDTHKYGCTPKGSSIVMYRTQELHRMQYFSVPEWCGGIYATPTITGSRAGANAAVAWATLLYMGKGEYVRRCREIVKSTRAIADGMKKIPGMKLLGSPDVSVVAFTSDDYNIYAVGDLLHKKGYNLNTLQNPNAVLLAQNLAIHVCLTYNHANEESVASFLADVADASTAVSQLPDKGNGSATAALYGLAAQIPDKSLVDEMAFAYLDACYASPPPKRDQ >PPA00579 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:73491:73822:-1 gene:PPA00579 transcript:PPA00579 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPIFLFVLLFSFGSSSALRGGLLRQGRSVQGMEENQEIFDRAVRAPLRLFRSFDGYYMGDYYNYPPRQRPN >PPA00570 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1:37054:43428:1 gene:PPA00570 transcript:PPA00570 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPILVTCAFLLFSLALIMMFLEVFEIAPISSKLDWGTISRLKLEQRKPGDFGELMKRMPSKRTSKWIVVTSIAAPTDDVKRLASYSDWTLVVVGDTKTPADWNLPDVHYLSIETQEAMGFESVLRLPTRSYTRKNAGYLYAIANGAQWIYDTDDDNKPFGKGLDQFEYATDRTRGLRFTTLERPNGTIQESLFNPYRHFGRPDMWPRGFPLEHIKNHDHHDGAYRLCRVQRPPAVQQGIVQKDPDVDAIFRLLHAEPATGLDETFSEFAPPVILAPGMVAFRATDIWRSYFTQALLHTAGETVSFVPVNAIQKRNAHSYLKDFDDEIDVYDKAGKIVEFIDDWMCSAETLDKCTIELAEHFAEQDFWGYEDAQLVVHWVLDLQKIDYTFPAVRRGARSEYAIGDDKDLRRNCRRVHVSFANDLPVDKSMAAAKRAAMKINNFGDLKEWCDKSNSSVKSKNWYFPASDQLAEATLENKTLTDNYHTVAIITNNYQWSLGMGMLQRMYEGNFAMLIFCGHYPNQSKEAETKDYPEGMQGGDSIYYPNLKRPINYIDLSNEEVRWGFLMYYCFAKVEEMKIQNVKGYVMFSDDAIFNFWNPLNLDIMQGTIRAPGWGPWVLEGADDDGDTHFSYRRSVGSRRRDV >PPA01166 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1036212:1040883:-1 gene:PPA01166 transcript:PPA01166 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRPGGSVCIIRDFNGSAFQLPERCSSISTHELLCWIWQVTVVGAVMTAVVVVHMAAPFCNSAFKHSYIYKLIIFNGLAVHLSNFFHLLSFCVQSSTSLLITLNRLLSMIRTIQLRNRILLPWAFYILITNCISNVLSGALAYQLMKFDAKPGVFVNHATYHYRVCC >PPA01142 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:936082:937888:1 gene:PPA01142 transcript:PPA01142 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYKFTYFHGRGIGEVARQLFALSDTPFEDVRLRGEEWMNIKDQSPWGHVPWLEVDGKVLNQSWAIARYLANQFGFAGKTPFESAMVDALGDQYKDYWNEIKPFFMPMYRQNMEGMKDEFEKKKKEVAEPARDKFYAILTKEYKKNGSTGHLVGSSLTWIDLVVVDHMGVLDHYVPGFLDGFEEMRELQKRVNAHPKLAEWLKKRPHTPQ >PPA01222 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1300108:1302953:-1 gene:PPA01222 transcript:PPA01222 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDNNDVLANLFANAIRSPQPSTSKAASPPAARPTLAHSKSIGAEPSTPRRPQAAGKRLPRRSTASFSSCAAAPVMHSASSSHHQTRKGGSVSPPSSSSQSGSHHHHHSHRGHSHGSGSGTPFAGASFTDSPSARAIPLPPTVWFEDDESPCPPSDTESISSFTSTTSSSISSSSSDCGAPSSNL >PPA01181 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1104636:1108271:1 gene:PPA01181 transcript:PPA01181 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQLPARKRNELLWEDGVDFTDDTLGIVFASIIGKANYYDQEKAHNVENIRKADWEIDRLFVTVDWTNRLGNGAFGTVFLGHLSTDNLPAMAIESSIAVAALERNNGRVAVKMLSDSSNNADIAFRVEIDLMKNLGFHERLGETDYQTAVNMLACVTESSPTLLIVEFCAKGDLLGHMRKCREYMMSINSHSSLDYQQIITEKQQYIFAVQIACGLEYLSTRGYVHRDIAARNVLVDQNDAAKIGDFGLCRKLENEDGLYLTRGGRLPLKWMAPEALRNFEMSTASDVWAYGVFLFEIVTLGGSPYAGPSSSGSRRANGKTGLLSGDYVGVMNCDTNYLLLDSQQEYYHIEPEHDQNIVRRDHLSLFDGPLPLSVEQLKTTSAETPDGYQLPTSSPLKSPNPFMSPNQKARDDNGYQVPRPSPR >PPA01136 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:892158:899960:1 gene:PPA01136 transcript:PPA01136 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADKQGNERDSDSATEAKQKKSFLKRLIAAALEDKDEDDSKKNVKPVPFRELFRFAERREIFLIAFGVLCAVINGIVLPTAFVTAVLGQDSNFLDATSAGALSNQLNNDIERIRDGLSEKIGMLCRSTTLYVTATIIAFIMDWRIALIMVWTGPICIVFTALTPVLAAPSMASMLKASEEANGVAEEAILNKYSQILRSSISSAVRVGAISGFIDGFFFFFLYFTFVAGIWFGTVAFHNGLIADPGTVLAVADLIQFSSWLLGLLGPHMLAVLKARSAAAVVYKTIDTIPEIDSSDSDEGIELKAGEKCSIEFQGVKFSYKSRETPVLRGLSWTVRAGETSALIGLITRMLQASGGAILLNGQPIEQYNVRKLRKMIGVVSQEPALFHGTIAENIRLGRNLTDDGVRKAAKTANAHEFIMGLEKGYDTLLGPSGVALSGGQKQRIAIARAIVTDPPILLLDEATSALDSKSERIVQAAFQRASAGRTTVVIAHRLSTIRDVSRVYVIKEGRVVEDGGYEELRTKHDGLFAAMLNAQDVGSSDTKEIEEDEESKDAVIAQEKGLITESFIRGGSIMSSIRSGRSVKIRPVDSSDIVDDETEFPQVQRSGVEADAGRLLPKHRVDSFSWNLIYRTLDEDDYYPTMLLSNGVQLGIGAAMWVAILLTPVDYRASILYENGFATIVLCIICFFYSWINGFICLFVAVMFIASFLGFDRLSQNANDELESTDDSAEMCIVLVGWAVMFLSTAMNDLMNSRDATKKVFSLMDPNWAGRRQGEEPALSGSLAFKNVSFAYPSRPAHTVANDLSFRLKTGESLALVGPSGGGKSTVVALLERFYEPIKGLIELDSNPISRMSYRHLRSNIALVGQEPVLFRGTIRENIVMGMEEPSVDNVIEACRLANAASFIEQFPLGYVTVVGDKGSSLSGGQKQRIAIARALIRNPKIILLDEATSALDTQSEQVVRKALEATAIGRTSITIAHRLDTIANCGRICFIESGRIVESGTHEELLQAGGKYAALIREQKLS >PPA01226 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1321760:1322395:1 gene:PPA01226 transcript:PPA01226 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKGATQKPAPVASVSDDDEWEEQIMIAEVDGVLDAKIFRDAVEAGNVAIRHPETTNPFIQVLSVNSKMLKTEKALLTAVGEKDKKAAAAAVSTKSPSKAAPKC >PPA01258 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1509906:1515911:-1 gene:PPA01258 transcript:PPA01258 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLLLLAVIFCTTAAEEDVFTVIRTTPMDEQHLQLLNLLFKEGHKQNLDFWKSPTDLGYSVDIMSTRDKIDELQGLFDSLHMPNNRTIDDVQKLIIEREVNAKKRKEGLGSFAKRMRDEGGAMNKARYQFGEYHGYGEIVRWLNEIAQEYPQYARVLSIGTTFEGRNIICVKIGTNTSRTDKKVVWIDGGIHAREWGAIHTALYFIEQLIVGYENNDPLIRSWVDNLNFYIVPVLNPDGFEYTRSDVTPMKRLWRKNRAGITCNKDQWFRDRCCGGVDLNRNFDFHWGGSGSSDDPCSEIYQGASAFSEMESQAVRNMMFSSELSGKVQAFITLHTYSQMWIHPFSHARKSVPEDIAELKSVGERAVAALEAVYGTKYRFGTGADILYPSSGGSDDWAKAKGGAKYVYLLELRPGEEVWDGFVLDARQLIPMGKETWEGIQVVIGAVYSAEQANLQRDQITQAQIAQRTRPGRPSGKRRGQAIIGRRTRTRRPDDRRRIMIVRSGRVEDEDEELIPGDTFVLHRPRIHGRIRSKPIRPPVARVVTQRPIEQTTQQPVQQPQLQQVQQPIQRPVQQQQITRPQQQQFQQQQQQQAFQQRQQQNFQQQQQQQRFQQPQQQCRDTSPWCGAWLRQNRDVCRVSSIYMSRECRFTCQARGHSPVCVGYNIATAK >PPA01227 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1323359:1325107:1 gene:PPA01227 transcript:PPA01227 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSARKSGQPPADEPAAVSPPATAKRGRGGGRGRGRGRGAKVADAMGGGAAEEPCQEESVQGEPQQEDPLEAAAPAGSPSAATAAAATAAADPEPKEPETADPEEYIPESPPFVPEPVPPAQPAPQEDNQRRALTPLKPTSAPWTFGPRRTYEEALEDQRVAFERQKRERQEREERERQELAERHKLIDEESKYIPDSPPVEEAKVDGSKVKARLGT >PPA01047 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:429373:434524:1 gene:PPA01047 transcript:PPA01047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acr-19 MMSNVWLRMSWIDHYLTWDPAEFGNIQEVRLPINNIWRPDVLLYNSIDQQFDTTWPVNAVVYHTGNVTWIPPAIIRSSCTIDISWFPFDDQHCSMKFGSWTYSGFFTDLRNNTLSLATYQPNGEWQLLGLSAKRSIFYYECCPEPYYDVTFTVSMRRRTLYYGFNLVLPSMLISALALLGFTLPPDSGEKLNLCVTIFMSLCVFMLMVAEAMPQTSDALPLIEVYFSCVMFEVGASVICTVLALNFHHRSPEAYHPMSPLCRKILLHWLPYLICIQRPEELGGRLGFHTEANGHVVESGGSGKRKHHYYSSQILDGSERRSVRRGRSPDKKNRTIEISEKQQKAKDVHLDFCKGFRWSRLHKSRHHSAERASYPLMGQHLTNVERLAADGPTSPLEPPIDRKIENNVASKDKPTENNNANSKPNLTIAPVTTQLLTRTTMDSWQFNTMMGELKVISNKIRKEEFIHSVRADWMFAAMVIDRICFITFSTFLIICIIVLSHSAPHLFA >PPA01093 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:698713:700757:-1 gene:PPA01093 transcript:PPA01093 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLSTMDHSLQSNHWTIFNLQIFHRSTEILQLEILATPLALIDNVYNSEDIVPFHFIPDPQDSRGKRQKRDSQEDELAQAEKNASVETLEKSVAVWKDAEKARLEWESANEELTNSNTELDEATKNLKAANETLEAAVKELERVEGEPELLRKLTVEFHRLDPIYRALQTAVDNWETELPLLQKASKVARTGCVGKATEDGCPKLLFSLDQFRTTRDKKQAAFDAAEADNIKKTEELAQCEPNWKDLKEKIRKLNTDVAEPRHGTKLLMAQSDVNSATSKQESLLEEFKNALKTRDEAAETTRLAKESYEAKESISSRRWGRRATMYIGIGVGGGIILISMVIGGI >PPA01213 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1228085:1232465:-1 gene:PPA01213 transcript:PPA01213 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDGKALKSDRRKCYQVRDSYFSCTDTLLDSGKTEKEAEKACRVEWKAFEANCPSSWVGHFVRKHNFERYKAQLISQGVNIADKEGLENATTGETPNLRSSRVSRPAAAATPHRSKKSAATAAGSSSAELQRQQQLQQLWELSKGMKEMEPFSKKAMKKLEDGEGAMNVSHLPLIVKNMSSSNDFAALSRILGGLLKEEKVRNVRVVNEVIWAAVLAKSLGVLPPSILVWIEDTVRHLGEPIPAMKESMIWLLSILRGDLQQLQQLQQDSCSKRGVVGPELEREKTLRHLALSYLAAGQGDESLWTSSKTPLMEEDEHLGHQHTAALLHHALLRSAGSRKPDDSMLRWYAESLVAHQRTPIPENPHWQTMMTPVVSAFGGKIEKWKEGDVRVKKLRKWRDEYDDSELDKLVVSMLDHMKSLISNEYGSSEDLRRLEKMLARVKRKDGGHGRTVVVDWLNLRGVNDEDMKMDSFLPSHSLIVVGREKSGAADCTIHPPKESKRIKVMKVTKKTQRKQEVDDICSMVLAVATRGHLLSNDKTRPHVSYFREYLRDESRDVSQSILLQNYLNDAVVRHNGCQMIQPVVEYSRAAQWIDENKKELAFTVGRKPKDEDSPFRVIDHYSLHI >PPA01185 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1125832:1127820:1 gene:PPA01185 transcript:PPA01185 gene_biotype:protein_coding transcript_biotype:protein_coding MKGILEKSQELGQDIEETLHEFIEDLKARIHKDSLKLANAAYGASLGDFEMICKANAALFCNSPEVLPAPGTSISEARMANGTADVSDPSTVDESSSKIFGRPRCLKFPASNEYLRLMTSTSPAGNRVDLSPRCSKSELWTIEEQNGSVVLKGHTGQFLSSTVNGTVELVNEASETEMWTSVDNGDGSWSLQAANGQFLSSHRADKIASLMPHNQDPERFVVDVAASEVAAQPFMYGLSKTTVLTIPPNNSTSPKRWVIDGIPRCMKWEYTWPENSNKTYMRLWSDSEPYTNAISFSSTCDSFGLWNIEERNGSVVLKGHTGSFVGRTSYSGSIDVRPHPLINEAITWKPVDNGDGSWALLRNDGKVDGKYLSVREYREEVWTQLETKDRARFWLEPSIDVIEGSPSTVPTPVPNTGDQSTTSSSEIQPISAVTPTESTTTTPATTTEATTTTAKQGKNVRLCLNVALFKGQLHFPLITIECPMHHDCFGWSDPQHYECERFQP >PPA01077 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:616483:622046:-1 gene:PPA01077 transcript:PPA01077 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNDKLEKKQIYSVAYHPCEPSTSTSSNLTEYRTSEPSSKTGKQFRKIKRFGRHLDDIMSLSISTDSVKRELTFEYIRPLNKRNVPYVIEFQRLALLDKHDPSTSYTCCLQEPGILVQGAENSPVKAENGAVSDVMEIGPDFVHATAARQLVFVAERNDDTKWHSSFDFTFTEMLDDWNMLFWKRGEGRIALLHTPRRYFSESSVTVYEIDLAIYWVAHDSKFVYFGGQEVKQVRPKDAHYNDRILRRVWISRITDPLVASSARSITTSGRNFPPNCGSIWYILLVAAMIDDPNGDG >PPA01212 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1220141:1225750:-1 gene:PPA01212 transcript:PPA01212 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLVLLSIALGTVASFGAHKEWKRDCQDNLAEGLKVLEEVYGHLSGVVGEERAGKLREKRSTWPGGFGEILVRALIDKNLKSKKTKTSNADEDDDDDTPCPIQNATWDCNVHFPDDPDGAKKRGKRSAHNIQHSFPRLSHDYEGSLDPFAYYAEQLHSYLSDSSACEGTFTDLNSITEAQLYGFLSLMATRTPGPFCPLCNHMAEELHDRLLKPLEMVSGAGHGVVTHFLSHLPSPKTMCSAIAPGCHSNFAVKATTHTDGTVCATCSFCTILTNVVQHKFLLDQPSVDKLFANLEKYFFRFACSEMCCLAADFPPELRMDYNKCQDVAKKTYYRLIEAAKVLLRPERLCTLEMGWCELNETPNLLHCLREICEESVGDNPFAQWVCTQIPDRPADADKFLNVHKTKVYKDKQEHHTQFEEKMRKKREAGEL >PPA01071 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:573218:582111:1 gene:PPA01071 transcript:PPA01071 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAFCKFRQFSGHEAANFFRWMPAHRSDGSSLLTDLSRNGTFVNRELVGNGKSVPIAHRDVISMGHASFYSYMYEEARSDRELPAKLQERYLITGSVLGTGGYGKVVLGKVRDSKARWVAIKTIAKTKLAATASRSAADPGDINNEVACMTEVNHPNCIRIEDVIQTPNHAFIVLEYVDGGEFYDRIVDVQRGNNGLGERLTKFYALQLLDALEFYDRIVDVQRGNNGLGERLTKFYALQLLDALEYLHSIGVCHRDIKPENILLADREEYTVAKLTDFGLSKQMKAGIEMKTYCGTPTYIAPEMLANENEPYTPAVDVWSLGVVLFTAIVGYPPFSEGYEDGMELNEQIKNGRLIFSRSWKSITGVAQQFITQLLKVNPESRNGSWSGYGSQDPASQRGAASMVRPEDEGPRGHSDQTTHGSPILKDGTQSQLFFSC >PPA01169 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1047873:1052371:1 gene:PPA01169 transcript:PPA01169 gene_biotype:protein_coding transcript_biotype:protein_coding MYPMFNNDGQPIMDNAAMAFMSPGMQYPWFPPYAGMTMPPGTPQQQQYQMPQIYQQQPMIERKPLQQLDNNQMHQMQPQQPQPTFTGQCMPAGPSQNSLLSAMTDAEITPPTKKAPAKRGQKRKQVEDQQEDGQQETSSASLGALAVSANATIPQFPVNNEVFCRVAGRLCVVGAQKTYHVTVDEIVRRIKQPECMNRSHLGPLLKRGKVKGCGDDLLEMLAKRGVSGEADTRNVSRKQRPLLTFSCFMEEEAVNFAADHRELANNLSLEALARLLVLQTLQLVSPMEFPSRVEQAKAAFFATESLKAIVEQWTDGGWTSGMETILASPLKEFALYTHALGVEELRTMAVLYSKFVFCFAQELENQYARFCAHPHAGHFMQMNTFPPDLELPAIPPGHLHEPDLEEESAVSHSFETINGRLTLQNKKYNVTVGEVYRRVRAPESLNLSILGSQLRKGKSKDNCNSLRDLLKSHGINIDQGRRKGAKITAFTALTEGEALELAEDMNSEMSRTFPINSLCDDLIARANARTLPEIVNAKTMFSSAGHIAGLLSGQLFKLRLPVAERIPHTINAHAHIMQSYSLLTHGYGPDALISWVNKFQTIFDGAAASLPMSPM >PPA01021 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:237863:244908:1 gene:PPA01021 transcript:PPA01021 gene_biotype:protein_coding transcript_biotype:protein_coding MRALPRTPLQTVMVSRLATATAWLCFMIAQSSATSDRYSLYRASPTTQKGLKALKALENIDHAIEIDFWKDAHKLGDHADIMISEKYKTFLEKKLSEYDVVYNVTVPDVEKLILRQERKFSIPYSPFAGKRLNDNPVDAQPSELVANLKRAKYPFGDYASYSEMVRYMRSVEFYYPTITKIVRLGVTHDGRPIEGLKIGFPIADTNKPAIFIDGNIHAREWASSHTALFIINELVANYGVDPEITRQINDANFFIVPCLNPDGYEFTRSSPAPAVRLWRKNRSPERCTRSLWGGERCCGGVDLNRNFDFHWAETGSSAEPCSNIYAGSSAFSEPESRAVEDFFRSSEMRGKIGAYLTLHTYGQLFIHPYSHATNTVPEDIADLRLTAMKATGRLAAKFGTKYQVGTGADIMCEFIYFDDIRRRASTQRCVKRMRNKREPPASGGSDDWAKDQMKIKYVFLMELRPEDDVSHGFILNRRELIPLGVETVEAVKEVTNSMLAHRLNKDSMSAAARQKSICNYKPSSRSTAAVHLPSRATSRQSTCAHLDDDVYHSSADNHDYSTPDHRIHQNHTTHRHPREYHNNQQPKDECSYRIRNYHHNYYRNPAAADYHNYRNRAEWRSYRNCAQPTADYQDARGTDPKATLADLQAQAATADYVSSWLARSVLQTVSPLTSTSTTSSTKVEEDSDDEDDDDDEDNEEKEEEKPASAFFDEWWSVKRHTKRPPQAPPIYSTIFTSHPELRSTLADKAYYRTHNRVSTLHVERRPNEVS >PPA01097 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:720261:723691:1 gene:PPA01097 transcript:PPA01097 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDKKSIPNAMKFVFGGSAGMSATLVVQPLDLVKNRMQLSGIAGKREYRSAIHALTSIVKNEGVKTVYDGLSAGLLRQATYTTVRLGMYTWLFERFSSGEKSPSFLNKAAIAMTAGAVGAFAGTPAEVALIRMTGDGRLPAEQRRNYKNAFEAIGRIGKEEGIATLWRGCVPTVMRAMVVNVAQLATYSQSRFHFRAEDDSRDERSKITTFQAKEAIGSMGVLPPGIAVHFAAAMISGVNTAFVSMPLDIAKTRIQSMRVVDGVPEYKNGLDVIFKVIKNEGVTALWKGFTPCYMRLGPYTVLALMILEQMNTSYYKFVLGVEPKSGL >PPA01219 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1277567:1279888:-1 gene:PPA01219 transcript:PPA01219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ubc-16 MGDSSCMRRLAKELQQLMGEPPAGVKVQVGAGGEEAGTTDDMRTWTVNVDGAPGTLYEGEHFRLQFRFSDSYPFSSPETEKDKYCSHRFGSVVEVMFVGEHIPIHPHIYSNGHICLSILADDWTPALSVQSEMSVQVCLSILSMLSSCKEKKRPPDNALYVRTCSKNPSKTRWWFHDDTV >PPA01106 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:759251:759938:-1 gene:PPA01106 transcript:PPA01106 gene_biotype:protein_coding transcript_biotype:protein_coding MWEEGTGNFLEYENFEPDEFCRDSDQRFTFSKDEPWHATTVAIRIPGATMCMRRLSSVTEGCTLGVEGVRSQKSLREFEWQQWWHAMVHPC >PPA01150 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:966299:973393:-1 gene:PPA01150 transcript:PPA01150 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSNPHQSNLIYTAVMPVYKLHYFDGRGLAEVIRQLFHFGDTEFEDIRIGWQEWQETVKQTAPWGHLPWLEIDDRVLTQSWAIARYLAQQFGYAGGTPFECAQVDALADQFKDYWNEMSPFFYAMYLNDPEIAKQAKAVGKDMGNARENFEKQKKEVGEKGRDKFYGILAKEYKKNGSTGRLVGSSLTWIDLVVVDHMGVVDYFAPGFLDDFEEIRELQKKVNAIPKLQEWLKKRKHTPHAMAKEESWSPHQGEWPRKRECNTLSFDEKICTLKEQINHLEKIVKALATAYEAENKDVDDQERRKNEQPYVPSIDLEDGAGSSSGRTTTEDEKLRRLVNELAEDCNAVPVNYPLCRAKLDHVNQMWNDVMVEQMRIALKDTVGESTDTLDPQDVTEKTIIAAMDESGACQISLAEYKRKPVQPKRISRNVWMEHLKMAKKFADVIAHICTVHRSGDVGTLEWTTTQAALSSVEHWEDKWMNNEAMMKEIGKLIVYEIMRRVEDYEYYNCMFCNVVEYNVKQFLAHFASGTHCKKARELIDSDLRTMLFGFADKQMIDLTTIGDELHGFYSQQFTAPLVKSGAKVPQASKNSIPTVEYLDELEEKYGLAINGDIDQTKLEDAVYVAKQLPGVIKRHKSPIGKQLFKQLHEYLGKGTSLYCRRCHWKVSNRASYYAHLMNPYHVSMNYLKDGHQFNLLTVSINVHLRGEINT >PPA01015 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:208281:210824:1 gene:PPA01015 transcript:PPA01015 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLVGFIFGILTSLSPTFYLFLAGRFGVGFAVGALAQSVTLTSEFLPSKNRARWLVVLKSFWAIGAAIEAAMAMVILPTLGWRWLVALSAVPLALFGVCSWWLPESAKFDVSRGRVVEARATLDRIARFNGRSLPEGELITETEEPSMGFLDLLKPDFRRVTIQVWTIWTLFGLLYIGLAVYTSLLLQSTRCDDATTIHNYANSTIAFAAEKRVKRSGDCRLLTTDNYADIILTTMSEVPGYILTAFAIDAIGRKATFAVGFGLFSVCSAVMAFCLPRFLIVTALFIGRSTIGAVWQAGYIYTGEVYPTSRRAQGLGVASSWGRVGAMSTPIITQMLASLNLIYPAVLYTAGGVLAVKSMP >PPA01170 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1052845:1053311:-1 gene:PPA01170 transcript:PPA01170 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGIMANVFSAILVYEFVKIRRIRKTAHSSTTTRAVYISLSFQEFVMTASGSLIIALYTGAPFWSSKKYVN >PPA01241 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1424815:1427895:1 gene:PPA01241 transcript:PPA01241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-asd-2 MFAQQSVLCLISQKLPPPPLDLFSLLSLPGRPSSSASDTTNSPASSEAAEDKMTAQQDAVAASNMSDWVCDTDSKPAMRIVEQFRDLSGVVAGSSTTQQTYSIDYLSQLLKDKKQLAAFPNVFHHLERLADEEISRVRVALFQFEFTKKEDLQLPEAEGEAQVITEKVFVPAKEHPDYNFVGRILGPRGMTAKQLEQETGCKIMVRGRGSMRDKKKEEMNRGKPNWEHLSEELHVLIQCEDTPNRAKVKLIRATDEVKKLLVPAPEGEDELKRKQLMELAIINGTYRSATQQQQQVAAQLAAAAAAGKAAGAIPTAVALQAAAVAAQARGQLQQSLQLQQQLRSPGLAGAPIIMSPSTAAARGAATATSTSMAQLQQQQLQQQQQVALLQQHQQAEAYQQLLLGYYNNASYSQSLGYDGGLAAMLQQQAAAGQGYGIGADYGANAYADMEGVALKRQRMEIGMLGQAASAYQASQEAYASSGDLAAAAAAAAGGGYSLRRWA >PPA01233 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1365429:1367587:1 gene:PPA01233 transcript:PPA01233 gene_biotype:protein_coding transcript_biotype:protein_coding MNDMHQRQQKTCTISILIDDGHIEVWYGLCMSRKEKNKRKFDFAVTYTSIVYFNFDKLTMRMYKQEGDDGQVFEMGRVEHVTEDKTHEEFIDFVMFLHFDTCKHVYLKETFSEDAWRNIDEKEIELSNTGDPLLNRMMCIFFTIQSITCLILSTMYVWSIGIPFFTNRLYDMVFLRYTKEGVVVKSDEAEADSCKTVEEPSQEWEATSGRKEDLFGEKGKQK >PPA01162 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1025654:1026607:-1 gene:PPA01162 transcript:PPA01162 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGAIRYGHIYDAIIKKNVAAYDAGNADAAVEMYDVDGVVVDKGSNITSVGTEQIKKMIAEFIKMGKVEFAMPRKEIYDVGGERFFVDVDFDTKLVESGVVMKGTSQQLYHKKGDQWKCLVRDQCLFS >PPA01056 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:487063:490738:-1 gene:PPA01056 transcript:PPA01056 gene_biotype:protein_coding transcript_biotype:protein_coding MNCIWIFAETADDVGIPKRAKITIPLAKMFTLENCRQIYQEKKLIVPLQFLTTMERIRSMRFVDAPDYVGIRNDFEIIVKAENVDMYRQPLDWIGKTMPDKPKQVQEPAKVERNTKEQEIAAKEATRDKKIGAMLNKGFKRDGVFKTPEQLKLEIVLWKKFEEERKRMLEESSYEDSSTDSTKSSEHSRKGDTTMKSIPPCHCYSLHEDKLDFSNLIVPRDLEERGKDRRDLREIGGRISLDAIGKRRRRELKWRSKGSKKTSANDEKRIPTRAELTCKSDKSGMKFEKDGKIELKSAIPEETCKSTTNKSLMENSKVESTCKSTTSKQEKETEKTAKSGLFSTIISKIRRACDRKPEELEMTAPEAQKK >PPA01068 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:560845:561521:1 gene:PPA01068 transcript:PPA01068 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQGPVLPGAHSIERHLIDDELGWIIDLNEMNRKDCAEALLNYTHRATVPLPYVVLETVFTIEPFAVLETC >PPA01248 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1455807:1457024:1 gene:PPA01248 transcript:PPA01248 gene_biotype:protein_coding transcript_biotype:protein_coding MREICTLVRLKGPGHVSHVELNSIYDVVRSSIVWTIQSDNSGALARRATKFAEGTLVKCKIAYGECAFFQQKKRVEKVILIGRHYCRPLEQTQYLVLDEADRMLVMGFAEEVMEIMEKGGIAGKEDLVENGEFVQDRGCCSVPHSRSLAKELLREDYLKDVVDKIGVANKSITQDVMQVERMQVFKQKTVIFVASKTMTDTLGVFISEAGTPTTTTHGVREQVEPA >PPA01089 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:668746:669324:-1 gene:PPA01089 transcript:PPA01089 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLVVLFFTVLLMAEAVMVTRPSLPSRTTESSLNVKSIKLSNRAECLNMCANLGKPPARSACKQVCSLEFPVIWNKPAVTPRG >PPA00992 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:109522:110207:-1 gene:PPA00992 transcript:PPA00992 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLFLLTYIVKLVWGQMYVRTDTTVIPLSSLDVDLIQCSRICEKDPASVGFFVDTSRCINLASGDSYPVDVVSFRKAGSIGSCPAGTKRWQMVFGIDKKGPKPDQLSTSGTMSSGTTHGNGSGDSGSVTNTVSVEEHISRTSTESSTALGSSIDSSIASAAKTTTKSKDEEAKDSDELFHTFC >PPA01114 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:793715:794602:-1 gene:PPA01114 transcript:PPA01114 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNTPVRASPHIAQLIADISAAVRDKLIKSGSTKRKQMCCLTPPDFFTRIFNDELNDQKPPKNVTFEVTAAEMVKDVKELRAILEEIEAEKQRAHFYLEFAAKMGLVYVGWRLLTRRW >PPA01005 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:171378:174501:1 gene:PPA01005 transcript:PPA01005 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFRNLPETPNQFSSITSYNDYVISAPIAHIPMEPMTQGKKSRKRERDEENKKRRNRTTFTTFQLHELEKASVTCSKWNCYGFVTTQQSKKKKTNINRDEKPRTQENLTEMRKEPKKTGECTTVESYYSFEKCHYPDVYAREKLATTVKLPEVRVQLLYLWRRNGVGSKPA >PPA01141 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:934852:935326:1 gene:PPA01141 transcript:PPA01141 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMIIVSVIEVMVFTVVDKVANKKRKSKKGKKHAKKNGQDVAENQPESSSVEQSEDLISNEEVVVEVVEEVNESEEDDGLAFIDQEEETVLALLEGLNFEA >PPA00978 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:35154:35743:1 gene:PPA00978 transcript:PPA00978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3DU97] MAQSVPPGDIATQPGTKIVFNAPYDDKHTYHIKVTNSSARRIGWAFKTTNMKRLGVDPAAGVLDPKENALIAVSCDAFAYGQEDTNNDRITIEWTNTPDGAAKQFRREWFQGDGMKEPPIEYNP >PPA00981 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:49861:50951:1 gene:PPA00981 transcript:PPA00981 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVLTTGIYDTLASNGFEKFKDLLSRTLHCKGHSKLYAAMPGQTAKPAKSVMCMKDPTSPPGTCPKNKWLINNEHYYTGLAECKNSLTQTNNASWIVKIGGKDVEMTMAECTEDREFYPWNCNCNCNFELSYPCFIQVQIRHKLREQLPL >PPA01128 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:851627:852901:1 gene:PPA01128 transcript:PPA01128 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGGSHGGCCGFSRNVALIKIWYHGDGELFLLIALAPCKRLMKIGFWMKLEK >PPA01031 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:309438:312042:-1 gene:PPA01031 transcript:PPA01031 gene_biotype:protein_coding transcript_biotype:protein_coding MEIETDAAHSPTTMNPLPCSTFITVPGAPTECYCEERSQDRITTNGLCTMEGNDPCPAGLISVYGICAGTGAIANVPKTDCVSGMRFFYGDCVPYEAMYANSRKPYAFGNVGAEHKCYSHLKKNGLCLPNALMVDIRVGGVASQVLTFNSVDEPVFLGDCNHQNVYTHQPTYCSTRPEWAGARNCKHSEGHMGWTRTFDYEGCMGNTFFHERTLCNFHGVRVAGSGGERCFGYIAGSVVPKAPKQGDSHYDGCNHLQQQY >PPA01008 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:180710:190283:-1 gene:PPA01008 transcript:PPA01008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nekl-1 MATVFLTHNECRDLRSSCRATTVAVRYQFGVATVAAVQDELRKVSGSGGIKNLACHVQTKDRRLSFGQNMAMISPLQSIDRATIRADGSPMRKFFKWLLSERMAEGSFVDFLLTQPVGGDAHPGDIVSVLLGLTRKKISIRFVNRFLSPDLPPHNVRIVELYLDPAKIPRTRTAKTADTRSLGSSTSMGGDRQSSNATAGYERIRVVGKGAFGSAILYRRKDDDSLVIIKEINMHDLSANERQFALNEVNLLSRLDHPCIVSYFDSFEEDGILMIEMEYADGGTLAQHLSRCDSFLPEQDITELMRQMLCAVTYLHRNSVLHRDLKTANIFLTRENNVKIGDFGISRIMGTDTIQKGAETVVGTPYYISPEMCEGKTYNEKSDIWALGCILYEITCLQKAFEGENLPALVNRIMKGNAAAAARREAERGKGHGGEWIFLSHFRKKSKLTSMLEAIRGGGSLRRRQPEPLPSMTSSSIRSVLYTFSPSSVSLSGVDGTPPKIKVSQISISSSHAVLIVSPDFTVYSWGGNAHGQLGLGDRADRQVVLLESVADRPTRVRALDGKVVKKAALGSTFSVFLADRGTLLVCGDRKMSGNGKEAEDQLEPQLVTSILRMDIVDVSAGEDHVVAVAKDGTLRLPSKPLVIGARCGANASALMMDDGSIMACGSNRYNKLNLAQRLGFFGHHRSPHSSEDILTPTRVPAFPERVVDVSLGANHTGGKLVLESGLVYMFGMNVHGELGNGGLLPSPVGSNVPVRALFNKGVVMLSCGDGFTLAATVENELFFWGSKGVLPSRPAITLEDIDLTASYTNTRVVKLKAPPTAKQSKWSALKRKSDAEAAMDVVEQIIPIPSLVLRLDNSADPGQSIRLAHLSAASKRVYVTIDTSVPMKINTIARRRTRITRQHSAPNLSEDTEVLQTWLRKEFDQAERIPIPGYLANSTASLRASHQALTLNESVKLHAEIETLKKQMAEQSYRTHGHAEQMSALQRKLAELQTRQSILRQSGGLSSPPPEYTSPAVSATGSTPKGDANI >PPA01253 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1481926:1484196:1 gene:PPA01253 transcript:PPA01253 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLRRILSDGLFLLSNPAQISVFLGGPSAHCIHLRRNGDNGTTDGHCIYTFVAGEGARVKLDFEQFQLAGTSENCEAEYVDIYSELERADENLLFARLGGRYCGSVSPHNFHCSYLLKGKQGQRIRLMFRDFDIYFGGEHCPYDSVTVYDGDSTSSPIIKKVCWQQLALPVCGLQQRMEQFSVGPELLIHFNATDPPKADPRG >PPA01064 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:536298:539428:1 gene:PPA01064 transcript:PPA01064 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLLAFTHLDMDNHNVDKLFMNELSMPAFPELEDAYRQWYTEQGFVLVENQLQQPLQLIMAKQEPEYHELRPMQLQPMQHELQHLQPMQHELQHLQPALHPLDNEQHLPPPVAPVFFRPYEIDVYTPPPVVDFSAQPYMVNTIQPVEMEAKPMKPQTRGRKRKQDDVIYEEEAELDTSTNIDHAAYKWTEKNTQPVEMEAMPVKRKSIERKCRRKQAEVTSDEEAQLDTSTNIEHADWTETGEVFTNIVGRLCVLGSLNHYNVTTDEIKRRASKPEGFGLTHIGPLLKRGRVAGTGCELKRLLESHAIFLPPAQFVNRQVYGLTTLSAMLEGESVQLSVDHRELALAHFPFTSIAHLIIRSIGSPAALAAAARDVAAAK >PPA01174 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1069054:1070282:1 gene:PPA01174 transcript:PPA01174 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAPFTVNAKFEGESALSQQVIAQLTVTEQDYSLTVKPSPFDMLENPECEYIMKTDNTITKSINQAFSSPIISLLYDSKSEMTLDITFDFPVERSIDASGYLSSPGYIGCYNKTFFFRSESYNYGVSSINETFLVDGEIQRHVSFYGDINTDEAGPVLLYDMDTEAEPLIITGLQIDNTSTWEYEMDTSAH >PPA01080 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:632428:633242:-1 gene:PPA01080 transcript:PPA01080 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMLTADSTVLNFSDRGDAVLKLTNASDLEVTYKVLCSSSAIRTTPIGIVEKKGSLELRFTKDPAVKLPSDIRLEVMWKGILFKDDASWPEGGAGHCYGLEGVVFTVKAS >PPA01192 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1149579:1153401:1 gene:PPA01192 transcript:PPA01192 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTSTIGGLPNNKTCAVCNLYPAKASGANIEAIGFEETEGDDTEATEEKGGEDATNQLISPFLRPVQQQELIELPKLPESPTVSHKADDVIVEGVEENHMVGGEQDEKEGERKRENGVAGEESSTVSVSTVPVTTVSGPRATSTDKTVVDKESWKAMLERIEKWNPTVPRDAVSIQTRSISPPRTVFSSFWSPSIDAYRPPLSPVRQDTIVPRSPSEKTLDPDLLLSPSIVALRKRLFNRNRPDSIAIPPSSENVLDPEPVPSVVSDKVAPESTLTMPPLILPPSRVLPVPPPVPLPILPVPSPVPKRVLPDPLPVSPRIPLVMPRAIEKRTTKARKRTAVAARASTLWAIAAGAAAAAFTAAVMYAANWIPDDPQWTDVIECVSDVVEEVSVMTCAAPANNHTYFAKKEHLFPCLQEDSGIPTEPFLSAFQGLADFVGFMGTAFAPVKSDIAGNNCFLLVSFTFQSF >PPA01057 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:491446:492723:-1 gene:PPA01057 transcript:PPA01057 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDRPIEPNGTVFTVSDQNKYVVEELIGRGGMGDVYRVCLQRDRKKKYAMKTERVGIPAACERLKIECFLFEKFRTTKGNRDHFVRMVDAGISDKNKYFVMELVSHSLDAIYNRMCKRQFSLRTTIEIALQTLKAIEALHELGWLHRDLKPGNFSIGCPPNNSTVYMLDFGTAREFRDFQGRLR >PPA01124 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:836232:838779:1 gene:PPA01124 transcript:PPA01124 gene_biotype:protein_coding transcript_biotype:protein_coding MRRARISFYQNRHLKLFEFRFSIGPLLASTSSSFTSAPIIPLAPPPAGSAAPPPLHQQQPLAARSDPSDLLQMDAPAAADAAAPAAAAHAAFSNPFDGSRRLRFDISINTNDELEKRRLR >PPA01035 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:332381:334885:1 gene:PPA01035 transcript:PPA01035 gene_biotype:protein_coding transcript_biotype:protein_coding MQYWDEIEFNAVRAELDVIVEQEKVNMRLPLDWIGKVMPDKPKPVQVSNELAKDKKMEFKKETAESKLENEIREWYRVRGNERTTTQIQDDSVRERRTGATRGTTTTTSSSEKSSEKSCKKVRDGPSKVEKKPVFEGNSILKGEMSEETCRSSSAKSGQTTDKRGERDSEVTCRSATSKSVNSGQKTDKWAQKDSKQEEYTCDSGTFKGDKGEMKAEEITCPSTTSMGEKKTEKLGKFNRLLFWKRRENKPKEPENLRRKAPGLDKN >PPA01102 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:742114:742877:-1 gene:PPA01102 transcript:PPA01102 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCWAVKFGLELSVVIDGSWMWQDGQPLNFTAFIEEPVSDCTMSNDRFLLDGTGKWAAQDASQPLAVVLCARKWIKLFHRADDVDPPHFPMWAIAPIVIGAAIFVILAILVMLMARRKIRQLREIVEDAKMYVESGSSFSVLY >PPA01041 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:376579:380247:-1 gene:PPA01041 transcript:PPA01041 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPSLILLSLFVVGLAKLCPNPEYSCPEDSTCCLLADDVPPGEYGCCPMPEATCCEDHIHCCPGGQQCNVEEGSCYASDGSVSKMLRKFRARKTNKPIEEDEIVQLNADVICPDGKQRCPALTTCCELETGQFGCCPAPNAVCCQDHMHCCPDGYRCDTTSERCRQKDASEIFGVRVIPSIRKFPATKNVVKPTISYRDAKSRRTGTEMQKRPVNCGNNVGCSKGHTCCEALDDESGPFPEQVCCPMADAVCCDHGCCPRGYVCSKDGRDCERPALSENAQYLRLLKKAWFNED >PPA01113 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:792715:793240:-1 gene:PPA01113 transcript:PPA01113 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKAEADSTTTVGMNELVGLLTEAVRKKIPLSSNADEAKTLNKMYQDLKMQIRIESTKREMLGLLLDSAFYVFGGYVIYKFIRSGW >PPA01245 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1447668:1449673:-1 gene:PPA01245 transcript:PPA01245 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTPLLLLCTVVIVVMAQGPDLSSLISTGGDRTSLIFAPSSGTLASTHAPIPGTNGTNGTSGITAHATTLVLPTVPTLNGTGGPMILPFPLNGTMPVTNGTTTHSMPLPTLPTVNGTGGPMILPFPLNGTFPGTNRTNGSSGPIIAIQPPLTNQNRTGGPLILPLPNRSDNGTIVFPTFPSLNFTAPILNLTRPGTSNGTFVFPPMNWTLPGLNFTSNGTIIFPTFPPLNWTMPGLNGTRNGTIVFPTFPPINWTIPNWNGTFPTFPSVNWTFPGFNLTNNGTIIFPTFPPLNWTFPGLNHTNITLPTFPPINWGNITLPPLNFSITTSRPLTNSTGPISFTTTTSRPLNGSTPRPTPIVWTWVPSNFTGRANLSTTTPVPGTGATQRWTPPVWTWWNRTTTVTPTGTMGATTRSPISSTTRSPWFTFGPSGTTTRSPFSPSGTSGTSGTTTRGFGTSTNNPLVTDVPNSLSELLKTWRARFAADMENVRYNITQFLLH >PPA01196 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1185208:1185946:1 gene:PPA01196 transcript:PPA01196 gene_biotype:protein_coding transcript_biotype:protein_coding METMAEISSLCENNAKTKILVKGLRKAAVCFLKAAANYRIFTVDRRLRKGYK >PPA01133 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:884637:886777:1 gene:PPA01133 transcript:PPA01133 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGYIKTMNRHAKVLMDVLEDNREKELDIYPYMKRCTLDIICETAMGKDLDSQHRPDQEYVKAIATLTRLTMNASMQPHLWSAFGRWLFGWQKEHDDCVKIAHDFTKEVITERMSLLCPCGDAEANKRSFLDLLIAEKGRENLSLEDIREEVDIFMFAGHDTTSSALGWVMWCFAHHQEIQERAHEEIMGVFGDDVDRDCTREDLANLPYLERCIKESMRLFPPVPFVIRNIREDFQMGPHLLPRDSPLLISPYLVHRNERIYPNPEVYDPDRFLPENSSTRHPYDFVPFSAGPRNCIGQKFAMYQLKIIVSSLLRRFSVSSDRLFDHAFSGTSTQSRN >PPA01146 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:958155:958531:1 gene:PPA01146 transcript:PPA01146 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTAAILRKSLALIEDGYKQTFKKDMPKETYDDSTEFPRNLANLAYWQVVRTSEGYDEETVNANRGEVELLRIAKYLSGAH >PPA01051 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:452778:455314:1 gene:PPA01051 transcript:PPA01051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ceh-6 MSLAGPRGRESVCNRERRIAPQLESLDRPDVIQRLPSNFSSDAAAAFTPWTHYPSWAAAAAPPVQQQPPVSAASAAAAAAMSQIPLSTSQSMDSAQLQQQQQQQLQQHKFPDDVKFDVNVAGLPDGDENTNSDDLENFAKQFKQRRIKLGFTQADVGIALGTLYGNVFSQTTICRFEALQLSFKNMCKLKPLLFKWLEEADSTTCSPGSTYEKLAGQGGRKRKKRTSIDSNIKNRLETFFCKNPKPNAQEITQVALELNLEKEVSDARNVRGVVGNVDLDESVSLWCGCGSAIGDRRRSG >PPA01242 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1431214:1435574:-1 gene:PPA01242 transcript:PPA01242 gene_biotype:protein_coding transcript_biotype:protein_coding MKYPLLLSVMAVLASPFMLGSTLAAIIYTFAMILHNSCYDDPSCTGYLNIFSICPIYAFSPVIDILMWNWEMSSKRSIGKIAGPVFLILHFGVLIFIFSIVELRKLCQRKSYTMQPGDDVVLRVAVNNISFGVRAHECFGVLGVNGAGKSSTFEILTGNTQPSGGSASVNGVDCGRAPTIGYCPQADALIEHLTGRQSLVILAALHGYAKPNKVADIVITCVGMQEHANRATGRYSGGQRRKISVAASLLAQNSLIILDEPTAGIDPITRRDVWSVICALRENTNTAVVLTSHSMDEVEALVSNLIILSKGSIVVEGSPQMIKNQYGSHYNLNLVPEVVTDVAEITTKVVAVFPEATLYDSASLKNIKYRIPRVPSDIFSTLFEKAAAIAEQIKVTDFSFTQATLEDAFMLASSVQPVMLPPPFGQPQFGQPQFGVPQYGQPYGFAPPPHNQGVAWAPVPGTATTTTTGNGRAGEAAAAAAATAPSTDPAAAPAAAPAPEPVGAGTETVTQTGAETETTGV >PPA01157 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:996534:1008773:1 gene:PPA01157 transcript:PPA01157 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLADAMRTMAVQQPAAAAPAAQAPPAAAAPAAPAAPASGPYELSFLDKQLKFESEADGVSGEFVYDCTMVLICKFPHLVKQSRPAFGQAASSPAEVVAAALAAHPEVTSFVLRGNTLGIGAGERIAQALEKCPNLKDCNWSDLFTGRLRSEIPIIVKRLCGAMRTAGVQLRSLDLSDNAFGPIGAESIQDFLESESAFSLEELRLNNCGLGAGAVTVANSLLTLHQKARAAGRKLNLRVIVAGRNRLEIPGALAFARAIGEIGTLEELTVHQNGIHRPGVIGLAEGVARNPRMARLSIADNVGGWEGAEAFAQALQNLRELESADFSDCLSKDRGCRALVQAAVRLPKLKVLNLSGSELTAGVAEQLVTAWSQGRNDTATLNISTNNLSDQFGRIRDLAESLGGRVDVGESDDDCGSLSGDEAEDGAVDEDVNDDTSDGERNSGGDDDEDEDEEEKEYYDFDDEEEDEDDYSDEDEDDDYDQEEEEAIAEAKGRPAVSSLASLQASQPMQQLLQAAQHITVAGFCAAPTAAGLRALAAAGSERLKKMIEPFAGARDQTESARLFLSVIVAASGAEPVTMQAVEVLDTLLITVSRHLRRPIPTVVQMMNHVVAHSDMSINREENLVDPSAISRTILETAVLQLTQRGRLTEASNMIGHYFPSTLAAAAAPGAAAAPPARQTFAFNFSAVNTAATTVIPKFSFVLRIAPTFG >PPA01045 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:404384:412862:-1 gene:PPA01045 transcript:PPA01045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cpn-3 MASRTTAGGIGFAVMQKQAGKFNEDEAKALLEWVKKMSGENISTEGSRENFHKLMKDGTLLCKLVNGIEAGSVKKIQKPISNFACMENINAFVEAAKKMGVPTEETFQSVDLFEARDLFSTCVTLLSLGRVLQKQGKPNPFDNVEQGFTVVLDMRGAKWDAAKAMLKALNKWIWSVTEWMKKLEDCKNRIKSGSTPTCIKSAEEAIAAHSDIQKRILSVPLDELDATSAQIHCRIKNGEQKGGDLESSLPHISKTISSLVRLKEEVYEMWKILSWLKSKGDMVVRDMNDIGESIEDMEMKLMTINELEDCMKNARINVNGVTSSAGRMKTPNRNGSKAMEKGGKVAVAWRAMDCVNGEKNAKERQTKLSSHHRQLEEKFKDDKKRLQIVNAFEAFQHDMKRVFDWLEEHGEPYLKKNSGIGESKTQASHLRHNHLQAYATTLAEGTQLVKTVEEMSEINGNGGVEKNKQRPALQFAVNMANCLSEISDLCEQMISWEHDMHSLVRSDGFLDSAEKVLPYHADNEKKVRQAMENIRPKVNEVFQVLEWNYEVSRALERLNLLFSDRKKALKIMIGV >PPA00984 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:55652:57494:1 gene:PPA00984 transcript:PPA00984 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLITVGVVGARVCYPPTTTRTPYDILDTATVETKRGCEMLCTANATCVGISFKDVGLNSSCTLLSTRVANEICIAPTEIYLKQDTNCSDRTDITAEYGVDPCIDEAVPSAAVHADGRRITLDNEKWNTLEKTDDMWAYRFGTESFPIVAAMCVRAGSTKCPCAPLINLPNIGYPALPDRVNPCAANTWLYWNVAKSPTYGVQYESKEETANARLFAIVACKAGLWLLWMRNGDRNWGVTGLSCYPVLSQRGIVVK >PPA01073 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:598986:599972:1 gene:PPA01073 transcript:PPA01073 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSYNACCTSGLITSTIIPVYPVPNITKQDYAQIFNKPIEEIVLADETAEAMSNVAVPEDVSPANMAIFEMLEYIVNQPAPSLPKDIFSEELCNFVGKLMLCL >PPA00974 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:8454:9338:-1 gene:PPA00974 transcript:PPA00974 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSTGKSEVQQHTCCIGRSGCASEAPLTMAEERRAPSAARGRAKEGKWKEGMEWRFAQGSARPRLIASHSQSSIVTSPMRRKKKNRANNYFGSINTIITDRAHEAL >PPA00997 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:117533:119648:1 gene:PPA00997 transcript:PPA00997 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRTDTTFIPLTSLDVDLIQCSRICEKDPACVGFFVDTSRCTNLASGDYHPVDVVSFRKAGSLGPCPAGTKRWQMVFGTDPKRPKPDQSPSSGTTAFTTQGNGEDSGAKTVPSTTTGAPSTATTMSDSGPGVASEGISGASSPSMTTTSVQSSTIPVDNAVPSTGTTDGNGVKIRSEPSGGTSSPFPTTTSIPSTSTTSSSPPSINQAHAMTIVSLGDILSSTMTTSGGTDGGAKEVTDAVSGIGSTHTVRTSTATPTGATSDLPTTTVDQTTATVLTTTTRAQPISSTGSYSVPPTITPTTPASTELSAVADSTPSQTTMITTNGSITTNGNDETTMQPCVPCPKKGRGIRLYVPTSIMITPPTLLVPSATYLGGRISLPLEHTDEIKHRIRLGWFAWTRLSSLLTSRLLPMKTRRRLFKSCITATVLYGSDVWALRASDKERLSVTQRKMERKMLGISLRDRWTNERVRDCTKLRDWIREGLKCKARWALKIRQMDMDQWSRATTVWTPYNRKRPTGHPRARWRDDLTRATGVNWWNTTYEEYRPILICLT >PPA01038 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:346093:368509:1 gene:PPA01038 transcript:PPA01038 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIQARISRSKMDFRVWRTRAHRRLQHTPGFVFDLQWDSICAQLYQQSYREPREQDSFSSHCDCDKERKPIHWPETPQMTILKEERPSDTYPILISAQKATLINIAKPAAGKPATGKSAEAPKTKTTEKTAQAPTLATTQPLSATQGAEGAQAKTQPGPDNGGYVTRDQERSWFKRICHLSWTELKRYRRNIPYSIAIHSAPALAALCIWGIMSFMKIDPSQIHSHAATHIAAYDKFSSKSPLMDGLKKRFKKLGETNKYDALVRDNIMDKTTFGKYISIFQAKNMSFLFHVTAASKEGGLEYQLLTPDWVLHGDLLPPQGPFAYPNSHDAIPMRDGYIAEVAMLLNEAYKQVLEGAPESNEPLKQRMHTTISPLFNYPVHLVMNVLLYVHATLQILHVIRMVSYIVEEKESGLKTLLRTMGVSSKEYYVTHAVVYFLILTVNSLLLYAPVCIIIRFHYYGSLLLGLLAYNACMVMFGLMVSTLFSARDGIGRGDASIVSSSFATDALAVIFAVGVILHLETYLTQPTFTIGRGMLTSLNFASAFHYYMASISHAAVLGLPGFPLLSDISMRMFGILALVMMVVDCLVLLGMLMYLSIVYPGGQERGAPWYFLFTNGFWSGAPRPSNLMEDQNWTRQAETGKRCIIYDGVDVESRLEAVSFECYADQVLGIYGHKNSGRSTLLRLAAMIQKPDAGSVFIMEDDMVNMDHLNIIGFMDSTTAPLFEHLNVLEHMELFARLRRSDEEEQLMAILRCLELDEYASVSTLNASMKRRLQLGLAYTGGTKIMILDQPTEGCDLYTRTMIRDFIAANKAGRTTIGIFSSASETDALCDRIALIARGYVLSCGSLDWMIETFGGFTSVLTIYPKEDYFTEALRTKLKSFFTDKLPDANIVVDVGHNFTVLVKNEMTEHEIQAPILSPAHRGVVPASAWIGEILATLDRAPEQWGMSYAEVQRLTVERMYSMLDHSEATEDSLKTDRAYVQDIDRILTSPRYSLFSLIGCQINVVAKRKTLFALRFMLNLFTQMTAFALVFFLALFLMALFAQRNLSPDMDISPTNFPVMRLLGTKDAVDFASSKYGLKAEVLDPKKLTMDHEQHSEWLYQYPAPLIGIFDKDGVVDTMSMSSLRMGKLFATTLADNYRLRDKGKDLIKFKVVSMILTEKYANMKELLNIIKSRDSHVLLGMTAVEEIKMVSASWFVWLISCFCMAFSLSLCSLFPAYESSSGVLRHMRIPSDGTPTVVYWATVAVMDLGLHLLILAIFCFGPIYYVGPPSAVGFGLIFLSWIGAFLSVVPLVYIVAAYVAIPIKVFAIVMLTLLWLPFCVVASYYFTNFSFVATQFSLSDDSAIRTLLMFSPVHASSLLTTFVPCLTGQERYTEKIADTKVLIETVKMLLIFSWAHAPAWFILLTIASKSLRRLLSKMYYKIAITEFNEPPEFNLPKQMAIANGGDDDDISVEGNTKPGKNKTAKTGEEKGYDQLPGLKNRDIIVAKKDLERAANNTVYDNLAGDPATPHKSMMKSVKRGDKTMKSVMGKLKTPAGKKSSAEVEVEPGAPLVAAHPAPPAAAMTSPPPTTAVTPPDVPSSKKKSSKPGAKDEPPKEVLPARIALVADSVKKVKPTPQKTDPQPKTDEKNSKESKGAPPPTGSAKGDKKDATAPAPQVSAKGAKDSKGAPAPVGSAKGDKKDAPAPQGSVKGDKKPAAAQVTPATPAQPKTDEKNSKESKGAPAPAGSVKREDKKEPAKPATPAPPAPAAAAPKPAEKKSETKKVEPKTDPQDKNKNAVTNMKAIEATRKDLVDDKKTPAALTPSGKTPTKADATKDTQGATPVPTPKNTFKKRSGAGRDESDVVASSTVHNNEDESADSDPDDVVVVSSLCCGLGGGGARGAAGADVKAAGTAPKSDEAAKSLLPQKEQERPYNALQTITQKLGGPGIGTGVGADGMTTMARSRLTLSLHKPNEASKQRRMVKLDAPVAAECEEDGLTMYDVYVNHKRSPQQFRLEGAIVNVKKGASVVVFGDATTSAAMLDVLTQDAIATRGAIAVAGKAHEDARIASIRDHMALSDFLTVREHLEQTATSYGIRYLTIVDRLLELVGITDKEQWIIRDLQMPDRLLVSLCTALMNRPHLIVLDEPISALCPTSSLTMWYTLSIIRNLWGTVVMTQTRSPMEVEMLASHILLTQPRVVCDILQPYDIKQRYLTQLFVRVALRQGADKKTISAIMISQLQAREYRTEARSEEVLSFVVELASRKLNVAFKQTAN >PPA01189 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1137178:1138279:-1 gene:PPA01189 transcript:PPA01189 gene_biotype:protein_coding transcript_biotype:protein_coding MANGSLKKYLRNHELSLTECVSVSQKIANAMEYLHRMQIVHRDLAARNVLVGATIDIIKVCDFGLARSLETSRYYITKKETFPHRWTAPEGFVMFDGIIVTEMGRITYSADVWSFGVVMWEVFSNGKEPYSMIEENDLLGLQQMLQEEKKRLDQPSKCPKTIYAKMLECWNDDKHARPTFADLQTFLASIETDDVDLPTAPQLEHSDTDVDSLTSSGGYNQIPHEVVEEAVGEENIIEERIERKVSPAPLMVLHSPDNSGGELVRPTSFNFTLG >PPA01000 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:123464:127635:-1 gene:PPA01000 transcript:PPA01000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-bam-2 MYKTVLTRGSVLFVGGMFNKKVKPGIYKDEFKAKFFENTREKLPSLRGCVKDVFALGKRVDVLKEFENQWRMTLISPSAEKYAVRMGCGHCPTQCPLHSRCRPVSNELYAESTCNCADVEQYKERTGECVKQKTGPISLSRSSLATYRGEFAVSRRGMLGKIWIKLKLPSHPVEVDTPLIHFYSHGKSILFRLYLTPDGKLAVQVHPEHRELYTPNASKFLDPSDDRIHLVTFEKRTPLGTHPSRRFYDLYIDGERFTIPDPSIDLTAIEFNLVDSDEGQGGCVSDLGLSYDFDDQHSADIANNRMETVNVLEHMYKEMADLPSHEQVCGVVDPLLWTRGAPPLGPLGILIDHRPDVFERQDGGPRTAEWLLYSIILTTILAILLLLCIIFYLCCMRKKQRKDSFDDREQMLRDSPDAGTYPVTYRRATYGGDDDTSMVSDSIDRTDFSMYDEPKLHRCKVDRESMISFVEPTADRPVQEAAIVVRSPTPNYSNAPIVRDDQSDLGY >PPA01085 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:647123:648958:1 gene:PPA01085 transcript:PPA01085 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRNRRSDGKGGEHERQELLTDKVRTQMNENEDLTIIVQHAPKYFEEKKCSVVELRDLMELRAEEALKKIDAAYGGPECLCQRLKTDPVNGLPNDPVELARRRKLFGENKIPPAASKSYLRLVWEALQDTTLLILMGAAVVSLGLSFYKPPGESHDDTEEAANWIEGVAILVAVLAVVTVTAANDWTKERQFRGLQAKIERDNRFSVIRGGEARDVVVEELVVGDIGRVKYGDLLPADGVLLHSNDLKIDESSLTGESDLIKYDI >PPA01202 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1196624:1197056:-1 gene:PPA01202 transcript:PPA01202 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVYFPCAGIINLPMLGFRLNTDCSLALRSSGASYDHRKREFECPNAIESTGEQHEMQANSD >PPA00994 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:113694:114377:-1 gene:PPA00994 transcript:PPA00994 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRTDTTFIPLTSVDDLACVGFYADASRCISMASGDPANVVSFRKAGSIGSCPAGTKRWQMVFGTDPKGYTLAQSSGITMNTETSSGANSSFPATMPSGSSKSSTTISSTSGMVSLGDTVDGVSELATEVASGTRSPSKCKCESAKTAKGPGTLWTLMFR >PPA00991 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:107197:108822:-1 gene:PPA00991 transcript:PPA00991 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLMAIYLPCVLYVRNDTTTIPLVGLNVDLNQCVRDCEREAGCVGFSVPAGGAHCTNMDVSAPNPENTVVIKKGGPGGTCPAGTKRWQEVLGTSGPSGPNNGPSGPSGPSGSNGSGNSGGPNGPSGPNEYNGGGTGGRVEPNVPNGQSGTNGPNGSNGSNGSGNVPNGSNGGETNGPSSGGGTDGSGSKDPKTTGTTAPSGVGGGVDGGPDGGTTTDGSKATTPTTTVPGADTTNWLTYKEDKLVVAVRARRERATDAQAAFKGGTAAGDVTLSSRVDHRFVDSSDGPAEE >PPA01207 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1207065:1210470:-1 gene:PPA01207 transcript:PPA01207 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDWDNTKTEGTEQQMKVAKIHMHPKWTEDVKLAYDIAVIELETNVTITDFVTIASLPDRKFNHFNGRTCTALGWGQTEYDGSENSAVLRAAQVAMYNHTVCAIAANDDEYYGIMNNGSLCVGYAQGEIGHCSADNGGPLLCQNNDGINYVIGIGNWATCGKKSYMGVYTKVAEYLPWIKKVTAPIPKTTPKPTTKPTEKPTAKPTEKPIDKDSTKSNRPMTSPSQKSVGHHSAVKSETRSRSSSSGVSSGDRSRPSSTNEHRRVKRIVGGYTAPEGFYTSIVGIRKMDNETKRMSHQCGGTLISPRFVLSAAHCFPRYPAPNPEHYDIMAGDSDYTITEGTEQQVKIAGIHFYQKYQQNHYRLTHDIVLLELETSVNLNEFVSTASLPPSEFDLFNGRLCSIQGWGAQEEGDGPTSILRAGQVVVYDRITCSRLAGDKENLSRFNFCAGYEQGGIDTCQGDSGGPLLCQDNDGSTYLTGITSWGIGCGRKDNLGVYTKVSKYLTWIEEVLSGAK >PPA01053 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:460796:467633:1 gene:PPA01053 transcript:PPA01053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3DUH2] MLSLSTMDHSLQSNHWTIFNLQIFHRSTEILQLEILASAKPLTLIDNVYNSEDIVPFHFIPDPQDSRGKRQKRDSQKDELAQAEKNVTDLTNEIGNITEKLKKKEEEASTENETYEKAKASVDTLEKLVATWKDAEKARLVWESANTAVKKANISLDEATKNLKAANETLEAAVKKLERVEDEPELLRKLTAEFHRLDPIYRALQTAVDNWEMELPLLQQEVTNAQSHVTQFEIKVARAGCVGKETEDGCPKLLFSLDQFRTTRDKKQAAFDAAEADNIKKTEELAQCEPNWKDLKEKIEELNTDVAEPIHGTNLLKAQLDVNKATSKQESLLEKFKNALKTRDEAAETTRLAKESYEAKESKVPADGAEVSKAYDAAKLELGNVNATKNFVDGEVNNLISQLKEKNIELEQANAKVEALKQSKEKETTKTGAMMFIGIGAGGGIILIIIVIGGILFAMKRRKSKVKEEPFPNPYVLKYEKVPALDKSKQETRPMAMPPTTHEKITGDEIEYIETRRPLINEIAIPTNSSKKPASCKLPSELKWEGPKKAIAMQFVEEKLDTQEIPEDVMLDKDQDAEFIDEDSQDTQYDRTAPTQVNKTGADLLNEHAKDKISVDDALKMAKWAFIAVHQKLNLKFLPLMQLAAAARDVFMKEKPLVECGLPVTIYGDIHGQVGDAVRLINTVTYDPSSPNSRVLDLNKQHFVFCGDYVDRGNRQVETLMLLFSLKVAYPNNVHILRGNHETRDINYKYGFQVELTTRYGKDEGTKLYEVFNEVFDHLPLACHIGGKILCVHGGISPHLHKLEDINKIPKPLSIITDSPLAEDLLWADPLNGFSGTKPNEGRRISIHFGEDALDEKLKELEVITMSLATDLRGSD >PPA01004 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:168033:169717:1 gene:PPA01004 transcript:PPA01004 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMQEQSLGVWFQNRRAKYRRMGRTNTSPGDLVSGMPFAPGASPWMPGSGPGTGVGTPTGMGPTGVGDPFVPVSQARNVDVALLTAHKAGDAVHAQRLTNRIGRHHHFIPRHFFGAKLPHATWRLR >PPA01132 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:883656:884260:1 gene:PPA01132 transcript:PPA01132 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLLALLGIFLYLYGGYFVKKWISLCHKKKLAAAIPGDDGAPVFGHLFELGRSSESNPRILLERARAVRDGWNGELLKFWVMHDCVFMPLSGAMLQPILESTEEINKGADYDIFEPWLGRGLLLA >PPA01260 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1548605:1549113:1 gene:PPA01260 transcript:PPA01260 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTISIPFQKRHSVDDICLADIVIEGREWEPPTKEQVAAITAHLVSSSAPPYEPMANASSTSSSPLPPHKLSANPLVPSPSSPSFLTLPKQQ >PPA01249 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1468090:1469641:-1 gene:PPA01249 transcript:PPA01249 gene_biotype:protein_coding transcript_biotype:protein_coding MISPDQEGQRIGSTVAKDAGWQSILIRRLLVSVAQSREIRNQQTQAGRARNAESPAKKIKVENTLCDLPHKAFGGIISYLNSPHYRRSINSLRKVSGHCKQAVNFCMKKKENIPNFQGVKLTNMNEGNRESFDVQVMVRKEIIPLMHNLEILDDRIESKFAKRQYVTFTLCVRNQNDPVAAHLSDALSLRIIRFEIENLRSLNEWEFIRSIIGEIKIDRFVIKIDYSVTAQGAEEEHQFW >PPA01030 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:302172:303173:1 gene:PPA01030 transcript:PPA01030 gene_biotype:protein_coding transcript_biotype:protein_coding METILVFDIVIYFQVKIVICRASVSIFPTKSTRYRGQYSSYPIKLFYTSTIPIILQSALVSNLYIISQMLASMFGGNILVNLLGTWSDTSGAYRSFPTGGICYYLSPPETLGHVLEDTLHCIIYIVFMLGSCAFFSKTWIDVSGSSAKDIHPHPTATAFRGLCIRALSVTADFMGAIGSGTGILLAVTIIYQYIEIFVKEQQEMGGVSGMFF >PPA01139 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:917896:920434:-1 gene:PPA01139 transcript:PPA01139 gene_biotype:protein_coding transcript_biotype:protein_coding MIIICFFYSSVNGIICLFVGGTFISLFFTFERLSQSASVALEFIDTSAELAVEVFENIKTIQILAVEDYFIGKIRIILESRKKPFFKMCIVFVGWAVMYMSGSLNDLINSREATKKVFSLMDPQWESGREGEEPELSGSVAFKKVSFAYPCRPTHTVADNLDFRLKEGESLALVGPSGGGKSTVVALLERFYEPTKGHIFPAQCQKLDSNLISRMSYRHLRSNMALVGQEPVLFRGTIRENIAMGCEEASIDDVIEACRLANAASFIQQFPLGYDTVVGDKGSSLSGGQKQRIAIARALIRNPKIILLDEATSALDTQSELIVRTALEATAVGRTHHNSDFKYQCFMLNVNNKKTLL >PPA01229 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1328891:1334838:1 gene:PPA01229 transcript:PPA01229 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPPHPHGPPGPYGRPDPYGQPDYGGRPPVYSPPSQQMQHQQGSNAQRQGPHQQPMMGVGPPQHGMGPPPGMVPPPGMVPPPPLPPGPPPPAVPAMDNVKTEEEMAAMKEQIARQIEAAREKSLEGKGKEEREKEEKEEEKSEDVEKRKNRASAMFKAAMFRKSTTSAAAARAADVSTDTAAAAAALPTTPSSGYSPQPVPQPVPPPPSVPLMPTSLGSLLQKTAAAAATLAPPTSKTPPTSKVSPRKQQPKDVFGKEDESLYGGERKTALSLPSEADLAEMQLYNDVMNAPHVSHQEPQPSPRKVPAPVPPPPVSTPAIVVRPPSTADHHRDEQRNRDRRTSRSPVERGGGGRKDERSPRRDRSPHDSRSPRRTTPRGGSRSPQRREDKSSPRRDKERDRERRRSRSRWEYCSISESIDN >PPA01018 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:216640:218356:-1 gene:PPA01018 transcript:PPA01018 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFARSCSKRGLRIGKNAIIPAEMASLVSDYFLNLFYGNCKEIPWTALRRNEFLSLVDKRAKIRLRYEMGEIIVMGIQELAVFPAGFPRKFVRISEQIYAFRDIRCGKQFDGNHGHLFLHMKQNQ >PPA01012 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:199020:199280:-1 gene:PPA01012 transcript:PPA01012 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGTCDRRAARRYQFGVATVAAVQDELRKVSGSGGIKNLACHVQTKDRRLSFGQNMASDF >PPA01072 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:583194:587946:1 gene:PPA01072 transcript:PPA01072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mek-2 MSSGKRRNPLGLSLPPTVNEDGTTGDKTEESSAADSIREHLKRLQITESQRDRLTEWLQIKELLGEISDEILEHQGELGHGNGGVVTKVQHKEKGVVMARKLVHLEVKPSVRAQIIKELSVLHKCSSPYIVGFYGAFVDNNDISICMEYMDGRSLDLVLKKVTRLQENFIGKISVAVVKGLTYLKDDIKILHRGAKSTVGVRREYGSYRLFAMSRIA >PPA01023 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:256136:258648:1 gene:PPA01023 transcript:PPA01023 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYFSSASDESKTRCEDECEEVYFSFFTYGKCVDDIFGKVPREQQPACNMRCGMRLRLWASIGVFCIMAASLATLVFTIPMCIATCCSCLQAKKANKNAKRVYVESQQANGMNGKDSTAVATMGYNPYAYWPYYGRA >PPA01151 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:975477:976398:1 gene:PPA01151 transcript:PPA01151 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYGLYESWVPKLNQALDTNGPYILASHNFPTGARSWFPCFDEPQRNATFDLKFCRRTLTVFEKTHPIPPYLLALSVNHLASQIIDVKGFGKDLQRCGGLLASRGIFQTSFPLSVYKYDDEDKIHD >PPA01140 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:925750:931502:-1 gene:PPA01140 transcript:PPA01140 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKDRGGWISTVFISTKDLAAPSMASMLKASEEANGVAEEAILNVKTVAACNGEMSMIEKYSTILKSSVKPAVRVGTISGFLDGIFFFFLYLFFVGGIWWGTVAFHDGRIAEAGTVLNVANLIQFSSYLLGLLGPHMLAVLKARSAAAIVYQTIDKVPEIDSSDAENGIELTKAEKCTIEFKAIEFSYKSRSTPVLRGLSWKVKAGETIALIGLITRMLQASGGSIRLNGQPIEKYNVRKLRKMIGVVSQEPALFHGSIAENIRLGRNLSDDEIRTAAKTANAHEFIMGLEKGYDTLLGPSGVALSGGQKQRIAIARAIVTDPPILLLDEATSALDSKSERIVQAALQRASAGRTTVVIAHRLSTIRDVSRVYVIGEGRVVEEGGYEELRTKPDGIFTAMLNSQDVGSRENDRETKDDDDSKTAWLEQEKERINDRLREEGDSDRGLLVFVLSLDESQHERGLA >PPA01168 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1044669:1045125:-1 gene:PPA01168 transcript:PPA01168 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQVGIKYSTPDPFPQLGIKQAYVPAASSTYIAYKPYSDCLRARVRLTICGPQLDRLLDVTSPHGRTIPRRFQTIPQVGIKHFPQVAIKLDSNLWELLDSKLIPDTGELNEFCGVHRIMGDS >PPA01237 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1384932:1385925:1 gene:PPA01237 transcript:PPA01237 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGKGVVSVADVLEKGKAGYPQVFEAVWATGSQAVEKFGATTGIDVDSVVAAASQAVKSNIVQKILNFFKSARPSPQLEKKAALEAEEAEKKEKAAAAEKLEEVVEEECKKTESVPAPAVVEAN >PPA01180 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1096898:1103460:-1 gene:PPA01180 transcript:PPA01180 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVAAFARGFGEQDSLKLFRDLNDPDRSPFYIGLTCTEFDRKTCTDSDIDSRFVFNENGQWEVWTNREAPSIMICAVAPPLEADDYPADDSGGDTPLKQRHQIRDMLRNLTEREKKFEEMEKERKENAHPENDGWEIDRKFVAVDWNERLGNGAFGTVYLGHVTADKLPEKAAESIIQVSALKKNGDRVGVKMLHETADRTADLAFREEIDLMKNLGFHERLVNMLGCVTESAPAMLIVEFCNKGDLLGHMKKCREYMLSINPENTPSLDYEQIITEKQQHMFAVQIACGLEYLSNRGYVHRDIAARNILVDQNDAAKIGDFGLCRKLETEQGLYLTRGGRLPLKWMAPEALRDYEIWSYGVLLFEIVTLGGSPYAEWSLAEILPRLEAGERMARPDNCPDSLYEIMSACWRRRPGQRPNFTKLRTQVAQALEKVDNNYYYLQLDSKQHYYQMGSEHDQSVPEEIIFRHARKLSNQAPGLDDVVEIQHTIPKESIARCTPVGSSVSFAHDVLVHTNSDLSPDGYQVPRGRAPTWYNNNNNDEEKDITDDGYQIPRKVSMVQLGMAAAIAAERNVVEHIRQS >PPA01090 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:670275:672119:1 gene:PPA01090 transcript:PPA01090 gene_biotype:protein_coding transcript_biotype:protein_coding MACLLLILSLCSVFTSCVSMACTPLPAISSVPAGYVVLQADELTLPTGDVLLTCPEIALAQFEANGPLVFSGIYLLCNTATKTISPATQFTTDTRLPVAITCVSLGGNVPDICPVNLKNDQGSALVYAAKPIVSLCPSNKVGQLADGTLFTQVDCGAPIENPVGVFKTPYTLTKTDGTKVTTQVVDPPGFSVKCVVKADAHATNAQLWNVNYYYNYGVGVHAYCADSGCISVIQSNGIGYDMTNAKGLLGRAISESQIGTIRTMCQTGSVNLRNLGFTKSGNKYLHNLNGSGLKQGWVSTTYMSCGATLPIKRWKSRYTDGIGNNMMYGENLEWNTWYKGMVQDGGQVQFYMWY >PPA01211 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1219008:1219271:-1 gene:PPA01211 transcript:PPA01211 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVAGLPPFTSYKERKKAYSLLLSLLPASGGHGVFEGKGSAILIIGPNFQSKEK >PPA00990 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:106595:106991:-1 gene:PPA00990 transcript:PPA00990 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPVNITTTTKLTTTPVPTTRNTTDQYHEYNVDYHTHNHIGTYPNNHTGAHNHVNSDYADYHAYYHVGTDYHDYHVNSDYHDYHADYHAGAYDDSVGLWFLGSDS >PPA01218 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1260309:1276022:-1 gene:PPA01218 transcript:PPA01218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ears-1 MVLYERALTVGAPKEAIPYATALALNAARFGAAASFDPASPIAELNLDGERIRNDISIARLVASSKGDADLIGAGWKEQATIDGLVTLAEGVVDGKITAEEALKTVSFGKVGGTLYDGRITIADIALWSIVAKDEKLQKAYPALFAAILNDKRFEEAHAMVGQFATPKDGKLRSILNAGKPNANAANAAKKSKDEGKFVDLPGAEMGKVIVRFPPEASGYLHIGHAKAALLNQYYQQTFQGQLIMRFDDTNPAKENAHFEEVIKEDLAMLQIKPDRWTHSSDYFDMMLDMCERLIKEGKAFVDDTDKDTMRAEREERKDSKYRDTPPAANLALWEEMKAGTERGQQCCVRIKIDMKSNNGTMRDPTIYRCKPEEHVRTGMKYKVYPTYDFACPIVDSVEGVTHTLRTTEYHDRDDQYFFIADALGLRKPHIWEYARLNMTNTVMSKRKLTWFVEEGIVDGWDDPRFPTVRGVMRRGMTVEGLKQFIVAQGGSRSIVMMEWDKIWAFNKKVIDPVAPRYTALDTTSPLVPVLIAEMKKDESAKVNLHPKDAAIGEKTIHRGPKLLVEQIDAKEMKVGDVVTFVNWGNLKIAKVDTGADGVITAVHATLDLANQDFKKTLKVTWLGDVAAPSTIPVVAVTYDHIISKAVIGKDEDWKQFINRESIEYTKMIGEPAIAGLKKGDIIQIQRKGFFICDHPYAAKSEFTGAETPLLLIAIPDGSAQPTKAGAAKPAAAAAAAAAAPGGSDGGAAALYREIEAQGNTVRDVKAKDPKSAETKAAIDKLLALKKAYKEATGKDYKPGEAPAAAAAPAGNNAASLYAELESQGNLVRELKGKDAKSQATKDAIAKLLELKKNYKEKTGQEYKPGTPPAAPAAAAAAPAAAPAGNNAAALYAELEAQGNLVRELKSKDAKSAETKGAIEKLLALKKAYKEKTGQDYKPGAPPAAPAAAATPAAAPAGNNPALLYSELEAQGNLVRELKGKDAKSAETKGAIEKLLALKKAYKEKTGQDYKPGAPPAAAVASPGDDIAAQIVAQGDIVRAAKTKDAKSAETKGAIEKLLALKKAYKEATGKDYQPAPAAPAAAAAAPKKEEKKPQQPKAEKPKKEEKKKEEKKEDGGKKQTKLGMEMRKDDNYSEWYSQVITKAEMIEYYDVSGCYVLRPWAYGIWEVIKAWFDKRIKANPRDSQACIKMGVKNCYFPMFVSQGALEREKDHIADFAPEVAWVTRAGNSEMAEPIAIRPTSETVIS >PPA00989 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:91166:99068:1 gene:PPA00989 transcript:PPA00989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-haf-9 MPAEWYTGGQALSLAIFFSGIDFAVNMFGLAWNGKEFSMDNLRHWFELSKYDFFSFPTDFLLMALIRSVILIGGGFALWLSPNGTSAAANKLTNVVFAFMLIILAFAPSKLLAFYEDPKIDKCFADRKEEKVQFAVGDWILMIWCVLASLFAQMIWSSIFTRVKEEPAGTGDEPMFGDRHYEEMMRQQEEEKTAEQRETFNLLLRLFGYMGREWRYYSVAFMFLFLYSLSRVFIPFYTGEVISAVFGEGSGYDKLHKTVGIMAVLSFAGALFGGMRGGMFTYSHMRIDRNIRNDLFRSVTSQEIGFFDANKTGEITSRLTADCQTMSNTLSLYMNVLTRNITMLVGSLIFMFTLSWRLSMITFIAVPIIFLVSKVYGVYYDQLSEETMQTVAHANDVAEEVLSSIRTVKSFACENYESKRFLGFLDETMAVATKKSIAHVGFVWTSEILQMGILTVVLWYGGHLVILKQVDPGLLVSFLLYQFQLGENLRELGEVWNGLMQAVGASRKVFEFIDRDPKVKNTGTKKPEKMVGRIEFKNVKFSYPIRPDLPIMEDISFTAEPGEVVALVGPSGSGKSSCIAMLEHFYEPTSGEVLIDGIPVREYDHKYLHNKVALVGQEPVLYARSVTENIGYGMDHYDDDMVQDASKMSNAHTFIMDTTDGYLTDVGEKGSQMSGGQKQRIAIARALVRNPVVLLLDEATSALDSESEHQVQEAIQKNLKGRTVILIAHRLSTVENADKIIVINKGKVEQQGTHSQLLAVEGTYKQLAVKWTCLLLVRPQSSPHKQGASPEDRLWEAEESGTLRPPLSRRNPSSVLPSRLHICEGIDSNHLFCPSNHPSPQLPHTRTASTHSTWRLKSTRCCRT >PPA01215 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1235302:1235622:-1 gene:PPA01215 transcript:PPA01215 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAGSNQSTWVESRMAINPTYSLFLVESRPADWTLLWDRPGIDVPNGAYENNRIRWCDVAFHNRA >PPA01145 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:955856:956791:1 gene:PPA01145 transcript:PPA01145 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPALTRKRLEKYRAYFHDLLRISGLYSGQEDRRLLLALIDVYYREERSEPLLSMDRMTDYDKTIIRQFFISTMCLLFDETQWIGDSNIRQLISGYTLFRTEVYPIAQKILGDSLGVYHRGMLLLAEFRLRYWAFGPNLGKESGSITSGAEWWQKSREITPEMHNVSIRSAMKDINRIKQEYTLSDYWFN >PPA01135 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:889784:890519:1 gene:PPA01135 transcript:PPA01135 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRGAQAAIVVYDITNQVTKPSPGRSPKSSRQAARDVNGMGGQKVYSQGINQ >PPA01214 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1232879:1234639:1 gene:PPA01214 transcript:PPA01214 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDIGLVASIARLTFGIIAIFSNGALISIILARTPKKMKAFYGIQLHSFFNSVLAQPFSFYYRYRVLTSGDLKSGKVFLGCILIYFPSFAYCVRLSILFLLDQALFISLRPDIHSEIKSYVNATSPAMLSQTATYFGASMASPQTLFLIFYVIAPWAPVLFLNFYYRSKSVLISPAFAPLFQLYYILPYRRAIRDAMPSKWRTTPLNTVTISDGKASDIRA >PPA01115 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:794844:797670:-1 gene:PPA01115 transcript:PPA01115 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYLNRESVDEALLRQLEQDARDDEAERLGIAPSQKKALARDIKGIHEELKRIREFLHIVGAATVCYCTFNILKKLIWGSSAPAAPDPPVYYPYPPNIWASMWGMFGPPLYSSASPLNKCHLCNYLDSSVIPTLSRNTMCSTKNARAKDSKSVDNSVTDTNDEQSLAKEIKGLREEMTIVRQSVDILVAAGACYCTFKVLGWLFTDSGSSAPAAPPAPVIYYIKPAGFWSSLCYYLAPATMSCVMAFLRKLENAMQLEEEKTRGIGTSVDSEEEQMCADIKAMREEMKRIHEAVDAAGALVVLYGTWKVLKWMFC >PPA01058 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:499354:500182:1 gene:PPA01058 transcript:PPA01058 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCCGIAMNEGLKIFTDCEFPLVVDLSGTMEPAFSRGDLLMLSNYTDEPIRSGDIVVINIHRISMPIAHRVIECSAP >PPA01191 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1142550:1146579:1 gene:PPA01191 transcript:PPA01191 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQPMVPQMDPSMIPHMVPPMTPPIHQPMVPPGYNEEYAQWAQWNSHVGAMNPTSTWRHQAASTMRHTPYDRPAMIQHQVPYGGRPYVPRDLSGPNAHTMRSPLVQPMLNKQKQSDFFLRNNSSFRSERELDDAEHHVEKATCLGLAHTQSLSPRRATIRGHSNFPQDCTKHSMRATAGQSYVISISAVKVANGATVAKGEKTIKAVFSEHELRQLYDKTVKQTKGNMRPFQMFYRCKPKPYWDDVHHNCGDVMKKYMKDNNGQPANMINGVISGLFFMLRLVQGNNLPECSPFGNVRMIVQAGMLIDPDRLTVYDLFEALNWLHEPLLCRLLVLPGAFSLRDSDHCEEGQSIGRTSESAAIHRHMVWPGIEPRRKKRTSMKIWPPCTAVWYCEKHLIALDKWDNPWLRYQAYPEGGFVFYASHWCSIRRTFR >PPA01175 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1078909:1080826:-1 gene:PPA01175 transcript:PPA01175 gene_biotype:protein_coding transcript_biotype:protein_coding MANYVMDPCQTIKCAADAKLSVVKTDKTITPLAGNAICEGAMWKVNGVVQNLLPSTNVVCSKPLNCDKCEAVVKPTYMEQSMSMYADNVKKVQAGSKCTFTCSKTDTELISATKPYDSITCDSTTEKAEFSGGTALAPWSCVKCDCKVGNGKCTGHVVECTTPRNTKPCVYSCPAGSEAWYKTTSNGLLASLPAGEYRCQGNKMNGIANYMDGLMCGRPWPAGSPAVPADEKWEICPMMKENTPVVIDGVTVPTRFGESSSISL >PPA01203 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1197107:1197798:-1 gene:PPA01203 transcript:PPA01203 gene_biotype:protein_coding transcript_biotype:protein_coding MFILANDADYVPLVRVSTRCHQMANASSLLHQKIMLYYGGRHSICRVDAMSVEGKFRMRAFLATMGFNMIMSVCMSVIMFCSYTIVSHIRSATAQWSGKAKTVQLQLFRTLIAQACM >PPA01096 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:715304:717050:1 gene:PPA01096 transcript:PPA01096 gene_biotype:protein_coding transcript_biotype:protein_coding MELNKDNDGKEENVYEVDSSSDSDSDCESNSESEDEEEKKWHEKQRRIDKLSDILVRKDFPLLSDANTIVNIHKKDKKKLKDTVKVKRVYFKDKVIKRTREREIRSRPQQILREVVLRRAKFYKLLAFDREKLIKGALNRQEEAMNFESQWTKFIEEESTVPMKIERKASEIVHLEYPNLCVAENIIRTHKRYGAFHLKLGRAYQSEPRTILKKNVLRAAQNYKWYTNQRKEALKQAISKAS >PPA00977 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:29825:34752:1 gene:PPA00977 transcript:PPA00977 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSVPPGDIATQPGSKIVFNAPYDDKHTYHIKDVNNDRVTFEWTNTPDGGAKQFRREWFQGDGMVRRKNLPIEYNP >PPA01259 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1531495:1542950:1 gene:PPA01259 transcript:PPA01259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gtl-2 MDDDGDYMSPDESLLHSRSANFTAAGYGSPIEDPNEDYEDDDDDEEEERMKRQRSSSLASTMFVPRNSVCERATQNTIQSETSVELMRNGRKPRAERQFDTPDTFARALSERLTGPWLQHTFKRRECIRYVPVEGCVDRCGCGRSMALHCQLALSRFVVHRGSSDGSSKMSRLFSYSSLQGGAHSHKANYLRLAYDSAPEDIMYVFEKIWGLQPPRLIITVHGGMSNFEIQEKLGKQFREGLFKAAKTTGAWIITSGVDSGVVKHVAMALDEAGITARMRSKIVTIGIAPWGALRRKERLVGMGINVNYDAHSFSKRQGLSCLNGHHSYFLLADNGTTGRHGADLKLRTRFEEYLASLKMAHGTRGIPIVCAVLEGGTHTINSVHKYLTNVFPDEIRAQILSLISSVFPASPRSAEQVLDQIAECATQLDLLTIFRYGEEQQREDVDHAILTAILRRQNIALPDKLSFCLAWNRVDVARACFDTSNEHLQTIDLYPAMVEALRLERVDFLECFMEHGVSMKKFLTFARLEHLYNIDDKTSHSAIVEKLMGGNYRSYYTSRNFRNKYNKSKEKLQKSASGNSLNGNLKKKISSKSKATAVYDGEDSNVFDFPQPFNELMIWSILTRRPEMARLMWLHGEDSMAKSLCAIRLYKSIANLAEREYVEVDTATMLRQHAKTFTDDSIALLDSCYQADDESTLRLLTAELSDWGNHTCLSLAVLANNKKFLAHECCQMLLAEQWHGSLRKKSNSNLRVVAAILLPLTALFLSYKNEEETITPRVRDSPVRSRHHSSESSSDESDATQYSSNNPYRSRQSAQSKASLMNRVVKFISNPFARWTDNTRDGSVNFDSSSNISSTTYSAMVHPQVQMSKLARIRAFYSAPICKFWTWCISFHIWLIASIYTLLIETPTKTTNLELLLLVYITVYGAECLRKFFICDQPTMRDKFNVFFRKYWNILTILAVVTYMIGFAVRCNPQTKAHGRVILCCSNVLWHVKVLDYLSIHPKLGPYITMAGKMVHAMMLMIIILVVPTCAFGVVRQSITFPKHKDFEWILVRNIFYKPYFMLYGEVYAGEIDTCNDEDVNCQVGGFIPPILMTIFLLVANILIINMLIAIFNNIFNTINAQAQEVWLFQQYSQLLEYNETPTLPPPFTFVTHLTQLGILILQRCCRTCKTRSIRGNRRVGILDVSMKLYLSEEEMRMLNDFEEDCIDELTRKRAETFDMSGEERWSAAVRQTDVMSQRVSDLVQENFSLRNRIWDMESRLSDLVKGQQILYERMRSKRSTEEPRPIQHSLSDSTQSLPEKIEQSKDCLRRHYTEYTSITDNIRIIPCALMSKQHPRTSLLKTLHTDATLRKYDEVRIFS >PPA01063 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:528034:535967:1 gene:PPA01063 transcript:PPA01063 gene_biotype:protein_coding transcript_biotype:protein_coding MHASGDSPKPSYLPPKWEDDEFMSGIMTMLKDRDVNPLDYDKKMDFWSGLVGSSCLGERSAIFSVDMLKRRFARGYKLPACIGQVVDNLVKSGEVMTVSAWRAKHAGWLDWGISQLGSTTSWLWGGTPKSLVAPDEQVVHVATLKKQADRVVELIRLELEADVDGTAEVMSYRDMYDKCTGIINNTTMFDLVLDELTARGECSVGVSKGEKIVKFRDSGSSGPAAFSESDASVHDIRKTMGVLEKKIQQLETSIKKFDADARACIRSGDKNRATLMLRRKKVAEKELGEKDGQIQRLLSMLHQIGSSKHNKDVIDAYKAGSSAFKATLARHGLSPEKIDETMDEVMNATEEYRDIEEALAQPINGIHDASMGADLEDELEALLAADKKEKKVSTPTRQPTHVGGLFDLPAVPAGTLGISAAESEVDYQSLERRLKRLQQAE >PPA01119 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:807318:807788:1 gene:PPA01119 transcript:PPA01119 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLKDLNADEDDEIRVYEERKSSVDDKEKRKLFSLVKLEPVLWDFRSQKYKKGTTQRKRTWASIDHALCLEDGTASKAFKAACAARKRAKSAIKDTPSGSGKSMTVKEIEYDEELSFLDEVEMESTL >PPA01167 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1042942:1047053:1 gene:PPA01167 transcript:PPA01167 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFMQQQFAYPNVYVNVNNYSVPPYNWPGQYGMPMAGANPMLGQFQQPPACQLMEQPQQPHDYHHPTHQWPTTPPAPAYHELTSSVKKETFTPPSVATCYAYANSPDENFPNGLPIHQNIYMNQDQEIDQNEHVGANVDEQLDENFAMQTPKAEPKRKRKRKQPLEQADKDPSLNSDAGAHIDFLEDRQPQMEKFCTVTGRLCVIGSQIDYPIMVDEIQRRAMKPEGLVRSHIGPLLKRGKVKGTGDALLKLLEPYAIDINEPSINRKRYPLTTLTALLEGESVQFSVDHREIIKNNFPFTPLARMMVRTIGSPDKLRCAMVEAHGARQGVEAFMSVLTEWTAGGWVAGKERILASPMKTFAMATHALGVEECLLIANIYHRFLQCFEDELKAFYERVRMAAGGYPVNPHQFPAGIEPPAIPDSVRRMTDLIHEVNGATDSFAEISGRLTLHNKKYNVTVAEVMRRVALPESFNLSILGSYLKKGKTKDNCSALRDDLRERSIKLDQGRRKMAKNTTFTAMVEGEALDLAGDMATEVNRTFPGKSTVHALVERSRGCTPNEVHNAKSGFGAASRLSISLRDLLLSLRLPVAERIPHIIRDEAHVIFNYCHLTHGYGPDAVVSWLGIFSDLFANTAEAFPRD >PPA01052 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:455461:455710:1 gene:PPA01052 transcript:PPA01052 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGLMDPAHWAALNGMPKIDEHPGEAHGGVQHHAVSIERRFDAAFPVDCHTRGCTVAIVELAFFV >PPA01110 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:775706:784379:-1 gene:PPA01110 transcript:PPA01110 gene_biotype:protein_coding transcript_biotype:protein_coding MDGADYAWIGLECQQATTSWYWSDHVPYARSQANFADGSGSDTCYPFDQNKYALDTKGKWKGIPNTNQLSYVICTAAALPMPTEAPDIAHCPEGYTKFRGKSPDDDWCFAMNHPLPLGNMATADFATATDFCKRRGEMLPVVESSAMTIFLRSIRASFDVVRKSPFWIALECGDNGSWVWSDGTPLDPNYTQWYGNTDPDPCEPDFRVVFNGDGKWEQVAATAGQHLIICAVRASTSTEDPFMPTQPESEDCPSGMHELTEKWCGVYSQPGSWTWSAAANYCAINGYGSLPSLAAALQLQRQNYVGTDQEAFWIGLECVPAGKTNCTVDNTRFVFNSIERWAGVDGEENALSFVVCTAPKLGYFTTVTTEAPVPIFRCDLDWLELYPGLCYSISNVVAAYNTAQLSCASKDAVLPSIQSPKTNDAFMDVLKHLGYESTGFWIGLGCDEEGGFCWDDGAVFDYKRFKDIHEQCSTDNSTAYYFEIHGDWIETPIDDERYIVCERPAYEEVSPPQPVLCNKPFAEIDGQCYSFSTKLAVQLTYQKASDSCDSMQSRLPSIPDQTTNAKILFEANGVMFWLGVLCESGILEWDDGTPMLYDNFDAALITNRRCDKLGDNLRYIFASDGKWRPVEKSSTEALLVCMKEGTPYTPTLPATTTMTTTTVATTKPTTKKSVDPSSSEETDDPDEPSTKTTHKTTITTTSSPPAPIQWWMFVVIGAAVILGILVIVLIVFFVRYYCKKKSSAPFAKKIYEEAYEAARKKTSRYAMFPRKEDDWEIDRRFVLIDYDKRLGQGAFGSVHEGRVLHKNLPPGASRSIIEMSALKKGNDLVAVKMLHESADKAAEMEFRDEIDLMKTIGYHERLGYRIHCTVNMLACVTDSEPVLLIIEYCPHGDLLQFMRERRMYMLEHEDDPCVDGTLIITQRKQLMFAMQIAYGLEYLSSRGFVHRDIAARNILVDHNESCKIGDFGLCRVMGEENEHYHSRASIYISFYFVTSSNTPINDSGGRLPLKWMSPEAMAKYEFSVASDVWSFGVLLFEIITLGGTPYPDWPASELLQRLKRGERMDRPDNCTDAMHALLHECWRNDPADRPVFSTLRKKLAMQLEQVSPDEYYLKLNAQANYYAASSQHEPRGGTWGSIL >PPA01062 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:521177:527409:-1 gene:PPA01062 transcript:PPA01062 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVIIKGGVWRNTEDEILKAAIMKYGKNQWSRIASLLHRKSAKQCKARWFEWLDPGIKKTEWSREEDEKLLHLAKLMPTQWRTIAPIVGRTAAQCLERYEQLLDEAQRKAEGLDDEAATDARKLKPGDIDPTPETKPARPDPIDMDDDELEMLSEARARLANTQGKKAKRKARERQLSEARRLASLQKRREMRAAGLAASRFSKKRRNVLDYSAEIPFQKHVPAGFHDPNEDSFSKEDNHKKAIDDYQKPRGQQVERELRQADKDKMKRKRAEAEPEAIFAKNEKKRSKLVLPAPQISDQEMESIIKIGQASDSVHQFADGSATSGLLTDYAADARANAVASRTARTPAAPDTIMQEAQTILALQNVQTPLIGGMNTPLMNTDFSGVLPTPSTLATPNTVLGAVAATPSAVGRTPGTAYSATPGGPGATPFRDQMGINDGELTQSARHDLRRALGSLPAPKNDYEIVAPEDEENTEEESDRPEGWIEDAADVEEERAKARALRRKKEMAERSQAVLL >PPA01223 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1307176:1310648:-1 gene:PPA01223 transcript:PPA01223 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRPAPATEVAEVDRKVKKEVKEEPKEETSSAKDKLAELTKEELVEKYLALSADYDTVRAKLKKAENGEHLARLRYTLKDREHRVIAQDRCNARWKLLGDTAGGWHKRGVQMEAYVHDTLMSQKDEIHGLKKDLDSAKTELNTLRGKANDEHSKELERTKKLKEENDKLRVDAKKKAEVEKQLSLSQKANAIYKAKFKGDQQEVKEGEEEEEAAGEEEEVEELMLDDGHGGEGRLD >PPA01198 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1191563:1192441:-1 gene:PPA01198 transcript:PPA01198 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLVCALLSVLVPSYGFMRPTRLYKWHKALEGNYASAENRVTEDEGTSIEDGDSRGEVIDLSDDVDDVDRTAPRYVDEDNDDEVKTTEKSVTVEEENSAEEDDTTTTTKTTVEDDEDASSETTTKRSSTESLANSLNGPRSLLSFHGTFLRARNSDRDAVRVDLAWKRNVCERWNIAEVDGKVAIKSFCKPGGFLKANVTGAVDVIDRPVDDALWTPYKNEDGTWSLKSVYGKWLSARRDENVKTMERCDSWEYFWIESYP >PPA01022 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:246097:246799:1 gene:PPA01022 transcript:PPA01022 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVKMRVTEPTVPYDPDCKDMRISCAFWIDNAPNVCAEQSVFMQMQCALTCKFCTNVPPAPMGDDIEDFRTMRQ >PPA01013 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:201755:202348:1 gene:PPA01013 transcript:PPA01013 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKLEDSPILSLTIESAAKSTTKGAPREGGCQTDPIKTADAPCDPMAMGETGTQTDFAAQKGDTEGDSVRLSEEIRQDKTPNFSDAANVVDELTCRLRIRFLKYNTDKV >PPA00988 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:89062:89602:-1 gene:PPA00988 transcript:PPA00988 gene_biotype:protein_coding transcript_biotype:protein_coding MIWVIARWPPLFSGRVVPLFHNEPVKGHCEAPIRTCPVEVSKGKSGRTIG >PPA01184 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1117483:1121443:-1 gene:PPA01184 transcript:PPA01184 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSTRKRRASKPSSSATRDKKKKMDIPVPSDPKSTDKKSDKMKCRVCHGHHPQRNQFAYRRIEFDRTELAWLDWINCVACKCDFHLGCVGKQPFEKMLIASFVCQACEKNGKRTTNKTPEELGEISNGKQIGSQQWINTTLQTKKFEDVAVHRDQERYGLIEMKDGEEFSAHFDPKKDWRAIYLIKSKEGLGLQVPTAPFGMDELIKLMKGVRILLKMAAKDWRINDNNTIAVDPTQWLENVIDVTAQITTRMSLDRFGELFNNTYARERIYNILSLEYSRTGLADVVIPPEIYRTVSLADRFWPLGGDSPERCDPKHEASRPAVERFVLIGMGGSFTDFHIDFGGSSVWYHVYQGQKVFYAAPPTPKNLQLFEDHKNSDHQATVFLGDKLEQCWKVVIDQGSTLLIPSGYVHAVYTPVDSIVFGGNFLTLQGLQMQIEIQKMDTRRELGDLFGYPSFDTVMFYTALTLSKLLNEFCEQRASGLDVNPDYLEGAETLVEYLKEEKEVASNKKKPSKFARGMTKKDIAERLEQAVVRAKEEFTKSNGQSTKGCRTSVQEDEGR >PPA01206 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1203900:1204187:1 gene:PPA01206 transcript:PPA01206 gene_biotype:protein_coding transcript_biotype:protein_coding MIDCAGKGGSLPKETIEMWRKAMSRVENEPEKSTLAGRLSWTISEGRNPPEFFHQKKMKPK >PPA01032 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:315205:316221:-1 gene:PPA01032 transcript:PPA01032 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSNPLSSFAHALDASASIVMALIIGAATALVIGCAKIKGATCCQQKKKKESEAAPTIKPARFHPPVSMNESTEIEPYDRSMIIVDRWGLPQPCEWAEPSTHRCLELGICSSVSSFRILTRPDEREHSMRRRRV >PPA01084 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:641449:643751:-1 gene:PPA01084 transcript:PPA01084 gene_biotype:protein_coding transcript_biotype:protein_coding MEERHCAPEILIGAPFSEAMDMWSLGCIMVELFLGTPLYPGGSEYDMMRYIVSTQGDLPRQVLGAGKKATNFFKKKFHKTVGTPVSWRLRNVAEVEAARHTNNKETRKIILASLDDLLRVAHPHTPVDAMNKYCEQVDRMQFVALLKRLLELDQSQRITPWESIQHPFVSLPHLAAYAHSEYVKDTQHRMEICGGNVPLPAVYPLTPSTSPLLPFGYLQ >PPA01156 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:989551:993693:1 gene:PPA01156 transcript:PPA01156 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVRRDSLESPFGVTPARYHRDEPRLLHEHEPYVRFACGKTQFDVSFAIIDTAETITRLFEDLHIAKFEYDNDDANLEDEEDEGRGDKEDESTEQEEKKKEPSCKPIVLNEEPIEIPFPANIFDLLLRCQNDPGLEEAHLYRCSFETLATLMQLADYLNMADRIAFFARCMSDMLEDETPERVGQIMGVQQDLTERELAKMRGATISRRAPFPSMDDDFINYTGDYYRPLEDMPEGMREVVAEGRVKTEEENGIFFIDHEKEKEKDREVEIVKQELPLEPDDDEWAMGGYEIWDDDDRSPIVKREPGSEGRAVVKREPEKDRRSGDKKVKRAVKREPREDTHSVRDAPPDVIIRRIKKLGHIFSAVPKI >PPA01065 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:541141:544669:1 gene:PPA01065 transcript:PPA01065 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFSDVLNRWTAGGWAECKGRETIVASPLRTFAYATHALGVEECRLMATLFARFMCVFEGELCALLERVNAVGYGSAAHLHQFPPGVDLPSIPAGMMHLPDWTEDAGGSVSFTEISGRLTLNGKKYNVTVAEVRRRVRQPECHNLSILGALLKRAKKAANYEKLFDELKKHHIVLDGRIRRKRARNTTFTAMLEGEALSLVADMEAEVGRSFPVKDLIALIVTYTPQHDVEERRVGFVSASRIAVSLRETVLSLRLPIAERIPHSVREEAHVIFKYCQLTHGYGPDAVRVLSKMLKVDRLEPNVT >PPA01007 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:179319:179690:-1 gene:PPA01007 transcript:PPA01007 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDPATYPHGVRSNGGAELQETQLAKRAVPVAAAALEGKTVVKFLLTVGSFFMGVKSADGNDKFQRKMEIGVERVSTIL >PPA01099 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:731336:736372:1 gene:PPA01099 transcript:PPA01099 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGYFRAVKDVIKDDAWLGIICDGNDSYMWQDGQALNYKAFEEAPAVPTSPSPSQVLQCPVTMSEPYPSTGWCYASGFLLPGAQGTYASAVTYCEIMYGGNVPSINSQEENDGHWYWGNGEHFSGYTNFKEGNGDPFSCDPLIDRGHFFNTDNQWERATDQTSVSLTLCIKRPTLVDHGDQLSTRAPTTTTTSDDIGLPPWGVVAITVKHKESKANTSGRVLAKNIPIGKGRSIAELYELTNNNDTVAVKMLHETADRQAERDFRAEIDLMKRIGFHDRLVNILACVTVNTPILLITEYCANGDLLEFMRERRNYMLENPDVTDDSKVMNVKKQIMFAVQIAYGLEYLSSQGFVHRDIAARNIMVDQQETCGTPYAGWPASELLSRLKGGERMERPDDCSEKKPRQDAEDSCMIIAEYPGYDGTSVPSIKSAQIIGTGKMVYRGVDIQILRTRTVVCAKDPDQCDFKNDTGHFFNTDGKWEKGQPTSAHTMNHEATDLTDHLSSLGTHTAIESSTAAHLMTVADTTTIAPPETTTTPNIGFPPWGIVAISAVQKSGGKVTEDQGLQATRYYNLPNNPDGLSDFTID >PPA01044 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:401639:403642:-1 gene:PPA01044 transcript:PPA01044 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTYDEIAIIVNGLEEGKYENEPDLVGYSFTVYVGALSELYTAYCSLKDLNNNLLHQQETIDFFDEALEVVNNIPKKANDIIHLNYVDSKDKEQLNPAGPLVTQDTLTVWESKSLIKGKGKERQVFLFELALAVMKRVSDGGNNRTRYFLKGKPIALSEVTVVEHIEGDPCKFGLRLGEIGMNENRMEMRAKNENEKISWIRRLRECINEPALVSLRLGLDFGQKEETERERIDETKRSSLQSISSNEVRYV >PPA01127 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:848844:849387:-1 gene:PPA01127 transcript:PPA01127 gene_biotype:protein_coding transcript_biotype:protein_coding MCNVQTLPAPHYTVAVAVEEFFNEEHCIEIPILLPPPFLVGSIESVFHCLEDVQDIEETRFDRKFFGAPLLFTLINVFVVLCVKDIGIANDNLIRLI >PPA01027 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:272682:279071:1 gene:PPA01027 transcript:PPA01027 gene_biotype:protein_coding transcript_biotype:protein_coding MISNKATKSGETVYPVSKDTAMDPHPLQMFIGAADIFRTFGAKLTTELTRVTGRGVIAVLFSASTTGHSVASFSIRQSDDRHLPVLGYVYVDVRVHQVMLTVSRILAVGDDIVEELQVGETLIIPGSTATLEANIHRVAHDYPATGVPEVPLDALFVGAGQALEELDKSAELVEVPRDAPPALVVDLVDMSTCFAPRHDKPLAEMEDDGGLDTASAPAKHSAALRSTKKRRKAGDDGAIAHASKDNVCSSDGVFVAHRPKKAAVRHRPLAAAAAVREQAPPSGGVKGMLQMLEATYTRLFLQLRRPALPPTRSDGPASDETEPQIVHDGRGMPRVVRPWWETLSGQPCVPSSRHLQLLHRGQYEEEEEDDELRSIDNFPLTRSSEEPDKARADAVAISIQKSALPEPPTATVIVGQDPKEQESTDHVVCRASDDSIDWETRGGVVSAVAAIVHLVALAADAAPPTADLPDPAAAPAAAAAAGDDAVEGANSVENEAVASEDGPAPGSIAHSPFAHREAWPLFDVFGDLGAFNDDVVSQAGTPRRSRSSSTAPSHACSPLKRMRLTKEALEAVMEDERKEKEQAVARAVAAEEALARAHEADREERASLEADRDAARERVERVEEELERLEQAKDAAEAALVAERAAHQAALAAAAAAAAAAASPAPQPPVQPVPSVQLVADCAALKATLSAYLAASGLRVKVRHTTQATFTHKTTYRIPPPPGSYRNQ >PPA01225 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1318285:1321402:-1 gene:PPA01225 transcript:PPA01225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mus-81 MAGRVTVRLEHPRNVMYVRVLQVWKENATEHESKMVYALFNAMKAIKACPLSIDGFEGLKRLNGVGDVIASRLEACWEILSFEMGDDIKSVTKVKETLTKEKTVYIFDATKKGKHKGRVPSLKQAPPATSKAAPKRLSSSQIPSTSRADDDEFVEKDDVVPVKMARFNSDPMLSKASSRSNSGQTTSNGPSTSISAAGTGGDSDDDDEDEGGMEYVCIEPRDNVEVILIMDNAEKNGNAKSSVVDLLEKKDIRVDRRRLSIGDYMWIAKKIDGTEMCMDWVVERKTWGDLDSSIKKETWRLDPDEASKPRRSQMKFDPDDWGFKSSAESISRAEAAIASGALHE >PPA01195 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1176006:1177938:-1 gene:PPA01195 transcript:PPA01195 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDRFDDLRAIYENCTRILGNVEITYLNTTNVGNRTLDFLDDIEEVKGYVLIFHNDLERISFKKLKIIWGDNLYDSTALYIQHNTLKSVSMPRLLSVEKGRIYVANTHDLCHLKKKVNLNEFCSGFKQCTNNGKPNEECK >PPA01163 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1027377:1028340:-1 gene:PPA01163 transcript:PPA01163 gene_biotype:protein_coding transcript_biotype:protein_coding MADQYAPIFEAIVKKNLAAFAAGDVAGAAEMYDEQAVVVDKKQAKSYFGTDEIKQMIEGFLKLGKVEFKNMRKEFYGVGDTGFLVDSDFEMTFSENGVAMKGSTQHLYYKKGDQWKCVYEGFEMQ >PPA00972 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:138:3097:1 gene:PPA00972 transcript:PPA00972 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLRPHSLSDSIDRLNYLITALLLFGLCIVVSAKQSVPFIFYCGRVRNTRHSDRYFGSPIECWTPLEFKSAWEQYVESYCFGTNAYTFPSEDEIDDGSERSARAASVYQWIPIILAIQAIMFYLPHFIWILINKLTVDLECVIADAGKMRKLPEDQRRSVSERIATYLYDAAFSNRSNDRSICKCIKGNWCAAALYFCTKISYVANLLLQILLITYIVGNGNFFWALKHQTSAEEGENLMIYRFASSGVQPDTTLAMRFIEAEAGAIVTKEIADALFQKFTDDVSWQRNAVHCRCSWK >PPA00973 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:4681:7369:1 gene:PPA00973 transcript:PPA00973 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSADCEQRKAVSERIAAYLYDATFTTRYIFNASRYWCATALYFGTKVTYIANLLLQILLITYIFGNSNIFWVFNNSTRYEEAGMCDKDGKRLIVSSFRMLSRQSARFWFVTVLSVSIVNLIYSAYQICIPSARVQSCKRWLSTAFISVQIPTKIRDTLVMARLRDLHIDSVVGSYDNVHPVWLANIYLALRCINETCPVFAEKLQIRGQVQL >PPA00995 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:114879:116219:-1 gene:PPA00995 transcript:PPA00995 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRTDTTFIPLTSLDVDLIQCSRICEKDPACVGFFVDTSRCTNLASGDYHPVDVVSFRKAGSLGPCPAGTKRWQMVFGTDPKRPKPDQSPSSGTTAFTTQGNGEDSGAKTVPSTTTGAPSTATTMSDSGPGVASEGISGASSPSMTTTSVQSSTIPVDNAVPSTGTTDGNGVKIRSEPSGGTSSPFPTTTSIPSTSTTSSSPPSINQAHAMTIVSLGDILSSTMTTSGGTGGDTGMTSEDTSGSGFTASAQIVVGTTPALSPSTTDSSAGTTSTTMTGAPSTTTTGQSTGAVSVHPITPTTLSSTESSAVPDSTSIQTTLTTADGSGTTNGEDEATKKTRVTCPDSEINGCRRIVPRCKKNCVPCVADRNNAKSVSYKCLHSLFCIYIAE >PPA00986 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:64740:66550:1 gene:PPA00986 transcript:PPA00986 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPGPIRKRALKLVFRTVGVNYGSNSIVMPNCDDHGGTTEFKLRDVDVKKGFVAFVCEHIFVKENDHWLLFTRRLLRLKHTMMGGRITCIESSETSFAIWCGYYNCTFPADEMMRLCREDTVVPPAEKTAEYPRALIEQYNENSRMSRSFQYEQFMIHSGVYLIPVYLVLILLSLCISTTT >PPA01131 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:878486:882431:1 gene:PPA01131 transcript:PPA01131 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAVALFIGAATFLLKRWRNFQLRDELGLDGPKPNFFFGNFGHFFDVMRTKGIEATPEIYPNLVKRFDKTFGFYFGSYLEIVTTDPEIIKEVFILQFGNFVARKKIAINMVYPMLDGLLQVDHHGTTGVGWKEMRSSITAIFTSGKMRKMHGLFEDKLCSLIDVLKAKCKQSEGCMDIYGEYQAFTMDMIARCALGQNISCIRDRSTECYQRARHFLANITYSKSIVYRLALFFPIFKYLRRFTVFGREERILLGQLSDIVHERNVQRAKGFMPAPPDLIDLMLAENEKRVENQQNPLNHDVIVSNAWAFFIAGYETTSTTLAFATYCLAKHPEVQISLLDEIKSTFSTDEAIDYERVMKMPYLHAIFCETLRLYPPSLTFTGRRCIKFLSSPSKPSWSPTFLPFGIGPRNCVGARFAEMEFKTVLTEVVRRFEVKLDPEHVSGKLSHDPLPHFP >PPA01149 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:965383:966068:1 gene:PPA01149 transcript:PPA01149 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVYLPPADPEYRQAIRKAIWYLRHALEAMEEMSRGTKHEPDFEDRTEFTDQLVSLATWQAIRTSRSFDPANPNADLDEQELIRIAKYMSETKGNLA >PPA01243 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1436337:1439404:-1 gene:PPA01243 transcript:PPA01243 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGFLILIFSLFLATFISISLLLGSVFKKPAVSVIISVILWVGMIFADAGFVDVKRSSGLSLLASLSPLQAILQTLGAKRESAIPVFHFFLAFGVDWLRNSFENPIALLRRAKKCEAEESMHLQEGNMEKSEPRGEIADIVLEGVHKIYSSGEHAVRGVDMSVRKGQVTALLGHNGAGKSTTFSMISGMTVPTRGRIFVMGKEGNGDKQKLIGYCPQYNPIFPKLTVDEHLKFFARLKGVKDWQAIAYRVLTCLEMAKEGDTRAITLSGGMKRKLCMAISMAADPPIVLLDEPTAGLDPGARRDFERLLMEWKKEHTILLTTHFTDEAELLADRIFIMARGKVFCSGSPQFLRKKFDSGYILSFAVSNESESEKASMEMVNLVKEFVPDVSVCKLRGKQFELKLSTDDSKRFVEMFRKIEEIGPSFGMQSYGLSLTKLEQVFLK >PPA01176 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1082641:1084910:1 gene:PPA01176 transcript:PPA01176 gene_biotype:protein_coding transcript_biotype:protein_coding MREASNRSPQEELSKYWSAIKKSTPSATSTEASSAMEFNPTATPRPPEAFPEPLKLGGSGKASGGLGVAVGLNSTAELASVDVAEGVDFCKKKHN >PPA01066 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:549038:551605:1 gene:PPA01066 transcript:PPA01066 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRQDRRRHADDEMEVDAKRRRAPESQSELERRVVDLIMHIGEKPGQTSLESNLEKLVTLLEGELEKIGQRIIETIIECAKNLPDKLTIYSTLVGLLNAKNYNFGGEIVEKLVADLQQTLESEKHRHAANLVTFICDLANCKVLSLTSVVEFLEGLLEAASEDGVPQVR >PPA01091 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:673566:673819:1 gene:PPA01091 transcript:PPA01091 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPSPSFPPTVIASSGAPLIRSDKDTCLAKRNRVVLPVKKRQCKQRCYIEIPPPMMTTIRPSTVKV >PPA01086 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:649259:654395:1 gene:PPA01086 transcript:PPA01086 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSGTHVMEGSGRFVVTAVGVNSRTGMIMTLLGVTKKPDDDESSDKEKEPAEEEETQSVLHAKLSKLAIQLGYAGERTKKKNNVQASDLSHLVNYIIIGVTILVIAVPEGLPLAITLSLTYSVKKMMLDNNLVRHMDACETMGSATTICSDKTGTLTTNRMTVTQSYINGTFNRQYDGISLDHSTKELIVEGISVNSGYSSNVIEPTVPGGQRTQVGNRTECALLGFVRDLGSDFNDIRSKHPDENHFKVYTFNSSRKSMMTVIKLDNGGYRVYAKGAAEIILERSAFILGACGNVEVFNAEKLKRLKADMIEPMACEGLRTIGLSYKDYVPAGEKKADNEIEFSSSIDWDDEEAVSCGMTVIAVVGIQDPVRPEVPDAIAKVQRAGITVRMVTGDNINTALSIATTCGILKAGEDSLALESSDFNARIRDVQGKVDQAKLDAVWPRLRVLARAQPTDNEVVAVTGDGTNDAPALKKADVGFAMGIAGTDVAKEASDIILTDDNFTSIVKAVMWGRNVYDSIAKFLQFQLTVNVVAVTIAFVGSCAMADSPLKAVQMLWVNLIQDTLASLALATELPTEDLLKRRPYGR >PPA01172 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1061866:1065587:-1 gene:PPA01172 transcript:PPA01172 gene_biotype:protein_coding transcript_biotype:protein_coding MYRACLLVAFILFTACDAEVKFANSWIKDEFDLSKQKMVEMHKCLTKSCKIYVSAPESSYKTLDNISCGESTLGAMARAVDPMTGEKIPYFMERYSAKAFMKNHNANYACDPVVVKRDTFKFSVTGPIATLHRQSLGPIQLTVENKFEVIVNSATFLTSNGYIGCKNGKSYQSSLYGPVVNYHLAYDREYYIHTSAYLNTLNDNVTIRVDGKEAANIKGAVGRLNWNKTLDFHGKELDIRFEGNKPQFSSFLLRFWTGDEPVTYEPTSKRYTFRTKPSTVTTLKDLSEAFRFLGHIILLPLLCYGHGDSDSGEKKQKEIEE >PPA01238 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1393569:1394810:-1 gene:PPA01238 transcript:PPA01238 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQRPTSSNAAAEAYFKSSFHYTGTLFCPSGNMGNASVWLMEENDLINGGFDDVLSGDQPLQVRLGHPFEINGRISWPAFEGRYREPYLLIRHYCSGDSAPSSHPRIAYYALPLESSLNQRIDQDIGPINILLDVTVTLERRAGTMDDHADTGTPIIRGFSKFRG >PPA01210 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1216666:1218509:1 gene:PPA01210 transcript:PPA01210 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDRLAEIRARCEKDKLLKRKDEKKPSDQFCEEIYHINDEIAKLEDEIEDIRVLHGKLLSLAADPALTKELNKKTADFRKKLKRLTKELKTFSKGVESGKLSSANGTSRTDRQQVNSLLLSFSSLIEKFNEDRRNYNDKNTKKLKGYLRVLDATLSEDAFEDALTNGTLTIQMNRGILGLAEKQALSEVKQRSADIQAVEKSIHDLDEMIQDLHMLTLSQGEILDNISKHVELSADYVERAKETIKEAKKLKRKARKMKIMIVIGTIVLVVVLITIVAAIF >PPA01123 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:834363:835994:-1 gene:PPA01123 transcript:PPA01123 gene_biotype:protein_coding transcript_biotype:protein_coding MARQHLFTEIATGHTFVAKVTVGAASKLSKIRVVRKNIARVLTVINQTGIIYKKTRAMRRALAKHDASIKPAEIVFDEPETCEHTWGREIYDSELCAGEIGTLPCTSDAGDPLVVRSPSSGRWFAHGSVSMTDEKCKLPGIFSKLSHYCKWIASTTGHAVRCMDWK >PPA01144 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:954377:955495:1 gene:PPA01144 transcript:PPA01144 gene_biotype:protein_coding transcript_biotype:protein_coding MPRERLCASMTVKNFEDKSVDEFRDNFHRLLRICTNHRKLTERRNLLILLFAYHQIERDEPLLNLEYMTDDEKMNIRECHTRVLDALFDEEAMVGSKDIRSVVAGYTLYRTDIHPVAQHILGTAMGNSGALASEAADLDGWWCGNRALTPTDRNESTPYVKKAKDRIHQEHKLAD >PPA01231 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1348056:1351117:-1 gene:PPA01231 transcript:PPA01231 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSMGHHFYIGTINARTLGPKDKQTEMELALDKIKWDVIAVQEARIVGTVVYHSGGPTASHGVAFLLRPHLARGAVFRGLSPRLATLQLPDQRLFLVNAYAPTSSYDDDAYDAFIDQVETALRSAPRGTMPVLNLTFNFVDSTTYLGGRISLPLDHTDEIEHRIRLGWLAWTKLSHLLSSRLLPMKTRRRLFESCITSTVLYGSEVWALRSSDKERLSITQRKMERKMLGVALRDRWRNERVREITKLRDWNREALRRKARWALKVRSMQMEQWTRATTFWTPYNRKRPPGKPRARWRDDLDRAIGNWWNTPHENFAPILI >PPA01105 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:751556:751879:-1 gene:PPA01105 transcript:PPA01105 gene_biotype:protein_coding transcript_biotype:protein_coding MYWMSVEDPDKLPIDAVVQDPVNYVIGLNKNSFSITSIQSCDAIRRVLLCIIRGSSTNSESARIFKYADGNEP >PPA01134 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:887367:888590:1 gene:PPA01134 transcript:PPA01134 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYRLHYINMRGLAECARQLFVLSGTPYEDIRHDPQEWMGQLKAVSAFNRLPFLDIDDKVLPQSTAINRYLAKQFGFAGKTPFDEARVDALADQFKDYWADLVPFTYAMYSKEKDPETFEQKKNEVAIPARDKLFHILEKEYKANGSTGFLIGDSLTWVDLLISDHMDVLEGLVPGFFDDFPGMMNLKKTVTSMAKLKEWLDKRPQTKY >PPA01087 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:655212:656315:1 gene:PPA01087 transcript:PPA01087 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNILGHSIYQLVVLFSVLFWGTDLDFLHLSDSDHSTSRAPSAHFTIIFNAFVLMTLANQIICRKIHGERNVFSGVFRNRMFCFIWAIEIITHVIIVEFGGAWMQTVPLTPVQWAFCIGLGLGEFVWAQVIAFFPSRFVPAVQG >PPA01255 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1494368:1494886:1 gene:PPA01255 transcript:PPA01255 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIQYERRRTTSASAAMPEVSIMVEDPDGRTAEPEEDYDDDEEYTSLFFKNYQQYLYVVKIKFLRHAQIR >PPA01040 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:375625:376045:-1 gene:PPA01040 transcript:PPA01040 gene_biotype:protein_coding transcript_biotype:protein_coding MYRNVSACDDFYHHACSQHVDPKEFFKARVSTIFKEATQRLLPDAVKHSPIEYDLNLARNSEYNLEDNDFKNLVQTRCKKDISCYEDEQALRNKQIYLSMGDDRT >PPA01235 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1368617:1370606:1 gene:PPA01235 transcript:PPA01235 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGPPASSASGSGTGSGTGANNAAGPPDQANPVAPADPVPAPAENVRYCRGAKIAVPDAQKAEGNTNIHCERHFWGTRDKHWIEVNGPDKSAPIGVHFSKEKLEEGVIECVKATSDSMIVRCQGDNCDKHMGISAAFHACRVKQGRDGVAAAPENKFKEDEARGTKIGLSVGVPFVILLFGGLACFGLVKCLTREVSAEGPPVSMTPSSVALIPSKMESVQAATTDPSTPTSKTPVKKP >PPA00999 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:121632:122714:1 gene:PPA00999 transcript:PPA00999 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKYVKLLCVKMVENLNNDTTLKAQIRRATKFIKNNWKDLYGIDRKIEKLLWDTDAAEKHDVANYHLHWRLNVLNMMSPETKRQEIIDFFTNWQTREDYKTFTMDLRCWYSPNYTPEAMWPKYQLVRMSAPTISIGGDLS >PPA01179 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1093077:1094540:1 gene:PPA01179 transcript:PPA01179 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNSIYLLYGVPGVVVYVLTVASICTTRARLNATFVTIFLLTAVTNLITYLNTWMALRLQTETWFFGYYEFINRMIAIFYVRLISPNTEAYMFLVTIMPFLSDTFSLGPGIHHHCARTDP >PPA00982 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:51118:51808:1 gene:PPA00982 transcript:PPA00982 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIECNLKKGIWTNYANLTMELNRDANVYFETDKVEAKLRMDAKTLRGISYGAIGVVILVLIIFAVKEIASSEKDVMKKRTATPNTFAPLVGSQLTLIMLELVVVNVTLLTDPVRL >PPA01188 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1134480:1136445:-1 gene:PPA01188 transcript:PPA01188 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLPRSKLGVNHNGFEPIKGHALTSKTSHPLSRKLLPRRRRMHLTSKILVVVGLLAAVALARPEHRDLSRSDESSEGDKEEPTAHMLIRCLTGGNFENTPCPDDYFCLRDDWRCHITPFCPDRRDSCASSVFLDGTRPIPGWCVPTQPPSHWDKMSVAEAKKKERKFIKDMAAMKKERDEQLSEEELEEVKAAEKEDRHQIVIYRCLPESYGRPVCPENHHCLKDDWKCFFTNHCPLYSQGWCFPTARNTYWDGGNILQ >PPA01121 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:830513:831460:-1 gene:PPA01121 transcript:PPA01121 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHFDEGYAAVVAKCAEIQGQPIIIHSEEDQSYWVNWNDENGADLGNAPIAIVSSLCPDGFELVKDGECRGKYVDETMYFDTAYDRIVSKCNEINALPIVIHNDEHQSYWTNWKEKDGKKPYEPASLDN >PPA01101 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:740197:742037:-1 gene:PPA01101 transcript:PPA01101 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKDSRINGKMSVLQAPRYYDLPNKGDDWEIERRFVSIDYGNLLGEGAFGSVFLGRVLAKNIPIGICRSVAELYALTNNNETVAVKMLHVYGRDSEAADSLAERDFRNEIDLMKAVGYHERLDSLTVNILACVTTSEPMMLITEYCANGDLLEFMRQRRVYMLENPDNLDEEKIITVKKQIMFAIQIAYGLEYLSSRGFVHRDVAARNIMVDQHETCKIGDFGLCRAIGNEEKNYQSHGGKLPLKWMSPEAIDQYQFSTAIGGGPYADWPAAELFLRLSQGQRMERPERCSEDLFDVMSHCWAELPADRPTFTKLRKRLGVLMDSIKVVYEKINI >PPA01220 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1282081:1285514:-1 gene:PPA01220 transcript:PPA01220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-let-49 MAAPPAAQIVSPFPTPPAYYQFYTSDNIANARVPLPPCAHADFTVFGEDYHLNDEIIRPLSEAGIRQLYVNKKDWKTEMKKLNSSAVAAFVDLVSILISCPDSQDRVDKLNDIRDIFINMHHLINEFRPIQARDTLRMMQQKQLDELERTVNDFKDFLVEAKKAFRQSLKVDEVVRLPIPAYRPDLEGPVEEPKRKEETKKREIELAMIGLSVGGERKQQAATSSSTPRPEQQQRLLQKRAMDHAAWSAIFGETMEE >PPA01252 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1480650:1481045:1 gene:PPA01252 transcript:PPA01252 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRSVGGKGTEMERMRTLERVVETRIDMLEFERADEIREDCPFCRSLDCDIIFALLFTLVIACLLVLIMVFWLKGVMSYEE >PPA01190 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1140558:1141805:-1 gene:PPA01190 transcript:PPA01190 gene_biotype:protein_coding transcript_biotype:protein_coding MANRPQDTQWEYEEREIAVGEPLRRGAFSHLFLGSLNGRRVALKLHNPSMVYADDFLNEAEVTRPLNHPNILQTIGICHSRPIIITGYLVFTQTISSSTHFDIHPVSIHISPVCFLWCALEYLHNKNIVHRDLAARNILSTDFALALNLDESSYDITTKEVCLFDGLRRKDSFYSMEPYHRINDQDLHKMLVEDNQRLARPATCPEVLRN >PPA01161 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1023089:1025203:-1 gene:PPA01161 transcript:PPA01161 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWMIAHKISEYGPIFDAIIKKNVAAYDAGDANAASEMYDSQGVVVDKGNNITAVGTEQNKQMIAEFIKMGKCEFADLYDVGGDRFYADTDFVTKFVDSGIVMPGSSQQLYNKKEYDHIFEAIVKKNLEAFAAGSVDGAAELYDDYAVVVDNGNHKSYYGMEQIKEMCAEYIKMGKVEVSMPRKKIYDVGNDRFLADSDFECRIVESGVVMRGYTQQLYHKKGDDWKCVYEAFTML >PPA01036 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:335538:340937:1 gene:PPA01036 transcript:PPA01036 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLSKMDHSLQSNHWTIFNLQIFHRSTEILQLEILATPLTLIDSPEDIVPFHFIPDPQESRGKRQKRDSQEDELAQAKKNVTDLTNEIGNITEKLKKKEEEASTENEKYQTAKASVDTLEKPVAAWKDAEKARLEWESANEELTNSNTELDEATKNLKAANETLDAAEKEFERVEGEPAQLDEAIAKFEKIDPTCSALQTEVNKLKKELPKLQKAVTNAKNKLSAYEVEVTRAGCKGKDGESRCSAKLQVLSDRIDAKNEAQVAYDAALIDNKEKSEKFALCEPEWTKLKGEMAKLRVNLAEPRHGTNLLKAESDVQTAKETQAPLLEDFYNAVKAQNKAAEKEQLAQQSYDDKASKVPVDGDELSKAYNAAKDVLENANDLMNAVDKEVNQLKNRLKEKNIELAQANAKVEALKQSEAKGDERTTDAAMYIGIGAGCGIILIILVIGGILFAVKQRKSKVKEEPSPTINPAATRSNSNQRVTSAKSNTNVAANQPNSAPEATPTPKAAPPQSNANVKPAAPLQPAEAEVRATPLVKNEKITDMEIEYIDPHPLVNEVAKPKSSSSKPGGGDLPHGKQKWAKTKAIAMRGGDEVMEPMPDDQMLHSNQNEEQMELECEDTQYERSTTGKLTKLSGAALLDEHPEDNRVHIELLLECLNDSSWRTSVEPEAPT >PPA01197 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1187564:1190850:-1 gene:PPA01197 transcript:PPA01197 gene_biotype:protein_coding transcript_biotype:protein_coding MKICDDGETTKNEELKKEKREEGKEMTMHHRPYSTIPRPSCTSKLTKLELLWRGNRRTRKDGNYASDCEVESSRRVSRALVELKKTLDESKETGRDMEEAIMVFIAKTRANLDNLKLKRHARGARLGDFERVCRSNAALFCDSANGYTPSSECAIYLYFTINNIVHGRGWVLIVGYANSFVRAYKNGTIGDAPRPGNKIQRKDLWKPVQNEDGSWSLRSHYGSYLSSDCLDNLACARPRNGIHERFGLEQNEDVAETSTTTTLATTTTPDVVVASFLAAFEDKARCLRFSTRFSTNEYLRSWGGTNSSLQHVDRVLLIGWNDTIARSLSSGLVEDVLPLGREPSDEDYWTPVQNEDGSWSLRGNYGRYLSISCPGMRACTLPHNKLREPPSASVESTTTEATTTTTTAPSATTVDGYTEFLAEVAGAARCLRFSANEYLRTWCGPKGDPPAYLDMAEHCNINERWTFEPVEGDNDKIILIGWDNSYARARSSGVVDDIRPLGREPSTEDYWTPVRNDDGSWSLRDSHGRYLSRACKDKLACTRPENLDKEHFWLE >PPA01095 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:707218:711666:-1 gene:PPA01095 transcript:PPA01095 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNADDLNSAIEYATLTKKSDMKIPCVRLLAVDSVPTVDESECAPEEAMAELAADHSVSGMLGETLTRLEAAVAESSVLLQDGLADPTSYAGQAGKLKTTIFEVQLVVAASLPSDGPVVDALATSAAQAERVVAQLESRANAWNEFTTARDAANKQFDELCLRLIELWTANKQRLQQKVVVTREDVTAAYGLLDDLSRHQDTISVMKKFAQELEPLELLFQEIRSLEDSIPQMARNGQDLMNDLWKQDLEVQARSEALWIKYDSLRSAAEEQLHMLCDILDEMNLDYALRKQEKRRATDADLTTAISEAQKILYSLRETRAGLQELGDQLVALGKHCSWGSGYIDCWIDKTIGNAEWLVDELYNEQDCIDHATTEGNDEINANRTFGDHVYLLDPQDPGKWIVLSTDADITKLWPKSDNPVRCEDVGTPDAPDHPLDQHEAWTEYLTLKQDAQFHFQWVQQTLVKLGNEMMVRKRDGIPATEADLELAKGVHEDALAMMESLSKLRQERERLDLPYTRACWEDRCFKYTLKQAAANAEWLVTKLSAETKARAEAVRQDVEARLYHLEVRLAELGLDRVMRQEDGTPVSAADYDAAVHVLQDLHVLMGKRNLGDDHASLVAEIENAIDDAQWLLDRVREEMHKVDPADKDKCPSNHPGFLETASSTADEMEHLKCRIAAWTPFVDAFDSVDSQFQRLQAKLEELGLSMALRRQSPRPEYLFAAAINQDENTTLAVQRATYGLETEIHLLRRLSDALDRRDRPFSSINNFAAKFRLVCDNTAWLIKSLKEERRTTARALFEAARQATEALMYQCQERVSELGFEHSLRKQENCVSTESDLRTAVQALECIDLLRNDNHERYSQLVHTIHHIETSYIPNFDAELQQMKDNAEWLVAELRAEIGGSDRHDKKQEKYIKCSNKKALEKRKFNLGKNKLERKSRSTEKRLAAFAVRLEKVEEKMKMMKAMPSNMEC >PPA01159 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1015976:1018166:-1 gene:PPA01159 transcript:PPA01159 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSFAFLLVISSHHALGEVGFFAFEIDFEHSGIEFKQKVAEFRMAAKADGFSKYMVANGGLMIRTSAFHMSQGAKTRIPTMLVELRNSLCFLDPFHFPIKETSLSGPSHARNDTLLSFVAPTHRRLRELLLDTVTRYGILPFEEEQTEHFRSEDRSPNMIIVASIPMTEQTPALNTGRLISEVKLRQSVTVYQCMNEWSDMDCAAVKSSIVVPMKIRKEGKDAANELMNCTLL >PPA01017 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:214036:215498:-1 gene:PPA01017 transcript:PPA01017 gene_biotype:protein_coding transcript_biotype:protein_coding MEREKRSTMATVRVVTFSMTTPQQWEKIVIDSYVHVGVAEPESATRLYHPNTPFTKMEDEFAS >PPA01201 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1195681:1196547:1 gene:PPA01201 transcript:PPA01201 gene_biotype:protein_coding transcript_biotype:protein_coding METERHLASIEARHALAFGFTQINSLSSIMQLVFAFALFSALCLWEVDSRRPIVSGHWRQYELSDPRWNYDGSLSFEKSDGTWMRVHPRGRISVKDLLGEYERRNIEARADPHIYTQTSPEVADIEVKQDDSGVIDQKIAAEDIDE >PPA01152 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:977911:979477:-1 gene:PPA01152 transcript:PPA01152 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKFDREYHPLDAKPETLKGKHEVESNVKVGRLQAKLARKALLNRLWPMRPTGILIIPML >PPA01208 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1210634:1211675:-1 gene:PPA01208 transcript:PPA01208 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIGSLLTLLLIYQCTPLSTSFLTNYAQESSLDIDSSLSGNMESYHSRRRVKRIIGGYEASTRRFLYFHRQSPMEELRDEEDGPSVRRDSDRSQIRPDRGSVHWGP >PPA01230 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1341914:1346802:1 gene:PPA01230 transcript:PPA01230 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTSSDKRSSHRRRSPSQSKKERSKSRERRRRDTDKSSPERSRLSTRRGKEEEKGRERRKSGGEKDEREERRKKDERKDSTRSRENGDAYEEKASHSTPGHDEEEIDRVMEDEKMKRGRGTVAEGGNTVSIEDGGGKKSPADAIVPAVDPSRPRPEPFEEISENICHAQIIDHNDYGGECDCADGRCGVSCVLRYLTVECGKRCPSGDKCENKAMQKSKGASVEVFHTGTEKGFGVRALENIKKGGFIIEYIGEVVTHKAGEKRKKLSFTSEMNLLSDEHAPRTRVIRRSNRKCATVRLSTGAEVCAYIPNVGHNLQEHSQRLRFIPFSDGDGSWRSSTRPYFRQANIIRGKHFAPAGGKAEIEVVVDNAEQFELTQRRGVVRDDRDGEVLGHTNGVRHSHVESDLLDGISDEALIVDDGFARDFSGEENHSRLGYGLYKIEANDLGIRVLSEMRVENSVGDDVAHLIGVSLGHELRGEKEALGAAILCK >PPA01024 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:260823:262545:1 gene:PPA01024 transcript:PPA01024 gene_biotype:protein_coding transcript_biotype:protein_coding MPITQAMIAQELNQIAMAWCLKESSEEDKHFEHSETDSENEGVAWEVKCLCGTTHNDGEPMIACDQCIVWEHIDCMFPKTRRAPKGKYFCHTCKPRKTMWTPQEMREYQEALREAKWREKEKEAKREEARRQEKIRIAMEEEARKKEKFAEVLTAVEDLLAVLVLPTRRKVEVKEAMRESSTESDAGSEKSEVSLNQTESDAHSEKSEMVVDSTDPESESSIDVQSMKRTLEETNDYAVLDARRRNKRRRFI >PPA00987 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:68583:84860:1 gene:PPA00987 transcript:PPA00987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lam-3 MVRAWTIGLLLVTWCSCVGDAQRRLKQNWDEDTPGSSPNNPFGSNVRPGQGNRNFDKLINNRGQRGPGADRNKTRSPPAFSNRDGNSVNDQGLKPRFSSALRVGEPEPANPAAPAQSEPLLPPVFVNQNASINVEKGTTTTVPVTTTTSKATTTAKKPEATTTTVPTTTRTAAKTTTTTTADPFTNIPLFSFFYPMLQEFMDTYFATTTIPLLPEVAEHSEEDIDDGKEERLPPGFVAAAAPTDCSCNEYYNALKNECECKPGYSKYYNQTCLPNCDPSRVRSGDRCVCAPEFDEVDGICRPKCGCNMHRAPGTNTCICDNGYDILANGTCVVACAPNRVRNTAAECVCKPDFKENAFGQCVPACGTFSSWVDFVCRCDPFYVRVDAHNCKQPNCNGGHFVPGTGCVCPGATDRYDAPTDTCIATHPPCLAFTTGLWRDNRCECKPFYVPDGVDRCKEPVCGTNSVFKPEQGCTCKPGYGNPSDPLSNAFVCIRSCWNNSHAVGDNCVCDYYYFPQGNGCVTFTCDSGQRLKNGECACNAGFEYDPILRNCRPTCIKSTWNLASMKCDCLPYYVQLTNYACGPMSCGPYGNFSDAAGCQCYFGYEWSATTKRCEPRCGLYAQWTGQKCECTPGHEQQDTKSCSPINCTHGTYFDNYGCYCYPSHTKDTDNKCTVPVCKPPAVWSDTRGGCVCTGETVIYDGQCVACGLTQTWTPTGCVCKSGLELRTLFECIEIACANGYYDKSLGKCKCNNFFGYDAAGKCTQLLCPVNSQRTLDHMSCFCMSPFIMSAANECAVILRFHIACGNGTTWTSSLGCQCNPQYNRIDAYQCQPPNCPVLKPNSHFEENRGCVCDSGFVQGMDGVCRRECGLNAQPTPTGCVCKAYYFDKNNNGVCEPFTCTNGLWTSTGCQCNPDFVLDGTGRNCISKCIINAHFDGQNCVCNQYFDKNAAGDCVPYPCNNGNWTNTGCSCNADYTRDSTERNCIKTCPQNQQLIGNDCKCNPYYSQFNTTSPCADCINSVWNISTAVCDCKPFYLRESNWICAKPDCTFGTFTDLRGCECNDGYVMNPQQKRCEPRCSPFMQWNGTACTCPPGLEAANSTYCQKIECVHGTYNQLERRCKCDDGYLRDDATGKCTKKCGDHAHWSVNKCVCDAGSEISSPIACCEFALDFSYLRSTDVQQNKCVCDPPFFGYDGQAKCTVLTCPANSSPVAGNTTCVCNQQYVMDKYHCEFPTCTINKRFDETIGRCVCVDGYELDVAKNECRRKCVFGTWSVSECMCDVGYEKNPADIYTCKLIDCGYGKYNTVSKVCDCDPPYKYDAYQKCTGIPEMPCSELRVECLTGSCQCNQKLVWSSDAHTACVSCGKNTIWGSTGCQCLPNYVPIDPFSCRPPTCPTNGAFNVTGSACYCLPGYEWNTAKTECIPTCLNGVWGGSECVCNNGYEPDVGYACKPINCVNGGEYNLVTRACVCTPAFLLDTLGRCTIPACTPVSKWNATTGRCECIGGYVENNLQQCVLACNPPSSLYNPAKNQCECGNGYVENEQKRCVLACNPPASLYNSASNKCECANGYIENEQKVCVPNCVNGTWGADNVCHCFNGYKVDATGKCTVSTCPPTAYHDGTTCICPPPSKYNGVDHCKCPWEGMESMDGFNCVCIPGYVFQTTPTSCIPKENCPVQAILLASGTCQDCPAGQIPDPTRRQCIPSSCKPTEYVNVDGKCATCPKNQDRIGNTCQCSAAYTEYNYKEGTCEYCPDGYIRNLSTKQCEICPGNAPQSDHQNNKCVGSNPSCRDTDFINSYGTCQACQPGTVPNPAKNGLWPDRNRARWHSVQRWTVLLFSFRMRPEPAA >PPA01029 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:298091:299301:1 gene:PPA01029 transcript:PPA01029 gene_biotype:protein_coding transcript_biotype:protein_coding MLASMFGGNILVNLLGTWSDTSGAYRSFPTGGISYYLSPLETLGHVLEDPLHCIIYIVFMLGSCAFFSKTWIDIHPHPTATAFRGLCIRALSVTADFMGAIGSGTGILLAVTIIYQYIEIFVKEQQEPRMRVIPRCTSTLLSSPSSLLLSFDEEFWLSKSHSVRGRVVTRFDLMRGMPSFSPLSFSSLSFSPLPLFAPLRMRTIDRRRIGTDVLW >PPA01043 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:394478:396535:-1 gene:PPA01043 transcript:PPA01043 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVSVSIKMASLMSLFAWDFENLSPRQMGMMRLYVETQEREQAKEQKDGVRGVPCDPSNLAKMTMGRSADTEEHLLRTYLIVLNVDHLTRNDAIPDMIGPMLPTPIEAAKMILKSALESIAILDVIRVCIMIGRMTTLPPFSTFNILFTSLRPVCNDVYFDKMWNGLMQTLKARRLSQESLDVFEQQYETIASKYVDTSEDDVSTGDGESTD >PPA01155 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:988787:989324:-1 gene:PPA01155 transcript:PPA01155 gene_biotype:protein_coding transcript_biotype:protein_coding MHCTFAGILTWIIIAFDHQEPPNDDKLVVEWAEVPHEETDPKAPFAAQAQQGEVILPLKAA >PPA01199 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1193367:1193920:1 gene:PPA01199 transcript:PPA01199 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRPDIEAKALHQVALKSAYFKDMYLRSSDSGFVDLVNNREDPSIIWIPVKQDGGKWSLMSIRGSWLRFKPDGNVTQESTNAEGQFFLEDW >PPA01116 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:798365:800129:1 gene:PPA01116 transcript:PPA01116 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQPVVPIVDGVEEQEKTTFLDFPNEVLVDILKYADQRSGLKMRLNMRLDALQLNVKYYWKEIRLNFPTNLLLRPTETQAKCKSPLFNDGDNLNFVLNRLSKTTYVEAINITAHPTNKPLDEQQIRLFDELFSFHGDFLQVQTMLRDDCKLQSFYASVELGSEIHIVKECFGVEIEDSLPPRRTRIYRANDENIEVIYSASHDYVNIVHYECGLETSCLALAIIELTFEKENLTGFHDAPFCAPCNYMYVNTELTVKP >PPA01003 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:164657:167527:1 gene:PPA01003 transcript:PPA01003 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRTFSEAGLALDSLHDLESVPWKRASLSFDEPPPSDNTPLNMAKQATAILEDKKRRNRTTFTADQLAYLEQSFAESHYPDVYARELLATKCGLPEVRVQMVRKTMKTYKQKTLL >PPA01246 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1451416:1453697:1 gene:PPA01246 transcript:PPA01246 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSHKPIIDKRPHSFIGKQEKAKKFEAKSGASCYDNCFDIGYEMGECLLICDKKKREVEEETIDKRPHSSIGKQQKATKFEAKSGSNCFSNCLDEGYDVDECSLHCDKKKREVEEAESLGSCVEHCYKSGRGSGAAAGVSTAKKKTSKREMKREVEDDKLFSQRASRSHDDAIKAVIFDLLTW >PPA01002 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:162983:163625:1 gene:PPA01002 transcript:PPA01002 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSWPYFGQDPASLQMMQFDSGMGSPVLMGGQMLPSTSTGAPCMPPGWLQQQQEQLQQMQQDQAAASAIFPPQLPPEMRAFRHIMPLEHRTASFESHRSLDGGGQEIMLKRSIFATCLDKP >PPA01111 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:787689:789346:-1 gene:PPA01111 transcript:PPA01111 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSRGSELAFHVGPTCWDENWEWTDQTAVDYVNFEDRTSNTENCKEVPESQRFGLRDDLLWWKREWNELHNFTCMRDPINCPDGFEFLTNSYCYTSNATVKMDYGN >PPA01061 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:511977:515016:-1 gene:PPA01061 transcript:PPA01061 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLEWDTTGKHPSTAEYYAKAELDAAKELIKAEQEAGPPLDPAMWSAVEQCRAELVLSKGKFTRLGVLPRAEQIEALTSQFQLYREWMNGRAKRTAKMEKRLKVKLGGYQVIAGNLSTKITEVRNEAELMAVEKSTFDEPRGQWITKAEVSWKLEQHARRATATITADAVAYENGNGAAH >PPA01025 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:262578:268290:-1 gene:PPA01025 transcript:PPA01025 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMPLKLADIKSSPAPAGMKMAWMKERVMIGEEEEVDMVRSPPVSKPNKKKQQQQPVQSKQKRNGKDGAAAAMAAVVRPALSSVVASDEPDSTSSKRRSRKKSAKAALDSATEQPQTQLLQTPQKLSKAVKPCKLMDGVVVPAKATTTLQKKTSSMAVPAPPKTNIVFSFKQASLSTQQKTPSAAVKPQPQLAPIKLDEAAHKMATAEKGYGVNPSLAAPKTANTAEKVTAPVPSPKSYGIFAPKRETTTEAAEPVFATPKPYGIFAPKTETATDMGEAAEPTPESLKPYGIFAPKTETTTMVQEVQPSLASPELVKPLLAALEKAGKAAEPVVAKQAELELFGIYNSKTCLLPAVDCGASEAGRIGLGSKLPACDGEGECRQGRRASAEGRVGNEKSRAGAL >PPA01187 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1132524:1134464:-1 gene:PPA01187 transcript:PPA01187 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNFAQHKSLSLIALSVTLALFVTLGDAKHHRAARVSDDSSEEKGKMLKHSVCLNQGEYVNGNCKCKNENFWGERCEKFAMHKCDSANHCPRGLNAMCVFADFSCNFGEPDPFTKCKGHCIPLIPIDWNEGSHESSVSSPIQRTSSSRSLIFFYPSASCPYKLHQLLSVEDRNS >PPA01098 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:724453:728886:-1 gene:PPA01098 transcript:PPA01098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoserine aminotransferase [Source:UniProtKB/TrEMBL;Acc:H3DUL7] MTNKKINFGAGPAKIPEAVMEKAAREFLVYGNSGVSILEMSHRSADFTAIIKEAEKLMREEMSIPNEFEVLFMQGGGTGQFAAIPMNIGRGKTSADYAVTGTWSDKAAKEAEKYIDIHKVFTPTKPYVTIPAYNSWERSKDAAYLYYCSNETVHGIEFHTPPETHADVPLVADISSNFLSRPFDFTGHGIVFGGTQKNLGAAGLTVALVRKDLIGHENRHCPSILSYKEMHANNSVYNTPSVYGIYITKLVLEWIRDGGGVDKLFATNQEKSRLVYDVIDSSNGYFSCPVDAGHRSHMNVPFRIGGGDEALEATFLKGATERGMIGLKGHRSVGGIRASLYNAVTLEETRALVDYMNEFEAANRK >PPA01234 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1367745:1368287:1 gene:PPA01234 transcript:PPA01234 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPPGYALSDYEEPYKDDPETVMITALAKEDDPAWDGKVEATPPTKTDRAAAGPSEKSSKPEVDAIAV >PPA01228 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1326211:1328704:1 gene:PPA01228 transcript:PPA01228 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRELYLMENIQEETARSISPWTIEKQRAYDRRELSPGERAEQERARAESIERMEEVVRFNRTMEEYKERGSQRQWMERQERQREERERQERLVRAQQEIWARMAEEQRRRGRSDRPDSRPHSRNDEYRDEGRRRRRSRSREEYDDEYDDRRGPPSSRWDRGDRGGGGGGGPPFRHFGGDGRGGYGGDDRGYGGGGGGYGRPQWGYGRGRGRGGPPPGGHPFRGGWRGGRGDYGGPAHGPPHGMMGPPPGWDGGYGPPAGPPPPHMMRG >PPA01183 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1112407:1114611:-1 gene:PPA01183 transcript:PPA01183 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHLFICVLFLIQLSIGAGEKGVFAPINDFIAKYETQIKEGKQIIKITGPYVKGFVNLGRFVKCVVNLCLDDVEGEKQRREILTDITDVTEKIETMGRKIDDNFNSLKSFYVEHDFYTKFIVTAETQFEFLRGVLKYRKQESIDLFNKHYSENHPILNIVNDYWPHAVQSVMRDVRDYKKELSNIAKANFLKQRLQNIETMHASDQEQAYRAADANNVILSKPDGMLIYRSRLARNMTADQKWDFIEDVKWMIKRDCYENSDGLGDSVEELFLGIADAGFIGLIKDDKNVAVRKVGDDYGRKWCVRRTKRCRV >PPA01194 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1166698:1175071:-1 gene:PPA01194 transcript:PPA01194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-let-23 MPPSPTIIHLFQTGQVEPNPDGFYSMDNRYCEKECPEERLVQDDVCVEYCSPGMHYDFSKGDRICRKCEGPCPVSCKFKGLVDADTIKLLQNCSEIEGNLQFNVLSFRGHPDPVLGLEKRKVSAMAVSELDVLKSVRFISEYLYVATNDANLKSLSFLENLEYIGGRSLYGAKQSLVISQNDNLEYLGLRKLKKIAKGDIIISKNYEACYVDSFNWTDIVTGVNAKVAVLENRRVCVNESRICDSSCDPAFGCWGPTNADCRKCVHWLQEGHCVDKCSSRGFFENHETRNCDRCYVECDTCKGPEHTDCLTCRSYKLYRKHGSAPLSPLDFDDDFITVEEQPKQPRPVSHLVETDTTNAASENTLPPSEQPSKFTQLTCVADCPSTRYYVENNECKRCHRSCYDLGCNGPSNEPGPLGCKKCKYAQIDPPVGNSTLGAVARCLFNSLKVHANTVCMNNDLLDHFVQSSTHPDVVEEFECAPCDEECSTCQSKGNSRITNKCKCRHFVAYMPFHRMGGVMDTTKEDEKCVPGCTKGLYTDNATSEVDIEGVCRMCHNLCDRFGENGTCVKNCAELNLFTYHKEKGCFDTDYDTRDKVHRAALYILAALFLVVVLIIGLMLWRRQKRLSKELEQEKANLKIFILSPGGSLTAWLPGQRNRIVPNLAPSDLLDPATLAEKVANNPEMPELIPMDHNARINKERFLLIPKQALSRSARELGSGAFGVVYAGCWMPVSKAGKPTKVPVAIKVVRDPSGRAQSEMLDEAAKMTMMRHENLLRIVGVCLSGDDLQLVTLLRPLGNLREFLQKHKGKLSGKELLQYSYQIASGMKYLTDQRVVHRDLAARNVLVKNIHHVEITDFGLAKLIDIGADSVQVGEGKVAIKWLALEALEKQVYNTATDVWAFGVTVWEILTYGESPYANMTPMAIKEYLLSGQRLAQPNNCSTELYKHLIDCEI >PPA01069 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:564049:569465:1 gene:PPA01069 transcript:PPA01069 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAGLERLPRGTADALDNKFILAKEVLEKCMRFSYYERILEILPESHKRLQPAKPDVIYPMEDGTKDQVAENHEEFERARHFQALFQEKLAADAMIEELRVEEQTGEGPEFDSRAFSVFFAVLLKLASKSFSHNFAALTRYHKTLKHVVANSPTMQQTLLSTLYGCWRNNTQMMLILIDKLLKMQILDCSVVVAWIFDGEDAQQEHSRQWLWEMLNNSLGKLGKHVAKCKKDLETLKEAKQRKEKARKEKEAEAQGEGEGKDDEKMEEGDDEAAAAEMDGEAEVEALADAQKRIFLDVLMKFASALTTRLQEQGDGAEKSLWYTFVQGRMRHVFLAHEPVIREFAVELEQQLVAKTDLDRRAIQVFAEFAALRA >PPA01014 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:202923:205986:-1 gene:PPA01014 transcript:PPA01014 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSDERIELLVDIMKKLDVVQCTPSVRVIIAEQISIINTIFTRGVHYTAIAQLTAAIQPHIGKEAKKQYSPWEKLFYGAATGFTVMLKAVLSGRAISCSYLKFVSRMANEVKEDELNAAFLLLAQINVCVSIIEEGIRAEIVLEQLKTLDEKLQFIGENKMLKTPLDDVIFGYSSVFGVAVVAIRDMAFTPGRLGLSLPQHERLVVPAQVQTVSASGTPNLARKAEVPNISGRGAGQELDTAEDESETEEQHVEANLDAIERVEQCREPTKDPFEVYPGLETDSRQMISDFCSLALDKSSDEAKEMIASTFDKLKIIDRYCAPVEADKRLRDGDDPDLHTIYHLIEYLNKKYAQKTFKRLPKLYHHVEVATPELALNELITLELTQPSLFSCGLDRRTFYICLLRRSIRVDGGIKEAMLALMAVHYIIDAEFDGDVRDMNTLFWCSLGLKLPRVPKQVSEVMELINAKSTYFG >PPA01055 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:481927:486514:1 gene:PPA01055 transcript:PPA01055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3DUH4] MVLLQFGDEKLETLPDELLHNEQDDEAIDKESENTQYDRTAPEPADKRTGAELLNEHAKDKMSVDDALKMATWIFIGFRRKLNFTFLELVQLAAAARDVFMKEKQLVECGLPVTIFGDIHGQVGDAVRLINIAAWDPANPNSRELDLNKQHFVFCGDYVDRGNRQVETLVLMFSLKITYPNNVHILRGNHETRDINYKYGFDTELHGRYDEDQAKKLYDVFNEVFDHLPLACLIGGKVLCVHGGISTHLHTLEDINKAGTSCVDVPKTIPKPLSNINVSLLAQDLLWADPINGLSGTKPNDGRGISIHFGEDALDEKMTELELIAVIRGHQIPGNGYAWFGLKGHLCTVFSASDAGKKENYAAAIRIDEQGVKKMLIMYKGRRFPGDTEGTKVHSCEHLDNNVNDDVYAVFKEEEMIYKKIYICEIN >PPA01070 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:570814:572665:1 gene:PPA01070 transcript:PPA01070 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIDLSPRRSLSQFRKRSFDNVDTSTTSTEGTFDDVVNDSQGGTQPALSSQEIVEETSPRRSPSERLAWLAPLDSDLVRIDFNQSHIVIGRSDDDPAVDYNVNRLRETKLAIWSTLSRRHFALEKVD >PPA01076 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:614056:615980:-1 gene:PPA01076 transcript:PPA01076 gene_biotype:protein_coding transcript_biotype:protein_coding MALSARVFGKIQQQYFDTRTMQLSAVETSVYRGPTTFWSSREPFKEVGQQELRAEFRPYKSLICRVRCTLENVWLLDMQAMVVRRLIIHSPWLPRCPLYALCHSMTDDGRYCSTERGGALARRRGLRRPIDPSHADHTIIAPLSGVLRLEELASYSLLLSFPRDHRYQMLKWDVTNVMQLLHYFGKAPYTG >PPA01224 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1315180:1317642:-1 gene:PPA01224 transcript:PPA01224 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLSGDMKVYLIEGQREGQSHLQQALVTTMVHDNFLIQRTLSCHKSAEFLVSLTKRLQQQVRSEKMVRPTFASVQSFSKKSASIDRLSDIWTRMLMVVPGMSADSAKLVAERFPSIKALMTLWKEEADPEKRLLKEVPSLGRVQAANISLFFELGMKK >PPA01118 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:804890:805738:1 gene:PPA01118 transcript:PPA01118 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSGIKLSGVFSGRAVVVGRCHGRRLQLQFTWPSMRIAMYVGMPAEKDGTLKGLNNVFFTELFRGSNYSSNMFFTELFSGTIAGVDVAMNTHLKSVKMQQKNRDPMNLQSLTVRGNNIRYIILSDS >PPA01082 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:637904:640039:1 gene:PPA01082 transcript:PPA01082 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQIRYPKKQVTCKICNKHFKGSQCLKEHMRVHETDEEARKPYKCDICGKAYTQRFNLNKHIRSHSNGTINHSSGEEELDEEIFQCKLCGKKYLKRQHLAICNL >PPA01033 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:321809:326281:-1 gene:PPA01033 transcript:PPA01033 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGTLCYSTFKNGLPAIIHDEEHKSYWGKKPLCAIPQHPGGSCRMDLCWITSHNHMTKIGNTTESSEDAKVICRSFGADVASIHSDKENSFVRRLAVSRGAFNGVYLGATGVGNEFSWIDGSTWDYSNFYNSTPFFYGNTKNYTAPLCSPGPWKEGQIIYSPGFPDDASIPCDYLFSVNTGKKIEVEVLFVEANSCCDRLVLIENYIGGTVIANLTGEISDRRYTTKSSNFMRVSWQPDGGVNMRYREVPCVRIEHYLLECSNRDEKTAHIVNATCRSGFELFRNGECRGNYTRLTPYWDASPNPSIASCKQIQAQPIIIRDAMDQSYWSSKATGGYALLGLVCNSTSKQWNWADGTVLNYKPPSGYATGAAHNTFTADVFCSIPDSQPVPSPNGCESFDDDSDDAICYQIATTAENFRNAQMVCKNVGGDLASIHNDRENTFVRRLAVSRQALNGVLIGGLLSGNDKAWTDGSAWDYDHFYPGFPINGLGQCLVLDTQGTSGEWMNVDCNNTVAVACERQQNFTTPVCPTEPPKEGQFVVSPGFPFDASTPCDYMLMVDAGKKVQMEILMLEANTCCDRLVIYEDYFAGKVIANVTGEFNERVYTTSTSNFMKVSWQPNGGVNVRGMMITFRGV >PPA00976 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:22164:28005:1 gene:PPA00976 transcript:PPA00976 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSEGPPPPMAAPQWAELLEKEFDKAFVALDLLLGEVDSDQVEITYEGRQKMTALSSAFAQLMHKTQTLYFNLSRSERELGSTRDALIAARAEQKNKEKEAGELMLQVHSLQCQVHAKTAPHESDMIKKKLDTQMGALRAERMPGLRAEVEADVLRKENARWRRLVGALENEVFGARLAAKYLDKELAGRIQQIQLLGRNMRGIEHDRLWNQLEAEIHLHRHKTVIRACRGRGQTKGLRAPPPPQPVTDSASASTSCDASTECDGGRKCSGSSSGRRKGVGESRRVVLRKEPHEGLGISITGGTEHALPIVVSEIQPGQPADRCGNLFVGDAILSVNGYDLRNAKHQEAVDVLSSQQGDLVMDVLFVAPDSDSDDDGTVLIEDEAGSVFHLYDNEERMSAGADAKSGGRSSSTR >PPA00996 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:116523:116807:-1 gene:PPA00996 transcript:PPA00996 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGRVSPVLGLIVGKEFFSKRAPKKNSKKEIDCYFIQLYSQLSYGLSTYR >PPA01143 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:940571:942316:1 gene:PPA01143 transcript:PPA01143 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-che-1 MNWPSQSLGVSQPLTPVHHSSLMLPLTGSAGGLQLHPQQQQLQPSLLQPAAVQQLHGCNSSIAAPLHIALPQIHSNAIPTSLHQTPLTIDVGRPGSSSLPERRMRKAKIEPATSPVRPFACNICGKSFSQAANLTAHRRVHTGEKPFSCPICDRPFSQSSSLVTHKRPIVQNAVQAANLKKIE >PPA01049 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:439055:440758:1 gene:PPA01049 transcript:PPA01049 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRASPTTSSERGSDWSMVFSVLARMLRRVSLISSLVTARQQATQKGWIGGRSLRDVSIDVFPNLHPIVFFSHHSIDRGDTRPPSPDCPKGHLYRRSPSCAQWEGQRVVRGPPARLPLHRLGHCPSLRVRLPTIRHVVSGMDGLTSNSASPGIEWDATAHPSPSWSPLRSSVLSFPLRPSSVFDQIHHSRPRLHPSIS >PPA01126 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:846891:848182:-1 gene:PPA01126 transcript:PPA01126 gene_biotype:protein_coding transcript_biotype:protein_coding MSETGRSKTTLMDLPNEILIEILKLVNFLSGFPELTIYDSDDNKRCANRDRYSPCPMPITLSSLITLAENARTVMLHADCNELTSENLCAIHSVSYRLENDVEKGQCMQTGKDYDTLLTTWSTIVVSK >PPA01028 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:297752:297995:1 gene:PPA01028 transcript:PPA01028 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTTTVLVFSVVIYFHGFRIDLHTKSARYRGQYSSYPIKLFYTSNIPIILCPRI >PPA01108 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:761995:768589:-1 gene:PPA01108 transcript:PPA01108 gene_biotype:protein_coding transcript_biotype:protein_coding MCDYREGETLPLLKSRQMVDALGTFRESLGTNDLWIGIVCNFSTLQWEWEDGVPVDYNNFMDEQTGECAQQDHFVQSALTEKWIKMNTYALTDEYVCMRRAMESIPPLPTLPPSPYCPDNMYPLGEWCYGMTKPMTATNFKELENLIFVRRYFLGSDSADLWIGVQCGTDDKWKWSNGEPWSGYSKFKDPEDVNSCAHEGKHSSFLFDKNGLWIDGTDIKTREVSSKLLGDSKWNVYIENNEYVDLMNGTTGISSIWIGLLCVPNEDFDGSDPTYCYPDRNEAFTLEIGGGADLSVSTPVPAICDDGWQSIDGACFIFDATKKKLSRFNAQQECKKLDANLPSITISTCSDPKMGYAFSYEGNWIQKDESGEAVFLTCSKPQRRSRHLKGYSTNDYCNNYYRKHNNRNHYDGHNYRVYRYCYHNDGTANNHNRTATYNNCYCHCTDVPPSGFPTWAIILICVGSVLIIAICAFLGYMARRRITRLEAEIVNIERHDSRAHSNKIEHSLYPGNPIHRYYNLPSRTDEWEIERKFVGIDYTNKLGEGAFGSVFLGRVLAKNIPLAAGKSIVEMTTLRNDNDSVAVKMLHENADTMAETDFKAEIDLMKKIGRKYMIEHTSNYDEDKIITVKKQVMFAIQVAYGLEYLATRGFLHRDIAARNIMIDHQETCKIGDFGLCRAIGRDNENYQAQGGKLPLKWMSPEAIDKYFFSTASDVWSYGILLFEIVTLGGTPYAGWPASDLLNKLKRGERMDKPDNCSEKFSHDLPPSIGQGLDPSLPKGLGLRVDPCLELLHKCVSVLELLSSTEVREGAETVVVARGQIRGVRWVREPFHLQLVHFLLGDFRMMRARVVHEHEDFALAQEFGRDPDRHLFQLGSEEVSLDGDAGREDLPVDGTEDGEEETEEFLLSVKFRLWSLLGFLIDVHPLKFPLRIIVGDPLLIHGDDVADPIEIGSTLSCREVMRNHLGELRCLPSIMQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAAYGGIPSPFDPGENNEAKRSGSLEQCS >PPA00980 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:43272:49594:1 gene:PPA00980 transcript:PPA00980 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLMPPINSLYAGRSVFITGGTGFVGKVVIEKFLRDVPDIDQIFVLFRPGKNATAAQRWAHFSKALIFNRVRAENPKSLEKVVPVDGDISLDNFGMSEAHLKLVLENTSVVLHCAATVRFNEPLRQAIDLNLKGTDRMIKLCKKMPKLECFLHCSTCYVNADKEGAIEEKLYEPACDPHKLIEASEWMSEEMLEGITSSVTKKYFNTYTFTKHITEELVRRDCSSLPTLIFRPAVCASIWKDGIPGWADAFQGMTANAAGFGTGTIPRKPANPANVLDGVPVDAVSSMMIACAAYRMHLAAKGDRSSLPIFHCSTSDLNPMTVQHYQNLCGTFLTTYPLEKIILSPSVGTRGSPELEDTFHAIKQHFLGPALDVAVGLLGRKPEFAKAFTKARQMFNVSQFSTKSWTFQSRGMLELLNRMQPKDRETFDFDVRKLDWNEFISDVLFGMKHFLSKNDIFSDEKLEAARKNVKVMQALELAASLLFPYLVTVLATGDFKSWKTALPLGLALYYYFHCNQYSQVRIGSIESYRKRLSAKILPSPSILISCADAEWSTRPRGMLLLGLLSCLLVVFGAAADDVAVGAAVAADAGDEAAAHPVDLPTAAGPVIDYHWCGRRDGVICGVRASCLRDKNDNEVNVKDDKVTFPEGRSTLVFMAVSDAREWTVENDRTVGLCIWHCLRMALNHTGRTLFGCKPENGTIRALGGKDVGEE >PPA01164 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1029021:1031394:-1 gene:PPA01164 transcript:PPA01164 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSLVYCSPALFTEFGPIYEAMMKKNVVAYDTGDVDGAAEMYDDHGVVVDKKENKSYFGLEQIKQMIDGFIKMGKVEFKTSRKDFHDVGDGRFFVDADFETTFVESGVVMKGNFHQLFHKKGDQYKCVYEVYSMQ >PPA00983 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:51999:55118:-1 gene:PPA00983 transcript:PPA00983 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIILLSVLLQVIASCPAGFEHISLQCNSSSQWQWADGSSLDYKAPGHDSMLNGACSVGTFVVLRKDGFWSYYAASHSAWTTDLFCTVPVLHPTQSPDNCFAFADDVDFGLCYQVGAAAANWQDAQLICRKLGANLASIHNYQENTFVRRLGVSNGAMNGLFLGAALSGKGGSYGWIDGTPWDYQNFAPEGTYEEPVCNEKTYTEEGKLITSPGFPYTASLPCDFFFEVGHDQNVEVEIVLLDANECCDSLVIYDGYLGGKVLATSHDLPPSIGQGLDPSLPKGLGLRVDPCLELLHKCVSVLELLSSTEVREGAETVVVARGQIRGVRWVREPFHLQLVHFLLGDFRMMRARVVHEHEDFALAQEFGRDPDRHLFQLGSEEVSLDGDAGREDLPVDGTEDGEEETEEFLLSVKFRLWSLLGFLIDVHPLKFPLRIIVGDPLLIHGDDVADPIEIGSTLSCREVMRNHLGELRCLPSIMQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAAYGGIPSPFDPGENNEAKRSGSLEQCS >PPA01019 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:219289:220062:-1 gene:PPA01019 transcript:PPA01019 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGESRGKKSFNLFYGIFAFFCRFEDKGGCRANVKTYLDNYDVKTSQEQLSRGSWTLDLAAYKDAKDCNAQLTSICKGANEAKCKKASSALCDALFSGKDAVAYGKQFIEFRHGFQNATYPRDQSKKLHDEWSIKNKAEDKALAV >PPA01048 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:435853:437626:-1 gene:PPA01048 transcript:PPA01048 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSGIKLSGLFSGRAVVATPVASSRSNYKYVGMPAEKDGTLKGLNNVFFTELFRGMIAGVDVAMNTHLKSVKMQQKNRDPMNLKSLTVTTSATSSCPTRDLWTRYLWMRSLARRLPSERPEVATEETCEEIRCMRDRGGCGGPRGGGCGGPLDAKMLTTASRLSSFLSLHDFTHCVQHMDHN >PPA01153 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:985006:985720:1 gene:PPA01153 transcript:PPA01153 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLFLALAAIAVAVTAVKDPSCPKGRKLGSAVVVCQNANPMVTIETSRLLPDRSGLAMFLEKLLQVDQ >PPA01020 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:220633:231702:-1 gene:PPA01020 transcript:PPA01020 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRTFLNANHKTTNHLSQTSPHFAHSSLCHSVGTPTFPTPRHTMAAATTQRTTSTGGYENYRRLIAEEEEEEGEYTPRCCCCDSMEAIEDSLIMVDFTTLLTDYMIWHLAALLLCTLSMGCVAVAFKNKLGKWLYGPILMHIVIFIINLYIGFTTVFFQTAGDKLARKAFKHRLDDLAFDHPHATYEELHAYLLSRIVYEVGLSGAITFAQVPLHMVCVVSMAFTITCALELAVPVCTVSKHARPLMTVCWRLRSHLEGQWYLQKQRNDREAKRKADKAKVQGASLSHGEAEGLLSREEEEIEMMEEEAKKSDYKMEIIWRNVAFFAFLHLGAIYGLILALTSASWKSAAWVMVVTLYSGNCVTAGAHRLWTHKAYKHDVIDWARDHRTHHKWTDSDAHPHNSKRGFFFSHIGWLMVKKHPKVIEMGRKIDLTDLKSDPVLAFQRRLINSAAHKFGSKAFDKGISATDSFFWAIRTNGEAWHNYHHALPQDYRASKYAWSANMSAAMIDFFAYMGWVWDRKTMSTEAYKSSPIPPPRQSLTRSPLTSRAPLTSREPLRRSRAMPNTRTDGAMSAGALENYRRMLAEEEDDDGEYVPRCCCCDSMTYVRVFGIFSTLLALYGTRARMAKAVEEALLISDFWKLFLDHLVTQAIILSFCTVSMVLAAIAFKFKRGKLLYAPILLHGVVVCCLLYSAIELILFHSLSNTLAKMIFKSKLDDLAVDHPDRSYEELHAYLMQVIGREVATAGIITAFTIPFHLTFIVVCWRLRSHLEQQRYLGNQREARETKRIAEKAKKC >PPA01240 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1405313:1410642:-1 gene:PPA01240 transcript:PPA01240 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPFEPTSLEKQLHDARSNMNRFRWLLLAIAISGLLMDLQVSLALAPYPLLPYIAFWGKTKANCKLLADQLKVHHITLDAGRRKSAKNTTFTAMLEGEALALARDMEAEVGRSFPKKHLIASIVNGTTRHGIDERQHGFASARYYSAHIRDF >PPA01104 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:750041:750932:1 gene:PPA01104 transcript:PPA01104 gene_biotype:protein_coding transcript_biotype:protein_coding MKLADLILVIDGEGLKLVPWDKVTEMLASALVLFFQFFIIVGGAIPYVFQYSEIHHRRNASGFSLFVCLALCVANILRILFWFGKRFDTALLAQSVVMLLMMVCMLEIAGRMNRKHTPKHQTKSLLSEF >PPA01100 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:737593:740010:1 gene:PPA01100 transcript:PPA01100 gene_biotype:protein_coding transcript_biotype:protein_coding MTQILPIDADSIGFPVYFVHCRSQNYVEENCPLAELHTTTVSTDQLCDPEWIWVDDICYFVNTTHVKSWEESRDWRSVNSACSDFDAKLPIILSEEQNNELLLQTSAFFSGNKDIIQFWIGLIYTNGFISTLRFISTLGFISTLGFISTLGFISA >PPA01016 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:211262:212879:-1 gene:PPA01016 transcript:PPA01016 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSVRIGTFIEYMFHGTTIRNQGYRAYMITSQHCLKIVTLFYDLVGLAELESATRYYLLSTLSTTMGNEFVS >PPA01247 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1454008:1454859:-1 gene:PPA01247 transcript:PPA01247 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVAKPKPVRKPDFFIEQVISQGRTLYFCYYTPKGEYIGPAHEHTHQLEAIKEWINRTVAEKGLTAFDYLAHEYIKQGSTRGKQK >PPA01205 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1201869:1202155:-1 gene:PPA01205 transcript:PPA01205 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSYKKAPIMTKEIIERMEQGNLTVINAYEELSKTERSLHEMCRKKTNELLKSDTEIASDLFPNAPDALNQLKKCIE >PPA01130 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:876354:877823:-1 gene:PPA01130 transcript:PPA01130 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLQILVGASVLIAAIDGAAETEDFLFGDECGRTPIPPSLLSVSPHTSTAIVGGTPATPYSWPWQAVVCKNEWWGLFCSFQCSGIVIGKQWVLTAADCLDANTQNWSVRTGVFDESQKEGSEQKPKIRKVHIHKDFDRKTKRNNIALIQVLCFRLLVIELAR >PPA01148 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:964356:964993:1 gene:PPA01148 transcript:PPA01148 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKVLLLACIAAIVAGQIAPLTPEQIQAYANTVKILEDAAQNMRDHYNDHYEVPLPESTYNATRTFAQNLKNVVWVHGARPFPGMAESMADKVILKLAEEMANA >PPA01060 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:507135:507933:-1 gene:PPA01060 transcript:PPA01060 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVVLIVLIAVYVYKYYENVKRYPKGPTPLPLIGNLHQFDAHRMHYFLEAAQEKHGDVFTIWTPRPMVVLMSYAAIKEGLVTKGYAGQGIYRGGKGIPER >PPA01088 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:661316:667883:-1 gene:PPA01088 transcript:PPA01088 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLPIKKCKDRTMIPALPTTLPNIAIYLFFLLYCFFGIAIITDTIMCAIQRITTTMRKIKKEREKTSLVEQGMNTAQRTDEEEFEYVKVWNPTVANLTLMALGSSASEILLSIIEVIGNGFEAGVLGPGTIVGSAAFNLFTISAICVVSVKSPTVKRIENITVFYISAAFSVLAYVWLFFILIISSPNIIEVWEAALTLFFFACLVAIAYAFDVRWCKRKMSAMTSAMNNAPAIGIEATNNEEGDGQVLFQPMPPMDTVAKSYPSLSPEDHAKILAYRINQANTPHDRIQMTSSWRESRTEQDVVKFMTTFKTISVDGRTKPRIEWDARTYGVQRGDKKIQLKLVRRGTATQPLTAAYQTVNGTAKKDMHYLDKRETVQFEPGERSKAIELQILDNGDWKHDDVFYVHLKIKDKLPEDRTKLGQCDTARVRFVEHTDFPPFPTVEFAKNNYVISENDEWVRIYVRTRSLPIHEQNVIVYETEEETAEAEYDYVSVKNGQLVFEPGEIEKYVDIEIITDNPDQDDETFAVLITKINEEVVSAAAHLRTTITLIASDRAIQNLRNMRTLMRNYLKEMQLPHVTATWKEQIINACSVNGGDTANATLADALKHAFAFPWKVLVAFVPPPELMAGWPCFVTALGLIGSVTAVVAQVASILGCMLGIKDAVTATTLVALGTSLPDTFASKIAAEQDTTADNAVGSITGCNSVNVFLGLGLPWLVAAIYWRIKGQQFRVDSGDLAHSVSLFTVLSLVCIAVLTARRLIPCLGRGELGGPPVQKIATGALLFGLWISYVVLSSVHSH >PPA01122 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:832552:833701:-1 gene:PPA01122 transcript:PPA01122 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSTLQDVLRDIDFKFSMCFVKTNKIVNAKSLSMVLIIILTVGTSHEMITKFAIWADRPRRAFGLEHVRRSPLSWLIFLPLLLGSLLAAAGIVAKRGELLVPLTVMMLLYMPLLFHSILTADQLHPIFELPMGYSTPVCSFFSRSGAFWSFSTAFSFFSRRKMRSIGKSTKYFQ >PPA01078 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:624301:624736:-1 gene:PPA01078 transcript:PPA01078 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDFGPIWPFNISILAFMAAALGDPHSDHWCLGLLDGQGVLLAFQPHECAYGGEESR >PPA01039 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:371664:375461:-1 gene:PPA01039 transcript:PPA01039 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGLETTLEQAFFYYAASEFCSQTKVRDEADAMDVHSAANIRVNAAFSLMPEFTRAFQCKKNDRMFIKEEQSCYIFGPKAKPRFGIRKSQVPNYTQIQPEDSLDESISKYIADTSKIIDEFIKFEKISTLLTGMATPIITYDVLAHELHHSLFSPAFPSLLALYGHRRECIEHHYKEACTKFNVTFCGTVQPTIVEDLCDLESVRLAHRIFHETYSKEELHDRDAIEMLNIHSSESVLVNAGFSLMPEFSKAFQCKKGDRMFVEEGKSCYVFGPKSHNEPPPPKY >PPA01067 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:556992:559230:1 gene:PPA01067 transcript:PPA01067 gene_biotype:protein_coding transcript_biotype:protein_coding MWDPKLNYMSMNLSPGAIKLTQLTYRTSTTEELENLLGGVDAYMQQRSRTHIRILQVWTGSTHEQEEYLDCLYEQVKLLAGAEWKEKHIARMYIAFDAVLTDALSHNLPTLAPPAHMAASAYPLPHVVFRLFDYADCPEDGYGRLPEDVNDDYGNFRSDIVEVVSDLLFGGALFAKNRARGGGSNAVENV >PPA01251 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1476505:1477083:-1 gene:PPA01251 transcript:PPA01251 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKKKNVDDNPLRRLTRSMSMKLSDLPAVPLDKILDRLTSSTSYAGLTSKYWKGTVDEFLTRRIHTLYIENGRRWKKSFRVFMLILEENIPLHPYASLRKTGVKRTMFVDHTMR >PPA01137 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:907466:910360:1 gene:PPA01137 transcript:PPA01137 gene_biotype:protein_coding transcript_biotype:protein_coding MSATELLCNESDSASVREEQQKKSFLTRLIAAALEDKDDDNSNRNVKPVPFRYLPVGNVDFLQESLKLSFMLLGSGIITYLASFLEHLSLSVASERITSRIKIAFIKAVLGQDSHFLDATSAGALSNQLNKSTTLYVTATIIAFIMDWRIALIMVWTGPICIVSTALTPVLAASSMASMLKASEEANGVAKEAILNVKTVAACNGEMSIIEKYSRILHSAISPAVRVGAISGFIDGFFFSFLYFSFVAGVWYGTVAFHKGLIADPGTVLAVADLIQFSSWLLGLLGPHMLAVLKARSAAAVVYKTIDTIPEIDSSDSDEGVELKTGERCSIEFQGVEFSYKSSVRFCIEQCENI >PPA01120 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:825341:830452:-1 gene:PPA01120 transcript:PPA01120 gene_biotype:protein_coding transcript_biotype:protein_coding MQWQWADGSVVDFKPPIHSAELDQDCKAGCFWFLDTDGRWLVGCSSTLSLRANIHCTTQLVQPIPSEDGCYGFAGYDTDGVCYQIGKTVETWQEAQADCRRVGGNLASIHNQQENSFIRRLAVSNGAVNGLYLGAIMTGKGNQYGWIDGSEWDYDNFYPGFPMDGVGNCLGMDTSTTSGQWMNINCVTNLNAACIRQPIFPEPPACGTGKENEIVLFLEANSCCDRLIVSDNFLGGTVIANLSGEVMDKILTTASTNVIRVSWQPHGGVNVRGVMMRYRAVTPDEFFLILTSLGQFLIISCHLIKIIFWLTITKYDRYYMYSIPIFRIAQPLNDLGGFLTDVNNFLLVIERTIASSQLERYEQSRMNWPVLLSCEVFCICVSLGVAYLVHFVRIVTECSILVIAMALLTIIVLVVCHFHNTRKYATAVGVSKKYQMKEVEYLTRALIPACIVNALMRILTSFMAVFSANLGFTSYIQTINAVQWGVLRHASTNARSPPRSVGKKATVSEGKHWRN >PPA01092 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:677336:677886:1 gene:PPA01092 transcript:PPA01092 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHQCIYMYTKSDEAISAMGYRLPWLYDLKALTPGILSLIFSTAIRNQLKRGLRMRTSTTVSGLF >PPA00998 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:120900:121277:1 gene:PPA00998 transcript:PPA00998 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKWFKFSHLEHFPFKNIVGQPFAEAKRTLGINHLNDLAKMFPDKFCIRGGSLCAIKGDEIGGVVDHMDQRI >PPA01221 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1285819:1286537:1 gene:PPA01221 transcript:PPA01221 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRLSQLTKAKAEELGAAFKGLLKADSKKELSLASLAMDTAIPYDTITLSQKIPIPDTVTLPFHAMMRINDDIPTGKKEDIAMSPLSDRTQDDGFSPRKSFEEALSHSK >PPA01171 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1055645:1057229:-1 gene:PPA01171 transcript:PPA01171 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLVIASLFGASSAASIANAACGFPNGTETAFQWWQGEGTADFQILKLEPIDAAGNPVYPVYLNQPLRVKAHFINNQNEFVAGKLLLSMKLSKYGSWSGCAWNEINTQNLLNNQDACEADISCPVVTGEHDMIITLDFSKHKVVISMLENDAPYQLQLTLTDQTSNTYVTVYTQTRCFTK >PPA01256 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1498557:1499453:1 gene:PPA01256 transcript:PPA01256 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLWHWVRERKRDTIRKKKTSEPGTSTFLHVPHAPSTTSLPPTMEEAERKVSDYEDFFKESEPARRQK >PPA01083 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:640451:641240:1 gene:PPA01083 transcript:PPA01083 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSDKQMAQIMKTRQKAFNLTSEDEIGNVLPYSDDLLRALIHSHADPEKLNLATNTLEQERLLVKRGRKGECVHEQG >PPA01200 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1194841:1195400:1 gene:PPA01200 transcript:PPA01200 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLMTLVFLALVTVQATCSLSAQITGWRTLKSVHGTYLYADWTGSEGAKEWYVHLTQERAVEAQWHIEDHGGQVSLMSAAYPGMYLHASPSGLVNVAKWSLDQAILWTPVKMEKDRWSLKSVYGNWLRMKPDGYVALQTDNNDEETFWIDYAY >PPA01232 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1351347:1363720:1 gene:PPA01232 transcript:PPA01232 gene_biotype:protein_coding transcript_biotype:protein_coding MINPSRDCDDFLALRDHFERRLLIECACGAPSCRKYLSSKDAAKEEEERRLRNDDEESSEEDEEEEEEEESEEEEVQIKEEDRKKLRKQQSILEEAERLLRKGVLTKKAQFELLSAVMIRLTPAHQLLRTKMVRLLTESGERNNEVYRVFLQRNGRMILQKYLTLGVGEDTTVAQYRELIPLLETLLELLEAIKRYTTATMETSVLANLVPILRAISGLSEVPDGLVVFEVMHDIVSGLDEDAVEEEEEGEWAKRWQQCTSRAAELAGLWQIAYSFKTTFKIPKKKDEEGAGGSGMAKEKKIWIFKAVTRSWDENFVPQDDGSSKEVSAFGNEPKKGRWETKEERKEEKAVETPSAGNSADSREDSEDAMQISPNIDDTERERGGWTGETTEERRRHGGYSPDYGSSSRGDVSRSEHTTPHHLHQRRHSHQSQHHHQDGFPPGPMPPPIGGQQWGPGGGPGGSDQGNWGGWNQGATPGGGQWPMGYGAYPNGNMVPPPSQGMSYLLLGPAPGSSLTAEFQYWQNGAKYCAQKADEIERRLGQARELEQQMEQGKQPLQQLHHVGGAAEVPLPPAAAAAPREERRPPRPPLNDRLALLTGVPVKRNEGGMDKREDDHIKRRKRNPILQKEDDLPFGRSQFNGAKASRTLLQKTRAKYPGPKNREVPNNHSKCGDKEWILYDQPGPPTARPTDAAIAAAEMVRTKDGRLIPLSSIAKYCRVIANNHLYYYNKLTRSPTWHLPPGETANSEDELEVASGRQSAVGFAMGEPSGGAGGSGGEASGSRPGTAGGRSPPQRGVPQTPPEEPTDPNPQLTDQEQLQRRSDFKTKSGDLLKRYVKASMFNEVDDFKKTLRKIIHGCIEKEQKLNNSFDFSFSDDVKHRMVEYTKKYVQRHKLKPLAYPEGIAD >PPA01239 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1399811:1404358:1 gene:PPA01239 transcript:PPA01239 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYYPAFFMNLPMPDYGTDAPYEPWYTPEGYALVENQQQQPLLMAEQETEYQELQPMLQPLQHWHLQQLDMEQQFPSASPAYFHETDVYTPPASSDFLANPYAMNTSEPVEMEMKPVITKTRGRKRKVAEVLSDEEDELENCVNIDQPASASTEKGKVFCNIVGRLCVIGSLIHYDVTTDEIKRRASKPEGMIRSHIGPLLKRGKVSGTGTELQKLLEGEEILLSDKPIINRKQCALNTLSALLEGESVQLSADHRELALAHFPLKALARLIIRSISSPAELVTAATEAAAANAAISSFTAVLNQWTSGGWTKGREAILASPLRTFAYATHVLGVEECLLMATLFTKFLHVFEKELVTLIEHVEAKGCGSAAYLHQFPPGIEAPVIPGGMMPAPKWTDEADGTTDFTQISGRLTLQNRKYSVSVAEINRRVRQPESFNLSILGSLLKRGKTKANCKLLADQLKVHHITLDAGRRKSAKNTTFTAMLEGEALALARDMEAEVGRSFPKKHLIASIVNGTTRHGIDERQHGFASASRIAKSLQQTVLSLQLPVADRVVHKANENAHVIFNYCNLTHGYGPDSTASWMGIFSVPK >PPA00985 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:62366:64349:1 gene:PPA00985 transcript:PPA00985 gene_biotype:protein_coding transcript_biotype:protein_coding MALINVLMAAYGVPCFALYILVLVSVVSLRKELSSSFLAIYLIMAGVNMTTYFSTWWTHRLRSEPFWYWYYEWSMLPGTELWRTIHQFLASYFFYAQNSCCFMFTANRFTSIVLPAQHMDLWRRFHWPLQAFVHIFSLGVCIATRWPSKMEYVYSPAAGAYLTVPLVSYVPYLIGMMAYGIPMLIICSAANTVCVYRLVKFTKQQNRKEFSFSLISLCIFITQAINIGLVVVSASCSITANAPCNVAVSTFTPFTSDFLSLGPAV >PPA01178 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1088771:1090826:-1 gene:PPA01178 transcript:PPA01178 gene_biotype:protein_coding transcript_biotype:protein_coding MHHYTSEELECPSPPKVSRLPANTEELEYYELDGPGDGKDGETLYDCAFEMFMEKPNGHSKEWELVCKPNSGFWLLRNFETGKETLPDPKTTFACQETICNPKKIEYDGNIPPSYIDGGPLEIEQGEDQSTLTCPEGSLLYMFATVGPVLVMEGAVPSCKRNSGDWGGADQVYKCLRKPCMPPMIATTNAQYCAEKSTCDFIKMVPMPTTLVRPEEQIRY >PPA01050 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:447675:448926:1 gene:PPA01050 transcript:PPA01050 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGANEELQSYAQVIKPTCDAASFNDWTTPISYAAPPHQSFPFLFNPQPDWSSAYGTTPHQLHQLTAGGEN >PPA01257 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1507900:1509784:1 gene:PPA01257 transcript:PPA01257 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRSSTTIIPLTFPQIHLIRTLWRQIYMTKGPTVIGTTLFHRLCFKSPEIKDQIRAAPLPAQFNNHDEFVKAHCKAVAELVDQVVENLDNLDNMNEELMRIGRVHAKMTRGGVTGKLWNLVAETFIDCTLEWGDKRCRSETVRKAWALIIAFMVEKIKLGHHEQRKLMLSARASVGQLEGINRFQDLSLHSNSQY >PPA01173 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1066799:1067850:1 gene:PPA01173 transcript:PPA01173 gene_biotype:protein_coding transcript_biotype:protein_coding MNDIKGTPNLPINLCDVGCRVYLTYLDDEQHLGDQDNGPITIQNGDANITFMELNKLTLPSEEKGYFDIPEGTEEFIVHNPHNNFVARPLALWIVLNNATNLDSVAVYEAADGAHIQTSNRRRIVKCSVLCAIQRLITSEHKR >PPA01109 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:770728:773994:-1 gene:PPA01109 transcript:PPA01109 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIACLLSVAVASSVEATDNTCISGFQPLTDGWCFATLERGTYTFQDAEDACYSKFEAHLPTLSTAELNDAVVGVRNNLFKANSYIWIGLNCSEDGDWYWLDGTPYDAAQANFDPGSLLVFDALRCDPTLTTTFTLTVFGYWQALAAESQVSHVFCTARSNESGQTQSPEELICPEGYTLVDDDGEKWCFVWNKPLPPESSVNFEEVKSLCEERLGETLPILRSSKMVDALDAIRSEKDAGTLWIGIVCNHDARLGVGRRRSSRLSGQLHELLERSTASTTSPPLPTLPPSSLCPPEMTLLHDWCYSFDLSPIGDSDYEVNSEENGETEEEHLHHYCEKRYGGFLPSVSSEQESDDLMFLRRFHVGFDAENLWMALECGDKKFLSMDLDKWRIVEWLHEVC >PPA01186 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1128184:1130428:-1 gene:PPA01186 transcript:PPA01186 gene_biotype:protein_coding transcript_biotype:protein_coding MRESLAGLVLCASLCSASLGINASSHIEETTVESDFWDSFNVFADQRLKEKLLIILEVVLIGVAFLAAVMALAVVFLLIVSKCRKCCGKGRNMSRQQSQRPPHPSVLKMDIYI >PPA01204 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1199957:1201067:-1 gene:PPA01204 transcript:PPA01204 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIAFIAGYDSELQRQIVSQLRDKCNSDYEGVILLCFVNVNPPIGHDLITFMTYEDKDEFDNEHCHAIGGIHRGTGEMMNTYSIWQELERRSIRLRKGNVHSPQTFDRLASGSKIIMLKTIDKCAVLIDVSAV >PPA01236 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1378912:1379776:1 gene:PPA01236 transcript:PPA01236 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRQSSISLQKEEEAAPALPTTEPPKDEEVKAAAEAAPAPEAAVEEKKEEKKEEKVEEPPKDPREDPVGWLGQQIPQAVHIVNHQVLDWAQKFAIEDEAKRAPLPGKNGAVTRNQFLNFLKDGTLLASLAKKCGANVETIHEGDAVKNNKENQRDDWETSQTIYFADRQHPGVRRLGQGEPRPPRG >PPA01254 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1484790:1491935:1 gene:PPA01254 transcript:PPA01254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-eat-18 MRGTECNVRVESNRETTHYIHSPKYPDLYPANTTCTYILDGLQGDQNLEKVILTFEEFAVISELSSHVSIDSAMDDTDPDFITCPNAYVGIAINDGNMKATLSSTDESNFDHTLCERFDKSEFFLVLSTLMPQTNHSPVRTSHPAPGWSCNFGIPGEPVADSNVCNFRFRSKTGFFNSPRYPANYPLDTNCTYEIIGQEGQMILIHFEQFALEPDDKSCKDFLEIHDIFHDEGGAEDTRLQARYCSNAVPGPRFSAFGSHHMRVFFSSNSAGTGNGFKLLYEMRAALKEEIPGDDGMLIDPRHCGNTTTATDEMTYGLILSPGYGANYKKDTMCDWEIVARPGYQILLTLLKLDVEGSMKDGVINCQKAVIRVQRENEEQVELCGQSKEMFKPMLSYNGSIRLSFITAPDKVNGLSGFMFSWTETRVAKDYSECASDSQYLCTYSKLCISAALRCNSNFDCGEDDDTDEGLHCQTKAGDSNWIITSAFVFSGCIFLFIIFVLFYLFKKKLEKKKRRRRRRNNRAVMSTSTRSRSRQPYRGAGHKPPVAGERETIAFDYAPQTAKPKLSKQSASQLGVKPTETNPGRRRDVALRAPRRDGHSPADEADEDDRRHHLLWLTLAGQDGSTVDALQLATDLTEEQNLSVVAVALIKIR >PPA01046 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:428242:428660:1 gene:PPA01046 transcript:PPA01046 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSGRVITEVWAGDYERLLYDKLARGYNRLARPVKNESQPVLVLLGLDFQQIIDIVSA >PPA01009 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:192636:195658:1 gene:PPA01009 transcript:PPA01009 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKLEDSPILSLTIESAAKSTTKGAPREGGCQTDPIKTADAPCDPMAMGETGTQTDFAAQKGDTEGDSVRLSEEVITRMLQALAEGDKSRKIYERLNTLHDTTRVHLTMMRSAPMQPPPTASIIDIVTGSGDRAVVLLGELVHETWCSHECGLRVINRRKISSILLPTCPTTAGFLERDLVAIVIVSGDDILSTSKFFSSSVSSLFVPSPGRLVAVSIDGLMKICSLRGVELQEVKSIEVTVANLPRSIRSTRSESDVRIGIVSVSGNDRELCLVSETGGIWTAPLSTLALQSLPAQPQPLAAVLFRPPHLVLHGDDGRVHVCTASCERVDTLPSKAKKVILTPDGNLLIALSATAATPALAFYDVPKRKMIMEIETTISLLAIAAKGRDGILAVAEDAQIVEYDVTRV >PPA01034 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:330010:332226:1 gene:PPA01034 transcript:PPA01034 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGTIFPVFQNKYVVSKLLGSGGMGDVYEVYLQRDRTMKYALKTESLRTPWHSKRLKIECLVLESLQASCFNKTHFARIADSGMNDRNCFYVMDLMGHSLSDIYVLMCKERFTLHTTIHIARQTLKAIESLHNLGWLHRDIKPGNFAIGLAPKDTIVHVIDFGTAKRYLDVHGRLRVPRKVVRTAATLCYCSMRAHNNKEQGRRDDLEMWLYMICEFLHRNNLTWKGVRKISEIFARKCALLTRPEQLLQEKKIIVPLHFIPIIHRVFNFIYQSRFP >PPA01158 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1012286:1013602:1 gene:PPA01158 transcript:PPA01158 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFSSLRTAIALKPRPFLCEHCPATFTTEFSFEKHVYTQASPASFKCSRCALPSLFSRNTNEKRTTLFLMNASTAGGGKRNWRRWLNANYSVMSCHANEGRDGRSDGVITVENLTNNESDLLSGVITFRIEVFPVLLQHVPTIEKVGDIKYPWRDAIESACDFCMIGRKDYSLKFARLLKGAFRNLEFRHQLDRMHCMYTR >PPA01250 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1470610:1474215:1 gene:PPA01250 transcript:PPA01250 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAGVKGGGIFAMSLDQLTVGFRRRSTQEKIMKQNGAKLQASLAYTFSAAGACGVACPVDSTLSSLRGHCETAACATSPQPGLALFSSSSSLSFQLSSAVPSRGLRVGRLIVSHSL >PPA01059 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:500877:504826:-1 gene:PPA01059 transcript:PPA01059 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHPDDLFMATDNGGVIFSEGDSWQEQRRVAIQIMRDFGMGKNVMEAQVKTSVDECMAHLASIEDKGAVEFRWPLQILVANVVNQVLFGYHYAFNDCKRLMDYSDTFATQIETMRKSSLVLVLQQFPSLARLPVIGWFGRGQYRKNIERLLDHVREDVVKCMDTFREEEHPVSFVHAYMNRMGREDKLSMDQMVNVCSDFFLAGMETTSTTLRWAMLHMANNVNAQDKIRAEIHSVLGPDGEVTMADKTRMPYTVAAIAEVQRMANILPLNLVHKTTCETEVFGLGIPAGTLVMPQIYNVMRTGEVFLQSDEFRPERFLMEDGKTLNRAALDHVIPFSMGKRVCAGEGLARMELFIGLVSILQKYRLLPPRDAPLDMSPIEGSILLPKVNKLRMIANKYRSNEGINDVLQNDVGVNEYVNLKYFD >PPA01010 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:195935:197003:-1 gene:PPA01010 transcript:PPA01010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vha-1 MSSSYDLQSAENAAYAPFFGFMGVAAAQIFTVLGASYGTAKSAIGICSIGVMKPDLIIKSTIPVIMAGIIGIYGLVVAMVLKGKVKPTSEDYNLYKGFSHLAGGLTCGLCGLGAGYAVGIVGDAGVRGNAQQPRLFVGMILILIFAEVLGLYGMIVALILSNS >PPA00979 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:37600:38643:1 gene:PPA00979 transcript:PPA00979 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKFIYRMLQYEDNYAPKSFLSPPERHDYMRLQLSVATVAGFCELGITLERAASVRNPGVYHNNSPARLILCGAKLGWMMGEGAESSSALIAAGILNCIDLFSFGSNIGVMLYCRRQYARLFAKTSLNARYQVVFSLVMTIRNAPQLFLRALSPVITTLHC >PPA01011 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:197138:197304:1 gene:PPA01011 transcript:PPA01011 gene_biotype:protein_coding transcript_biotype:protein_coding MMIFNAMQRAAMRNDWITEWAETHEDRDKEKATNMLNHAQTDKPTPRYT >PPA01217 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1255829:1259051:-1 gene:PPA01217 transcript:PPA01217 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLTIRYPSYRKWVQSHRDLPLKLNQWCNVVRWEFKHPTPFLRTREFLWQEGHTAYANPEDAQREVFQILDLYAGIYEELLAVPVIKGRKSEKEKFAGGDFTTTVEAYVPVNGRGVQGATSHHLGQNFSKMFDINFEGDKGKKEFAYQNSWGLTTRTIGAMVMVHGDDAGLVLPPRVASIQVIALPVGITASTTDVDREKLVAATRAAVDTLLQKDVRAEADLRAE >PPA01182 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1110197:1111431:-1 gene:PPA01182 transcript:PPA01182 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAVDYLNRFAEYAKLGGGYIPVAGPYIQAFVTVGQTIMCLVEVCLDAKEKAEQDRMEIFAELEDLSEQLGLVDQKIDDNFHSLKSFTVEHDFYTKFVVTAETQFDLLRDVVKFRTGESVDLYKKCYEDNHPIFSVVEISKRLSHHNVTNPIVSAMRADPLRTKETFQKWTTCIHNLYTTMHISYLFYEGLNGRNATENDQVLDKLTEAMERLNKFLASYENDYWPHAVERAISQTAESDEKLSNLAKSRKLKHQLEKIMTK >PPA01147 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:959638:960700:-1 gene:PPA01147 transcript:PPA01147 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKCLLLVFIVAVASATSLQEPKKGCALGSILVDGFCKDCLPSEWPENDKCVACSRAQLKVERDCAHCGFGKKPTENGDKKCQKIFTSN >PPA01216 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1237038:1250841:-1 gene:PPA01216 transcript:PPA01216 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVTDFGEFRKHLDEKCILLAPFCGRPDCEDAVKRDSQRDDLVDVAAGSALMGAKTLCIPIEQPSDPLGSKCIHPDCKEAPKAFALFGRIPSSRRVVGRSEMGATSGSLVWLLLLAALAAAPAAAIVNEMREVQVVVDLPFAPSGWCIRLLNGTHQVGCGSSMNGNEGVIVVARTRDEIEGARDGWKERFPDYTGGFMLLMDELLLDGSTAPLLLSSSRVAGVLLMPSREGETIGRDTALSAEAACLYSDWRACGSKPASASNVKAQWNGRGAMMEQGMRFTDWPKPMIRVLNETVLATMIKCHDAYNVPGAKVDVEGRLCAVGTVKTFELAAGSSEQCMRRQKSSLLAELGMPPRALCDALQDMNVFGVYPPGVANKTGTGAYLAVVTRMDGVSVVPDSAPATYGTMTALVTALTAAKVIGDNLDTFEAAAKTSNRRLLFAFLHGESFDYVGSARWVHDMEAGVFPPRRKPADANEDVPEQITMGKIALAVELQQLDGGSSVLHAHVDGKNYDADEKGKGKIKKALEKMRSGMAGDRFELKEDRVNANGVLPPSSLHSILKKRRQTPAVLVTSFDEQYSNRRFHSSHDRVMGGKEEVDRVKKSMAAVARGVVALMADHVGIDEATQKKLKIDQDWLDMLSSCFIATTKVPDCAYLKALFPKTDKLFDRSTFISAESASTVRQVLLQLLVLATGEHSSTSNVRDRESCKHVNEKQAVSYHAEFVLKRECESMATGFTRFAKYP >PPA01075 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:610453:613246:1 gene:PPA01075 transcript:PPA01075 gene_biotype:protein_coding transcript_biotype:protein_coding MGARACPGKAYNPTPIEHVANTLSHGVGIFVSLFYMSAMLDASHRTLQWYIALVYGTCTTLLFLMSSVYHYYEMLYRDAKLRPTLRYYLHITDRTAIYLFIAASYTPWLTLRHCGLLGLNAKWATWTAAISGMLYQIAFHERYKRLELAIYIVVAAAPAAAVLTMNDWTGLDWMAVGGLIYLVGVVFFKLDGVVPFAHAIWHLHVLAAAALHSHTVLTVLLGPDPNNPVPIID >PPA01107 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:761512:761795:-1 gene:PPA01107 transcript:PPA01107 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCWAELPSARPTFSKLRKRLGKLLEEVNQDDYYLKLNAQANYYVFESDEYAAGLTIILTY >PPA01094 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:703637:706642:1 gene:PPA01094 transcript:PPA01094 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPWLVHACEKTNLCLGVFLNGFLMYLVGRHSHVGFGIYRNLLMSFATYDMFLSMLHMFMNPKTINVGTTFSVVSYSPIEHRYFSSIFCASFTVSFSIVNIHFLYRYWTVSKPAMLEYFKNFRFIIVLLLYIAADELSCYFRYTHLTVPAGTAGAEAVKAVFREKFNDTVEGGWLIVDYYQDGNGVDPLAFSFFLSGVAKMLLSASTAALLAGLTYAKILEARDKSFNFQAIQLAFLKTVIAQSAVPILFVYIPYFVVIFMPLMGMESSEIASYFPLMTSFFPALDAIVIITMIRDYREAAMRIFCCGRGKTEPLGLDRTGWSSNSDAQSQATLVTTVGTSASESANRDKYRDKY >PPA01042 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:382354:393340:1 gene:PPA01042 transcript:PPA01042 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFVGDTISRNSNLLSHFPDSFGYVRNSLCKYWRNADICGDVMTTRRKSSAAGGGDAKASAGSSSGLPRAGGSNQPSTAVGILLGIASVYLSIPARQKAKFYIVWIAVLSLVSAFYDFDTAHSYWTQKHNIFNQYGVKIGWFWTTACVGPFIWWASRGASPDKDRSLWDMCRLVTATGLWYLTVQVFHRILYATSRCDVGGLTVGRSECSVKGGKWLLGLDISGHCFLMVFSILMISSEAPSLRAFLKTAKDRPEHARLYTQLVNGAFLAMAGLHLFWDFQLIISCLYYHIIFDKVAGAATAVGCWALIYRILAPRGILPLPVGTEKKPATAATIAGHKHKSLVVSSFSPKVMTPNVPPSSGPPLEVMPPNVRPRPMKMPEGYEQPLEVIILNDPSPGVMPFGYKRWVPDPIEEPPSAVSYDISQAANALYPTLRVANPSVPDPWLDHWDESWLDENDNFTPVVPKIGLPAPFDIIEDPWCDLTFLRKMAIFKDMYIPSWEDLADSGYGPAIEALQAAEFEAQRKTERREENIYRKMMMKLHPELVWCRQKKKGRTARRRRWAREERSERKWQEEMKRMPRRPRRRIESSEITPAYDEQVMKHELPRRWKTSFWMMSFVDDGRDYKYAIWDKTKETFYPAEPKSKRELGYELLEFMPVVPRLSKRSNSICGLDREGFAHSATIRNDALALRRTKSETTLDKMESSHWNLLKDEVREEYEADCDLFVQLVDAEIQDEIDRFGLEYFYAF >PPA01117 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:801928:802939:-1 gene:PPA01117 transcript:PPA01117 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQPARLRPFIDRPRPSLRVRLPATRHVVSGMDGLASNYASPRIEWDATALPICVSSAPFKRALLPSPPLFPLRAVEVIPCRNNLMYYMTKCTNVSSINNDNLIIRFKH >PPA01006 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:177352:178866:1 gene:PPA01006 transcript:PPA01006 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLPKKDCTTISVVPEPTGQVAPSREAGGDVLRGSTARETGNGQCTVMNHEELFAGGFPFTDKSHAGLFPDTKIEVSTPICISYLPAHGSQPGFPTLFTPPSSASVHTELLPPQNRDHEEYNENKNFLLSCSVYAKGIQ >PPA01125 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:840242:844217:-1 gene:PPA01125 transcript:PPA01125 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKSRSILEKMMLDVGPHSYHSDLTMTQSKQCDAQEQDSLSGKCFRKIDPDTFGKAKKTCRKHKSSTLPVVDNDQDNQYLSSNYFSNVSSQMTRELGSITRLPVEDGLSEAQMRFASSTGSLCSRDFAVTVSGSKRGSCVKYSEWEPSGLDDKRAVICVREADSDFTPYNPSTEDPEPETTVAPPEEPTTKKRKTRKPTKKPVVIEEPDEPEGEDADDIDSKFLINVNCHFNNEKEVHAYCADKKCIEAIENNGIGYHSERGITLLGSVIKASSIDKVRKMCPHGSKSFKELSFELQGDRYVHNFNGRGLKQGWVSTRRGACGSNLAIKRWTSKLSNDLKYGSDMEWNMWYRGRVQDGGAAQFYMWQKSERPLSEHLWMIKCHFNYAKELHAYCAEENSQCMSAIQNNGIGYATDRGVTPLGQAIRLNSIEAVKKRCPLGAPDLRLLSFELQGKKYVHNFNEVGIPQGYVSIVPNSCGATKAIRRWTSSKIPEDLKYGEDMEWNIWYKGRVQDTYKNNEGYKHFYMW >PPA01103 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:744632:747523:-1 gene:PPA01103 transcript:PPA01103 gene_biotype:protein_coding transcript_biotype:protein_coding MKILGKGAFGSVFVVKILACVTQSEPIVLITERCAYGDLLYFMRQTCYLGSNKVIEWLDRIIAMSNYWPSNRPTGANLRKRLGVLLEDAQENGGLTLPTLSSILSSQYKRSRSRRETSKLSSILRS >PPA01001 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:127731:132148:-1 gene:PPA01001 transcript:PPA01001 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRLQLLLLLLVAASTTTATRHVPPRRSCRDHYLAGHVENGVYPIKLHKTHTANVACRMPYSGAPQAVVTTVIRSALERGLQVTDARTVQWQLDDFTILRDVIERSTSCKQTIHVNRTAPGEGQTRPGVTLHSILGETRYISGEQEDGSIELFGSAAGILHVVPDDPDYELNPYIVVFLLFCLNLYKAGELICEEFVRKYDECLIDGGVLIDTTTSAHAHFRFAFRTDASNQPLLTAHHEGGARTVVELTDDFFLDLGDGTDPLLVGHVSDGKWHTAQIALDGTHFSLDGGGKIALPAHGPVKQVSIRINGWIMLTDPMDEAEGCIDSKRISKDPQPSHTRPFCAGASPCECTMLDEHFSLLPSTTCVHEEAVASEFTLIRHPDLLSFLMLPDALISPSSSSHEEERRKRGERSIQGTPSLALSLTFKSDSDSGLLLFGEWRNITWGRVQVHYHGEKLTAVHCTQQDENSIEICRGCSIDRTNGYGTDTWTRVTTFTARDGQLFLTVDAEICELTEEGDYDTAGP >PPA00993 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:110514:112397:1 gene:PPA00993 transcript:PPA00993 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKRKDCANLSDAERFRREIANLFNNKTSTIEEQACVYAALVLQDDDDKFFSHSAEQKIKAAGGTCVLAAKGTITCVLFLI >PPA01054 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:471351:473612:1 gene:PPA01054 transcript:PPA01054 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLSTMVHSVQSNHWITIPYPHNTLPKDQEARGRRETSQENELAQAEKIVTDLMSEIRNITEKLKKIEEEASEANMEYEKTKADVDEKEKVAADWEDAEKARLKWESADKAFKRANASLNEAIENLKVANETLKAAEEKLNKVKGEPELLRKLTDEFKPLDAEHKELKKTINYWEDELPLLQKAMTDAETKVSTYEAEVFRQGCKGKESKDPCERMRRFLEIRINDRNTAQKAYGDALADNKAKTERLAVCEPEWNRLKAEIKRLKANVAEPRHGTNLLKAQSDVNSATSEQELLLEEFKNALKTRDEAAEKKQLAKQSYDDKASKVPADGTEVGNAFQDAKSKFDDANLMKKIVDEDVNMLKTRLEEKNIELVQANAKVDALKQSKTDEPKTGCKYAELKPTGNTG >PPA01037 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:342480:345181:1 gene:PPA01037 transcript:PPA01037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3DUF6] MATPLAAMILIAVSRVMNIPYGTLKQTMVAVREILKTEKMLVTCGAPAVIFGDVHGQVGDLTRLLTLVAWDAANPSRKLDLNGERFVFCGDYVDRGNRQVEVLELLFCLKIMYPNNVFLVRGNHETRDINMRHGFRDELISRYGEDEGKKLYEMYNEVFAHLPIACVIGGQILCVHGGISPLLTKLEDINNIPKPLYNIADSQLAQDILWADPMIGLTGTKPNNVRGISNYFGEDTLDAALKQLGLSMVVCGHRIPRNGVAAFANKLKLVTVFTATNAGSASRKNSGAALRVFKDFTIQALIIHKYYSAAIHGVTHKENNEKDDDYAVHKEDELPELNMFDTIYPVPR >PPA00975 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:9446:11155:1 gene:PPA00975 transcript:PPA00975 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAADAAAAAASLQAMQQRTQCPVCMDQFSNSAAGQARSLLCSHLVCSGCITRLTQRDKIVCPVCREHIDVRDHPPQIVREVGFFAEELGRVLRLQQPQQPDGSANPRPSRPSAQAELQQSQQQPQAQPRRDRVPDQRPPPQPASDTHRPPPRPQQQQQQQPQQARPHQADWQAQLADRMREMHWRIDDGRRVQDEAMKAGRRAYQEGMRRYDEGMRRLTATAAAVKASMTRAAPTALGPIDTNAPCNLNAGSIFLS >PPA01081 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:633420:634459:1 gene:PPA01081 transcript:PPA01081 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGEIKVNFGYVDDITKPGLNRFGVRLTSKSEKHIAYEVPSPFSLMESGSYLSLKDGISSLEDSSTQKTPAHARLRIRPTVGLNLRATPSKFRTLKNEDYKELAPGILKPGEIIELDRFLPVDADVDQDCCHLLYREVQASEKDAKAAMRKEGYYDGELIVFKKH >PPA01193 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1164677:1166524:-1 gene:PPA01193 transcript:PPA01193 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCARVEVGFGCFRSLDVGWLPYPDSRPTFAVLKENLYKYCKAPWHFVYDVDLNSEMELDNCSQLGLIEQILDETGFEDPQNYFDSEPNTPGCANTSDMFNPMGVRRMDSLGSQRYAQDPTDRRKEIGMADDNYLVPNSQATEIGATMYTPVVVDESGNSSLVESLGYYNEVKPGAEYINDTQPLKKPLRLVNFKIIEPILRCNRKTA >PPA01079 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:625421:628503:-1 gene:PPA01079 transcript:PPA01079 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cuti-1 MGHDSSPNKAECLPPNFVYSADDKFYYGPATCEFLHYRSAAVLGAVIEFLMMGGSTFIAYYMYASLESLEFFVVISMGAFFVVSTIMSVLMVIGLVQENPAYLRPKIAIQEIEIGTLIFFASFAVIAMCIGLDATNYIFGFVLK >PPA01074 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:602061:609808:1 gene:PPA01074 transcript:PPA01074 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSGAQAPNATELRLAARRAIAALRKAPRATAERALAAGENDENEENKPALEQLALYHLRQIAMELEDEVRSYLSTGRVRPSGVASFLLPEGKDDGGKRKEALEELMEMERVAATQRSGALRALEQQPQPRLPRPRASVGADPRLNETFVVGEEGAPAALDIPRIANFDGIREYSEKVSARDNRPPFPAAAGKRVIIVEEEDMGNPWATADGEEEVVRISGRDEEAMDDDQVARVVKELRAELVADRSFVATFRSGDMASTAREIERRLMQRRGEGSSFDIEPSRAEAEGEEEDARRDQRSKDQRSATRSAPKQHSMLPLLESTPLKARRQGMSQSHADSGSARRRILEEPPELVTIGVGTSARDAPGGYGSQARPHHQLHSTGVQCELEDPSTMSSSHSPGVVPTLSSDESTSFGQVPERLLRQLQQLQPKAAPRAAFREPTMPSSVSSPDTLMLQLLQAAEPTPPTAAPRTTAPRADARFRAPDSIVSSSSAEDVRVPRAARSDDAPSPDSLERWLKEQREAEPRAAPPPPPPRHSRMYPSSSEEQYREDDEADNTLENLDSIREE >PPA01177 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:1085617:1087504:-1 gene:PPA01177 transcript:PPA01177 gene_biotype:protein_coding transcript_biotype:protein_coding MREASNRSPQEELSKYWSAIKEQTCASCGYPAAKKCVYQWSIKAIRRSTTGTGRMRHLKKIQHRFKRTTGTGRMRHLKKIQHRFKNGFREGTVAVSQKKRTQSAASK >PPA01129 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:853307:854879:-1 gene:PPA01129 transcript:PPA01129 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPRLKKCFLCLESAPQTRRFPQSSKPDEQLEWLLRQNRDEEGFQQLLNRHRTVKEPRWSRQMQGQ >PPA01244 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1445166:1445946:-1 gene:PPA01244 transcript:PPA01244 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRESAFRSRCEAIPRVPSDIFSTLFEKAAAIAEQIKVTDFSFTQAIHEDAFMLASTVQPVMQQPPFRQQQFGQPIGFAPPPQARLAFSYGYEVASKQRSNGDVLQGTPFAPPAAPPTAPAAPATPASLEAPAPAAAAPAPVEAGTETVTQTGAETGTTGV >PPA01138 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:911447:917880:1 gene:PPA01138 transcript:PPA01138 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVVSQEPALFHGTIAENIGLGRNLSDEEIKKAAKTANAHEFVMALEKGYDTVLGPSGVALSGGQKQRIAIARAIVSDPPILLLDEATSALDSKSERIVQAALQRASAGRTTVVIAHRLSTIRDVSRVYVIKDGRVVEEGGYEDLRIQSDGLFAAMLNAQDVGSSEEKEIEEEESKEHLIDKELNSERYKCLLWKQVLASCFRGTELIALVFAWNLIYRTLDEDDYYPIMLLSNGFQIGIGVSMWVAIVLTAVDYRASILYENVFATIVPIIICFFYSWVNGIICIFVAVTFIGYFLGFDRLSQSANDELESTDNSAEQAVEIFASIKTIQILAVEEYFIERIQRILESRKNLQDSRAATKKVFSLMDPDLDSRREGEEPALSGSLTVENVSFAYPSRPAHTVANDLSFRIKKGESLALVGPSGGGKSTVVALLERFYEPTKGHIELDSNPISRMSYRHLRSNIALVGQEPVLFRGTIRENIVMGCGDSSFDNVIEACRLANAANFIEQFPLGYDTVVGDKGSSLSGGQKQRIAIARALIRNPKIILLDEATSALDTQSEQVVRKALEATAIGRTSITIAHRLDTIANCDRICFIESGRIVESGTHEELLQAGGKYAALIREQKLS >PPA01154 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:986197:988217:1 gene:PPA01154 transcript:PPA01154 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFTYARGMKNEEPTYCPERAEAILKRVRQSEAEATAAPQFIVTPPPSSSQPEAIPPSFPIDEAGPTFEPTTPATPDISDLGREGPKKELGKCGTAEKNYQPCTTRGIADKLFKACCDLYVPKECHSMCTYETDQEMTRNMLIDLVTKQQCSLKHLSTILFCASQNRDNRKCCASLDLNAPQLQVGSRCLRLCDPSGTAMERVTKDDVTCLYNWNVVMYCHHAGIREM >PPA01165 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1034840:1035313:1 gene:PPA01165 transcript:PPA01165 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIVLAVFAAQAANIVIVIHPPPAWSHSRVLHTIILEEIRDDHFRHNALNLPYISEI >PPA01112 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:791446:792239:-1 gene:PPA01112 transcript:PPA01112 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEPAAINQLQTIADLVQEIHESVKEKTKSSKKRSCISGIVNVSIVNGEAMNDYHIGNAPKPAEIAQNMRELRDNLAPLREQQEKQLIVVLFYCRRKGDSFVFPYVIDNLKT >PPA01026 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig10:268396:268727:-1 gene:PPA01026 transcript:PPA01026 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLGRLAAGSGTGSDNECPLTSSVSRRGRGTFHRPDDDRTLHWEHLDTNKGS >PPA01160 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1018495:1021828:-1 gene:PPA01160 transcript:PPA01160 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRQRTDNNNSNNRKNGCRDTLIVCSSSEIVEFGPIFAAIQKKNEEGYETGNVALAIEFYDAHAVSVDLLNNKHYYGLEEIKPMVDAFIKLGTSEFKTPRKVFHEIGNDRFLVDLDFETTLAATGRKGRRSSSRPIVALKDSCINSKEATEKSNLTIEFGSIFDAIQKRNIEGYNSRNAAFAAEMYDDQAVVVDKTNNKHFYGMKQIISMVEGFIKLGKIDFKTPRRVFYDVGTDRFLVDLDFETTILSSGVVMKDLEKVYDAISAKKLKAFDECDVDGCAEMYAPHAVIVNKLMDKSAHGIEEIKTLMKFYFEHGPYTDFKFPRKEIYGMGSDRFYVNCSYIGTTMKATLEGTVEQLFEKIGGEWKCVYES >PPA01209 pep:known supercontig:P_pacificus-5.0:Ppa_Contig10:1214697:1215117:-1 gene:PPA01209 transcript:PPA01209 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYLVVLLLCNFVVIQIGATYVPQCDEALSKASCVACTEDVVTKERHTAVMTEINLTNDFTVMQ >PPA01291 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:138385:138855:-1 gene:PPA01291 transcript:PPA01291 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVSSLAALNETNPWWWSCASDPPAFGYCVSPKRQQAAFAALRSTMTLLKFLRE >PPA01275 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:59780:65789:1 gene:PPA01275 transcript:PPA01275 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTFGDSMEDMMKMLMCNYASHFGRELDYLSSQSAVTVNLGRDVAPRMNDAAIPIVADTWKFLPTTIEECKKYRLEEKKEIVERFNGHVKAFKEWKENAENKNRIDDASIVTALQNLDLQYEQNVEELELTSEYVADTINKAVRDMEKISDLTKRHYTSMANLHHQFSMEYDDLCIDAGLPSHAREIYADVQEALSVQMRSALYQCAAYFGHEVDYLQSRCGQPVHLKKEFMSTIEHLLMPTLDQTWNPVLSKCKRHQEIFEEWKEQLKEKTEKAVAMNEADQTWINNTIQEERKGEKISGTVKECHERLKIFRDKVGEWAQNVLIVYNDACKELIDICTEMKIYGNGLYSLSLILANANNWLIRFKISTRNLAPGSVFKVELPGTFFGKVETVTVAYKKFENSDVARGTNEILTLSRLDHRNVVRFMGRGIEGDERYVLMEYCSRSLACEIIECRKNESFLEQSNFVKWGAELIAALSILIGKENEIKLADFGISPPIHTYSMELIVKEQFLKGTLRYMAPELHNGEELDGVDRIKCDVWSFGICLWEMMTCHTPYGNLTDPALPHHIGKRCWNSNLDDRPPMSLVAEQFQLVEKEINEELKEDPEGYWRNECTRWIEAGRE >PPA01348 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:473996:476454:-1 gene:PPA01348 transcript:PPA01348 gene_biotype:protein_coding transcript_biotype:protein_coding MILADAGFDVFLLNVRGTFDSQRHTKLRSNDGEFWKFTMDDMARYDAPAAIDKALSLNGASALYLIGHSRGTFVSNMMLAERPEYNKKVKALFELAPAGTGHGVRGLYGTVVSFVLRIMPLLNMYKTFLGSHELGFHYPWLIGDALCSLTLSLSIGPPASSFNWSRAPVYYAHVGISISSWDLLELAQNALKNTVHHFDVSPSENMKRYGQFTAPAYNYSLIDTDVYLLWSRNDVLSPPEGIEKWYRDHTRTGVLKAAIEIPEYNHMDFVMATDLKERMMAKIIGIITSKEDSSSCVKS >PPA01276 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:66622:70589:1 gene:PPA01276 transcript:PPA01276 gene_biotype:protein_coding transcript_biotype:protein_coding MLWTLLLTGGAAYALYYTLLPDPEKVLQVYSQPGKWYWLKFQLIRFLIARKQSKTANETDKVDDLMNTEFGGAGFRSIENLESKHTFPADKESPAEAVFFDASNKDGYYFTIGTAQRKDNIINLFSLLRIPGKGTFMAPEMLWNTNVEVKIAQGPLEVGSDMFSMSPRLDR >PPA01293 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:142878:144256:-1 gene:PPA01293 transcript:PPA01293 gene_biotype:protein_coding transcript_biotype:protein_coding MQLETCAAVSSFRTLSRLSDRACPTGVDESESIRVKTGRLDVGRRDGTMAGRSLLALSDNSAVQSLVMFGVVTYFALFIYRHQKIAPPDSLFKSKIQPENKFKEDARSWHSPQG >PPA01303 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:177259:179141:-1 gene:PPA01303 transcript:PPA01303 gene_biotype:protein_coding transcript_biotype:protein_coding MLAILSFIVMVTLAKADNLLSVQVLWRHGLRTPIDTYTNDPYDDAFFGHGMEQHFTRGQQLRKMYVDDLKFVSPKYSRFEVSDIRPLFGLPESLGFRAVQSCRLLFG >PPA01320 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:345740:347004:-1 gene:PPA01320 transcript:PPA01320 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWRISTSCGRDITASTRLRRDMVTMTHSQVLDILTNVQEPRCEYGNLRGVRVDLDTMEKAGVWYLISVFDKLPHDTFALILRVIKVESSCYPTKATYL >PPA01264 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:17665:18848:1 gene:PPA01264 transcript:PPA01264 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYAANVLNGLFVGLIDDVFGGGDDLFRGERRREELVGSENKGTGLCTMGDTLQQRMNGKKTRNEEGGWREERRSEKRKEYNWIDYGKFYIF >PPA01318 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:329670:331417:1 gene:PPA01318 transcript:PPA01318 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIEEDMADLKRLVASMAQLLKNQSESKTVADERSNELSIASLNAIESRIQEFVYSPEDGATFEKWWNRHEDIFMIDLKELDELKKVKAKMLTLFGDNTSIFDRRRTMLDLKMSKENIDDVRVLAARVNLTVENAQVNDATINEWKVLTFLHSLDLPRYSDVHLKMMQTAKQKGKDCTLDDLLSVFNDMSQLKKDSSSITDSRRNVNYVDKKRDNQQNKGFGNKSQNRQEKPKYTHNEPCAGCGSKSHARAECSFKESNCNKCGKKGHIARVCKSKKTHTVSVATIATSDYHIQLRLNGYTSSIKIDTGADITIISETMWRSIGEPKCSTADCTATRSVQATGDVYVTPKNINLLGKNFIKMLNLVEIREPGPRIHEVTTPLSTSIEYTEWVKKEYPDVTASGLGRCTEMTASLQLKPDAKPIFVKARPVPYALTEKVETELNRLEKSGVIEKVEYSTWAAPILTVSKPNGSIRMYLSTRRLRNYL >PPA01341 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:454493:455135:1 gene:PPA01341 transcript:PPA01341 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLPLLLLIGVAALIEGTQNISVKGIIVCEKRRKESQLVDLWAKDSVDPTDLLASVHTDEEGNFALKGSKAGEIDPIVRVAHNCKAKPE >PPA01310 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:258707:263955:1 gene:PPA01310 transcript:PPA01310 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSQSAANRSQRGTPGPSDTLRSKKSESFVDKLAGTLTRKKKPQPEGERDEENEALEIEQEGRDALEACIIPTLAKDVRLDEGEERRFLTAEAAHDPRFLEVIRLLIHWLNDELASERIVIKHIQEDLYDGQVLQKLLEKLQNIKIEVPEVSQSEEGQRQKLQMVVQTANRLLQGGAQHEQPKWTAEQIHGKALGDFSEHEYLKDDAENRQGFYGGC >PPA01296 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:155300:157819:1 gene:PPA01296 transcript:PPA01296 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKGQLEGIAVLRYAISAVWLLVDILVQVLGDPFLLFPTSCVYHRVQTNAQLTSLAGFSECAPIASTLRYIASKTFAQIRDAKNISPTMQRLHRVLTWSLIFQSLTPIFLILIPIATAAIAMFVLSHGESVLTKKRECRLLLHVKDQ >PPA01269 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:31910:35085:-1 gene:PPA01269 transcript:PPA01269 gene_biotype:protein_coding transcript_biotype:protein_coding MITRRNLKNGLKNLKRREWKEENKEEHAVMIKDGLDHLKLFRDSIATAAQKSLMYLQGAYRDATVFKCNLPGSLFGKPQDMVTVVCKKFECSDISKGTDEVLTLSKLNHPNVVEFM >PPA01314 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:300093:303278:1 gene:PPA01314 transcript:PPA01314 gene_biotype:protein_coding transcript_biotype:protein_coding MPVETIILFFFRQFLRALSYLHKNNVMHRDIKPSNILISYSNELKLCDFGQACVYQPEEKEKRYEEQVGTRSYRAPELLFSHLQYSPSIDWWSAGCLLGELINRRAVFQGRTDLEQIALVFASFGTPNARNWPEFKSYPDASKIMFDPIATPKQHIQALVPSCTCPALLSLLSSLLRLSPASRMAPGALLRHPAFSSLPRSLDYRIRRLVAGPASASDMLTLDSIFADMSL >PPA01295 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:149992:153639:-1 gene:PPA01295 transcript:PPA01295 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAAFLPILGAVTCLNHDRMEDVDNAALAFQNASSLRLTMRTMNMSCEGQKEFSVPAKLVMQLDVVEAYPKRKFQVAAACCRSCRVAASSSYDSNNDTCSSYECKYITQSPSERDCIQTVFIRKIHKSLLHL >PPA01263 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:12594:17358:-1 gene:PPA01263 transcript:PPA01263 gene_biotype:protein_coding transcript_biotype:protein_coding MASWGYQSGASSRDETTQVLSFRVYIHRRNKFIHCEHVIDSVVACLSICDHAGEVVDGRHHFVDGHFSIAVALPLRRHLRGHILLRERKEDDPSPGGLLILKLKNFLILTFEIRSVSDCQALFRTIEKLSNRRGFHHESPFYCRVPFSMTVCQSFPEKLIVPKGIGDDYLGRTAEFREGRSSPTINRPASLRPVADNAPWSTSGWSDESSMQRTPVSRVGHRERADTVLTVIGGGERYFNMQEMSVLPRHYEPMTPPHGYSPQLNYASVEGADTVGELQSRSNSVGSRVESGLPLGRGDRLKLSPAPLAAFIELIFFSMLGVMRKKKCFLCGEEMIQARNFPPLSKPLQRKEWILRQDRDDEGTRALIEKHDAIKDPRWCVRHFADPSDSLPIDIIADSYHIPKSPTILGSKRDQPWLSPLALEEEYSWNDIDIDYTLSKKFKKEGTEYRYSQDSQLEEEDIEIEGEEMENESSTEMGKYAIVEDSS >PPA01271 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:45481:47921:-1 gene:PPA01271 transcript:PPA01271 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSHLETLPEELLFEVIDYVSKTLNASVDAYASNSRSINMVERLDVDQGVDNEENPAAVWIKYKLKFKPSDDPEFDVIRTIIDDCNVGTLFLKFHTNETSDPTRVLLDLASRVHSMRITQERIPGKRGDDWPKHMFGVRNLDWASIIPRMFAEERRLEKLEFASHYAAEFLSEEAQAALRASLPQLDKKLWFVSSRLPQGEASKYVENGFTVETIQFT >PPA01286 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:101710:108883:1 gene:PPA01286 transcript:PPA01286 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYDTVLFLTREPMRKASILNENLQRFVNLIWLSSRDKAAISVNDDNAARASLISNVMFPLISMALCGVIVPLWMYGSSTPLALPVVLSFAGSAVIEALAEPFCVISLRFSLDKHFAVSQGLLIALKRVFIFALILDTPIDHLTLFSVAQIVAAVAFTLFNYAAFYRYAVSGKAELASFRSYRDFFPRLEEGFDAGSIKAVSTLLAHSVLKQLLTDGSAFVMTFTRLLTLPQQAVYDSIERLGSLVSRLLLAPLEESSFAYFSNAISSAKNSVFKQNTDSHDVLVRTFTALMRDLIVCGLVVSAFGVPYSGVAVSIYGGQVLVANGGASLLATYAVYILIIAINGVTEAFAFATMNVEQIFSHGGFLLVSSLVHLSLSYALCLQFGAIGFILANMANMSVRIVYSWRHINKYLGMKCPSVFALLPSFGTIVFMGFALMGGLFSLVLFGSTPGLSHTLSHLAVGGVLFVMLALHIWQAERPFVTLMKKTQ >PPA01342 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:456678:457351:1 gene:PPA01342 transcript:PPA01342 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLAFLLLIGVAALIEAKTQELTVKGIVACEKRRTDGVLVELWAKDSVDPADLLASVHSSNSGQFTLKGTKDEADEIYPILRFTHNCQAKPGCTRIQNYDVPMTYNNEVMMNDMG >PPA01283 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:92784:93530:-1 gene:PPA01283 transcript:PPA01283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3DV52] MAQSVPPGDIATQPGTKIVFNAPYDDKHTYHIKVTNSSARRIGWAFKTTNMKRLGVDPAAGVLDPKEAALIAVSCDAFAYGQEDTNNDRVTIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA01279 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:80229:81065:1 gene:PPA01279 transcript:PPA01279 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVCPRHMDRRLLMAIRVVRKNIARFDRDQTDGPRAVLCVALSPSTRLPSRYKRETKLLPTLIFIKSVVWKNLFNKEADKLERNNDDNKTLRRHI >PPA01312 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:283251:293618:-1 gene:PPA01312 transcript:PPA01312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-npp-20 MTTVVSRVETSHTDAINDAQLNYHGTRLATCSNDRSIKVFDVKPNGQSYPMAELSGHAGPVWKLSWAHPRFDSVLASAGYDKRVLLWQESGGRFSQLHEYGEHEASVNAVAFAPHQYGLVLATASSDGSFAVLTFDYDTKQWAVSRVTQAHDQGVNAISWAPAVNLGAFEGRDEAAPRRLVTAGNDKLVKIWSQSEPGAPWQLEKQLAGHTDFVRDVAWCPVVAHDTLSIASCGQDRALLLWRCRGTGDGEWSCKQLERAEGALWHVSWSQCGSILALSGEDNKIVYWKENLQREWIRTAGEDEQRQS >PPA01308 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:238649:253564:1 gene:PPA01308 transcript:PPA01308 gene_biotype:protein_coding transcript_biotype:protein_coding MYAYSASVPLPVPLASVPVAITIAIPVVALARPIPLSFALLVATIALLRARATAMTGPRRDNNKADSCFVKFENIAESELNGSHIRKVASDFDTILSSSSLLAGICALADARLLIDFESGMEVGARVQVGSDKATVRYIGQVDGVRGDWVGVEWDDPSRGKHDGTVKDRRHPTGGSLVRPNLVSLGEDLESAVSSRYGSDENADDFMLGTKRVEMVGLEKGRQRNLSALRVIVLDNMTVNGAVREGSDPLFPRCIEVNLYGNLLRRWRDVVDILAHMPRCEELVLSANFLEEIPSGSAVLEGVERHLSVLRVTLNRCRLDEGTIARCLGLFPRIEELYAASNGMCSFGRSFLLPSSLTLIDLEDNGIAAFEDIGALEELPNLAKLSLARCRLRSVRIAGPHAFPVLHTLNLKGNDISDWESIAQLRQLAALATLYVDFERFHAEFGMDPREVITAKLPSLRNLERCELSVIERRSAEIRYLNKYSALSEERKRGEQHVEDLERLEKEHGAPVLDNARAKQMAIVRISFVMGEKTLPERSLPTSLTIQKVLDMARKLFKLGREEQIVAALDQNGFLIDLEYVMRPLAFYEPQNGDLIRIHTYAREAAVRGGQRVDETGEEGGRDAAACMTWSEWEARKKEVGVEEKRGKSEAVLPPQCVNLSDDDEEEDERIQIPPRDLYAFMIQIGIRLKLKNRSVCTAILLMHQLLKKEVAKHICNYN >PPA01343 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:458216:459238:1 gene:PPA01343 transcript:PPA01343 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIALLLLAGAVVEAKVQNVEIKGAVLCDRKREEGVEIELWARDSQNPEDLLDNGRTDADGGFELSGTMDDAEAIEPFIRFTHKCNVEPLGCKRTSEYWVPEDKFNTTYDMDYIDLEVVDSGEKQEC >PPA01346 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:467277:468449:1 gene:PPA01346 transcript:PPA01346 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVALLLLVGAAAILEAKMQNVTVKGIAVCNKRRLANTVVELWDRDTLDPNDLLASVHTNSEGEFMLTGGEDEVGSIEPFVRFTHNCNAKPRIYRLNGCSRVADYDVPKDKINGEYDMT >PPA01265 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:19161:20733:-1 gene:PPA01265 transcript:PPA01265 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRAKGEVLHEYIVIGRKLPTEKEPVTPIYKMQTFASNAVIAKSRFWYFISMLRRLKKANGEILECKETCSGGSAVEPKCSSQSEDELPCLSGTQTENGQVTTQPKDKSIPSNFL >PPA01297 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:158601:161044:-1 gene:PPA01297 transcript:PPA01297 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRQLPLIAIYIHIIPIIHSVQCYQSYPGSGLHNQLLSNQHNVTTEKCTSGICSYTNDTSRRICQDFVQPPIFYREEHG >PPA01316 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:310342:311357:1 gene:PPA01316 transcript:PPA01316 gene_biotype:protein_coding transcript_biotype:protein_coding MMTIPFRLLFFQTCTIGCSFSVYFMSITNLNKPKDAFEQLEDEEGTKQGFCHIRIQQRTGRKTITTVQFFGMKARSKRTT >PPA01282 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:92452:92698:1 gene:PPA01282 transcript:PPA01282 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSEWNCNPIKFEQVNSSKAALLSEQGNTWKVAESRSCSQFKDDRLIIFREFYHS >PPA01299 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:165683:166919:-1 gene:PPA01299 transcript:PPA01299 gene_biotype:protein_coding transcript_biotype:protein_coding MCGNPEGCMLYEFEHTSMEDGPKLDFMNACISKQSRPDITLYSRYLNDLPFPFTVAAQLLKGISGRSMILDEVPIDDDLRLSTTH >PPA01351 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:483820:485660:-1 gene:PPA01351 transcript:PPA01351 gene_biotype:protein_coding transcript_biotype:protein_coding MILLGALLLLSVHSLAADDAAADVKKPFLMPEMERLTKILNPGLVKYPEFYADFHTESARLNITVQNYAKTYLFFEMKLSPKEANVLATPSALTAVELETADFVQYLDDLLMSQFASEIVHRFERGAHRSAELHQQAPIFYGVYTHSFPGEGGLVEIFTETLGLNIDSLLLNNEYLSHSSVPDIKKKFSEGAEKINMVPQDYAKICAETIAVMNLPSDAKADFDLTCADLMGDGWGKHVLNSTQELEDMLEGTIIGDLSKRRWGFIKFIISLRRLSDANADYRKALLSANNQFANNDEVVGFPKLFSNETIAQLQTDSGILRELEQVFCLRSVLESVFEKKIDFENLDSLRNL >PPA01287 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:112265:113113:-1 gene:PPA01287 transcript:PPA01287 gene_biotype:protein_coding transcript_biotype:protein_coding MHYSNYFKKYTPRQPDDRLRDLERFIGIHLGAKQDVRFCEHCDVPQKSRLDMLMHLKLCNHRVFDEFVAGYTLLRLECARSPDPAVDHLIKMVNKAINNN >PPA01347 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:468919:470120:-1 gene:PPA01347 transcript:PPA01347 gene_biotype:protein_coding transcript_biotype:protein_coding MREECSWQQTSIKKDDLLESFTTEAQGHFFIVGYETQISKIKPYIRIKHECGATAGCHKVTEIAIDQMWVGKQKDLAFVYLDKDSTGKTDEVMTVREECPPRNRALV >PPA01300 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:169099:170063:-1 gene:PPA01300 transcript:PPA01300 gene_biotype:protein_coding transcript_biotype:protein_coding MGARASKFKKEGKVQHLDSGNDSSTQNESTSKLSPEETVDVVDSEIGQSTTSHLEPRVQFPLTSMPQELMWQILDWVPEAFPHLRATSRGMQQCADAYAAHSSTFPLVVNCDWRKRKFSTIP >PPA01329 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:380560:381800:-1 gene:PPA01329 transcript:PPA01329 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAKFDAKVTVTKDKMDLLVGLDFLRRHRCTIDLGRNRLSFTETTYAEFLSDAEIKAWTGGRDMDTHHSFKVDDAKLAELIGMGFNKEDAEKALAEAINDVPDAVQRLYAQAHDDAKKIAEAEEAAKSEAAAAAGSGAAAATAKDAASTEKKEE >PPA01262 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:10086:12172:1 gene:PPA01262 transcript:PPA01262 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYIPIDNIPAVQKLMFSTPTPAEGDPLRDIRVRAYVPSNMAGLFELRVNRLIAIEGESMYSLTCASVEMARFLARDVIGTHTSPDTAFARLEEVIFDNRGVNDARISKILRGRTFAKLCMFADDLQDGHIKYMKRLVRRHSVQELILSVMDAGLADPIRNTGRRLCILNTISPEEHSASWPHAYAPSTSNSLIDTAINECYHSLSLMYAAKRFACSPHYYKLKMRVSMMHYNSLMLDDILGRRKEIGNTMITRKGRIVIAIKRKRSPGTHTWREEVMEESIKAREETSAMRQLRLIGIPEDDVFDEMKNWWEEQNRMALESGYELEPGEEMGEDEEWDEYDDEPSHEY >PPA01319 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:337302:344793:-1 gene:PPA01319 transcript:PPA01319 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKSELDVDPPFPYLRAIPGEPKQCYTLVFGVHGWERRNSKGESDWTYEMHHRDSNNRSSTLMMRFLFFNSHVGVLYSCYAYGVDGKCIEDGLYVISRHISIDHAELTVLETVSRAVCMDPAMLYHTASHDFCVYDPTKVKLPACAVIEKVNEEMFFEADAEDLFWSSPNSTLDEIWEKAVNASTDEPLPPLLTPDTLLDDGVYYAIASLNPETKPVALMMNNSRFVKIFDTESGCGYAPVGSVRTLTTFPDVALVGDQLLLLSRHPYPPNAELRRRKGQRSAAMPCLTLIDRSTPSKCAILTPPQCTTSQPIRIAEQLHGEWQLYSSDPYVSANARCTVTSPAMDQFQFECYSESWRGECERGVITRIRADAWGGYETIPPKATEMNGDDDASPTANDEIPVRVPSELFERGNITVTTNYLLLVSEDALVGRRYSLWLRAGGGETTALAAAAAPRPLTRIHFGAPYTGLVSWIPNYNC >PPA01292 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:140498:141888:1 gene:PPA01292 transcript:PPA01292 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGCFGNASLNTLCTCDLYPNAVFIDQSAVSDNTNNAVVVALCTLKECEEHYHIEELYSKYSRRFDPVACYTSSNDICYGHLCYANKYNGTTTRGCVDYAYDTLWRQGKTQVFEHLVDDRRKGVQGSGYGHGTAQSSTISRYSCALLNGVILAVSILLKE >PPA01289 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:121825:122448:-1 gene:PPA01289 transcript:PPA01289 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKASKMNCSKKEWPKKKCKPKPSTGNDNERGITLSTLPPDIVRMMINMQGWHKRPQNKFKATRQISVESTGHRRQIGRGFSSDHHAYGRFPYARALFISTKCEKNVQISRMTGTDNNTMGQV >PPA01273 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:54656:55988:-1 gene:PPA01273 transcript:PPA01273 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDVSVYWIIMFDYSSRHPFDVLCRHSDRASTLLHKAIQDHKAHRLDLSLITNSVSNTKEALLEFATHVQSIRLNQRAVWDLDPAASHMFGVKDGDWPSIVLAMFAVPRKLDKLILDNHPYSDFLSAESENALRKELPKLSKKVWLESRRKHRSEEKLMKLDENGYRVRTLRNAFEVKHLEWEPYQHRRSQFILI >PPA01261 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:139:6006:-1 gene:PPA01261 transcript:PPA01261 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASCGTSAEADRTGAAEQQQQQPRRPIWSSAFGESTDIDSESFDPMVNSGTSLSQDSFDGFAVEFISSSKESAYTGLGDVQNGFGGTGDGGISAPPSTASAAFVAPTAQTDAVSTSIGFAETDRGSISCEMITPTPVLVGNGHVPSGETTVTSSTVSGPTVTSASGETTVTSSSSTTVSSGPTVTSAGGETFVSTVSSSSTTTVTSGPTVTSSSSEQTTVTSSSSTTVAEATVISSDSAVTTDGQQAPGSTFQMTLVEDGQEEHAVAPETPRDRAMSMHERSMLAHDLHSLASTMDTIKDENEEEQTCASYGYPAAKKRVYQWSVKAIRRRTTGTGRMRHLKKVQRRFK >PPA01306 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:214069:225168:-1 gene:PPA01306 transcript:PPA01306 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVNNIRPDRQTVLFSATFPRAMEALARSILDSPIEIEVGGKSIVCSDVEQHAMIVEEHQKFLKLLELLGKYYEEGRSIIFVDRQEKADEITSQLMTHGYTSCAPLHGGIDQFDRDSSINDFKEGTIRVLVATSVAARGLDVKELILVVNYDCPNHYEDYVHRVGRTGRAGNKGFAYTFVLPEHQEKMAGEICKAFETAGVTPPPELKEMFDKFKAEMEAQGKQVHLGGRGFDGSGYKYDDTEADNQASQRKMAKLLMGVENGLEDDDDEMDDQLNSLMRSKTRIIDATTAGAGDVVQMSKRVEAKVDEAKERAKQLASKIATAQVAASSEKKSNVALATEAIMKGTEPTEIKRSAADIARARAAQISEGLTHIANEGPPDLNAVYEEMQYFEEELDVNDFPQQVRYRICSRDVVGQICDLAEVGMSIKGTHCPAGKEPKEGERRLTILLEARDERQLKRAKDEILRIMKETIRQLAQQNRGGPQGRYKVT >PPA01281 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:89024:89511:-1 gene:PPA01281 transcript:PPA01281 gene_biotype:protein_coding transcript_biotype:protein_coding MQACGAEFCSELLIFIKESSVNFLSFGSWGHIIPGDPDAFADALVDSCSDAILTGMHRKVRFNQFLGLTNAQWMEKLAPFCAFFNEVLDPKTDNILDQTIFEIQWDKTRN >PPA01313 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:294746:297480:1 gene:PPA01313 transcript:PPA01313 gene_biotype:protein_coding transcript_biotype:protein_coding MIQWERDTFTELTVLPPIIELKQGFSHFPIIYYRCSDRRDSNRSAIVPRPAIDRLARTQSQPPTVSRRRFRTAVKPDEEIDRSSSSTYRITEPIGQGAFGFVVKATTGSTVAIKRVNLATRERAECELAILRACRHPNIVRFLDVCQSPSTMSIVMEFVQFNLTDLIAGLRRSSQEEVPIGTRV >PPA01272 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:48696:49918:1 gene:PPA01272 transcript:PPA01272 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNLLDLHANARLKIFGFLDDPTIWQMREMLHFTTRKVLIQYRTTAGPIDVQVGVYKVFTPQFGGRGNTRLIRIKHCEVE >PPA01284 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:94865:96925:-1 gene:PPA01284 transcript:PPA01284 gene_biotype:protein_coding transcript_biotype:protein_coding MELIVEKCVMEWSGTGVDSGPADKLVASEELSLGGMRFTTFDLGGHAQARRVWKDYFPAVDGIVFLVDAADNERLLEAKAELESLLRDEMVATAPIVVLGNKIDKPGAMSEEQLKWHLGVQHMCTGKGEIARGDLSTRPLEVYMCSVLRRQGYGEGIRWLGQYLD >PPA01330 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:381892:382847:-1 gene:PPA01330 transcript:PPA01330 gene_biotype:protein_coding transcript_biotype:protein_coding MVLERIRQEHCDRLYHEAMEKMPEAFFSVHMLYVRIKVNGVPTIAFIDSGAQISMMALSFVKKADLESKMDTRIRSVVNGIGGADRTEGTIYSCELGGATLQFFCRQSVIEIYS >PPA01294 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:144612:145462:1 gene:PPA01294 transcript:PPA01294 gene_biotype:protein_coding transcript_biotype:protein_coding MNACLLRGLAISIIFIPLACSLVQCIQAYEGMSASTPNTCNGSMCAITYLAGKLQVQTCISNVGMMGGCWGNTTNRIVCNPTGLCDSPTCMFANDTSRNICRNFNQPTSFY >PPA01274 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:57684:58497:-1 gene:PPA01274 transcript:PPA01274 gene_biotype:protein_coding transcript_biotype:protein_coding MECDFSLLELFPEELLFEVIDRAPQAVHALKLTSKLLKDRVDRYVSSPRKRRLVEMLMNRRYC >PPA01311 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:267243:271824:1 gene:PPA01311 transcript:PPA01311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pat-6 MSHVRSTFHSFFMSAIWKVINLLKHVSAGAIIAVKQNGQTLTRTVNEPLTTTQTELGLKSDPTRDYTVVTIFQGERDAFDTLFDYGPDKLAHVKTSLLAFCNKHLNKINLEVTDLESQFQDGVFLILLMGLLEGYFVPLHCFQLQVSSYEGKLRNVGFAFKLMQDAGLPKPRSRAADIANGEAEWTELRRGGVVG >PPA01305 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:205790:212258:1 gene:PPA01305 transcript:PPA01305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-jnk-1 MANGDAAPPGFHLISVGETTLCVLKRYENIRVIGSGAQGLVCAANDKVLGRTVAIKKLSRPFQNVTHAKRAYREFKLMKLVSHKNIIGLLNAFTPQKSLRDFSDLYIVMELMDANLCQVINMELDHERISYLLYQMLCGVRHLHASGIIHRDLKPSNIVVKSDCTLKILDFGLARTAIDSFLMTPYVVTRYYRAPEVILGMGYTETVDVWSIGCIFGEMVRGRVLFPGTDHIDQWTKVVEILGSPSTKFVNQLQTTVRNYVLNRPHYPPTPFEELFPTHIFPKAADNARLSASQARDLLSKMLVIDPAERITVDKALQHPYVNVWYDEAEVHAPPPKEYDATVDKNEHTVEKWKELIYQEVTDYEREYDVFGSDPTKKTPVIMHSRGVGPSNGS >PPA01321 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:347055:348215:1 gene:PPA01321 transcript:PPA01321 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLRNLVLSALVIGAVGFSTRTDEDSLQTAIFAGQLLCHGKTSPNVTVWGVEDDWKHEGDDYVNVTKSDKNGHFEAPRAVKTLKRCFHARKRERFRWTDRIRAFASERIRSALAEAKQGEVKT >PPA01288 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:114347:117559:-1 gene:PPA01288 transcript:PPA01288 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFECGACAASFSFSQDRFRHRKEASIKHSFFNHGEGRCAVCFRNYNGLFEFFDHFITAHEQKYKSIIRAERRRFGDTFKTAPAVLICDHFLDSGSRGEVMYSSIRQKSGKTIPISAGRDGQQTLRSGKIEETIKSSEACSKSSLGAEIPAQPLEGSAKTQTQQPTNDAGAMDARCAASDTSSLRISFGLDCIAAAVKFQEHANSPDTSPVHSSTSSTSKGHAEVTYSYSSFNPLSKDYSQVVGIKVPPLRLRLARTSGDHPNIVTGTGSKQLFQMQSVVPDSPSSLSSSSGAQLQIKPEQQPRASQQQKLAGAPPHAPSSLAPTAAQDAQLQYQLQMLQKVKHSMARIEANIMERLQQQQPQQQPQQHEYLQQPMHQLKLQLQHLQQQSKQLQQQTSHQQQLQQPSQLQPKSPPGSTITNSSSVTISASNDVSQQLQAVEDDEVQLVRVVIKKRAVVSADAEPTTHSDEEDVYWGDPDIGMK >PPA01317 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:316233:318163:1 gene:PPA01317 transcript:PPA01317 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSIPPQCRRDEAAQAQALPQRATSGSGDELVFDNTFRRCVFKDIPEFVRDYAPDDVAFQSDQDRACIQKSNDTTAAADSLEDAEDALITSPLLRPPLRAATCSSDDHCVPYGACEGNACLVGILKESNQVIRTCGNQKVGCFR >PPA01332 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:394760:396140:-1 gene:PPA01332 transcript:PPA01332 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHEVKKKFKSRAAMHNLTAQEYVDNCAKVFSTMKFTEQETNAFNRSTEHFMGREWGRREHNSVEELWNILEKEALIKLFGISNDVHIAQASH >PPA01345 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:463220:465394:1 gene:PPA01345 transcript:PPA01345 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLALLLLVGAAALLEAKEQNVTVKGIAVCNKRKLANTIVELWDRDTLDPNDLLASVHTNGDGEFMLTGAEDEIGSIEPFVRFTHNCNAKPGCSRVADYDIPKDFIGGEYDMTFVVLDIAVRGEKEEC >PPA01350 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:481415:482394:-1 gene:PPA01350 transcript:PPA01350 gene_biotype:protein_coding transcript_biotype:protein_coding MIGMGFKFSAFALLVALIGLSEAKMQNVTIKGITVCDKHRMRDVVVELWEKDTLDPNDKLAETRTNSMGEFTLTGGDDEVTSIEPYLKVRHNCKVSVKPDQICTRKTEYPIPKVHIYHADETPKIYDMTYVTLDIFVSGEKEHCEKKKDGAH >PPA01327 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:369009:371394:-1 gene:PPA01327 transcript:PPA01327 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDTKIRAYRFVGYAAVTFTAVAVLSVCITLPMVYNYVHHVRQQLNSELNTCKYTARSVWNNVHKLRTVDHGNRTARQVGYGDYPEELHTTGAPPPLYATQPSSYEHGGSAHPAAGSGEHGAPHGGSSHYPEDSTEHGFPAGPAGSASHEFDAPESHEAPKGTHGFAGARGPGPAPAPHGAHAPAVPHTGPHGHDTTGEVPFQGTPRHPSASRQGGPTQSRGPHYHHQHNQQHNSGDDTCSGCCMPGPAGPPGAPGKSGKAGKPGAPGAPGNPGRPPQEPCAPVTPPPCQPCAQGPPGPPGAPGLPGDNGPQGPQGKKGNDAAPGEPGPKGPPGPPGPAGNPGSPGAPGEDAKSEPVVPGPPGQPGPVGPQGQVGAPGANGSDGKPGEPGEKGAPGETGEPGEDGKKGPDGTPGQPGHPGERGICPKYCAIDGGVFFEDGTRR >PPA01338 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:431002:432157:-1 gene:PPA01338 transcript:PPA01338 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYFGQLPLEIKWLIFDNCFPTNDMRLVNTVWRHAVDDYVVQRDPVVSFTSCSTSMICSGVTVADTLTTILVPRQFSGTLRPAMHNFITIFPSTQISIQDEHMVIFAPGMAETLGFLRNFGNCTLLKGSLRGLPLLPFDDFEAEYVVLTW >PPA01326 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:367855:368825:1 gene:PPA01326 transcript:PPA01326 gene_biotype:protein_coding transcript_biotype:protein_coding MQSERIFVKLPNSPSRHCHLHRWRPFNADYILDKCFKAELTATRKEMIDKHKAEIFVVYVGELSKKDATVLKYIHKDGKHLIHSEKFEDLRSKVANQLQKKIC >PPA01270 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:39141:41533:-1 gene:PPA01270 transcript:PPA01270 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTGAAARSGMISGTIPFIATYSMKQEFLKYQCAGKINIMEDLASALEPFVVPAVNEKQMQDNLERRKKEKEKVAKESSHQSMYRKP >PPA01322 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:348462:356184:-1 gene:PPA01322 transcript:PPA01322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lpr-2 MMLLVHILAFNARQRDLNGSWFMIARKSPVVDYLPQGISSSLIRLIDDGRDLIMEEYHSINGTCMPRMHGRWKRLNDTDGYLMEVRNPTGSAFQIFLRVIFHTLSGEQNEEMNMVMFGCRAPNKDGSCAPADVSASILSNSRHPQTLALFQSAKHIEDYACIDALEMKTFNTYTSCGDEVVDEDQKLRHALIDEDSTFVDVECRVENWKGFVDITKFYDEHRILTVVAYIDPLLDRQQIAHISCHYSSKYKAECEWIRQRQCFKTSLSQSFDGASRITVSTEFTSMNGSQITADFSGSIIWQNGDEFVSLECLHIEEDDGSCESYRIYVWSDEDHMDQPTLREIYSVLHTFCIDPTELIFLNTFHECRNESDESTAVQCSEPPGWTPVGTNILQGTWYFAADINGEPKIFMQSVVIQLSVDEQDSSLMHLTFYAKKELHNRECMGPGEGTVRLLNDSTLEVTVEYRYKLVPTYKNTITWKSRIIYLDNQRLVLYRCLKTAENGMKYESSKINLGRSNITNYRHLRPQGPGHSRAVEICFPQRRLPTNALPGASVRSEEEVEMVRSPLMGFHKKAEMVRSSL >PPA01337 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:425417:427890:1 gene:PPA01337 transcript:PPA01337 gene_biotype:protein_coding transcript_biotype:protein_coding MITQLLFYRSVNYSQLGDEWAVNEAVDFAPAFELESDLPEVKLFGKWNLQEVNVADISLVDYITVKEKYAKYLPHSAGRYQSLCKRVSQFDFEPTPCRCSSTPLSCRPREDSTRIGRAGTVRRHSVDVAPFRRVNQAMWLLGTGAHEAAFRNIKTIAECLADELINAAKESPNNYAIKKKDERERVAQF >PPA01328 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:372765:378225:1 gene:PPA01328 transcript:PPA01328 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDTKIRAYRFVGYAAVTFTAVAVLSVCITLPMVYKYVHQVHRQLATELHTCKFTARNVWQNVHTLRHGNRTARQVGYDNFPEEEHLSTTGSPPDAYVTPPASYEKHGGAASHGAYPGSGSSEHGAPHGGSSHYPEDSSAEHGFPAGPAGSGEHTVPPHVDMNEWPSALNEHGLPTGPAGSHESGEHELEGPEGCGPNGCGHQEPTGPSSHGSAESREPGFGPHGSAGTHGSSGPHGHASTGFQGTPRHPSASRQAGPTQSRGPQHHHQHNQQHNSGDDTCSGCCMPGPAGPPGAPGKSGKAGKPGAPGAPGNPGRPPQEPCAPVTPPPCQPCAQGPAGPPGAPGLPGDNGPQGPQGKKGNDAAPGEPGPKGPPGPPGPAGNNGEPGAPGEDAKSEPVLPGPPGQDGPVGPQGQVGAPGANGSDGKPGEPGEKGAPGETGEPGEDGKKGPDGTPGQPGHPGERGICPKYCAIDGGVFFEDGTRQKPTGFEIKFVKNERQKISAMSDDRKGVIRWELGNLNTIVNGVFTFSPPVTIAGLTWKISVTTECSPRMFNIRHLVLYLHCINDKAKTHRFWRADHYSLLKMIHRTDESRTRCQKVLKPFTIDLMNWGFSIYKWSALLDQETGFIENGKLLFEAHINVSDTPDETVEGVLDVADRFQANSVLETIEEYLMHNSKFCIAKRLILSDLFRMEILQGHCLWLLKTTNDVHNLQMDDLFGDFSINLKARVLDRSLAVHQ >PPA01339 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:439285:440010:1 gene:PPA01339 transcript:PPA01339 gene_biotype:protein_coding transcript_biotype:protein_coding MFMVEQTPMDQEADADVNAESQTTFTAKVIGAIVQKYVALHRGPINQDPEAATVDEIVLKVEAIDLVVKQLVAGRSGPMCQEVDANDLEAGKHALTAKVINAFVRQAVVARRVLGSTKPY >PPA01298 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:163582:164550:-1 gene:PPA01298 transcript:PPA01298 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQLRNYEFVKFLELHKSSLSHPKYLDIEHVILLRERLPFLKVNKKIRLECAAEVRADFDYILNDYHIRGEN >PPA01335 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:412452:416498:-1 gene:PPA01335 transcript:PPA01335 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGPQTIIHLRKEVVEYSISGTRTLVVPQTGMKLMKKSCIGIGNVNVSTGAGVNENENRYPYHSWTCAAMPETLVFFDTVITIETDGDVVYTVEASSDLNTPIPSSAGDSIFIFTSGLSNDQQNMRGYNHRAKFQMLDESQHYVTVDMNLIFDNMDSMVGFKAYDFLYEYYHGRSTDKYLTDYFEVLYQPVGLKADQIGTSKDNVVIELHVATDAFTAAATRSTAKTVVPITATSNPTPVGQDPYCNCAVDKFGFPDGWNFDDIWLDIVFILDTSEAMSESKLQEAGTLIESFISDGVDDYLVTDPTKQFYARVGVLYDLNMTKADSIRGKATIKKGLKEINIVDAFDAAMNMFTSGYNKQPSRIGTRPVIYYMTPIHFLEKGQVELPLLKELASDGYYFANTDSMEGLQAFCKANCFCDNYNKEPLGGSDPAIVASVMAKESSKSSFYWIGYQKSSDGVFEWEDKVSTSDGKSARASPRFACSLVCLESMQIEDKLMPNLFLTQLNSMTTHVKLVVDDAEELFFGFPTGFWYQWLLQKIREGYKVRFNRSSRPLSDSPESLEKLTSNDYDLYEVEWCKR >PPA01266 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:22631:23531:-1 gene:PPA01266 transcript:PPA01266 gene_biotype:protein_coding transcript_biotype:protein_coding MRDKLLNSLHLLAVYEKLNEKLNSSSVARRLVQPIFVTCTRGGKVNWAAELLQTSSIVHLKDDLRPVGVEHAKQIRGVSPDTNTSLDEADGAYACGQLAECTS >PPA01280 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:81423:85866:-1 gene:PPA01280 transcript:PPA01280 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLVDSYVFSAPNLVHRMEIKGAEEGESQRALTRSICSPIGREWECPLADRGPARARLEVAVGGRRRLERGNHPRSREEALGDEQRTHHCSVGLFRFGLPLPPPPLLQFTEGRGYWRPMAPVCPRHMDRRLLMAIRVVRKNIARFDRDQTDGPRAVLCVALSPSTRLPSRLTPSFQAKKCLPTDIRYKKTRAMRRALPKHEASIKSAKQQGNVNLIRYKQTSEGAAATAETVKSKFNDMRNSSLFKSFESKLGIAYTSAKMTASTSIDAGDSMSGSCKHLKNELYHFRNGINV >PPA01267 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:23918:25935:1 gene:PPA01267 transcript:PPA01267 gene_biotype:protein_coding transcript_biotype:protein_coding MLITMASKALKHAVDSCASRPKTIQLVDQLEIMTQHLSITFSFNVPIWKTNVFEMRLRANNLPCDRITRNKHTGIAVHRVHVNPFHKWGWPVKLMFGDIDWSSVILEMFADSRKLDKLFLDGNQNPDFITGVGELKLRRCWPKLRKRVWFTANLPRSGEEIDCTEKEYAIKATSKNLQIIHSSRGAFY >PPA01324 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:358961:362691:-1 gene:PPA01324 transcript:PPA01324 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVPSLSRFWAKSRHTKVGGAWFKHDSMIGWENTIDYFQQRGYTSAELYATSWGDTNPLYSASRKHDCATTQRLRRFLQAVQAYTGADKLSLITHSMGVTLGRKIIKGGKIQASDGTCDLGAPIRNVDVFVGLAGANFGLCNCEGMGVAEPTCNKQDGLWPGDSCGMNYLDCGLAVMPFPCSGPTYSKFLTDLNNDMQQEAKRVVSAWSSSDDLIMYGTMVWGKSTALIPRSTDKKTYVTYTHMETKELTAEDQYNWVNRIN >PPA01344 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:460448:461759:1 gene:PPA01344 transcript:PPA01344 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFAAFTLLVAMIGLTEAKMQNVTIRGVTVCDKHRMAEVVVELWEKDTLDPNDKLAEMYTNRMGEFELTGGDDEITSILPYLKIKHNCKVTIKPGYKCHRKTEYPIPKEHIYLATEPRKVYDMTYVALDIIQKDDVETCDKN >PPA01333 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:399123:401177:-1 gene:PPA01333 transcript:PPA01333 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDEKNAELTKLGKVTEIVGKFSKYIPVVGEFVSAAFSLAQIAIEVNLPNDVMKELRDLGNALKTIQTRTATIFLQLNQALRLRIENRTFHADAFLDSCSSDPPILDIYAFIDVLCNKLKSNGTLLEKEAAPPVKSEYFGKVEDIVEAVVAQPKYQDAKLKAFEILRQLRLIHTSTRPNLSDLFASVHNIGFAAMVFDQCQMVIESDKDVFVMKNPFGSGHSLSCHVKADPRYSKPTRNTTFPGNILVYPP >PPA01301 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:171433:175077:-1 gene:PPA01301 transcript:PPA01301 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLLHERQCGMRRAMRLFATINANSGDPESYSYWSLYMMHQVLVIEKDCNLTMPDWVTDDFWAQLDAANLAANDFILGAEGFGLPLDQEMFRLQSGLMLKDWMDNFEGAINGSSTLKYVVYSGSMTIFMALLSLATLNCLTLSKDARIIELENALDKIKYDIVGLSEVRRKSAGEMDLSWSNGRLYHSARLPNHTAGVGFIVSGSVKQKIYAPASIDMLEYSTFIHEVEQAFHQPHDAGVNALLFGWQVKDQILGLANADYASTISCELWERNGQHFIKFLFSDNIDEEFRTFTRLLPCCNDDLCPLADFKAFIQPSIASDLKKECNA >PPA01304 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:200396:203351:1 gene:PPA01304 transcript:PPA01304 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRAGVFGAVIPRPFRGKRQQCGPADRQPQTRQPSVSSSSFGSIIRGTKGHISSLLDSVSQWLATSSSSGGGGGGGSASARDDDDASDAYAATLDSCEAATDAVGATSQSTTRHLNNHRMASGERIFSQIGNLWKKTIEYISRGIPLHE >PPA01336 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:420391:423884:-1 gene:PPA01336 transcript:PPA01336 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQPPTKRPKPTTDDDEPTKFTQAEMPKKEDSEEVAPQEEVEGLLKSEELLKQELVVSSIERLPSELCWLLIEYAPEAVFHLRMASTKLQCKVDDYVRDGMKIPLVSELGFTGSEDKHHAIAPSGLIYVNLFIRKQKAPLFELSLKLRLPPMDFVEEIERETASSSITAIDDSFKYQLLLDPLLNFHYKSYWDYLMKSLGPRVNFASFFGCNDEEFTSICSNLSVPFENMGVVMEQLSDNAIFIGQSLEPSEMQFVNFHSFYNTFDNINPGSVSCKVHPK >PPA01278 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:73332:74843:-1 gene:PPA01278 transcript:PPA01278 gene_biotype:protein_coding transcript_biotype:protein_coding MDISHGKDNRGRITIIERELGFPDVVLYLAITDFDWAPTILAIFDGENELDKLCIENTAYPDFLTQESITSLCENLPNVGKEVWFESTLHLPSTDASFSSKTCGHYVKAGGRFLSIKHASRVNEPLETF >PPA01309 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:255212:258357:1 gene:PPA01309 transcript:PPA01309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Large subunit ribosomal protein 38 [Source:UniProtKB/TrEMBL;Acc:A6YN06] MMIGMKYEEERDIGIKKVCHAAQSLVSSQILTDNCEEVSMLATGVGSDHDLDPAMKPRPSRLEYVCVREVAFKLNYDHPHTHLALMLQSLEPWMPEQFVKYPNHRSNTYPIKDTAATLLRDAYICPELIVQHAPKQFAIAIASLALKAHDVSVPQAKSDWYEAFSSKMPRQIQDIKDFLLKARRKDAKSVTIKKNKENVKFKVRTSRFLFTLVIQDKEKADKLKASLPPGLQVKELK >PPA01331 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:386183:387771:-1 gene:PPA01331 transcript:PPA01331 gene_biotype:protein_coding transcript_biotype:protein_coding MQITVCDGDKIANVDVADDCPVENLVALVMIDFGNDAHDPVNVRLLKEGNDVLGADRSRTLTQCGLANGDLLLYSYRAAAARAAPAAALNPAVAQAAAALAAAFAARTAAPAAAASSTSTTAGNNDPVEAARKRMTELLGAANKDIKKLKLTMTPEEKEEEACRELFGKMNEPRVKSHLKLVEIEKEIRGRRIFTAWPALHHQFVQNPADYDGFKRVYAEYVADMKQKAEAMRNEHSAEGQV >PPA01325 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:363840:365027:-1 gene:PPA01325 transcript:PPA01325 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFTLAVLLSLHSPFLTAATEYKVEGPLTGHFQEWLKRNGYTDDFVRADLMVTQGSYGGKKNDDQKVTKTPIIFIHGNSDAALRQAGYWWDLSWVAIRVLH >PPA01334 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:409894:410528:1 gene:PPA01334 transcript:PPA01334 gene_biotype:protein_coding transcript_biotype:protein_coding MWRENSKVKEAHTMAVAMKPVYIASYVIKFAVNFTCIFFFFFEDAFTLLTGYIEFVYTTVVAINGGLTTGLLIRSHPRIKMRFEEAKATFL >PPA01307 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:226791:238822:-1 gene:PPA01307 transcript:PPA01307 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHRSRSRSKERDRRDKKSERKRDRSRERNDRDRDRDRDRDRSERDRKRDRSRDRNERRRSRSRSTDRKRSKREDEKKVEKKKEEKVEKAAPVVEEEEERDPLAELLGTDDKDEQGAELDHMMEERRKKVEEWRKRKAAKAGIALEPVEEKKEAVEGEEATEDGGEKKGWSLEDDDEDDPVDAATATSADVEMKPDDNQNGTTAAEEKKEKEPEKMEEDDEEDPLEAFMSGIKKDLAPKTVGGVRVVTITGPAAPEKQKGEVLENEDNHDMLVDDFDMEAATQSLLAKGRLLPETDHTKVYYRKFRKNFYNEVPDVASMSKREVEEFRYELDQIKVRGKDVPKPFKTWPQCGANNTILNILKKQKYTTPTPIQAQAVPSIMSGRDVIGIAKTGSGKTLAFLIPMFRHILDQDPLDEMDGPIAIIMSPTRELAMQTWKEANKFAKPFDIRVVCVYGGVGISEQIADLKRGAEVIVCTPGRMIDMLAANNGKVTNLRRCTYLVLDEADRMFDMGFEPQPEAGFDEIPGAGL >PPA01290 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:123159:126824:1 gene:PPA01290 transcript:PPA01290 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLIPVMIVLCGMTWRLYELLDFGRTVYNHRPGACRLVPGIDKGSEDVDLVREEGIAFVTSGVVFMQPHRAHVKGKIFLYDFNQKLGGGAPKAKELPIKGASFDQNNFHPHGLTHWIVNGVIRLYVINHSNDFKHSVEVFDFDPIGPALQHKKSIKSPLFVRPNDLVAVGPDQFLLNNDGVAQTELGNVIEILTFYRGGSVVLWDGKEAHTVLSGMGGPNGAAFDAKNNKIFISEVNKRKVNVYDISKDKKTLTQVSSFDLYTVCDNLSMDNDGSVYCGCHPKINEAAVALNDCDGVSTSASQVLHMRFGEDFKTIAVSEPYANDGKELSGSSIAVVHNNQILIGSVCRGLLHCTIDDPSVL >PPA01268 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:28712:29710:-1 gene:PPA01268 transcript:PPA01268 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGTCCILIGGDNNLKLADFGISPPVHSYSMELLKGLKFSKGTPQYMSPEQFSGKEFYLGDRLKCDVWAYGICLWEMMSLQTPYGNVTEPAALMQLIGKKCHAAISVNEMVIIS >PPA01340 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:441840:450996:1 gene:PPA01340 transcript:PPA01340 gene_biotype:protein_coding transcript_biotype:protein_coding MNDYVTATAEDERILKEKVKRAYAVRVVAAARVERLKKKQEEERRLKEERDERRSEKNIKRIHDMTAELRTRDPIMGPMMSKCFNLLITANLNGVDNPAAKFKLRSLTKERVKSRELDERRQEPKRFLLYEITELIEKVIILNTKDASANRNEDAPDDVSIMDRIPAPPPPAAQQEATVLARKQVPAKNKNIDQAQYEKPTLLSSAAQKQHHAPQSVAQQPSEQQTPSLARRHQQQIVSKKHAQPPQNFDLSDQHGFKEPFLPAREPDHSQMYDNNSVQPSSISSNAIQPVTLAHKPSQPIIVAKKPAEIPIVAIQPDHPTSMAQELSQATDDFAMEQYVGLDVDQNDFVAPPQTPTQPSAANKQDQQQVMAQKQGYPTESSARTSDVSMEQDALELSTPRKKRKLAPAVSEKQDQPKPKIYRFARPAKKQHKATIAAKKKKDGRFAEYDRRHADLPSSTLPNHSPSNTKKQDQSQLAASSQQIIAADMHAENVPQPIADQPDIPATIKQEPLDEDFGGMDDLAFDLNNIKMEEMDDFKLEDTVPLSDPILPESPPEEDPTAFYQHLFDAENDENARSGQASSFQKPAEAMGADVDSVALQPLLLNANAIKKEPGAPPTPAEANANEGSESAIGTEESPNILNPIDASPFHFYANAIKQEQQAATPEASSTPTVAGNSNQNAGAPMENNVSGAAGRPNIPNLLEASPFRFYANAIKQEQQAPPTETLPTAAIAADASIGIQKVAVATERPLEIPDASPFRFYSNAIKQELQAPPIETAPTAGIAHVHDGVHGDVRGAEIERQKSGGIRENSDATPARPDMPSLLDASPFRFYANAIKQEQRVNKIGNKDVADKENRDNSTDSADRLLNPPDASPFRFYANAIKQEQRVNKIGNKDVADKENRDNSTDSADRLLNPPDASPFRFYANAIKQEQRVNKIGNKDVADKENRDNSTDSADRLLNPPGASPFRFNANAIKHEPPAPLTETVHIPTIMAVDGSIADARTGGEIRENGSAENSPDPSPPGASTFRFYATAIKEEPQESPTSPVQQPQQPAAPRTSAIVKLLNTPMAMENNMVAPFTNYFPVKTMQPGQGDPSISNTVNGKQANVSQLKQSNRSTEPIRKKSKPTEDNDDGAEERSDQNMSKVQCDICSKWFAKKHLFYHKAVKHSDVVDGAEEHSDQNMSKVQCDICSKWFAKKHLFYHKAAKHGGRKFKCPHCPSTHPQPTKLRKHIKVHSIAEVSAFVHDWTTRQAELNKYVKEKNIKNSKNNDNNKNMGTHEDARKENNGNNNDNIYDNSNNSNSNKNMVTHENRAKENDDNNNNIKNSSMFDAAIFEGLPDFKIEAKVYEIIEDGSFDPDLCLKMEDFNSTPYYEEEEVEAESTHYASSSTLKHSNTTQPSHTNVSDTFITSTNAKLVYQLPFANAIECALNPQASDTLTYGTTSSMDTQENKANNRDSTPSLAKGRVNNFTTTLLTNGHDQPHHNNKMIAEMLSMMHNAKSTIINDNNSNNTHQQFHKSNEHMENIDFVQQSTLNDDADIPSSFDGPQTGLIRKEQLC >PPA01302 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:175716:176331:-1 gene:PPA01302 transcript:PPA01302 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNRGLADSNDSPTFPTGIQSWPSGWTPIPIHTVPHDDDYLFCVPESPTCPRFKQLQDQRMQTRDFQDFLAANWSSPESN >PPA01277 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:71205:73056:1 gene:PPA01277 transcript:PPA01277 gene_biotype:protein_coding transcript_biotype:protein_coding MVRATGRRVFTTVGPESEENLKGMEQIPATIDFTWTNFGDHYDFDRSISPTAIAHSLAKERWSRELFQKLKDSHQAHYEQFGFIEGSFTIGGEKTENVRMTSMRDHTITAYRNWSDLRRYIMMIYHLDDGTCIHTSLISMPETVFTQLEFGYVTGRQTDRQTDRQTDRQTDRQTDRQCEIVPETVFTQLEIGYVVTPDGKTHAVDRIHLNLADVGEVKGEFPQHFNYSFEAGALKYDVKITIIETVSLKLGEKLETYIDENMVTVETGNGLKGRGFIEAEYRIAPY >PPA01323 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:357327:358566:1 gene:PPA01323 transcript:PPA01323 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLQVFVGLFTLIGAIRAQCATSDHLHCPHWDPIEDCYYQTTENTTFHFYAKAGITVSIYDESMTTSGTLPTVPPLKEYKGWESTGLLKLTTPEEQNLKSWMCQQT >PPA01285 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:99375:100178:-1 gene:PPA01285 transcript:PPA01285 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLWSWFSDVLNFLGLSKKSGKLVFLGLDNAGKTTLLHMLKDDRMAQHVPTLHPMRVDSLMKY >PPA01315 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig100:307220:307704:-1 gene:PPA01315 transcript:PPA01315 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPINYKSGLWQNFTLSPSRNALCKLCKAVCAFKDSVCVANDVVPVGNEYHHYESEESGEESELED >PPA01349 pep:known supercontig:P_pacificus-5.0:Ppa_Contig100:479537:479901:-1 gene:PPA01349 transcript:PPA01349 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTCPTCPVGSCTPKEHWSLVECAAKFRGCVQATITENEMRVTSNIYHLLFNRRIWHPSCPAGKRMAFVTADNMSGDRFIVRNALCAKSGT >PPA01352 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1001:139:1113:-1 gene:PPA01352 transcript:PPA01352 gene_biotype:protein_coding transcript_biotype:protein_coding ISSPLPEHTNPRMLYERDHEHARSWNPGVYELDAAGGEQNYVMTCAAPANNHTYFAKKEHLFPCLQEDSGIPTEPFLSAFQGLADFVGFMGTAFAPVKSDIAGNVT >PPA01353 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1002:297:940:-1 gene:PPA01353 transcript:PPA01353 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGCEEDSLPVELPRGCTGLVNFCMARGCGHGVCMANNAEPSGFTCRCTVGYTGS >PPA01355 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1004:1656:2455:-1 gene:PPA01355 transcript:PPA01355 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRINHDPVRTHRSAALGNATIDHHFAVNARAVSLQYKLTAPGGGFVFKPATVRMFCHAGVRRRRRWCELIRIDSGQRKGSLQIAAQTQQHHLLTFRWSPPCAGITRAQRQPADPVGFKVARFHPTKPVFPVHFGDYTYADQIGDKAHDFENLMM >PPA01356 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1004:2683:3076:1 gene:PPA01356 transcript:PPA01356 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVYVALSRWEPRLTLDSITINSNFDGSMVLPAPQIVDVPDFETLLAERKAEFVALHPKDEQEAVIRTLELESEPVTKLLQENAYRELLLRQRINEAAQA >PPA01357 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1006:986:1788:1 gene:PPA01357 transcript:PPA01357 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVLICLLAVTTTAFAEDRAKRQFFAASPTFAAPAAVAAAPVALAAPVVAPQQVVQVPYQVPVPHYVPQPFPRPVQVPHPVPIPRPVPVYIPKPYPVPQPVPHYVQIQVPVPRPVPVQIPVPIPRPYPVYRRIPVPVPSPPVFVERPYPVPSPVPIPQPFAVPQPIPQPFVASLALPQPAAVAASFPAAFAANHGFPSGVYAPDAFTVGNSKISIIQAGTR >PPA01358 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1006:2033:3107:-1 gene:PPA01358 transcript:PPA01358 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVGDSLLWVSRHFARLTDRDGLTGIIEAVTVFRRILEKFPGWKQPFRESTKDGVVGNPIADMGRSIPPKCAMYCMYHRIAKPGVDFVWYEDYDSI >PPA01359 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1009:1073:2768:-1 gene:PPA01359 transcript:PPA01359 gene_biotype:protein_coding transcript_biotype:protein_coding MLANPTATKCSMANVLLDTGAQISLISRTLANSLQLSPVGQLLVSINGLTGPNDTSSSPSNHDIVEFQLVTNNGKESIKALVRDTDDIVGSINHPPLSSADLSVIESTLSFIPSHFSDSSICPDLLLGVSDTLKLLDDSKSTTLPCGYRLIQSVIGPLVAGSENIGSTTTTPDQSNPSSSALRVASVVTSTEDSLEKKIEHLFSVDPIARVYDTTERESRKLADESVTRHFDDTIQLRDDGYYVQYAIKPSAVSLPDNHDLAVSRLASTVRILTKDHSLLSFYDSVIKDQLSLGQVEMIDPSDTSGVIHYLAHQPVLRPDKPSTPLRIVYDASAHLKGKPSLNDVIHPGPNDLERIPAIILRARSRRFLIIADVEKAFLQVKLCPSQRNMLRFIWLKDLHQPVTRQNLLYLRFTVTPFGINQSSCILNKVIMHHIRENANDYDPQLVCQLVSNLYVDNSPTRELRNPSAPCAAQLLVHTIHSACSAHCFFLLD >PPA01397 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig101:264536:266243:1 gene:PPA01397 transcript:PPA01397 gene_biotype:protein_coding transcript_biotype:protein_coding MHMLTHNAAHACDVCGKTFSRLWLLQGHLRSHTGTRPFGCAHCGKFFSDRSNLRAHILTHTGTKRFGCDGCGKRFALRSYLNRHVESGCRAAREDTVVPADPTTGSFIEY >PPA01392 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:242205:244797:1 gene:PPA01392 transcript:PPA01392 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRAPLLLTILVGVSAAVSCPPQYQLMEGRCIRPITLSRFDYLVNLMPRCQEACNKDGTHLPIIRNDQENDFFNNITNSFAETEGENVFLVLGLICNETTTRLQWEDGTRINYVPSGSGDMKYNCINSPYMVTSAVFAGNWNRNAINGLYPYTCLCVIEANEEPIEESTQQPPNQIHCGEYSLIEDAEDAEKPCFKVFTEPLSWDDAQIKCASEFGSLITINNDEENGFFWRTAVNNGMLGGMHIGARHSSKDTKNWSWIDGNDSIIGKSYDNFVGSFPIPGAGECASMATESVVAEWVNEDCKDNKLPFICRRGVLPAASPGCPKNAPKDGDDFFPPGYPNSGIACEYFLNVDSGKLVELEILAMAAAENVDFLEIYEGSSGLNLLANLTGAIDTPTKFTTTKSNVLRVNWKPNGSGDGKGFRIRYNEIAAQERKDDAIITTTNKAIGSAFRLTSVLIYPVEIVDDKVMSN >PPA01415 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:372377:374232:-1 gene:PPA01415 transcript:PPA01415 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPCFPSASHWLDDRAPPAHVIGNLPFNIASPLIIKYLREMSNRAGPWTCGRVPLTLTFQLEVARRLCSPIDNESRSRISIMAQMYTDPRLVFVIPGKLKI >PPA01371 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:82787:86447:1 gene:PPA01371 transcript:PPA01371 gene_biotype:protein_coding transcript_biotype:protein_coding MALSHDGNILFGCEPDENNTIIPRGKDLPQLPNGDTLMEFKLKTGIYDKEDNNEFNKFEDLLSPHRHCTQNYSNLYAALPNEPAGPVPVKNVTCKLDSTTTNYYYEIESFDGTPPKKVPTDADFPAFCAAPVRNTGNRLFMSRALSPCPATGDKWLINTNKYFTGLPECKNSLVQKNKASWIVKIDNKEIEIAVAQCIEDIDCKISTNYTNVCPPEHKECAKLLKGDGIRCPIEHSLTAVQPDGETFQPEKIECNLKKGVWVEYGNTTRELDRKANIYCEPDKVKKESQMDAKTLTAISYGAIGVVVLVLVIFAVKEIVSFLLRLLSCLLAAFRSGAFGDPNADQEWRKRIKGEEELADEFETTTTTTTTVTPSVFDIPSFADGPLIDYSSCGKEAVCGVRASCLRDGEKKIHNKIYADNRTFPSGKSTLVFLSLGDNRWNVKQNCSVGIWIKPLSEDKWIVKMQVRSDSSCFKDPKNPCTSEARRQRIDPVQGEF >PPA01447 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:546594:547623:-1 gene:PPA01447 transcript:PPA01447 gene_biotype:protein_coding transcript_biotype:protein_coding MACTPLPAISSVPAGYVVQQADEITLPSGDVLLTCPEIALAQFEANGPQLFSGIYLMCNTATKIISPATGLLGRAISESQIGTIRAMCQTGSANLRNLGFTKSGNKYLHNLNGSGLKQGWVSTTYMSCGATLPIKRWKSRYTDDMMYGENLEWNTWYKGMVQDGGQVQFYMWY >PPA01445 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig101:533869:536518:-1 gene:PPA01445 transcript:PPA01445 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRRVIALAALLQSSIALVEFTNSKLYDEKDFSVQAVSIDGFCNPGCRMYVSLPDSSADVAKQIVVHDYMHDEKSLYDIATMKKKTDGQKNYFEIEMGNMNVNILNTNTKLATAPVAVWVVQKNAEMMGSSKVYDAAQLTIPADYLQAVTVMSAEPFTLQSETDGAMGLLATLSGFDAVANPPDACTSVLEQFNPRLSCNIQTRVHSPLVTIFFNDVEFFWTKTSITADVGDGQNYANVIKYAN >PPA01362 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig101:38669:41971:1 gene:PPA01362 transcript:PPA01362 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVEALNKKLQQDEDWLRQFEANVTRSEQLRGNIERILNDFERHIDQLESNVFPMHEQNGKLQIKQMNIQKLLKTIDATIQFYGKSGELESAIRPPMKVVFPNASYIGIP >PPA01377 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:110166:113051:-1 gene:PPA01377 transcript:PPA01377 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPVAHTSGGADLGGSRGDAPEAQNGRAACDVEARLSSLVWPAAPRESLFWSHIRRVDDRKSEGAHDCYVVCNKDVQRKDVPLGSSSAVRVGLTVSMICETFVDNPNDLPLTQLPRSAFTCKVIYVSSVHPGGWVPTSALRHVYKREYPKFLRTFTTFVHEKVKKSPAVAI >PPA01444 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:531085:533368:-1 gene:PPA01444 transcript:PPA01444 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVDLNGFLNTDAAHPVTITDNTNDKEYKWSVAVGGPSTKSLSLQRTNSLDISWTRNDKNPQESFLVRVSPFNEVDVVTTMAPTTTEATTTVEPIQTSTTPELTTTDSPSTTVTTPSDDTTTTAPTSTSESILDRTTTVDATTIAASPDRTSKDSNLESTTSEPIPDTTTTEPIPETTTTIPFPVPTTTEPAPVPTITEPNPTTTISQTTSVPDRTTTVAVPEPTTAEPVATTTEYSPDRTTTDYLHDSTTPEPIPVVTTTESSPALTTTVAIPDLTTTEPIVHSTTTTLTIQSTTPEPVPLPTTTEIHDRTTTVTVPDPTTEVIPDHSTTVLLPDPTTTEPALEHTTIVLIPDLTTTVVVPDPTTSESNPDRSTTFALPGPTTTDSDLTTTEVPEPGTTTVVVPDPTTTVPIRTTTPSLPDPTITEPVPDKTTTELLPDPTTAPIVIRTTTQSDPDGSTTRSSHDSTTSDRTTVDSDPNQTTDPFPDPTKTYSDVTVPTKKPLTNSTTKKSTNAYVNQADNNWSSEGYQDDHAEATADSDHDIVAAYHQWSCAIRV >PPA01375 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig101:102752:103442:-1 gene:PPA01375 transcript:PPA01375 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSNLRKRSIQRRMNEKVTVFSGWGLGLLVEGALEDAVRRVEHDVVRPDTALGQWRWAEVTEAL >PPA01420 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:388630:392959:-1 gene:PPA01420 transcript:PPA01420 gene_biotype:protein_coding transcript_biotype:protein_coding MSKASPDVDIEIHFLASSHLVTGIGDSPTSPSVPSSPSPSLPTAMYRGIRNGASNGIAHSYPLDIYAPRPRGENNASDARSASRRPLCKEEHIVSRSTTGSYRKGETRVPRGPSGGGKSTILTRAMQEFEGAFAFSVSHTTRKPREGEEHGVHYWFSTKDEVSKMIDNGEFLEHATFGGNTYGTSKKAVNDVLTSGKICVLDVELQGVRNLKKSLADAKYIFIRAPSLEHLEKRLRARGTETEETLQKRLKHAREDMEEIAKDDKLFDYEIVNDDLESAYKQFVNALREDLTLLQRSKQ >PPA01365 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:56540:57110:1 gene:PPA01365 transcript:PPA01365 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDVQVPTKIVIMGTSSMSLNDRFSKIKKVVVPAAKQVINDRFSKIKKVVVPADKQKNYIILLTTGPPRPRRSRQAGRASNGVVSLFMSPR >PPA01446 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:539442:546382:1 gene:PPA01446 transcript:PPA01446 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPIVHNGKKSFMEALDKIKEDYNRIEAQTVSQRSELERLNMEKMQVAQQQMMVHQLGYTTNMELQKQTEAAQRLTTLLNSVLQYASPELQATAKQTLERAQQLSAPELMQLSSAQQQQQQVQQIQQLQMMGMGGMSGMNGAMGGMLMPGALGGPNGMNMMAMNGAMGSMQQIPPMGTPNGTPARAPSVKRERRSSSRATMPEKKPKIEAADSDEEIDVTSNHAERESSVFSDISSASTLPRSETIMRGVDQFPMAQFNPAMALNGMMPVPNGKTVSSVRYDEAGNVSPTVFPPDVLTDPAVPKAVQPLHYLPHRDVVCAVAMTKDAKRVFTGGKGAVKIWDLAESSRAERATFTCGTDNYVRTLKINQTGNQIAIAGEFPAVMLFDIETQRQIVNLETGTTACYAIAVDNESKLLYACCENGTVVIFDLVSMREVSRLIGHKEGISCLELSGDGQHLWTGGLDHTVRTWNTRERREESKMDFSSQVVNTLPGAKERYSLAGHNSCVLSLRYAHSGRWFCSTGKDDTMNLWTSPHGALAVQRKEDSAVLCCEISQDDGIMVTGSKGTATVYEVTTPPVNRGPTGASLKPIKIANRGECLNMCANLSKSPARSACKQVCSLEFPSQVPIRPTPPRPIPRG >PPA01373 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:90778:92339:1 gene:PPA01373 transcript:PPA01373 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFAVSAKAVAAVVASSFDPSLDIPVTRDALNFSEKKWADRLIAMLRGAESGELVVCERVEAIKEDGHEEEEEWDFEEPIDQPATNTRHTWTTEMMNEAYAFYRTGQKLRNPGKEGCRSLTSMNKKFRWLKTDQDLRTLVHYGKSGNKPTNRNEQLRLLAEALDEEVTALIDSGAEFHDYNLKEMAIALNEKKKCWPKFTASERWIRQWKSHYRVTGRHITKIVSVKKKKDEGKIKEQVDELRAQVQQIVEEHPDIYIWNCDQTGMVKEAHGKRTLARKGVKQVVCVAQSVGATTSSITLLPIIGMDGYVKPKIFVQLGEPGGKLPKKGCYRDSTLDIAVATSHIMSKLSGIHFYKEVPLFWVRTSPSCS >PPA01363 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:47917:50345:1 gene:PPA01363 transcript:PPA01363 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVLEENVLPQHLPPTWHRCPSIALTVQFDAEKPGESYLPSMDKSMPRIYKSTERRDQTRTLRNVYSWLQPQSRYFDDNSNAMPIEQFEKLCGPIQDTQFDADLAQEIVLNPCLNMAEGLLIEYSIILGYKPTDFILPDILSFPPHGNPGKELDLTLALLQSYRKTGNDRRGKAVEMIRSTRTRAIQERSF >PPA01440 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig101:514009:517752:-1 gene:PPA01440 transcript:PPA01440 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPLILINLSFLLGCTALIEFRHSKLLDESDFVQAVHISNFCDVGCRLYASIPESSAENAKKIVVHDYINDGDPKKNLYDISQKSWFGQKGFYLVEVDNKQINILNTNKDFATAPIAVWIVRQDAPNFGDAVVYEAAHIDIAPSSFDVITIMSAEPFTLKSTTNGSTTMMFATLTGFDAIDSINGDDCERVIEQTKLMWDAFQDWETVVPLKFPQHLQIFWTRNESDFEQFFFLRITSTELLTTTTLAPVFPTLITAEAETDPTTTMPVTGWTTPEPGVNYTEITDEPTTEAHTEPASTTMPSTTTTTSGGTRIESALLSVLTLASALLL >PPA01409 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:343796:346590:1 gene:PPA01409 transcript:PPA01409 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGPARARLEVAVGGRRRLERKDQSFCRGNHPRSREEALGDEQRVVRKNIARFDRDQTDGQRAVLCVALSPSTRLPSSIAGADYAPTFALKRAKKCLPTDIRYKKTRAMRRALTKHEASIKSAKQQVKRRRDHGPLIETPASIDVDAVILA >PPA01421 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:394846:401365:1 gene:PPA01421 transcript:PPA01421 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAHDFPDISLSSIGTNGSSPGRHGRLSTFDDDEFVTACSRVETTVDSEAMPNATVDSIVPDSTRNPVYRESLSLFDDSDLDVSRALPPRRTRPAPSPVLEEIDDQEEIGSPLLAGRTLRVTQNEDEEEEEDVIDDKNDVAVEESPVRIYPRLSVLKDSNFRHLDYSHLSKRKGSYRSQMQSPRSQQSPASSAHDDIPEGRQCECGSQAHDKSSDQMYRGLERRFAEALNVSGSQQLRGEEEEECVMAVIIYPLISRSALSVGQKSERERARERVLALIESRCCEEATTLVRKRLRQMGATVNRAPSTAVTHIIWSNGGDPGVLRAALDECGSQQRPHVLPPAWVHESYATGIRQPEAAFSLCDERYVRGVERRRSSVANAAPACTHKRGQRNIS >PPA01411 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:353345:354232:1 gene:PPA01411 transcript:PPA01411 gene_biotype:protein_coding transcript_biotype:protein_coding MPITTFPSIELQQRLAEIEEEQAKLKAMRSHLAATYAPRPAPVPAFKSISPEEQAEADGRSIYVGNVDYSCSDEDVKAHFLACGAVTRVTIPRDKFRGTPKGFAYVEFANTNGRTNAVAMAESLLKGRAIKVTEKRTNVRGISTTNRFPALNKVHRAHGGAIVKYVPVYAARGAMRGRSIFC >PPA01406 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig101:325415:334318:1 gene:PPA01406 transcript:PPA01406 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEIALTVGSRDTLPGWILVERETIFVQIGADATVICLAQSPQLASTLIDHPAPVALHIKLIAQRLSKFCPGKQVFVSTDQVEEESSLFWADVGRQLKEKVEEIRKADATAEVAILAERYNSNSFKAISYLSLLDSMLRRLSSMASLAQCRAHSTPSGSSSGQGGSAASLAFRKSALVGAQSLVAPSFARSSRCSKKGQMAPASPEVVAKKTPKGKLDNPELYTEDGWPKRRIVNEETGEIGGPQGPEPTRRYTVVVMSISAADLIAYKGRYSELISKADCPETRAVVAALAYGSLDDIPAERLKQLPQEVHDYLARVSLVHAVTTLGRTLEYDTLLRLLRLPSDAALESLVVDAVYEGVCTATMDGSARTVTVSSWAARETDPAVIGGMVDVLGQWMERSDGVIASATAKAAEEDEKLAAMREHERVVKDELTAARVAMEEEFKEYKGGRGGAGGAGGSRGATAGTEGSRGATAGAVQVID >PPA01412 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig101:354329:355660:-1 gene:PPA01412 transcript:PPA01412 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDGVWIRSSVTSADKSAPVSSENYHGFRILNGFEPPRYVRRPEVVDIQCDRIFKGDILYAKGLPRQALIPTRPDRLDMDCAAVRRRVFSRRNPPTGFPVAFAKVVYKVSISAREMNRRLFILHTLSSLEQARECVNEETVAHFGGSRALAARVKHPQTLIDQTSDTYNSGGEGDKKKE >PPA01441 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:518314:522368:-1 gene:PPA01441 transcript:PPA01441 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPTSLKQFLYDISKLKKFGQKGYYDLEAFGANIMNMNPGFATAPIAVWVVRNDGDAIGAGAVYEAAALQTAPADIEIVTVMSAVPFHIETRSDGPMPVVATLTGFEAISEPADACTYVIYQLNPDPSSPTVIQANVQSPLITIFFDDVDQNFTQSVVIADVGKGKLDFSGISFAASPGFIGCNGNGLKHLHSSCAVTYHSSLYDTSSTFSYSNYERLYDVTLGSTLTTDDTHPVTINDKKNVQEYKWSSTTQPADKDITLPQTNNLDISWTRNDANLDQSFLIRLTPWNEQINPDATTAASTTEGPERTTGAETTSTTEEEPQRTTTKGAEDPDNTKTTVKPRRTTSEKPTSSTTHPKDVSTTTNKPTTTSTKLTTTSAGTGYGSTVAALTLVAALLQ >PPA01376 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig101:106345:106658:-1 gene:PPA01376 transcript:PPA01376 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAAYGGIPSPFDPGENNEAKRSGSLEQCS >PPA01433 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:484500:486817:-1 gene:PPA01433 transcript:PPA01433 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLPYTILAAVVLLCLQAVASKPHTHHHGTRSPLCNFNGHEEGGDCVCHSGTSGERCEQLNTTVCGPDGKCPSNQYCLYKNIDCLYREDCKDKRGWCLPLDNPLSNFPINCPIGTALSRQTTFAL >PPA01370 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig101:81628:82300:1 gene:PPA01370 transcript:PPA01370 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSSVFPLLLRLLSCLLAAFGHAAAGSNSAASVAGAAGSSSSAGAAVPAARAASSPGLGAPPGGTPGVGDGAPGAAAGGVVANNPATTTAHPPIVLPPAAGLTIDYETCGKEAVCGVRASCLMKFSGDEPVNTKGFDSGSATLVFVEKGNRI >PPA01400 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:274215:277275:-1 gene:PPA01400 transcript:PPA01400 gene_biotype:protein_coding transcript_biotype:protein_coding MICRIVSDKCFEDARPLEAPHFGAGQEFRAYFFTGPIELTAKKKLFSVKSTFRIDDNGFFLHWKTGQVGSIIDIDTIAENWRKALDAIITNANKKIYYCPSMIMKKMWTRLSLETNERGRISRETIIDLFLSGAIKKEMIVKAMHDSGIPASKFRHLLVLSRWDVDPANFSFDAFGKLLLLLNPPIEYFEIAEKLYAEQIYDRFRSSNDFISKAAMYSFLASEYCICVDPTKYEQKEDMGKPLSDYYISSFWTVIVGTVQICE >PPA01422 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:417774:421542:1 gene:PPA01422 transcript:PPA01422 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSFRPHFTFVLPTSPRSPDRRRGRPSIPVVKTQSKKINQLQAVHSSEEFAKAPKTTSRKRLAMLTGFGGREERHLLALADAVQLRITKEMDDSLRAVVSSDGARTVSTLRAVVRGVPVVTEDWIRSCKRANRLVHTLPFEWPQWAELAKVCDFCSPSTPVLKELIMKSGGWLTSSASEAALIVAPQGVYGRRERREDGAMVVEEKYILDCITENKLIPLRGMNQTIDDLSLLSED >PPA01402 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:285304:295231:-1 gene:PPA01402 transcript:PPA01402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pdp-1 MILGILAMRWKQAIRGMRTARKAADDRLRQFERSFEINEGPIKRVDICQLAANQPIEDFYSLGRCVSSRALLLAVCDGHGGSACSRYISAHLMAYVCAAVLDKHVQTEVPVDKRLEWIFSSSDAHLNQENNLRNGAYAIEARLHSFHAKFKNMDTKTVKSSLQAAFLALDEDIAQAALPDAKGRVHRARAAIAASGSCASLAHIRSRSLHVANAGDCAAVLGVESASGIVSSLQLSRPHCVENADEVARIKAAHPSSESHVLRGGRLLGELYPLRAFGDVRYKWPLELQKAVLGPLGSPPPPNLLTPPYLTAMPEVFHHKLTKSDRFLIVASDGLWEWFDADTAVRLVRDHSLGALSLQPYEPSPDLTLGEVYEQLKARRAGERKRPLDTNSATHLLRHALGGA >PPA01405 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:310712:323570:1 gene:PPA01405 transcript:PPA01405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-obr-4 description:Oxysterol-binding protein [Source:UniProtKB/TrEMBL;Acc:H3DVH3] MFTSSSTTSPDLGSSSITPTIAARLPHLAAVPPDSATAAAIKAHLHNEPMVAPISYSSSDDEFYDAEDYEEEDEDKQVRVCLDFIILFFLFSHLPPSSLPFLPSFSPRARPMARSSCKHWVKRKNCAGCVAGGGGGGGGAAAAAAAAAAAEDVRRTVAIGVMDKKDGTSPTAAAPAAGPTAGAASSTSSVMGSATGSPSTTSMDDDAAEDYDAVYDQTDETDVGDVQQQHGSVLVHLLSQVSVGMDLTKVTLPTFILERRSLLEMYADFFAHPDAFIVTPTLETAEERFVSVVRYYLGAFYAARKSGVAKKPYNPILGESFRCKYTVPNMDKEGTPTTDGPFPGTDVNEVTFVAEQVSHHPPVSAFYAEHPGRRISFHAHIWTKSSFLGLSIGVANLGSGRVILHDLGEEYVMTFPSGYGRSIMSTPWVELGGKVKVTCEKTGYYAEIDFLTKPFLGGKPHRIAANLYKEGQKKPFLVIRGEWNDKLYAKRPDQAEYLFVDVRESKECRKQCVPVMQQGDRESRKLWRHVTVGLLRNKIPKATAGKREIEQRQRDEAKGKVEPGPGFAQTTGLPVLAVFPCHA >PPA01424 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:424658:425266:1 gene:PPA01424 transcript:PPA01424 gene_biotype:protein_coding transcript_biotype:protein_coding MTIATTLQQQKKVEKPEVTGATCSIDGGIIRRLIVIIYFLGLVLPTVGVFLIPDPRAFLVYSVYLFFAILCMIPTCLIYLASVDARLVIYRTQSGSLRVKYIDIDPVPTDIAVISKV >PPA01404 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:306846:308106:1 gene:PPA01404 transcript:PPA01404 gene_biotype:protein_coding transcript_biotype:protein_coding MWYAKHEWVGNSVITWKSSITTVSTTSKSAASTGSSSGKGDHHSAATTPHAAAAVSAREDRRLAKTQSYRERGENTWRLAVAMVVYRPEKQC >PPA01426 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig101:432236:432965:1 gene:PPA01426 transcript:PPA01426 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKLLRPDEEFGRIYEDDRIMNTTKAWNQWTSPCRWEKVEMTFEDYPMGIRHIEVHSCGEDRQNWAGNYGAKFANLEIRIEMPDKVYWITGDDE >PPA01423 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:422398:423307:1 gene:PPA01423 transcript:PPA01423 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLPLLILAFSVAISGEKPGFLERLAPVNFLGRDKRDEEPSVYDDESANRAKRLELSYNEWDDAAMSEGENRLKREEKDKKKMKEEDAEEESPAEESEEGEEEKKEKKGKWAWIKKGFKKGLSKLKSGGTAMFNGAKKGAKLAVGGLKSGYNKLTGKKKEKEAEEEEA >PPA01407 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:335721:342083:1 gene:PPA01407 transcript:PPA01407 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDLHKEDYYHGLLPRGDTEDLLLSVGDCVVRRSHESPSATAIDHRPHYILSVCVEPGSSKVHWRRPGFEPLPKIVKHVLIHHSNGKYCIGRDYFECIPSMVAFYITSPILNKNIHSHPLFLTPIGRQHWELEAEDVAIGRVMRSGKTREIHFGTLHPHSKAEIDATIKVIKVHEQRKEAFKEMMHEVRCLLLCRSPFVMLLLGTVAMTEPGLMVMEQASAFLSSFLERNATLPEQAKIDLCWQCSLSIAYVHSLDFVVRNIAARNFYCCGGKVKFGDFSLARRMLLETEASPSVSVSPAGKARQSSSNSSKSPSSTTASWKTETSRSAGSKTTSVSMTPPSKSSTTSVKSLTPPPAKSTTISQKTMTMSEREMRRKARDYWNIPSFRDTTSIPLPIRWRAPECLQYASYSQKSDVWAFGVLCWEIYHAGRMPLPGLSSGEVQHFILTGQHLVFDAITPRVKEYIMDKIFAEHPDNRFLMEESAKMFEFFLELEGKKDSKEKVGGGGNSKEKENSKEKEKG >PPA01432 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:464836:467573:-1 gene:PPA01432 transcript:PPA01432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ztf-16 MDGTEIKDHFQEAHERHQGQMYQCDICHMQFSQKANMHRHRMRHAGVKPYECRYCKKRFFRKDQMQEHSMTHIKTGADFDCPVQGCSLQFGQHSVLRTHLDEAHHITSGTPASCKRCSLLFANSRRLLLHYQTKHDEGDTSGIVAAHYEQGDSSPTASPPKKRRPSIAPSAPSTPSLPTTIMPAPAFVPTSAAAFLAQPQLQPAAAAAAAAAANPLALLQARLEEMVKMHTMQQMAAAHQAAAAHAAQQQLLPQTQQPFGLFASPKLERYSPESDGSSINEDLLLALSKQAHPGIFGMSDSKSIWPSATESVSNMTATASEDASVLSPSVDSHHSPAEIDENLAKEQQECTHCDISFKDKTLYLLHKGLHSEFEPWKCNLCGHNCGDKYMFTTHVISVDHSCHMQLHWKHFPDEPNPN >PPA01442 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:526579:526991:1 gene:PPA01442 transcript:PPA01442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fcp-1 MTVCLMTVMKLFFMLRRCSDKSAPHSTNCTIARPRKIAIEDLEIEGDLEEIMTGMCKQVLEGYVVLLSDAEYHLPNVCQETSHWEVIREDRMPIG >PPA01414 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig101:369067:371804:-1 gene:PPA01414 transcript:PPA01414 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNTFKCNYGEHVCGSCHQCVKRKSACFVPPPKVDVGVVRFVPRAVPAASSPFEVVEKLVRQVFHYRQKYVLKGLKTLYPEEIAEDRAHELLREVRVSPSTTSIRLGVEEYAAMAAVYERQCREVPGLFLRQRQSG >PPA01388 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:223116:225111:1 gene:PPA01388 transcript:PPA01388 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPKSVSAALATHFGNALVSPAPSPLTDEERRMFSRLKILLTEKEQGLIESEEEDEEALVQESGDSDSDYDAEEDEKPEQRSRKPWTKELCDKMLEFYRSKDLEDGRRGASQSFKRMQNRFRAHMKTEYDLTLLRKYEKTGVIPSERYSAMRQLASDVRAKLGEKMKKGVPIHDTDIRKIALDLNKLNAASGNFKASATWVSKWKVHHRIVSRKVTKFVTRKATKDREKVLKQIDELRIKFLAVVRKNPGIVIINADQTGQVKEMHSTRTLAEEGSKDVVVEIESKSATTHSVTVLPTIYLDGRQHPIVYVHLGEPTGSLPAKKAVYGNKNLVIGASKSHIMNREAAARYFKEGLVPKGHKVTILNIPKGGTSLAQPLDLCYNQQWKCVMRRLNDAILVHDIDFVLHTRDNLLRCISQVYWAFGAPMFKEYRKYGWYRGGFLTTHPAPFVTPPKYMFGEGSEADCPCSEPGLIRCPYCKKAHCFSCFVINSHRCA >PPA01443 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:529166:530020:1 gene:PPA01443 transcript:PPA01443 gene_biotype:protein_coding transcript_biotype:protein_coding MKLATKVGGACGPYPQFIEHVLPSICNEALKSVVSKFNASQLITQRQQRVNGVIIGLDKEIIDPKIQSFSGEHGHLITD >PPA01393 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:245095:249086:1 gene:PPA01393 transcript:PPA01393 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYSNSYYDDYKFMPVMPADQGMEDPWYTEEGYALVENQQQPFLMAKQEPVYQELQPAAMLQPLQHMQPLDNYQLPQTAFPDYLAVYEEEPLTPPPPAEFLNKPYYVEDSQPVETEVKPLVQKKRGRKRKQDETVDLRSKVEEEVELFNASDDTEPIVAPQETGKKFCDVVGRLCVIGSLAHYAVTTDEIKRRASKPDGLCCSHIGALLKRGKVAGTGAELQKLLEDQEIQLSDKPIINRKKYSLNTLSALLEGESVQLSADHRELALAHFPFTSFAHLIIKSIPTPQELSAAAQEVTAAKAAMSSFSAVLNQWTAGGWTKGKDAILASPLRLFAYATHVLGVEECLLMVTLFKQFLQVYEQELSAFISRVESTGYATAVKYLQIPSGKLHQADESEESNGPQSFTSISGRLTLSNKTYNVTVSEIHRRVRQPESLNISILGSLLKKGKTKNNCKLLSDQLKKYNINLDAGRRKSARNTTFTAMLEGEALALASDMEAEIDRSFPKKKLIAEIVNATPRYAVEEQQFGFASASRLTASLRAKLFDLCLPIAERVPHTINENAHVILKYCNLTHGYGPDAVISWMNAFGQIFEKTSKSFSYASFSDENN >PPA01401 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig101:278754:281124:1 gene:PPA01401 transcript:PPA01401 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDVGNLGQNNDLNQNVSTMPVDGRISSVVQSSIKLINLMVKSNADTEKLKSALNSLKNERELEGTLDHSRDAPIGKLASDLTERVEFLIDWIIKKEKCRNGNQGIAPARQIIDESNDELFNVSDVGVESQDSEKKRPQPIPGNVTTEMVEDELFDVSDYEEDLLTTTISRQCNICGRMLGSRTSLRQHKMLHLEDPRTKVLKPYECDTCEVTFFCQSALTKHKLTHLDKNDPARLAAMLECDSCHLVLSTRHSLTRHKLTHLECQWLGGNVERRYRQIIS >PPA01399 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:272473:273707:-1 gene:PPA01399 transcript:PPA01399 gene_biotype:protein_coding transcript_biotype:protein_coding MPVILSFENHSSLEHQEMIFGLCKDIFGDLLLDKPIDSHPLEKDTPLPAPDFLFKKILIKNKKNASPGENSDQSTEDEESGLIRAAPESTVHPGLSDLVIYTQPIKFKGFNHARVAGRHYQMSSFSETKGSELIQQSKGRFRPSWRLRLSSEASTSSATNEFWNPRYLADND >PPA01438 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:507917:509791:-1 gene:PPA01438 transcript:PPA01438 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNQQKVPYILEEGNTQLNFVNENDNFNSAPLIVWVVREDAPNIDTAEVYDAYGTIRDSKPAGLITIMAAEPFYVTVLTYGPSFPFQAITAGFDALGEEDKCTRVIREEVPVLYEDLDADVRSPIITLDFKSGFTRVMVAAYLSDVDLDLSKTLFVTSPGYIGCAVKESDGRTKTFRSSYYTATTEYDIKTDNLTSIVLSGDINVDPDHAVRIVADDQLPIEWSGINTYPSTSLEVKELRISWTRNEDDLDQYFMIRVEPDRDLRTTTISSDEKTTIVDDDHTEKVETTTVTTRRTMPYRSATPRPSKTSVMTTSTSTTTVNPTTSGVRKELQLVAIRFFVITMLF >PPA01413 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:356061:357500:-1 gene:PPA01413 transcript:PPA01413 gene_biotype:protein_coding transcript_biotype:protein_coding MLANRSSVWTEIYIFERAALKLKCEGYDLGRLLSLQDAQCAQAKQLQSFLSSTRVPAALLRLARVRDLPAAAGDSTEMLLQEFDR >PPA01369 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:75980:79982:1 gene:PPA01369 transcript:PPA01369 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLTGLRCRHYACKPCWERYLTTKVLVDSTSIIECLYPDCKLLVDDEHFMTLTGKNNVVKKAFSRLTLNNFVESNRKLRWCPGTGCEMAVKVTSDQTRAVECTCKCRFCFECGKEWHEPMDCALLRKWLQKCVDDSETSNWISANTKDCPKCHTTIEKNGGCNHMTCKSPACTYEFCWICMGDWKAHKDAYNCSRYVESGTVKDSRAALEKYLHYYNRYMNHQNSLKLENKLYDSVRAKMDLMQRVSTMSWVEVQFLLKAVDVLSECRRTLMFAYAFAYYVKQGNELFIFEDNQRDLEIATEQLSGFLEQDLDQILQTENMVTLKQKVQDKYRYVEHRRNVLLNHCTEGAERDAWQFNNY >PPA01389 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:226396:228739:-1 gene:PPA01389 transcript:PPA01389 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFENLPEMTEAEVSAHAEKNSLWCIIDGIVYDLTKFADEHPGGDQVLLEQAGRDATEPFNDVGHSADAKEMAREYAVGRLKTTGEKAAPAQSSKVAGSSCSASFKDIMTSPTWTNFLIPTAVGILVFVLYKGVQRLLI >PPA01398 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:267353:271624:-1 gene:PPA01398 transcript:PPA01398 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHGDGLDEMCIFKSGIVKSNGLNPRYESTFECPEIAFPESAYLQFTVRDDASNVLGCRVLPVDGLKVGYRHVNLRTVTHAPSFSSLFLLITKKKKKFQNSHDLPPSIGQGLDPSLPKGLVLRVDPCLELLHKCVSVLELLSSTEVREGAETVVVARGQIRGVRELVHFLLGDFRMMRARVVHEHEDFALAQEFGRDPDRHLFQLGSEEVSLDGDAGREDLPVDGTEDGEEETEEFLLSVKFRLWSLLGFLIDVHPLKFPLRIIVGDPLLIHGDDVADPIEIGSTLSCREVMRNHLGELRCLPSIMQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAGVKGGGIFAMSLDQLTVGFCRRSTQEKIMKQNGASKDTLKAPLIPHDQLQIFDDLDKISHNRKGDICAKLWGTYITDKTGKLGQTDGMKYYADSYYNYRLQGDANIINFNCDFFKRIRYLSDRFFIRRLDLSFERMQLRENGNIFLTMTKNMESNIVVDAIGNRMVSFL >PPA01439 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:510452:513488:-1 gene:PPA01439 transcript:PPA01439 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAPALVGFTHSKLFDETDLAVQNVYIPDFCEEGCRIYASVPEASIEIAKNIMVHDYINDKDSLYDISRTKGGDQKGYHEVERGNTQLTLINNNPGFVSAPLAVWIVRSDASNLAVSKVMDAVDLNTAPESLGVVTIMGAAPFTLRSKTEGPMILVATLSGYDSMNNEEDECTNVLEQLNDQFLSNVQVSVRSPLISFFFDEMDYPDSKVSLTAEVGQVNTLDFSGISFVASPGYIGCKEKKTFRSSLYDAATTITYSSYDRLYDVSITSSLYTDEEHPVTIRDKKNGDDFSWAGNTNNENAIVQQTNSLEIVWTRNVNDLNQFFLVRITPSNGQANPDASATTTIGSDDVTPEVTTVEAPVRDPGLGTTEDPEASTEAAEPERTTTVADAKPTTASTSTTTTLPSTATTARWTKKHWIWWRWHRRRTTTSPPTTTGGAQSESAFVGVALLILLLF >PPA01368 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig101:72638:75802:1 gene:PPA01368 transcript:PPA01368 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYYYDCSDDGYEASSSGNECKEKMSDNEDDDIAMEEEDQDSPQASSGNVVLTRDELQQEMNDMIKEATEIVEISPGAIRILLNHYKWNMENLLEKFYECSNLDTFLQRARVNPDPAKLGDGKERRKAECDICCSSHDLPPSIGQGLDPSLPKGLGLRVDPCLELLHKCVSVLELLSSTEVREGAETVVVARGQIRGVRWVREPFHLQLVHFLLGDFRMMRARVVHEHEDFALAQEFGRDPDRHLFQLGSEEVSLDGDAGREDLPVDGTEDGEEETEEFLLSVKFRLWSLLGFLIDVHPLKFPLRIIVGDPLLIHGDDVADPIEIGSTLSCREVMRNHLGELRCLPSIMQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAAYGGIPSPFDPGENNEAKRSGSLEQCS >PPA01419 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:387167:387649:-1 gene:PPA01419 transcript:PPA01419 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTSAKMLGAGRGLLCVSRLSKHTLPDLPYDFNALEPVISSEIMQLHHQKHHNTYVT >PPA01384 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:172922:174378:1 gene:PPA01384 transcript:PPA01384 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKLNVLVSEPLIVWERGREEVGERRTVDLPPPPGLFLAPPSTQFVKVFEFPALAPGGPFAVGPQLP >PPA01385 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig101:201502:204728:-1 gene:PPA01385 transcript:PPA01385 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDVVEGKMIAHLGYERASHFGRAAGVGARAETGQDSLSGGPLASDLAARDALRFIETEQHTRLRVLPTGGIPEALARATAECAPTALLSLDTVKDSASLPPLTQCLHVDSFAHSYNIFDSSMYHPHHSEPSPSKITMFPL >PPA01367 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:62563:67025:1 gene:PPA01367 transcript:PPA01367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-exoc-7 MGSDYGETMKLVGDGISTTSLKKATRFAPEQGMGTREAEGADTECGLLVTAAFVALVYVEEGILDRAVPVFERRAQVHRDLLKAPLNYVIKVLTRSVQESEGSLAALLPLLRFVAARHVQLSTIAENAHLEQPYQSAFRALTLRCSSYIADYFERGAVGKIGFCGVAAALRTTFERGAESP >PPA01448 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig101:548067:548757:-1 gene:PPA01448 transcript:PPA01448 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRRVIALAALLQSSIALVEFTNSKLYDEKDFSVQAVSIDGFCNPGCRMYVSLPDSSADVAKQIVVHDYTHDEKRNTAEEIDGF >PPA01436 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:499096:504969:-1 gene:PPA01436 transcript:PPA01436 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVPVVLLLLSVLICGSNAYVRFNNSVLIDETDIIGKDTFEVPLDCAGARKIVCRVYVSIPKSSVAIARHLEIGTRNIFNLGFLQVAAQPSSEKGTKGYWEVWDGPLPLRIINRNKNGETAPCLVWVVQTEEHVNNLLVFDAISFKYAVLNPEVDTVTVMSTEPFAVSTHTNGPMTMSVIAAGYDTVLLDGTDSCENVLEQSDPSTYQDLNLWFSGPLLTFSFDRDTYNESGIAMSAAIEEAGVFPLDKPSFISSPGYVSCEPLDDDSTRSFRSSLYDTATEYRFESESPVDLVLTAQLDVSESDAVVVEDELNAQQFTWSGNDTIYLELHTNGLTVSWNRSETQQEEYYFIRITSEPEPIFKTTTSEPELIVKTTTGEPEPVKTTTAEPEPVVKTTTAEPEPVVKTTTEEPEPVKTTTAELEPAVKTTTSEPQPVVKTTTAEPEPVVKTTTAEPEPVVKTTTAEPEPVVKTTTEEPEPVKTTTAELEPAVKTTTSEPEPVVKTTTAELEPEVKTTTAEPEPVRTTTAEPEPGVKTTTAEPEPVVKTTTAEPEPVVKTTTEESEPVKTTTAESEPVKTTTAEPQPGRTTTAEPEPVVKTTTAEPDPVVKTTTEEPEPTTTAEPDPVVKTTTEEPEPVKTTTAELEPAVKTTTSEPEPVVKTTTAELEPEVKTTTAEPEPVRTTTAEPKPEVKTTTAEPKPVVKTTTAEPEPVVKTTTEEPEPVKTTTDEPEPAVKTTTSEPEPVVKTTTAEPEPVVMTTTEEPEPLWYSRCD >PPA01416 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig101:374804:376907:1 gene:PPA01416 transcript:PPA01416 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFAVSAKAVAAVVASSFDPSLDIPVTRDALNFSEKKWADRLIAMLRGAESGELVVCERVEAIKEDGHEEEEEWDFEEPIDQPATNTRHTWTTEMMNEAYAFYRTGHKLRNPGKEGCRSLTSMNKKFRWLKTDQDLRTLVHYGKSWNKPTNRNEQLRLLAEALDEEEMAIVLNEKKKCWPKFTASERWIRQWKSHYRVTGRHITKIVSVKKKKDEGKIKEQVDELRAQVQQIVEEHPDIYIWNCDQTGMVKEAHGKRTLARKGVKQVVCVAQSVGATTSSITLLPIIGMDGYVKPKIFVQLGEPGGKLPKKGCYRDSTLDIAVATSHIMSKLSAIQFYKEVLFSGFVPPKLLLILDSWPAFKDHDAIRLCAPPNCQLFIVNIPPGGTAMCQPADISFNHQLKGIQKRLKSIILAKKIDYRISQRDNLLKFVSQLHWCMGADRFKGFISYGFYKGGFTTTKPAPFESPKDYMFGSGSMAACDNCSSLSCTKCPRCEKPHCFDCAR >PPA01425 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:429922:431917:1 gene:PPA01425 transcript:PPA01425 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLPDPLLILLPHRIHLDNGKSRAKWVPGIDSSFYAILAHAIVCNPFRESSQTKMASINDYPAIIVQEIAKRLDYRSIQAMKLCNRHIYNALTDSLLWIELCERDKRALPSIEFRKSLAESADNNEACVGQLDFERIWVKNPFRSNLAPPMLESLADMQTQYGWTFNRMMVVEEPPAGTEPHPEVTRCIATSCWEGKRIVKIDLVKEGIPGHFKP >PPA01390 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:230243:231947:1 gene:PPA01390 transcript:PPA01390 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNLAPLACRVQTRACSVPTIREADAKRKPIGEVLGTAQPKVEAITTVEEDIKCSGVPEAHQAARTARIFKPARESPQSAWNNTKAWKIELDNQGRWHNNLIGWASTGDPLSNVSMHMKFASKEDAVAFCEKNNWGYEVEEPHERQIKPKSYGWNYSWNKRCRNSTK >PPA01437 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:505996:506967:-1 gene:PPA01437 transcript:PPA01437 gene_biotype:protein_coding transcript_biotype:protein_coding MYFMVRIVPDLDVKTTTTVDPEERTTTEESEPIKTTTAEPEIQTTTVEQEERAKTTTSEPEVRTTTEESQPEKTTTGEPDEPVKTTTLEPEEPVKTTTTEEVEPEVKTTTLEPEPPVKTTTTLAPEEPEIKTTILEPEEPIKTTTDKDEPVKTTTLELEQHVKTTTDDSQPEHEKTTSWEPEPAKTTTKTRRTTSEKPKSSTTPYHPKSTTSIPSSTSSMTTTTSSAGYGSLMLSFVVASAVILA >PPA01383 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:159022:162674:-1 gene:PPA01383 transcript:PPA01383 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAICADTVLAHYDPREEIVVAADASQYGIGAVISHRYKYGSEGPVMYASRRLNYDETKYADFRSQEVPQTDHRLLLSVFGGKNGIPRAVRDLVSRYGSPETIVSDNGTQFTSEKMKNTHVSSGPEKCAFGLKEVHFLGFIVNESGRHPDPKKVQALKEMPVPKDLAQTRSFLGGANYYGAFVKNMTELRIPLDRLLKKDVKWNWTAECEGAFKKMRDAISADTVLAHYDPREEIVVAADASQYGIGAVISHRYKDGTERPVMYASRRLNDAETKYAQIEKEALALTFALKNFGKADMLSRLIREYTRENEDVVIAHLAIEDDIEEILHNGVRATPVTVSDIRMCTEKDAILQLIKKYLREGWPTKPPKDQLVLPYYSKRDSISSVSGCLMMADRVIIPARLREAVLKELRTPRNGCASAAKNPSKVEPVPWPVTERPMERIHMDFAGPMNGRHYLIIVDVHSRWPEVTTMERITAEATVRAVRDFVSRYGSPETIVSDNGTQFTSEKMRTFCTEYGINHVFSPPYHPQSNGQAERFVDTFKRSLLKMKGEGPEDENIQKFLMMYRCTPNNQLKLRTPAEVFLGRKMRFRLTLMSPREGEGRRKHDGMVVTRELVTLSTTEIVMVRIMRNEATVQKKIGSALYEIVRESGTKVVARANQMKQRYARADVDPLSVLIDEFRYGSKSFRQPPNEFDNISSPTVPKIEPTKNVENDQKKIDIEPTDVEEDSLVQFIAAIQREGKKENSEGRYMNVEDTVDHLVKVVNSRRDKRFMGAPSMILDAACEELIPVLERNGIAFTTICSFDVRDKSRFH >PPA01417 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig101:377702:379723:-1 gene:PPA01417 transcript:PPA01417 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLPPLPALRDFIHLYKLRAKKILSQNFLMDMNITRKIVRAAGVRDGDWVCEVGPGPGGITRGILEAGASRVDVIEIDHRFIPALQHLSQAAEGRLHIHRADVLKTCVGEVWRENAN >PPA01361 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:32725:37971:1 gene:PPA01361 transcript:PPA01361 gene_biotype:protein_coding transcript_biotype:protein_coding MQAITDKIAGLSASANTSFTRSNASFNSKKSVVRPSRKSLLAAQRQGRSTLALDEDDYEDGLMVDEEMEGSYTIYWLSLDEDDYEDGLMEEEEMIEEEVYDPTYTAVRRTRNPVIARPRVATIYRNHVPLQDRISFAPQPYRVAPYNNAFSTNRGRAGFRGSYGINRAQNGFRHNPNYGRLNAGFQPRYQNNKNGGFPPRYGRGGARGGFVKKQQPKKSQEELDRELDEYMTKSHPANSKHVPITMDGLE >PPA01378 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:114332:119181:-1 gene:PPA01378 transcript:PPA01378 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVHARPPAGLAATAAAAAGTKEENGAPATSPTFTTAPLPSPAHSNGLMSDDDECWHDADEESDERLTAGRSEEKEDSPPAAASPPAQLQQLQQSRGSQESNDDEEKENQQQTVDRHLPFGCFDRVAFSDDHSLAEEVHKLTESQLDYALNGANDTAMWTLFASDGEMKMYKREIEQDGLPVDPLKALHSVKGVSALEFTHYFFDAQYKMGWDHTLEGMR >PPA01381 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:133120:133691:1 gene:PPA01381 transcript:PPA01381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:UniProtKB/TrEMBL;Acc:H3DVE9] MANNERSFIMIKPDGVHRGLVGKIIQRFEERGYKLVALKQMTASKAHLEEHYIDLKDKPFFPSLINYMVSGPVVAMVWQGLDIVKQGRAMLGATNPLASAPGSIRGDYSIQTGRNICHGSDSVDSANREIAHWFKADEINDYNSPFINSWVYE >PPA01366 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:58198:60204:-1 gene:PPA01366 transcript:PPA01366 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVPLPLRMPSDTLRSTKGKKLYSDDNEYIYRVSHMVGADTKLRCVKAGCGAKATIGASGEALINANTTHTHAPSDYPLEVYGARKAVKFLRSQDVAPKAALTAVTAQLNPAVEPFLFSAAALKKGAQRLVPALTSSNYPYAPQTLLITRDGRAGHLFVPTVFALLKSKRVEAYQEIFDSVISMGVTNSPSVILMDFERAISTAAKRAFPTSNGLVGDYKIPTVKTTIRCLAALAFLDPADVAVYYGSLAAYSAISTPQCQPVIDSFGRNYVGLDTTGNVHIPMYHLEEWTVRDRILRSFHASNSAQESFNASLKGIPAKCAVSHLEGALLEVANYWEDEQTKATQSNLYLWQYRKQGNKRRSDEENRRMALANGAMGQSNLDHLKSLSMYLPVLVDCCCYD >PPA01387 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:217574:221456:-1 gene:PPA01387 transcript:PPA01387 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHLRLVLCLLSGELQLMTPPSATAFVYVGDLHRSKINLPEIKDHSCRYQQTPLSQRLARLYYTRAMMEDPDAARPLNQLSIMMDSLPAVRCLLQAAACSKAFRVTQRNLEGRLGKMKLSPSASLVRLATLIEAAMAEKRPDDEQFATAAKEWTEALREYVKEMREGGGGGRKEKSPTPGAKLLQQLQQVQQPQEDLLLQLHCLSLAAGVAHSSNASALSDLVQSSLLLLLEREKEEEKEEEVIKIGSRRRRASDSDDEVGFMKHIVS >PPA01418 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:384697:386129:-1 gene:PPA01418 transcript:PPA01418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sod-2 description:Superoxide dismutase [Source:UniProtKB/TrEMBL;Acc:H3DVI6] MAKDGGEPSKELSAAIKRDFGSMEKMQEKLSAATIGVQGSGWGWLGICPVSKQLRIATCANQDPLEATTGLKPLFGIDVWEHAYYLQYKNVRPDYVKAVWKKSR >PPA01380 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:127840:131736:-1 gene:PPA01380 transcript:PPA01380 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHRVVSFDALPVSSSTLTPPERRRRNHTQEGYLTPVEAVPPTVSSSIVSMTPATGSADEGHGKRSIGGVLYKWTNYVSGWQPRYFEVSKISNGSLSYYKSKAERTAGCRGSISLKSARIELTDDFSKCEFSVRVNDDIVWYLKADSPLYRERWHRRLTAHAIEEVAKRDKIEEVAKRDKSAFVVTSTFSVSRSVLIAMERRALFGWWF >PPA01382 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:145476:150025:-1 gene:PPA01382 transcript:PPA01382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-37 MISAIKLREQAGGSRGGGGGGNPFLDALEKLKDDYNHMQAQLSSQRAELDKMNAEKEQLQRHYMMYYEMSCGLNMEMQKQSEVAKRMTALLQSMLQYAPHDAQASTIQAMERAKQISLQELQQLTAASQAQQMLGMTGPMAAMGGLGGMLTALANTAASLQAGALGGPGGLNMAAIAAAMGAGLRPPAPPGGGGGDDRPVVPWSSRQLALKPGLYLCILETEDGDDDEIDVQNDDPAGPAANGKTGGRDSVHSGISSSVVMTVDSVAMLGS >PPA01428 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:436753:440236:-1 gene:PPA01428 transcript:PPA01428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lfe-2 MAITAMPKETIDIWLKERLKKWIQLSGHEGSIVPASLNSLYKKQSGPCSEGTAYRAIQDDPALCGFAPKFYQQIEKNDEIFIEIEDLLSRFAEPAQTGIMDIKIGTRTFLESEVSNTKMRKDLYDKMVAIDPSEATEEEKARGEITKLRYMQFRERESSSAALGFRIEAAKMPGGELQKNFKKVKTEEDVAAVFSKFFGSERERVARALTQRLIALRDAIERSIFFSDHEVVGSSLLVLFDKEQCGVWMIDFAKAVKYGPSKVSCCRKITHRDTWVPGNGEDGYLTGIDHLVNVLQSLNEDDHATTRCR >PPA01391 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:232692:239248:1 gene:PPA01391 transcript:PPA01391 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPQQGTSGGVGAPRLETPSNVQRLLDENAQLIKCIGDDHNAGRVEEQHRKQQLLHRNLIYLAKFADASLVAELMEQPVQNGEQQLQQGLAAGAGPHSAPSPSQQQMMQQQQHMQHAQQMHPGAMQQQQQHGQPHPGMHPGMQQQQAMYAQHYGQYGPPPGYGQYPGAHPGQHPGYYGQPQQQQQQQQSHPGQPHSAYGYADPNQQQYYQQQQQQQPRPSQ >PPA01427 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:434596:436369:1 gene:PPA01427 transcript:PPA01427 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPPPQSVPPSSNAKENRTIGMRSLIVIAIGACLLAMVLASPARKGNDHFNLLLPQCGGHGVFKDGKCHCSSHFHGEHCEIYHSRRCTKDEECGFEHAYCMTKMMMQCRFSSHCKDPSGWCVPLDPKLPEHGRKSRATVNL >PPA01410 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig101:346416:353022:-1 gene:PPA01410 transcript:PPA01410 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRVTKSAPVWRDYEFLEEQLAVSYSPENTFCFALDRKAPTDFRRKFVALSICLDNVYVASEEYELDSAGRGQSSAHFDCLRNIRERKWKYVIFQQNHDIVIKTNSEIVEIFKTMGGANDMEMSMCPQDSRCSLHEKNLGRLGLCPKNLSKKDLAACEKEEITMAKGWAQVSLTRQTVEYLLDKLNTTRLIAELHQMYYGMDELFVQSIAATRALRMPGMYPARCLYENNSVAPSNHLFVTRLTHWNWWKAYGCGSNIWRPLITSPARARLEVAVGGRRRLERKDQSFCRPFLASFMYLSVDYGPKQAVIIRGAERRHSETSNGRIIARSASSDSGCPLPLSSSPVLASDGASLPSSHGSTTVHGNQIRVVRKNIARFDRDQTDGQRAALCVALSPSTRLPSRLTPSFQAKKCLPTDIRYKKTRAMRRALTKHEASIKSAKQQVNVNLIRYKQTSEGAAATAETVKSKFNDMLNSSLFKCFESKLGIRRVVCTKHHAKMTASTSIDAGVSMSGPWSLRRLQFGQYGAHPAPLHFELPYPTFYCSLLLVVSGN >PPA01364 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:52207:54698:-1 gene:PPA01364 transcript:PPA01364 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDECFKCHQSSCRSTCYNCGNTGHFARECQEQHEDRGGYGDGQKCYNCGKPGHISRECTEAGSGGGSEKRCYNCQGTGHISRDCTAA >PPA01403 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:295890:301590:1 gene:PPA01403 transcript:PPA01403 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALSKWTNVVQGWQYRWFVLGEDALLYYTSKEKMAKGQQRGCLRLRGAIVGIDGENNSLFTITVDGKMFHLQGRDQRERDQWVKALEAAIRACSAYHNPVHAPAAIRSQLQQAEVHLDAIKEQVDKLEGLAAASQVSHKEKRTVDDLLTSSNRLIEVVGRAVVLLQMAQSRIECTVLAANENENGRVRGLQRT >PPA01386 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig101:207503:208424:-1 gene:PPA01386 transcript:PPA01386 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVGGGKGGKDEEEEAETGLQWVALWTARIVAEEEGSIQHSPPAGFIPRADHSIMRKMAALHMSAQSKKYHYVMWARVRSDRYLYLCDGARLVFDSDNGFELAVTRVLRG >PPA01434 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:491013:491811:-1 gene:PPA01434 transcript:PPA01434 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLIALLLCLLAAALAAPHNDRFNPADQPNCGPYGTLNSDDECECAKHFHHDKESGKQCAVYHSRRCTQDSDCGRDHAYCMHKLTVQCRLSAHCKNPSGWCVPLDP >PPA01379 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:120123:122108:-1 gene:PPA01379 transcript:PPA01379 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIRADTKEALISERLLELGAYRELCAAQMAEIEKELEATQPLQLSSSSSAPPISRAKLLTLKATHIAMLSNVDRILQLTAEQTLP >PPA01394 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig101:249198:250917:-1 gene:PPA01394 transcript:PPA01394 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTDFCNALAPNITDVEPEPIPTCRTPNTPSNATTCRGDFCFTSIHAEMTTTLKYPTTRGCVTVVNGTLDTGRGNGIPFASGYYRYILLEFYFCAEDYCNRRSVDDFKCAFGME >PPA01360 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig101:5074:5574:-1 gene:PPA01360 transcript:PPA01360 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQKANKYLPKVHHGKLAHKRYVPPPVMGGVRKSGGFMTPHKYRPGTVALREIRRYQKSTELLIRKGPFQSFGTRSDNHRRMRTVYGT >PPA01395 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:251436:254158:-1 gene:PPA01395 transcript:PPA01395 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTFQFTPDRVPVGLCTHIFYAFAALNVASFEARMTDEWSDIGLGNFAKTHALKKTNPGMKALLSFGGWTESLSGIYNTMAADPAKRAKFIASAWKLANDNGFDGIDMDWEYPGVADRATFVSLIKELKAASGGKLVTAAVSAGIEKIDAGYDVPAFEPYIDLLTVMTYDFHGSWENVVGHHAPYSETTAAMAHWNAIGMPKSKLLMGIGAYGRGWIANPCAPGTVSTGIAPAQRITQEAGIAAFFELVTMNGQTLQFPDGPFLQATLNGQNACIGYDDRAAILRKMAFVKNEGYAGAFSWTVDFDDSFFTVHNAIKDGLAGATPSGPSPTSPALPVQSTARATPPAPVTTKPSPSRTTTRAPAPAPSASSSRQPPVVVPVVVPVVTSAPATGTCETGELRANANVRKYEQCLFGSWLVRDCPPGTMFDALTKSFVMA >PPA01374 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:96684:98917:-1 gene:PPA01374 transcript:PPA01374 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRHCVLRHLLDTIDEEWVLFLDADVGVINPNHLIEEYLPTNHSTHVVFYDRIMNHEVMAGSYLVKNSEFSRRLLKHWADAVFSLPVSFHGTDNGAIHNVLLEFALPDLREGRERCEQLWRQSKDFPSLDDYVVCTRALMASRSMEGIEIRNKTHAQRWSRDGWLTNSVWSRRDFMLHGWQKKRLDVLGFARWHSPLAHAPGAELALDRDATDERVAALCRAAGRNAAAEWAYKDSFMADDEDVKRRLEKEIERQEETYREKVDRMKL >PPA01396 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:262338:263777:1 gene:PPA01396 transcript:PPA01396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ces-1 MCLFQWASKALEGGGAQGLQPTLNSLLGALAPQTLPRPEASLPPLPYPLVFPQLTEHLNLLYLLQQLAQQQQQQPLLQPVLPKEIKEEDKEQKLSPAQTVTTASPFSIDLLLSSSPSQEPATGSDGRIKGEFSPLRDDKPNVYTLDSLECNDGRSREGEKRGSARCVCDECGKSYATASNLSRHKQTHRPLDSEHAKKCPHCDRVYVSMPALR >PPA01372 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig101:86495:88357:1 gene:PPA01372 transcript:PPA01372 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHHNGITRFGCGPNENRTIEALGGEDVELYDFEQSRLQPEDCYCSFLISNADEIKDQSAKLPAGKTFMEFVLTTGVYDMAESNGFENIPKSRQCNVFTAKATPNVTFDNFIKLRIKDLLSPSLNCDSEKHGKLYAALPGQDAEPVKNVTCKLDTSNGTNNYYYENTTKKMNTWCKEPVYRGQPQPEFSQDKASGNVTITCPNGKWLINKEFYFTGLPECKNSPKRPNNASWFVNIDSKDIEINSVECTEDINCKIATNYTNICPPEHEGHCGKLLTIDGLRCPVEYTLTYTKGVWTNYANMTELGRDANVYCERCAKITRTQFFDHISFGKRATVLRVKVDW >PPA01408 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig101:342405:343149:-1 gene:PPA01408 transcript:PPA01408 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVDYGAISCIGELLYNRTHYALDDHPLDLGIYENLPGVRLHKGMQNDSSYFDRFECPKFPQRKKKPISQVIGEFLK >PPA01431 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:457823:463511:1 gene:PPA01431 transcript:PPA01431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tba-6 MSLYKGNNRSEGNSGHLPYSTKVGLPKPREVISIHVGQAGVQIGNACWELYCLEHAIQPDGTIVNDDDPGEELDSFNTFFAETVVSDIEKDNAVAIFIVSMGGKHVPRALFVDLEPTVVGKEDAANCYARGRYTIGREMIDVVMDRIKRLAENCHGLQGFLVFHSFGGGTGSGFLSLLMERLSTEYGKKPKLEFAIYPAPQVSTSMVEPYNSILMTHTTLEHSDCTFMVDNEAIYDICRRNLDLARPTYTNLNRLIAQIVSSITASLRFDGALNVDLTEFQTNLVPYPRIHFPLVTYAPLVSAERAFHEQNTVADMTNACFEPGHQMVKCDPRRGKYMAVCLLYRGDVVPKDINSAISAVKTKRTVQFVEWCPTGFKVGINYQPPTVVPGGDLGKQTRSVCMISNTTAIAEAWARLDHKFDLMYSKRAFVHWYVGEGMEEGEFAEAREDMAALEKDYEEIGEDEYRDEDEG >PPA01430 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:453657:454735:-1 gene:PPA01430 transcript:PPA01430 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTETPHGKVSLIASRVLRSIIDTVDVEHHPHHHQNLFQERSHFRIPDKSNGFNDVETEHIDLVLPRFSASNTELNFIDQGSPDQITEQDSGNASASEPPSQQRSGSSSFYWK >PPA01429 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:447193:449218:-1 gene:PPA01429 transcript:PPA01429 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVNSRERRTSSIGCWSTKSAPEDADEQYGAAQHDKPPKLRLDVNGMQHRCGHELATPTSDNECCNHPPLATSSNDRLLQIIRCFPFPTPRPSPSSSPRLKRKTNGSIESKNGARKGCGEAKERRSRSVDEKQACSATCDNRGYKHVMGQLVQVQYIVVQ >PPA01435 pep:known supercontig:P_pacificus-5.0:Ppa_Contig101:495010:495774:-1 gene:PPA01435 transcript:PPA01435 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGALISVGACMLAFVLANPIGKGNDHFKPNIPYCHGHGYYKGGQCHCYARFNGTRCEEYLAHECTTDADCGSKYAYCMHKMTACRLSLYCKDRSGWCVPLDPAPIRHGRM >PPA01449 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1011:261:1924:-1 gene:PPA01449 transcript:PPA01449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hmr-1 MVAVYLMDENDNGPIPYTMPDPCIFMENLPVEAQQPCEIRAIDRDTQEFGPPFKMELDSAKWKYSQYLNVTFDQNGDGGNGSMSVVPIVVLDREAPFPGKVLEVPLILWDKAGKMNQHSVYIIVGDESKDVRMYESGEEKGKEWGRENDNPMHDGHTSITVNSYMGKLDETKIGRVYVEDLDDWDLGDKTFIWKNQAPGFDVSTSGELTMGARMPPGRYEMSVSVHDKRRNEDGIGSVTVIVYEVVKEAFVKQ >PPA01450 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1012:122:1433:-1 gene:PPA01450 transcript:PPA01450 gene_biotype:protein_coding transcript_biotype:protein_coding MPIICPGARGLGQTLQQLQTLTMRISSRELAMRRRVLASGQDLMIEVATAYDELAVGQDGRLRVPCNVDVAALAAFLEEKGKMSK >PPA01451 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1013:3393:4465:1 gene:PPA01451 transcript:PPA01451 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVVLQDAGIQQLGFLLGSCAVKVALTSEGCYKALPKVAVSSSTPSNSTAPSGSNSLSGHSNEIVDLKGWPRLYWITTENLSKPGRDWQPPSRVADESVAYIEYSTDREGSVKGVCVSREAMLAHARALATAMDYNMGDTMVCVVDFKREVGLWHSIIASVLCGMRVIFVPYSLMKVNPSCWLLTATTRT >PPA01453 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1016:26:2296:1 gene:PPA01453 transcript:PPA01453 gene_biotype:protein_coding transcript_biotype:protein_coding MFIHRIPDVESLGSPNLQETRRAAKAYGGQLLRFRGVLQTEVETVCKEHHELILSRIAEKKEESCDRNYGDTDDPMTGGEPEHTALKNAFFSFCKEAEIDRVCPKLSFSDYTKDTQTRKQRNIERIWWTTLELVVPGFEMEMWDRVCKTGNYREWDNFGREAASLILPEIAHLYLLADDARTRDIILSQAAGPLTYSELLPYFPGLSTYKFNNAKRIWATQELPPEVTITRTRWSDEKSLYFVHYLTSPHMWVQYPSGIRKAKFSTGEKVDIPNVIRNFGQAETIRHYRAFMESQGLGDKAFDGIEEMLDRIVAMGKMDKDGETERWFKFLEFRLYLKHDYILHVKNDSRVADHSLLFALSDPYNPKFAVQPAHPHDLQELHDYLSNLILTDPDNEKEYKEMLFNLANYQEAIMGLKKHE >PPA01455 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1017:1412:1986:-1 gene:PPA01455 transcript:PPA01455 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGSIDDNPRKPLKITIMRKLLVDDDSNIANISPNDEDNQDEAKNTSRKRQKKYYSQGQPQEETLDDDKNEHHDQQVYSRISPM >PPA01454 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1017:319:1059:1 gene:PPA01454 transcript:PPA01454 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKTKKLIYVRESGGVTWARELTQSIIAIDVEEGPNAYDGRLSSVYAPEEASLIYLLTDMCELTVLHPITMEERSFGLRLLPELLRATGDVQLCRRASGKGDAEGRIEAIRAGCCRFRRRNKN >PPA01456 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1018:3264:4171:-1 gene:PPA01456 transcript:PPA01456 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDERMLEMTLREGISDDTFVHQIDNGTTFYRKARSLWMMKKLIYRAEFKEPYAIETYYLRDLLKGENIHPSGMCSIVCDGKVYVYRLCDDPVRDRIHVDVPVDVLEWLELKAIHRKYPGADIVIREEDGQNAIVLG >PPA01521 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig102:480878:489547:-1 gene:PPA01521 transcript:PPA01521 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRMKSVPCVPTACNPLAWCPKCYEPKHVRRCELRETTGDEELDGPTVQKCAACGGQRLQSPTKETILESCVMRVAANRGKLTDSLSAFFTPTPGGRRRKTTINTHEDSTSDEEPDGRASKLMGPLSGEVGTEGAGGSGTASKTTPSSTTSSSHHHHRRAEEQLHDALSPYFSAASGKRRSAVKGEYARMQQGGGAAAGGGGSQGGHSSGAEAMLSPGGGGGGPSPGLNSPKCSRRGRPPRNRELIS >PPA01514 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:426628:430039:-1 gene:PPA01514 transcript:PPA01514 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPSSSAERSAVKSFCDMMHTTEEVAISCLSPVGYDVEEAIDNYYQHEHLFGDQHQQRCDETSVRNLFERYANDPLDSQPDRIGPHGVARLLRDLSLDAEDRRVLVLASKMEAQVMCEFSLPEWLKGMQTLRADSLNSLRAQLDELDQGLFKSIEFKIIEWSGPHSAWKGDR >PPA01465 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:86093:92377:-1 gene:PPA01465 transcript:PPA01465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sfa-1 MSKTGGNMEPMKFRVTAARTRSMCPPRPLPSAAAAAIEEEMRDAGAGADPRSVVARLAVLGRARRVVARALATARTGDEAGAGTGAIGDAAVGVTRIKSINRSIHLQIAAQRSSRGALAHAGAGGRIAAQRSSRGALAHAGAGGRTDGPARDRRHANVVHAAQPPLAAAAGGAPISQDMLSKRERKSRWSTTKSFVPGMPTVLPSDLTETQQRQYLLQLEIEDATRKLRLNDFYGSANPAERSPSPEPVYDANGKRLNTREVRKRMELEQIRHEKITALLTLNPEYKPPADYRRAPTMRPFDKVWIPQDHHPHLNFVGLLIGPRGNTLKALEAESGTKIIIRGKGSIKEGKLTRKDGQPFPGENEPLHAYVTGPDRDSIKIACDRIKAIIAEASQVPDGQNNLRALQLREMEAVKAN >PPA01468 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig102:105552:108515:1 gene:PPA01468 transcript:PPA01468 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLPKSSVEFSDPAYWKKFFAIHRSPFEWYGDYASLGAVLEKYLKPADDILQVGCGNSRLASELHDVGYRRILSIDTNAAVIAEQTNRNRERPALKFEVKSATETIISWEVKSATETGLDPESINVVLDKGTLDALLPPGDESAVELVEHMFDEPRVW >PPA01474 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:186996:187727:1 gene:PPA01474 transcript:PPA01474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PPA-RPL-32 description:Large subunit ribosomal protein 32 [Source:UniProtKB/TrEMBL;Acc:A6YMU9] MVLVAGKKLSLTKKHTRRFTRHESDRYYRIKPNWRKPKGIDNRVRRRFKGQRLMPNIGYGNAKNIRHVLPNGFKKVLVHNAKDLDMLLMNNKRYCGEVAHAVSAKTRKIIVERAAALNIKLTNGHARIRTEEHE >PPA01479 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:223929:227462:1 gene:PPA01479 transcript:PPA01479 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLPVQHALLKKLGPRDVARLLYASRSVRAALTTRNVDVAYWKPRFQRDFPDENEVEDATSPSTYRRLYSCRAMRELEEKRNRKRANRPRMIDSPDLFDDGLLQPAHPQLPRPAVTPPRPGRPHAPDPDMPQGPLGGIYRPERARLQPDAVQPAVPAAGSSDGELTDICSRLLYTRPDPRRPGMNPLRDPFAPDRNPFAPGYGYDVLPNRPGAPRGPRFHDNNDYI >PPA01489 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig102:279687:280086:-1 gene:PPA01489 transcript:PPA01489 gene_biotype:protein_coding transcript_biotype:protein_coding MRCHANTNRTLQQCTICGKKVRTPTELRQHMRSHTGEKPFACEFCGKNYSSNSEANKHRRQAHNVKPHGCSTCGERFDLVQQLRDHKKSCAH >PPA01500 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig102:359479:360342:-1 gene:PPA01500 transcript:PPA01500 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPPTGRGSWKDMLTESPYSCLFASQRGFKGLEALATVAKKPPSLLIGLHVVINKHTGKNIWTEYLL >PPA01511 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig102:416395:417713:1 gene:PPA01511 transcript:PPA01511 gene_biotype:protein_coding transcript_biotype:protein_coding MHERRLQKFEEYQFDVKLESDEVAWLDCLAEWMGRSVNSVQFMIEEFESELCALAISFMKKLKFTQFMITSSQCWLSANGQLRGAQAVVQYEFYGDFMILKHSSRIDEDFDNE >PPA01457 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:1760:5271:1 gene:PPA01457 transcript:PPA01457 gene_biotype:protein_coding transcript_biotype:protein_coding MNETIRPFIYRTQLMDRELFLWNFIRIEYLSNAHGFYYTIVTIEAVFCALAACVSSFFIVRALQQPPMHINPCLITAYDVIVTVISNIARIGQILYESRVGKGFNRLLAQNEKRLERLNRRIIKRPSAQGGLGKLPKKFFGDSAKDMYTLSLRLQLDENIWCCKCQHHHALSHRVVIGQTSASNRSFLHLWMVRAKAVEGDEAEPEGDVTQIAPDSDSRFSRETNEYFGQLSTQWDASFESIYI >PPA01485 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:264014:267528:1 gene:PPA01485 transcript:PPA01485 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLGDHRQHPCKQYSAKLFACNGCKVQFTTANGLKSHLIAKYNERDFKCYNTGMLCYENMKIPKYTRSRAQASDEKSLEGMQNSVTLSLVPIKDEPTLPDEMHDDQKKQALVASHLLSEYDGTIAGEYYNAMRKMFYHVACPFCGVECRTHSALHTHALIHHPPHERCLYQCRGCSLTFQTPTGLAQHLKREFDESNPVCYNTVSLVRKEDYLGPLTGWNRKTWNMRKKMPRCHQEDFRTVDVKTGVDEEDDRVKKEEADDGYEDPAGTRLRFPMNQSSMKGDREE >PPA01510 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:414190:414663:1 gene:PPA01510 transcript:PPA01510 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEKVDLMVPSLLYSPYQNWHGASRRTPQGGFNLVNEGIIRVVVVGGGWGIRVDQEEPLVGKMQSGQTGREASKHGSSHEMRTEEEGHAMGDAVGPPEW >PPA01464 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:64018:66862:-1 gene:PPA01464 transcript:PPA01464 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFHSRINRSRTAKSNNRSNQEQLPRRRKARDDRDGSEKPSDKGGGGGRVRRKATRAEKDPLRPVSSSDPFSKQGSDATRVDRENDGDEASTKEAGTTPMDDSQKGGSRVVGLPSTERVGTNETMVSRERADELESSKLKKEREAKTPAMQSNETQIDSDSKKASLKTPPKPKRRLTKRKEEEVRQWNEAIARGNVYRPRKDDETVDEVHVDWNG >PPA01512 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig102:418214:421868:1 gene:PPA01512 transcript:PPA01512 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTRSLSPMESLPRELMWAIIEYATETVFDLRLVAIYHSKRGGKHEPLINQGDEKKDTPDDKIRLMTRRKLFMKLLKKASSLLKSHVDDYAVQRRIVGLVEKMDMISEIVDMDSSIKDVAKLHGCMLTLFSECKAVTHHLLTTHRLAQLEVLSIALRTMTDPKKFLLYLSEHVPAVQIYQILDRAISDTVPYFLGMRDFDWAPTFLEMCSKKLDKLSIRLPYTGKGIWFEASCTNYEQDKKYVENNHQLSGILTWTAEIFLEIL >PPA01518 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:441489:448741:-1 gene:PPA01518 transcript:PPA01518 gene_biotype:protein_coding transcript_biotype:protein_coding MNMGWDEEETDHDRTMRIEQLENGPISAPPVSSSSSSERPPSLQAMSPIEPRVPEEPDQPTLGSLLSHEMAKGGGGLLSAAAAAAGAASSSSLLQQLQLQQQQRKPSAAATAALTPMSNGVGKAGPSSAAVGAAAAAAAGAACSTDDSEDDAPPCLSPQYARVGEREGDFSGAANGGGGEGASSSNEDGGASSDASAAPILAPSNVTRTMSLDHAALVASAAAAAGPSSSSSSNGHALMGRRISEQSCAAAAAAYDPFNTPPKAPLPSADQLLSVRPSMDTGVSHQELNFGMAPHSLHGRLSGGEGGPGPPPTAVAQTSPLLQQQQQLQLMQQHTTPQMMTYPGSASGGGMQSTPGSVPPSSAHNTPESMLQQSQTTPLMQQQQQQQLHMQQFASPTNMQQQMYSQQPSSVHSTHSGHHDMSGGGGGGGPGSVPAGYGGQQMLQGGHSNPSTPLRAMAATTGGPGSANQPPFNSPTPVVSQPLSVPEQHQHAAAAAAAAPALAGRRSSEAASVGPPNAAAGGTAATTGTVATSKKRSSTGGGTAPPMPSPLAAQQQQQVQQAQHLQQQMNQQMVQAMMVQQSMQSMHYMQYNQAYNNVYMQYPAMAATQQFAPIGYPPGMMQSGKAGPAAAVAAAAAAAATTPFYAPYPGMQPMQQQQQRAAAAAAAASWQTTPSLGPPFPGTAAAPGATNNFMLNGAMPSYPAFQQTQPFPY >PPA01502 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:369422:377117:-1 gene:PPA01502 transcript:PPA01502 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEHCNRSALRVHELFDHVVGVSTGAIIAVMLGVKKMSVAQCRQKYMEISRKLFSQGVWAGATGLVLNHSYYDTKKWVLMLKEYLVKTCTSIIQKANHSYYDTKKWVLMLKEYLVKTCTSIIQKANHSYYDTKKWVLMLKEILGEESMIWTARAEGTPNISIVSSIVNLPQLQPFIHRNYETPSGKESHYRGGTGHQLWQAVQASAAAPGYFEEVVLGDILHQDGGVIANNPTALGVHEARNCWPAEQLQCLVSIGNGRTVQELEPTPMFSSSGTRHKLLKIIDSATDTESVHVAMSDLLPESAYYRFNPYMSHSYGLDEIDALRLEQMVLDAKLYVRRNEEKIEAAAEQLIRKETKWQSLARSALDLKNRKGYYTPM >PPA01491 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:283224:284400:1 gene:PPA01491 transcript:PPA01491 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVALVLLFVGTAAALVHEFESDFPRPDDLFLYTIERSRREEAATTTPATTTTTTEATTTTNAVLSTTPTPSTTTTTLAPVATTVTTALPVITVTALPLNLVPQPALALPGAALGGYPSGLQCELAVQVK >PPA01462 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:38743:44554:-1 gene:PPA01462 transcript:PPA01462 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQYPVFCAFDFTVSLRPPTSEAKKENEKPPAEKQNLSSEMKTFLKGIDEADKGRLEKRMDAYAKCAAPFLSYFAKSKRLVKIDVSSIPAEEPLTATILDIMHELRFSRALAHSRVILFVTDYTVFERIDSAYYNMKRIKMSDVLSARADNVNLATQVRGLKAYIERVSIPKENYAIVADVLHHEAVGSGKRTSFVEQKRSFIDEYLVPSRNVTKPIVRQRLKMHAIATAADETLLFVDPFPAALATKTSRTAMFCDGARRLRAPTAIHQDRQVIIHEPTDDIVIAPGTIPRSNNHVSLAPQQQKLQQMQQMQHKIRRGASLNVPGAGDDDEPRSVSAMAENLKYGPSTLSIGH >PPA01499 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:350931:356280:-1 gene:PPA01499 transcript:PPA01499 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVDNVEATGEIRVLEEMASALEEEEERLNRSMETGLAEYLASPAKAVDINDEETSSDGQRAINDAVIDELTLSANGNGNPEGQEAVNQSSAPKVGSKEWMDTFFPVKDAAIAKNKLPPVQYSPSGKPVTTDHNVIIVENGHKLMQHFDKDEKWRNIFLIKNKEGLGLNMPEQGFGIDDVIEIMGATHTVSTIDVYEQHSRDMTLEEFRTLQLANEKPYNILSLEFSKTEQVFLIFLLANFVSPPDVYRMMSLAEFYWPERTDEKAPSLVDPRHRDRRPRVERFCLIGMGGSFTDFHIDFGGSSVWYHRNEITKDQFLADIYGNQCWRVEILPGQTLIIPAGWIHAVHTPEDSIVFGGNFLTMNDLGMQCDTLASTRAVGGMRNDRR >PPA01469 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:110102:126714:1 gene:PPA01469 transcript:PPA01469 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLASSGRYIVVTLAQEHIVRAWIKHFLPTNQYILRIHKIDNTASGFPMPVFILVATKMKMRMPMPMPMEFCRAGQEKAVRLADANGVLESINGEQYLSRFVHLCGKTLTSEASIVLSSADGDPRYKLRKQESEGFIVDDPAVAEAKSYAVFIVPIGRDGDWLFATEKGRRSLRVQCEKDRLAIVTLFRESSYASLDEIKDELTSFTIRLNPVKDTKGVPKRYDFVSLGEHDVKKTIATGESAMNGRWSVEDVLIRDKEYRRLVFLSSQNLVQSEAAFKEGKKKGKKAISFDTLACDHHEEQTKEKSIRKPLRACAQGPRIIRDSVAISSFSTSVIDSSSSGDAALLRAARGVPAGAAGRGIQKRLKSIILAKKIDYRISQRDNLLKFVSQLHWCMGADRFKGFISYGFYKGGFTTTKPAPFESPKDYMFGSGSMAACDNCSSLSCTKCPRCEKPHCFDCAPESACWDKIVPLKVAVLGLGGGLLAGFLVKNLAKARVTGVELDPAVVKIANDHFAFPSADPRMDVRVMDALDYLRETAEGDESLKQDVIMVDLASSMTEEGLSCPPPVFLGEQALEWMRGALTENGVLALNLVTRDEDVSKAAKEKVGRHFASLAVVSSEEDVNEVLIAARSEAKTPFDTKKLMASLDRSRPWIKKCVENIDKLAMLV >PPA01498 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig102:346568:350534:-1 gene:PPA01498 transcript:PPA01498 gene_biotype:protein_coding transcript_biotype:protein_coding MERRHTTGKSFFYPEFELVNWYAARTLAAQLKDGKIFPPHHLEGAKTLLSHLIEWKKIDKKGKKSERKFIFNDTKSSIVDKLRKALEGVTNDDIESVEHLMDRSIGSSSQTMKRRGSTLNEEEPKRQKLQAYVVPTVEPVEDVDDVVDVDPEDDVEPPTPKEETKKTPSSRSSRVVERRTNKETLVEASRAAQRQVPKETSVSEGRCRERRFREKVAENEGSEEDLLNQLVLMSEKVSKSETKLKANEKKMRENRKRMEEMEEKLKEMTKLSENNEASASIQERERKVEAREKRMMEDEVKMKEREDALKEALRLEREKNTKEMEEAKAVLLEKEMNLEEREKKVKERELKMAEREREFSEKLRSKTEEEEIKRSLEKKEQAAEMEERERLLNLKFKLRMEGAEARMARREQALREKETRVNGELEKRERTTLREKEQKIIADVIERERRLTERETKMEDDEKKVHHEAERALGEMRAKS >PPA01463 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig102:47286:48788:-1 gene:PPA01463 transcript:PPA01463 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAPMRGIRVTHKSDPQDRVEDFAPPKPLPYQIGTGIPTRPNAQKLIIFIFGGPQSQKGFATHELITQYDFHSINVEEIVLGYLPSRFKNSSPDQELTTANDVQELLKFHQVDGAT >PPA01506 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:393046:396410:1 gene:PPA01506 transcript:PPA01506 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSSSYGPGEGYDEDTGFSFQSIRLDDCSTIEINPLGWMDLDGPQCWNDTLDKQHFGPLKDLLTIGGNKRGTGHEFSAISLLHPTWCDKCGDFIWGFRQQASKCSKCNYTCHERCIGLVTLDCRSASASLTDEPDFYPHLEDGTLGTIPTSARVAMRTNHSTESAPCPELPPLSGSREGTDKENRKTSISNPLFHSKSASSTVPRSFAPSANERRANEPSAPPIEELEIREVYVKEDTPFEAAVGYK >PPA01516 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig102:432972:435732:-1 gene:PPA01516 transcript:PPA01516 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDGKRSVLFVCLGNICRSPIAEAVFLEEVKQRGLESRWAADSAATFGYHTGKSPEGRAMSTLKKFGVTDYKHRARVLHRDDAHDFDFIFGMDDSNIRDIKDELRGVAGKAVIELLGEYDPQGKKHVPDPYYESGTARFEEVYHQCVRCVKAFLDKHN >PPA01515 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig102:431137:432483:-1 gene:PPA01515 transcript:PPA01515 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSSSLVMYIILRRDLISALNWPVGAVATQAAHAATAALWTFREDAETIKYMEDMDNMHKVTLGVDSEAELDAAREKLEKKNLLHRVWVEDGMRVAIALKPYPKNTAKDATRQLKLF >PPA01484 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig102:262342:263812:1 gene:PPA01484 transcript:PPA01484 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKPSKEPKKEEPDEEDIKDFHEGTATGSVSYHIKGQILPEQLMHRNLHNCEYCEFSAQTDDRLVAHREQKHKIYLCRFCKSEFASKFTQYKHYTAQPERQKKSAFIIIRPLQTCADLLAMRSKVKKQCAEIKDRSHTQDCIRCMHIKVKCPLCNFREIHKPVNNI >PPA01476 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:190021:194076:1 gene:PPA01476 transcript:PPA01476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-psr-1 MGPARSGTAIHIDPLGTSAWNTLVSGYKRWVLIPPAAPKPLVKPYKHEAGKHPDEAVTWFTYVYPRVKAASWPREYPIIEARQAPGETMFVPSGWWHVVINECATMAITQNFASLANLRTVWPKTVKGRPKLSKHWFKRLRACRPEVLPILAESAPMRGEEEDSSDSSSSSSSSSSSSDSDEESEMEEIAARCGVTMKKKRRVGMGLEVGVCLSISRTLSSVIDSLRDYGRCVAARCGVSMKKKR >PPA01497 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig102:338102:342143:-1 gene:PPA01497 transcript:PPA01497 gene_biotype:protein_coding transcript_biotype:protein_coding MFEPPVQLEKKKETEREVKQEEPEEEAPMEPNSPMINPTMEMQYRAVELNQMEEPVDMKDVKPLLLDGKRRRKSTKKFEPNPHQSLQFDFTIFSYHEVYLPGVPNYSWTHLKLPVQIACSAVPLVLYTMEQTCASCGYPAAKKCVYQWSIKAIRRSTTGTGRMRHLKKIQHRFKRTTGTGRMRHLKKIQHRFKNGFREGTVAVSQKKRTQSAASN >PPA01523 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:542699:543996:1 gene:PPA01523 transcript:PPA01523 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYLLPKPDWSIPNMVALALTNHGPQLAVAEIYDFICFAFPFYRTAPDNWKNSVRHTLSHGDAFEKIILDDDYSGRSKCLWRIRPEQLWKVEVAIWRNFEKDPSPFERQEDNEQLVQEMKQRLAESAINVVRTRPANLTKPTLKRPAYTDATERPVKYERESSYNSYSASPPSSSNGQENYDYLRGELL >PPA01490 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig102:280109:281225:-1 gene:PPA01490 transcript:PPA01490 gene_biotype:protein_coding transcript_biotype:protein_coding MADNRPLFASSYVPDREIKQENEDQDYPSPSNSIPRPIPDEEAWLNFEDDSVSARRRAEATASSDEDAVDAEDAVDAEDAVDAPGPSNAAVVVESAGEEEASSDKKSSPVPLVKCLFCVAQVPGDRAYMDHINRFHATKPQKEEKKWRCAVCMRPAATKKELEL >PPA01492 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig102:288879:289794:1 gene:PPA01492 transcript:PPA01492 gene_biotype:protein_coding transcript_biotype:protein_coding MASQYGCLTQDFQQSKSPQQHGPFSQAPRDRRQAFLAAPNVLAPAIVGAQQSPGGHVTGASASIRIHASPAIQTPVAAPVVPLIPTASLAPVALAATPLVDPSAGALGGSKNHPILAKNI >PPA01508 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig102:407773:408098:1 gene:PPA01508 transcript:PPA01508 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHQGEGSRQMIVVLLRKFKVADNPRKFALYECSQTIECQTLMNIHPQKLA >PPA01486 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:272808:274703:1 gene:PPA01486 transcript:PPA01486 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQVGIKYSTPAPFPQVGIKQAYVPAVSSTHVAYKASEWIRHLHRCSVAFPSSLHPNSKDYLANSCGWFIDHEAVFELRVEYSVTVRAVANSSILTSLAYCMDQKICAACDASFGHDIASSNNQI >PPA01494 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig102:293973:297565:1 gene:PPA01494 transcript:PPA01494 gene_biotype:protein_coding transcript_biotype:protein_coding MYINFTSGNWSSPMWIAIILRQINDSFLAWLGWLTQLIEMLSMLLTVTTFWFCFIAMRKASTTYLLYYQFSYPYVLQVDPDLGYPRPIAFDFVLLAGTFLRQYYVYSTLLSMVIVNLFHFGGMAEWCWVANAYAVLLCTSLFILAIVGFARLHVLNKRKYVEIKSMRVQTRCTLSLRYQIMENERVLRMISVVFYSMIACGIVQLGLALATSVFIPLESSAYDFLQAAFETSVAITPNIVVVAAISQIPGAWKGLKRRALRRKVTVEPVVMNAPNRGEDQMKLYFQQLSQSWQ >PPA01509 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:409869:412107:1 gene:PPA01509 transcript:PPA01509 gene_biotype:protein_coding transcript_biotype:protein_coding MCNGKFTRVPDNICPLRSALSWTNPREKCFVLQENDAGEILWDAFEVPELQNFLRILGLEEQQYKAQIKEKYREFGLDVAIINFNSYLIAELRAREQESYDGDFIPREFAPPPPPNRDYDSSSTSSSMVHDDRHIYATVGEEDDDFGTMRPADYATARSNYC >PPA01522 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:514362:519690:-1 gene:PPA01522 transcript:PPA01522 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAGRIEQEIRRTVKEEQHNEVSLRKQLAGQGKDKDEVDRAIGKMLTSGELVADHYCKAPKGRLSFTEELRKINPPLVLRARLDMSGRIRHALLSYRKENNEVTRDALQALCKQVYTIENFTAADYDNFFDYGLRRAVEEGLIEEVVEGEERMYRSGERKSKILMCVLCANTKSNVHERILKCTNCLWQRHASCMGLRKHKITGDFECEKCARCRDCGKEVGDTVGVSTALVW >PPA01482 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:256795:257441:-1 gene:PPA01482 transcript:PPA01482 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFIDDLPHKRRCQSTLASQIAQNQKKEVKKTVNEPFKISLHIGNFKPEDIKISLSGRILTVEGSQSTTTDSSSFSSSFKRMITLNDRIDLSTIYSKITVDGTLEIGANPRPIDRQIEITVEKAIDQPKEGDIPAPNDDQPILDAVGPDMECDQ >PPA01477 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:200362:215685:1 gene:PPA01477 transcript:PPA01477 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEFGLTAFIGADRKETIDCVLKRLYSDFIVQEIDANGEAARLVTLEEARDATKSIQQGAAAFLDASVARPDFLSEEFVAECDAVFERREQQAKVDTAALDKPTRTSVHGFIRSRYGGELESELVKEEIVVKRKKPGKRKRWARDMPPYTHFTMAKENKETAYALGIVAKMSGCNQSLFKTCGLKDRRAVTAQRVSAFQDKLLNLNSRLRGIVLYDMSYEREGVRTGSHWGNRFSIVLRDINPAAESTLESRLSEWAELGFINYFGTQRFGSCETRTADVGRLILQRNWKEAVEMILGGAARQGLDTVQAAIEHWKATGDAGAACKFLQGAQQFASVEGNILRSLSKTRGRAVLPLLRRRRGGGAARDCAAARLALRDNRAPIGARCNRPAAAAAAAADEACGWEAVLWLPPNIRSLYVHAYQSLLWNKLASERVVATGVAVLEGDVDTDANVLPKADSSPFQVCVPLPNSACAEREGWVFDEYKKVLETDGISWDSFRTLEKNFSLGDSSKLILRPLFLRPGNVEWKFVRGRGAGEQIQRDLTAVPDVGLENGAAAAADSVGNGAAAARKAAADPEAKLALWMRFDLPSGCYATVALREITACDMGKRYQKELNAKGEPASEEGEEQEEQGEVKKELRTLLREPPKMQRWGVKKTVEEEAEGEPAKKKAVKVEEEVDAETTPAQNEVNEEEKTEMQASDLRKFIVDTAEKQLGVEGYELINRQTPLPQVGLDEYKPTTAPAHRCALSVHVSVVSVEPLAATVTIMRQLEGGEVENDGGMRGRGATFRAAAHHQSE >PPA01513 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:423335:424701:-1 gene:PPA01513 transcript:PPA01513 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVHNFFNSHATPVYQFAFGYGKKAGHRNLDLDIALVYWRILFQDQFPLLSLWEEFMTAQGKPVTRDTWNLLGEFAATFSEDLSDYDEHYGRRVAGGAGQVCHVGSSTSERNGK >PPA01460 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:15794:18480:-1 gene:PPA01460 transcript:PPA01460 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKSILQRIAAKLFDRPIRKVVASKISRKPTNELERLRKKGDNWTANDLFRFQYGDPEEGEPEERKRLCEEWLDRLQAIPKKYCYLAWYASAMYACYYRLAPLLSEPEAKRKVWEEVKQEYAEIFVMGRRIWRRPMHPSRLHVFYDLAMLCTRFGSVAVSL >PPA01507 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:399139:400867:-1 gene:PPA01507 transcript:PPA01507 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTSTEQSLDALLSELVQNADDWAALKSDLIDSPASPSSADGQHPCFDQLSRAISSHVTDLLLRRLRAAGRGEEENEDTDDEERPWIDERGRERRGRGFPARSAHAHARDALGSESLRSSRGSLSSRDSPASSGGAAVARHAAAPPPVVRGRAQARCAAASRTPSRAGSTCSLATIVSLFQITDTEIPALAAKVRGFRARRCAPRAGRAGGLALSQREPAGPRVPGAAHRRDAAGESRKPSATHVDRAPRGGAKRAQRRRVANAAATRGRRVPAASQFRLRAVSYYCPAPAPHIFVPPHPLPPLD >PPA01475 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig102:187936:189457:1 gene:PPA01475 transcript:PPA01475 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGVLELTLGYADKFKFPPIVDTIERVDASQLSVDQFREKYENPGVPCILQNCAAGWQANEKWSIERLGRKYRNQKFKCGEDDDGYSVKMKMKYYIDYMQNNRDDSPLYIFDSSFGDRHKTKQLLEDFAIPPYFEDDLFRYAEYKKRPPHRITSFDMQSTRRGRLTG >PPA01461 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:24635:25908:-1 gene:PPA01461 transcript:PPA01461 gene_biotype:protein_coding transcript_biotype:protein_coding MGCESDQSSGFGLLICAEMGMHSARKRIGFGQRLRRIKNGILVGCFAKYRRCMTHLKKYLVDDNTSKLDIERRRSHAKLQPPPERFF >PPA01466 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:92740:98584:-1 gene:PPA01466 transcript:PPA01466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cyn-13 MSLVSNFPHNKKRTLYVGGFAEEVNEKVLMGAFIPFGDVVAISIPMDYETGTHRGFGFVEFELPEDAAAAIDNMNESELFGRTIRVNFARPPKASEQSSRPVWADEEWLRKYGGASANNDDEADENSRVPRGVKSGPVLPKVYLGVKIGIRHVGRIVIELRTDVVPRTAENFRCLCTGERGYGYEGSSFHRIIPKFMLQGGDFTKGDGTGGKSIYGTKFTDENFKLKHTMPGTVSMANCGPDTNGSQFFICTERTEWLDGKHVVFGYVVEGMNVVRQIEQQGSATGKPNMVVKIVECGELEAEKRRAAEKAAVAEERAAAGLVEADAAEGAEPAAAAAAAESEAMEA >PPA01458 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:5925:11082:1 gene:PPA01458 transcript:PPA01458 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSFLRPFIFRSSLIPGDIWMIHFIQKEHLTGLNGFYLTIVCVEALCCVMAVLLSIFLMMQGLRHPPVHVNLVLVTAYYMSWIAMSNATRAGLILYESGILPFTSDDVPLPCLVLSFLRLYGVVSVTRFSIVIYVERIYVHVHSCLALRYVRDYECNPRLHIAALNAASDLVTTPPLVAVLWLNYLPGYRMAIFSVVVYIFFYFAIKNLYAQNELRLEHLNERSIRRPSAASTTGFGKFPKKFFGDHSKDMYTLSLRLQLDENIWCCRQISEANRIFVTGIVSATLFVTVPPLLSYTKDSAWVLMVLATVGNLIIPIFTIAITSSIAWSLDKMKPLIVPSFETKPTAAREKEKWASDNTEPDRTGFGIALFAGNEGILQPAIKPVEQVV >PPA01480 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:244854:246491:1 gene:PPA01480 transcript:PPA01480 gene_biotype:protein_coding transcript_biotype:protein_coding MEQADRAMGYLYDTLARPSIDSGLHRPSCLRWAADPARAVPHVVLGATAPGGSWSTHDPEMVAVSVGGLLDLPGFPMAAWMQDMKSARERFQLAYCKYAIFI >PPA01471 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:137459:141589:1 gene:PPA01471 transcript:PPA01471 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIYHSEPQWLLGGTLRARRHAHAAKASKRRDDHADLILRLKVLFELKTPESWRESLAANITSLTARCQQWEAPRWMPFRAVNWIINTYWARLPVERNPKVRYSLLRLGARLLTRPPFAYSGLMKDSVAINGMLDVLEQKTLSMKERERAFGLLHEILIWNDEEAQCAARLVRLCLRVIDQHCTTSEYSVCAMRRLANCMRYGVMERFPSPLVSTIIDHEHALPYIFEGIRCAVGRLHRSLSYLLSDVLEAASPEDRVTLVTRHYTQLRQAFHLMNGRDLVSFMAYPEFAGIVVTTPSLLRLIYLRARHDCRVHEDDCWRKFEYDDYCQTATLVMDSALSDDKRLQEILGYGYLSVAGHFASGRFDMDSRRTETFRRILSAHPEWRRRFKQMTCHGVEGNLTADLGRTIPDKKLRPGIYYIWEEGYY >PPA01470 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:130653:136840:1 gene:PPA01470 transcript:PPA01470 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEYIKQVTVTGDLSSLARNVTDMPAWCEEVAKEIWEQKPELGVLHVQGLHIAEDPYAFAEDILRRIARHPKITEHFEGSRAFFDTNITGLGSLFLFRNVDTVNYFDRFSNTGYIPVRRGDAHVIGRDEADGYIGQPFEREQSNHSMGERVRDFFRHDGSRDLDNQRSGWLLARFRFHGKEMTFINLNLHSVPFEDVNEIVSQPNVTKAAAKRQRQIDALLHELDSEGLRDDAIIVAGAFNAQLHETRLLNDLSSTQRTSVHSRTDERGNVDQIEQTDRHGQKTVTVEEHRFDLHSIHDWFFRLGRGQMVKKYNGELAQVAFQGRLLEESVFFQPSRHYGINKSNGKEEFLRHLCPAWGDRVLYNERMSDLFRHDSFCASGLYYGIVGENRPIGEVKPVSLHATICLK >PPA01493 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:290791:293490:1 gene:PPA01493 transcript:PPA01493 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLLHLLLPLAAVIAQTAAQCGGHDHPACARFMSNGFCTNTTRPLEFRQRICGRGCGLCLADCHDTSPMCAAYSRTGFCENGRFAVETKRSMCMKTCFCDKRTTTTMPTPLGNVDESLVKITDNELGPAIPQPVPVVGPGPSGPQPVPIVNPGKVPLIPNPGPQPVPLIGTGSGKDSSGLQPVPVPIVPGPEEGPLPVVVIEGGNPGPVFPGPGGPVPVPDLTGSDPNPVPVRPKTRVLGGGSTATPDKDMLQPEGVIAATEQPRRPFTSCGLLKDDSNNEVLVVHEGVSLDLARHPFNDRATSVLLLPDCEMDLWQHVGQAGHLLRFHSASEHTFKLDGPYRRAISSLACRCN >PPA01459 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:12246:14202:-1 gene:PPA01459 transcript:PPA01459 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCHPKPIQLSDDPTILRFKEELKDSTNFDFKVLNEVEFANSIEKVIRLENFVIDQFYSRRRSTCSSRSSFRSRRSTITDHSPTRREKGVDETTDREDNDNLAANSGDERPKSSTEIVTEAIERLTLSIHHPESTSPCSSFSSTRLSPAVFPSSAIPTIVVDGPPSRSSCSNSAPTSRQLQNNSRPTSRKSSLSSTTAVSRPASPKPSVRFEEDKATENK >PPA01478 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig102:222965:223505:-1 gene:PPA01478 transcript:PPA01478 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTGKNPSSDEDSSDEMSIPNKDEDSSSENPIEELFPYEQFDLRKHQINRTVHDYNGDKEWKKIGRLFSAVCKFACPVLAPLALAFLG >PPA01481 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:249328:254599:1 gene:PPA01481 transcript:PPA01481 gene_biotype:protein_coding transcript_biotype:protein_coding MSWILLENALPFDLIVFTLMKTPAGLWRVSGIQASGRPFSLVARRVVLATGLMEQRMLAVEGEAGNARVVYTMQQLKESMAGGGEITDPTVPSSSAAAAATAALPPVIVVGDGISAADAVLFCMERGRRVVHVMRKNEHELRRSAGRLRSRFSATLYPEYYNVFELMQGRQKHALYERRVAARVEKIEGASAYLRTRAGVKEEPFSLLAACIGQRSTFEGVLSGGAGGDQTLPSSFGRDYRSEDDPSLFCVGSAVGDHYVRFLVGGCMHVAQQIVAEHEGGRNLVVDDPLLLDTVVGEDTK >PPA01488 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:279020:279381:1 gene:PPA01488 transcript:PPA01488 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRKLDRLKLRESVFFKPLNSESANTLRQRLPYLDKNICFWAALDERWLRVIHKSRQFEGLDGYL >PPA01467 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:101361:105163:-1 gene:PPA01467 transcript:PPA01467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-uaf-2 MGERFHYDRSDGLSGAEYLASIYGTEKDRVNCSFYFKIGACRHGDKCSRQHHVPTFSPTVLLKNFYHNPVVDVRLADAFDKVGKLNSQEQEYFDAFYEELFTECERKYGEIDEINVCENIGEHMVGNVYVKFMREEDADKALEDLNNNRWFNGAPIYAELSPVTDFREGRCRQHEVSTCNKGGFCNFMHLKAISTDLGQRLYGRRGRRADAAGHWARDQRGGGGGGGFGGGGGRRDDYDRRDRDRGGYGPVGVSDNEWSQNWDTKLSQTL >PPA01524 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:544811:547891:1 gene:PPA01524 transcript:PPA01524 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRYSLRIAAQPTAAATPFIPTTTTSIPRTSTRPLRQATLKRPASPSPPPPPTRKNITRRYKSPPPPPKRAYSKKIARSSMSAMKTEYTAKMARSSISTLRMEYSPKPQWAYANMIALALKNSVHGQLPVNEIYEFICEHFPFYRTAPEHWKNSVRHTLSHHPSFDKVEDDEEPLNRKALWYIRPERMAKVNAWLARQEKKAPLDIAVKRELLDCSIENEIPATSAYRSVKVEPVNYSQQSDHDYCNTRTRGANKNVSDISAIRTVKMEDMDAPLQLGNFCTTVKRELREAQVAPAVTPAVKSTLPHPSAFPFLVNNSRKRTSTDEPAAIRAYNRRGGVEQEADETILPAPSIAAPTPYTALPDQQYVYGYYGYRPAMYEPSYSTEWSMDSYDGTKERCGRWNTIADGNTGMVYTNL >PPA01505 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:392291:392876:1 gene:PPA01505 transcript:PPA01505 gene_biotype:protein_coding transcript_biotype:protein_coding MSEWLTQLGVGNKEDKEVKGKEHRGKEDEMMGWAGMSEWLTQLGVGNKEEKEVKGKEHRGKEDEMQLYTTAQSARSSIYDGFINMYLKIALATAK >PPA01487 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:275422:276990:-1 gene:PPA01487 transcript:PPA01487 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQRSVVKKNIVNFGSKMRQKLPVSTNNVVRDEKKTSVKAGSPWRTRGKSKQDEVMKGMKMEENTIDEKKDKKKKEDDKQKSEVKVVENEEKPKRGKVDNEEKTIGKILVKKRERREDRTIEKVKKRIVNKPDNEKIADKKSKNKAVEKAEQTIPVNGVNNEKKEEGKKKTAKRRRTANHISSLELDCDMVAQYKKRMMQIVAEARKGYKDEIFYGPTAERVGLCEEKYEMVAYNRSGRVVRSLTEHYVAHPHLNIHNAKPLLPPRPCNVTAGFKLNRLVKPASKSALSRLSKLKSRRSFSKKENDNTEYTDGNNNIR >PPA01503 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:378469:380819:-1 gene:PPA01503 transcript:PPA01503 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPSAASTTASQLLRLARPTAARAYGGSPGGPALPNAAAAGAAARLDEPGYMGYLSNAVSNIITNLSWNSTAPATPPPETAANAKQKARPIVLRVSRDEVTATTTALVKKLLLSEETDSKIRRMRQLSDHLQTYPATRLSAVQEPQLISLLLECESSAEERMREEARMCLALCGFVKPPRGHGYNLLTIDGGGTRGMMGLEILEALENATGKKIESLKD >PPA01496 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig102:335567:337540:-1 gene:PPA01496 transcript:PPA01496 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDTPNLTHYPLDRPSAQAAIERAEQEKEAGVEVRSTRPSRQHNLRYYFSNRPFTVQFASIASLYTLSWVAFAVVPGEAFSMSWLRCLSAVLGILNATTNAIVFLLNDSKKLCSFCVASSPGGSTN >PPA01519 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:450094:465442:-1 gene:PPA01519 transcript:PPA01519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lsy-12 MQRRAAPGSSLRPAAAAAEPSTSRGSPEKKMSKKIKEEPRKSVAVPAANIKPPQSPIDSTGTGVGSSLGGIETWSDIKITQDQWAAYEACTEKANAAIEKTPLLTADGREIPRWPETICFGKWEMETWFTAPYPNEYANTKKLYICEFCLKYMRTFTQLERHAEKCKSWHPPGNEIYRKDDVSVFETVAGRITKVATVDGNVAGIYCQNLCLLAKLYLDHKTLYYDVEPFLFYIVTKNDETGCHFVGYFSKEKYSAQKFNLSCIVTLPCYHKQGFGRVSYAAYWRSALLEYMRQKVKVEKNEGLQFSEIAADTGISLYDATTTMEALGMLVKSENGGMEFLYNEELVERHWTKAHANKGRIWLDETALKWIPVAHTPSKDFAIIVRSPARMVSPTPSHAGVTPTGVPLAACGSTIKRGPLAAANAVPSAAAAAAAASGPTPRKQPGAVKMAEKKRATRQLKLEDVGFGGGATSSSKTSTATPARKSRRIAAASEDSSLASTSSDEEKKKKPESKGTCKPDTGKRRNRRSEDSDSSDEEREGGGGGGGASPKKRPSASGPSSASTRRASGASRGAAAPPASPPKGRKRGGKGGRGRESEDDEEGEVSSAYATTSSDSDDSSDSDSDQDTSFHPQTARRPPPPSKGKKGSKGKPTPQIPQKKGARAAPQKGKKNGVTGTTVTPSAGASTTTSPPSTVAVKGRKKELKSPGKVFPSNYSAMIAARKQQQAAAAAAESAAAPGCSSQAAAAAAGADLAAASTAAAAAGEEDGRPASPADSLCSTATIPMRQATEWAADQSMLTDDGCAHESEGREHTVGSNGYARSIGLRALLPPVELRPRPESGEEKEWKNEKGRDE >PPA01473 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:172444:186405:-1 gene:PPA01473 transcript:PPA01473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-smgl-2 MAFKLTADDYEKYKGVMFRCLLDRDKVNSGSKQDEERLIKQKQFINSYFARFIRSGGLMEDHLDLTRIERDQFGIPQDLSRRYLVVSQRDFVDNLAEMNHVPRWLVRHFEYAVQLSADFANELKLKKIIELRRTQRDLPIAVRREEILQLLEKNQVLIVAGDTGCGKSTQVPQYLLSAGYTGICCTQPRRIACTALAKRVSYETLNSYGSEVAYKIRFESTKTKRTKMLFLTEGLLLRQFASDPLLEMYNVIILDEVHERNLNSDLLVGLLRDLVSKRDDLKLILMSATINLELFTDYYKGAPVVKVPGRLFPIELKYRPIKQMISDGNKKTHKIDPEPFLRVLEVIDKEVPATERGDALIFLNGIAEMTTVAEALKTYAEYTKGWIILLLHSTLSQEEQDKVFDVAPAGVRKCILSTNIAETSVTIDGIRFVIDSGKVNLIKYDPESRIQKLSEFWVSKASANQRKGRAGRTGPGICYRLYSEDQFEGMDDFTLSEINRVSLKEMVLQMINLNLALDPLTFPYIERPEEEALQEAIATLKFQGVLYPDRDNHRLTALGETIAKLPVEVPIAKMLVYGCVLGQEEVMLTIAAGLSIQFPFTNRSYREAKIVEKRAPLTSPLGDPFTLIEVFREWLMQKAHGYAVRRWTLDNGIDEHRLYEISKLRLQYR >PPA01520 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:470632:473681:-1 gene:PPA01520 transcript:PPA01520 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFTSFGKGLVNGITNSAASVASTLGLVGSPTSASTRDEEKEEEDEDGEEEQEQRVKMAVASPRRKSQSTSPSKKRGGGDGEEWRMQGRSTQNSASAALFSSPDDRRGGKGQRKVKKEIESDESEDDAPLRKNKSSRHPPPPQASTATTSKSPLHKRIKRELRSSDEVGIYLFSLDKQLIMSVEFL >PPA01517 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig102:436067:438012:-1 gene:PPA01517 transcript:PPA01517 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCVTFIELRDGQPEWKEVSQLYFPKGGKTLTLVQRGLFVFLGAVFVGAYAHFQTEINPESKWAEMVNAVMTDPARALGFDTSEADSKPKPSWSSHIQ >PPA01501 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:362506:365343:1 gene:PPA01501 transcript:PPA01501 gene_biotype:protein_coding transcript_biotype:protein_coding MIQIQRLIPRQQGGSPDVEFIPRNSTCRYLRSVHTQYTYTDAMETVLSIATNVLIIGTFPLHCRYLYVMVRNDAQISSLDYAFRHALTNIVIANLLYSLVFILIQQPASYGFFADFYKSQAWWLGKLFIMQAVPVTMLTVFFHLLIALNRLSALCFPMRHGRLWSELRVKRLLIVCWTVAAIECIPLIYPTEGEYYNITSPLHTVGVAFRLEEDLPNLAYQILAVGVGGLMEILVVLIYAFIGCRNLPHSTASVTICALLVSTGGFVIILVVLPYMLAYRIAGVNLWSPETFNALFKFAFAYNNAVAPWVMVIYYRNIRHLLLGKKAATVATPSTTMFN >PPA01504 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:387706:389600:1 gene:PPA01504 transcript:PPA01504 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKIGFSLSLLLSDSHCLYSTLSLYILESLSLYSSLQIPRIPERTRSSEREQCGQAASEAERQNAVALVDHQSVLVAIARPFMN >PPA01483 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:258032:258573:1 gene:PPA01483 transcript:PPA01483 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDYNLPQRSLLPYWRNISDENSLKLGSSLGEIENTPEKFSVSVDVSHFKPDEIKVNLSGNELTIEGNHEEKNDRHGTIQRSFVRKFVLPEDSNLESLRSSLSDNGHLTIEAPKKTQTVTQSRTIPITRG >PPA01472 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:160496:167819:-1 gene:PPA01472 transcript:PPA01472 gene_biotype:protein_coding transcript_biotype:protein_coding MRARFSEKAKNVLRVDRHYDSILEEKEEEELDSILEEKEKEELGTVDGIAEGKEKEKDPLKADVRTVEFLLAHKQSEVEMIRKTHRLSRKDTEAIRTVIAASLYPAYALLDPANRYKQGQELFVHTRAKPFSLIHPNSSIAQYHSESLDSMADGTNGMSALHQVAVQHRQRRFPNVASRAPHTTPPHTTPCSAVSQRSPPPHHTTILQIPVFGLLLETTKPYIVNVMPVPALYALVFAKKVIADDWRMVTVDDVVEISFEEEDQAREIGNNKW >PPA01495 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:328912:334266:-1 gene:PPA01495 transcript:PPA01495 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVFASVILLLSTINVNAAPEVASTFSGFTSALEDLIVITGNRTAFEECPVSEEDTELDTDLGSLLIILHELPKTLRVAGASAPAVVENAQGKSRQNPAIQPNQQHLRAIIEEVQALLDGLIKETTSDELIIRTSRSLKRCLDEYSLMPGALLLVEKLGVFSRDESNVITVSIYVKKCNAKLFERRFKMRMLPTAELLGRGGCERHKDGGLAGGEHVRTNQIQIYPDIKALGLQEETSRVVLERCMIPTELAAVNKLLEVQFLLHLASVVRTLALIQLQVPGVDQSSRYFCGLVNFDWTPVIEGMFQRRLDKLFIDNRYYVNFLQGADRLLMRLTALNKPVWFAATVPQKPTLLNRVYIDYAVQTEYFDIHSHIFMLEVIPRTQTSVPSAHRTAFGPSYITVIDERFSSSN >PPA01525 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig102:550818:551177:1 gene:PPA01525 transcript:PPA01525 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSTKKKKVSTKKKKKNMSTKKKKKTKKKRRWADCFACEQIAFTTKQTNRGCRYESTKGIDVWVIASLLLNKRIY >PPA01526 pep:known supercontig:P_pacificus-5.0:Ppa_Contig102:552056:553805:1 gene:PPA01526 transcript:PPA01526 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQSCVRFQSSSTENYVECLCDTGNHCDRDLVKQQKLTSTTVTCAMGTYGNETCKGDFCYITKAVSIYNEEKGCITNNETLYRGLYKPDYFTFIDREYVVCATDKCNANWAKAKDSVVKIGGFICLRPSHNAEPVGQD >PPA01527 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1020:51:475:-1 gene:PPA01527 transcript:PPA01527 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIPTDNLHPHSSFIPFYPPFIEYVKPKNDDQKVIGPDQPTLTIDDESMLALLITMYNRHPQVVISAAVTVAVLLIATIWMCGRQ >PPA01528 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1021:3182:3792:-1 gene:PPA01528 transcript:PPA01528 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLRILSLLSIAFAVSSSLPIVSNDITEGSGDSLLEVTGDAKNDRMIMEDCFFPSVALLDTQVVEGSGEGSGVEGSGAEQVEGSGESVAAIITRLLSEFNLRSSPITRWKIEQMDRRSVNNPFCLLSSINQLLFFSRRKRRIGIINSSIQIRLFTRHHLQ >PPA01530 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1023:1467:2237:1 gene:PPA01530 transcript:PPA01530 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPNKPINVVSLAKTVLGTELSLQEGRGLVQEKLKQLCQGQLAVVDSVDSTTATTVYSSAEERIHLDSLWKFGMAEMMNYNMELLASRLGQSETAVSNVQSKISKQVMPVSYIRLYSLSSKTKTDYYKLPLDLLNGIK >PPA01533 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1025:1909:2804:1 gene:PPA01533 transcript:PPA01533 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTCVVCLQRTLRTKMHRFAGNISKRDEWVSALCTTPQEKKELCERLNASNPPYLCESHFKRSDYSSPFPDTMFLKSTAVPSYQNPSTVPPATVPSATVLSPILPSLAVFSPPLSPVIFFPTTSSSTPVRRPRIPIRPAMNQEIDDDDTWAPPPPTTDNEPDCDYLLVSKESLMNLLRQCTVCRRGKNNLSFRMDGLGFTCTRECNLCGMRSPWENSKPLRTANPSGKERLPKINVDVVAGSVLTAMGGT >PPA01535 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1027:58:675:-1 gene:PPA01535 transcript:PPA01535 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFHGNGCKCPGCLKRKQIHHPKAPTPANLSLAASVASVKNHVESAQSVPATYYVPNIGSVSPPPPLMMQASMPAQNGLVPGTAPVTLATQGSVPNHNPLVLSTVTPTVSQSVITARPIQDAAVPTMDAENPEAYFDQMMG >PPA01536 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1028:1570:2080:1 gene:PPA01536 transcript:PPA01536 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALKKLTRKDYNKYVVDTMGEQYGVQVVRTPPYMAEYAPIEFGWSAMKRAQHDLITHTDDGKKRIEEVHSRSALLYPRKRSSRSDEIIDEPTRS >PPA01568 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:218169:218523:1 gene:PPA01568 transcript:PPA01568 gene_biotype:protein_coding transcript_biotype:protein_coding MHYHHHHHPVAGAMVAGAVAGAVIAGHHHHHHHHHPVATAVVATHVAFHHPVAAVVHHAAHHHHCHY >PPA01539 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:18480:21671:-1 gene:PPA01539 transcript:PPA01539 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDAFERQKRMEAEEIARNAPPKEKEKEKKRGVDKLEMKARREKGKEGVDISLPIHSFVRIDMSGEGGRTAPNAVVWAPRLRRFCSACCESLSRPGSGISAHYEASARASSDESSRSRSGAPSAPSAASPASPFRRAASLAPASAAARRSQQAAAAAAASLPQQRQPLAASTPQKQQQSPFERDAKARFSLRGTPSPQGVVSRYAHLNQQQSPVPSSSVHSAHCTVHSVNGLDAHAICRWRSIAFSRPTAHRAAKAENDERLAAVYRV >PPA01569 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:219378:219755:1 gene:PPA01569 transcript:PPA01569 gene_biotype:protein_coding transcript_biotype:protein_coding MHYHHHHHPVAGAMVAGAVAGAVVAGHHHHHHHHPVATAVVATHVAVHHPVAAVVHHAAHHHHHCHY >PPA01581 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig103:281498:282013:1 gene:PPA01581 transcript:PPA01581 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSALRRQNSMRTQWFMRGRIFFQGLTVVAMVTGAWVAGNAHAGPSMKPVLGKQNGNNA >PPA01610 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:428311:446301:-1 gene:PPA01610 transcript:PPA01610 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-82 MTSSSPFAAHLCSMGFISTIVRKLFRADAVVMKEQDRLNNNNGDGSAPLSGEVGSPTKKDPMKHRFEIVRKLGSGTYGKVSLAYDHKFDREVAVKLIKKSAIENKADLVRIRREIRIMSALNHPNIIQIFEVFENKDKIILVMEYANGGELYDYVSKYGSLQEQEARRIFRQITSAVLYCHKHKVAHRDLKLENILLDSKNHAKIADFGLSNYFSDKTLLNTFCGSPLYASPEIINGTPYRGPEVDCWSLGILLYTLVYGSMPFDGRDFNRMVRQIKKGAYYEPDTPSTASMLIRNMLRVNPERRANIDDIASHWWLNLEENMPVIQELPENRILDHTPLTERPETMMVQELVDEADVFMEFSHLSGETRRKIEEFRRRRKEAEEYNENCPVQPKKNKQEEEQMKMEEKSLRGVEEKKEKAADDPLERLRQIESRLGGMSDRRRASREVKQKEVEEVKRKISAARGEPMPAPAAAPVAAAAPAPAPTSSGTSSTASSTAGSYSRRAGGGEAAWRVETDSLNMLMNQVLEQMEKGPVSLNLVARIKAHPMYDSRPMVKELLESILAGQPETIQKQTSRILEQATSQETMRSTESLAKKDEAIGGKAGKGKRKKMEDRKWHSVEVGFEHEGEFSEEDEDVTPEGSTTNAKAMGGSVMSVSAVSHTTEVTVRDTSFEDMDDDDRAATPTAPAAKDTVKVEGKEPAAPAAPVQPPPSSSAPLPPNLLSPASAAAAATDASGVPPKFLDAFDRGLIKRQSKGKYQHVIIDLYGRGLSLEGESPLMSRRRIGGPQPSIEHSPLLFDKARQCIANFPERLNLEDMERPRRRKKKEDMCIVEVDVHDQHSNASLDKPKDRHNGSVPPRTPPPLNESEEEDEDEMEDEESEEESEEEEGEIFIRRPCPPIIGGRIIGMPRTIAESSSQSGLTPTTTTHEVPPPTIPPTTVITEATIDPASTRRTNYLESCPPSIDLSPGGVVGEPTAFTVAEINKAPYATQYGVKRPVAVVGPSQESIDYSDDDDDDDVISTEKEDKMRRILEEAEEALLEDSETAGDSETWQAAAASLKRRNMERRRQRNKTIADPVEISKAIERESERRAAAAPAHDPLDSFYTQHLGGGGLRVRLHEDSFRKQAPPSSTMDYGGSTRINSAAVVRDDRGRSRTLDASPDRPDYSKLCSCGAILITDREVIIIT >PPA01603 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:388552:391807:1 gene:PPA01603 transcript:PPA01603 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNYEDLPFPKDFRAICKKILTRLFRVFVHTYIHHFDRIVDLGAEPHANTLYKHFYFFVTEHSMVSAKELEALPQQRDDGASDSGLLPCLLLLLGRLRSSLLHLQQAPLTRRLRRRGLVADDCSYDVLPSTMMIGGALLRRPSAVDDDVICSQLPPLIPLQKPSPVPLTIPK >PPA01580 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:280094:280903:1 gene:PPA01580 transcript:PPA01580 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSGPHDFRDHKAHMQWQSEKNEWMKQNKLVPSIPLDMAGGSKASSSSVVMQKALSNPLVPAGMLATTGCLVGEIF >PPA01585 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:292134:292893:1 gene:PPA01585 transcript:PPA01585 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRAFAATRFGSTKLKTHQQCERGQHPFTMPSLYAYLQLLVFLNSVACAQVVKQEESVNVEMDDDMDSGLSASSFISSNNNLSSSCASDSLLDETESNEQLVKKKRPNRRFDSGDCTIVS >PPA01589 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:316077:318448:1 gene:PPA01589 transcript:PPA01589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-313 MASAPCLRSLTRIAGCSTTVVRGNAYRATLGAAARHEYLRKYQLNLVRPDGSMIGVRASEPLEYFAMPIDLKQLSEDERLQMLAARKPKAKIVVKEDVRKPKAKIIVKELIDDNFDASAYMSSDFWSTTSAPAPEPATSGAQKEAGTTTTTTPAGTKEPGAGAGKKKDKKSKSKKAAPEPPVVE >PPA01574 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:237241:240426:-1 gene:PPA01574 transcript:PPA01574 gene_biotype:protein_coding transcript_biotype:protein_coding MRNDVCCCGIFSVTHGSRILSLFTIMGGLAVIYNSACRSRASTTMKFIGVVIGLSLILAGGFAIHAVKARKPKRMFPIIGVQAIALLFTILYIAAFVFACATDDSNVAGGLRTECEKSPDLRRQLEEAGLSVENHQNRGSLHMHNSLRCFPRRPLQGLRSERR >PPA01605 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:401058:402501:1 gene:PPA01605 transcript:PPA01605 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHLLLLLPALSASFYPENVAFLSSINSPQATTDYNQIVTNQQLTRTQMEQQLGQWATKYGATSQIQQLITARATMLKSMADTATSDAQNLPSLIQKMYGVASSGSLTGSQMESQMRQMLDSATAGQKKMAMAAFGIELNPGSNNGNNNGGFGNNNNGGFGNNNNGGFGNNNNGGFGNTNGGFGNNGNTGFGNNGNTGFGNNGNTGFGNNGNTGFGNNGNTGFGNNGNSGFGNNGNTGFGNNGNTGFGNNGNTGFGNNGNTGFGNNGNTGFGNNGNTGFGNNGNSGFGNNGNTGFGNNGNSGFGSNNNGFFNRRRRK >PPA01562 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:189545:190420:1 gene:PPA01562 transcript:PPA01562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-glb-14 MYRLLLSELNIRHQHDNYHDHYHGPEWPEEEQIEKEIERRSSAESTNAGARTRARTSSEEENHHAEHRRKPPIPHGREARSKHVPSIHKPSSHHPPPPPSPTPIGGPPVLVLPPTDDVPEPAPPKPIAIAKISHLSDL >PPA01596 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:361593:364833:-1 gene:PPA01596 transcript:PPA01596 gene_biotype:protein_coding transcript_biotype:protein_coding MVTILEYVRDRLSSTYGYLAASLGVTAASGVAASRSAALMRLTSGGGLMVMLGTLAAIIATGTIARSIPYENTMAKHAAWLLHSGVMGAVLAPLCFLGGPVLVRAAWYTAGIVAGLSTVAMTAPSDKFLMMGGPLAMGLGVVFVANIGQFFLPPGSALGAGLASIVVYGGLILFSAFLLYDTQRVVKHATMHPQPGQYGGYGYEGHIGSFDPINA >PPA01543 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:79966:83544:1 gene:PPA01543 transcript:PPA01543 gene_biotype:protein_coding transcript_biotype:protein_coding MHKIEQAIAGAIRARSHNALITETFDLARAQARTALDNGLQPFPVVIKDCFALESVPMTCASRMLESFTPPYTATVVRRLIENGACVIGKANLDEFCMGTSSALGAFGPVKSGLSDESSINEDWLIPGGSSGGPAVAVQMGFAEMGLGSDTGGSTRNPAAFNGLVGLKPTYGICSRFGLVPLVNSMDAPSIFARTPEECARYLKMMLGVDPLDATSLDLPRERRRVEKLEGLRVGIPKEMHNDTLSSDAWAVWNAAAAALERAAEDLKRRKAMKEVVLDGEVLSADHAITLEPLAAELLGGSIGGVGPLDGHTVIVRVLKWIP >PPA01546 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:94964:98599:1 gene:PPA01546 transcript:PPA01546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-289 MSVSALSLLSKDDWIYAGILGGAFLLSILVRFLARGQSLYAVLKYSPGFIGFGAALALLGWKIVYSIPIALFTTFSIKNGKPGDNHQMPLLVFVVVFIYLLLTRHLHEFIDVPELASQANALQLIITLRAIGLAFDVGDSRFDRANPGQSKRKRHLEEDPSYLMMFNYLYHYCGLFTGPYYSFQMFLDSCDSNASAFHQNYLDKGLVGEYTWRLKQLAWSLPIFIITNKLFPLDGLRSDDVFEWSLLYKLIYACMVFVVFRMRVYAAWSVSECICVTLNIGIYPDGSAPKCVIGPTDVDGFRKGWTDGATSANSLAIQNIEIAQVEASDGFRAGIRGWNKSVQTWLALYVHSRAPKASRMVLTMFISALWHGTYAGYFMSFLVVPMCTAAEDVLFRLVPKGDNGERSNTFFRVYWLTLRTRGFDFMATGFLLKNWKDTHRFWSSCYYWLPVTMIVLFFVLTAIEPMMKTKRPRAEETKDAVLVVIMYCNDNIDKKKVLSG >PPA01613 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:458108:458566:-1 gene:PPA01613 transcript:PPA01613 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVDGFRTYCMPRSVVVEPPPFDLEAAAHFVAPPFLDTADCSPLCQEGACCEEQCPGDRGCQALDCYWQNSPVEHCRN >PPA01616 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig103:476929:482778:-1 gene:PPA01616 transcript:PPA01616 gene_biotype:protein_coding transcript_biotype:protein_coding MVDADEKRRVITDRMRRIAFNEAMKGYESDGTGKKRARKDEELNLLLYLDAEWERGRLEEERKMAKDDPDLATKQDHLVGPSPDVQSHSNSHSMSESLRPIVRTTALSLMDHREEKPPTEKMKALIKPEGAIPLSSSLYEYHPFVERRRIPTPPPPGPLHGLEESDVEEDTEDEKVQCVTTAAAAAGPSSSFPTKQLAQGSDEDDDDLDEASPEMGWQGTADDLQQRRPTTVPPPPSRSTAAASNHSSLHRPAAAVPERVVVKQDVASPRPAAAAAGKVQPAQPLLRVESPARTKITVGRSTTGQRHDMPEAIPVPLAKRNRMAEERAKEEERRKKVEEREREAAEKRRRGEEERKERERRGREEERQREREKEERRKREEAVEEQRRVIMPLKIKLKPLPCPREEEKKEEERRDEEERENREKKSKKKRKKEKRRAEEGDEEEERERKRRKKEKKERERQAAAAAAAVEVVVPPPAAAAAASTMTTPAIPKLKIKYGGAATPAATPAAAAANTPRQPAAPLAAAPSVLPLLLSVAPPTTPVQPLQTVQQPSPAPPTSGLKLKIKLGPPTTGPPTTLTVTETSPAKDERKREKKEKRRENGHHREKDREKHHHHHHKRKEHSYKEHRKSESRHHEQPTATAEAPTAPPKQPAAASQPPPPVPSVKVGGERIQAWAC >PPA01600 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig103:373967:375902:1 gene:PPA01600 transcript:PPA01600 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLCPVCFHAYSLRTLQEHIACCHLPHRCMQIAHCTSSGGRCKGREIFTPSFLTNHMRGSDKDRCKLIYRTTNCIHTAETHTLFDRLMNKSVIELGPVDSIGHSADATRQKLINKLKVEKPYQEYVQAKLKIQEACFVQEHRARSRLSPGYGGNRSPGYNDVRSPGYDRSPGYNDGGRSPGFGGRSPGHSGRSPGYGDARGRSPGFSGGRSPRYDAGRSPGYAEGEGGRSPGYGGGGRSPGYGGGGRSPGYGASGRSPAYQDRSEATFDDLSTTFNPDALLGSYKEKSTKTEPQLRTVKQEPRDDLFSSSDTASNVGILDASFLPD >PPA01550 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig103:117722:121871:-1 gene:PPA01550 transcript:PPA01550 gene_biotype:protein_coding transcript_biotype:protein_coding MFARANTRKHKKWEGDGFLTIHANGETELTEECGREIARSTFTSKKRAELSDGHILMVGGYEVEIQEEVDALPVSAPVQPPTPKKIPSPVAAQAAAIGRFKSAPSFKRTFATASACASPAAKRRFEDQQQPAAPAAPAATAVLSPMAKPRPFSASSLIKSASSGSAKPFCSPLVGNRQQEKVAPMVINEREVERGATPILLEGWLAAHLREHQKQGVAFLVDKLKSRGGGAILADDMGLGKSIQTIATCWTLLKRKSVKSSMEF >PPA01606 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig103:405322:407755:1 gene:PPA01606 transcript:PPA01606 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTTKVRVVTNSSSSKTAVQSTLPSSTPVYQDEGSLQPCTTQHGTTEIEDVPQVKTDYTQNDQTERSERTPLVPVTAQGLSDTTDGSPSEERSRSIAIESKSKWKEEKIEEEGNNIDSSVFFPPHLIGTHMSQRRVRRKQRTPSSDASSSVKPDKSGGKQGNSSRERSGSKKSKKSKRSKRRLRKHANQAERDARFYTRVEKYKNKRIEMDCYCPFNRCEFCEKILRRVGGCMQAAFWPPSREYYFFQEEKMGDSIKKITLRKADKSSLNKPWLFGFEHRCYKRLDPKRVECFVIETRKNHYIGCVMVRTPTAQPRYTILYSHPNGSDLSDHMNGIPSVAEIAK >PPA01545 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:87450:89667:-1 gene:PPA01545 transcript:PPA01545 gene_biotype:protein_coding transcript_biotype:protein_coding METRTGIAEDSPTPREDGGCSIWFEKGSSRRGHSVVKERRASVRLPLLLFYSPSFFILSLIKILQRNYSGSVREDSSGQLQSSLPLWKDIPSSDMPCLDAPLAADKASFSTNLSESDIFLAWKMAESFICSDNFEHRVSIVHLKSCILSGRGLGAVANVVPEMSKELCEITHTRMKTVVATELSIDFQIPRRRGNLRINSPNWKCARAHIHYLENHEIGDFRRYGTAKLLIIVKRNGAGGIPRMLHEVARAMKSGRIERLKIITDEKTAQRDWEINYLTFDRCGVKIKYSEIGLDQLFE >PPA01579 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:276009:277131:-1 gene:PPA01579 transcript:PPA01579 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSQGDALVDSTTNYAQARTAEWANTIPQAGTVPATSSMPTGMVGMDLKFNQDPFWPAQYPTYPLNMSSAENMAFAMQMQNIIMSSAQVSSSSVSPAPKKKKPTPVPEAQKDETYRERRMRNNDSAKKSREARRKKEEEALSGLQILTRENQKLHMELNWYKMQLADIQHRLATGQSLNMVAPAPGFMQ >PPA01604 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:394758:398826:1 gene:PPA01604 transcript:PPA01604 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLTPGDMKCRMYCSGKKCKYCSVQPSTSGQAIPGLYSTWVTPNILAMARPTAAHTDLVEHFQNQFTIAHNLLLPYPLRRASIRTVINLQEPGEHKFCGPALLPSGFTYDPEEALMKHDIFFYNFPMPDFKTCSVAFLLDVVKVMQFAWDQGNIAVHCHAGHGRTGMLIAAFLIYWEGVTPIEAVKTVREKRPDSVQSMDQVTVLNDFYTMLKGHASVLPTVTTLINGTGHWCPLCVSSSAPEVDQKRSIFSALFLKRNEPEQPVKAPLVAYETVLDFERDYLAGPERKEYRAVPKIICTLVKLLLERVFQHATLHLQGRDINDRKIEWEVGPRIAKFNEVEVLNEMFQAREPCGVCTYIKAATTNQLVTVSNCGHVLKELERPESEEAFSDLITINTSLARHMGAHTDEPWKTAFAIIVSAFSHSWPTDYYYFAQIVHRFFLPEMKLNDATCARIRALLERLAETRAFWHDHELRESDRRAVDDSAISSEDGAPSPEASETYRKITAEAMMTKNGGGTNGKTHDDDELQ >PPA01591 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:331971:336445:-1 gene:PPA01591 transcript:PPA01591 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSVGMRAFTRRDEMWAICRPCSIRLPATHFDRSTKVTYVSWAQLLHTASATQVGPTPTRLTSIERNEDTLFGRACLPVCSTYN >PPA01576 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:242977:243275:1 gene:PPA01576 transcript:PPA01576 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSALGIVVHVDLEGPSNAFDDDARTSKIVSFVATTQLSFVNHSRGQMITMGSEEEVELDVEFDGE >PPA01573 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:233980:235789:-1 gene:PPA01573 transcript:PPA01573 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIISSLLCLLLSSASLAAPVTEAPRTTIETIYETVTKTRIIEVTEPATEPTATEPAVVEDESESEGSGVVEEVAVPGETGVLVPAIVASDTGAAPAAPCECPTEETATEAATEAATEAAAAAAVAAAPANITEISGQTKMCSCDVADECRKSSMDGMEECMKDCQADHLKGYGDKIEEYRKCFFNNKDTIVEAENCLFDKKTEYCAAKDEAKFVNKTNWDDLSNVTYTSVAHKDIVANYFWKRDESKYNKFQSFFHCTKHCIHKKLQTCSADKGCTVKMPTRDEFAEKMKTCTKKNTKISTAILKTCQCLAWTNGVKEMQGSCVVIGNSYYVDRA >PPA01588 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:313947:314975:1 gene:PPA01588 transcript:PPA01588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rap-1 MILVGNKCDLEDERVVGKDQGQSLARQFGSAFLETSAKAKINVNEVFYDLVRQINRRYPEAGKRSSSSKKCCCNLM >PPA01560 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:181746:185448:-1 gene:PPA01560 transcript:PPA01560 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLSPGWHVSDHALHELLDDTPEDAKKLETRIVNFDLKLFGLPSLQDKMDKNAGLLKGPIVMQITRIRDCGRPSIGESSSSSNILRVFLTDGHQSVSGLAFEKISGLSEDKTPWGTKILLKGDVRVEGQFVILTPSNVVVIGGRVERLIERWSIEKNSMKGIGRKSGEDAPKWISFGKRDSNAYPIKNTNNFKVNDVIKGATEKGEGGGEDTFEKTRKEKLDAVEAAERKFAKVKVPKGGLSEEAKIEQMKEKMKKRGEKEEEGREKRGMKGRRKGRKYSDDEADIPSEFARPSQGITLSSFLGEGIAPTIPSPTVTLPPQPPITNGRERGGGGRGRNNYGRGGYGREDRRIDGYGQRNEDRSEGGHGNRVDRGRRSINGRGRGASNVRGGSQSMRDGGYGKDDCRPLPENQGGYGNGRGRNRGFTGHDLRNSEDGRRGGRGGFSSGAGGGNGMNRGRIQKGEEKIPPLMSDIDFPSVDGLNRGMEGMKIRGGMNSNHGKGREKEGIKSGTKVKAPWDDGNFYPATVLSIVAVNVHEIAGQRRMSVEVVESVLILYE >PPA01608 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:413958:418593:-1 gene:PPA01608 transcript:PPA01608 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSRSSVQIAPLRLEMSLLISFASLWKWKGGGVRHTLLSHHSQAPHFVGLAPLQTTVDSGSRSNLLLINSITTNNNNPNNSIVDGGSSKDKAAAAAAAAATVTPLSTTADDVTSSASSKDGHTDEIEPPLAYRELTSSSAVHATREYLDQLFWDKNELRNSQPAFRHVARLIDSEISRVVEQMSASLASATSLQQGGGGRGDEVAAAAAAAADESKVVLQEKIFVPVDKYPRYNFVGRILGPRGMTAKQLEEETGCKIMVRGRGSCRTEPLHVLIHAEDHADKVELKLRKAVDRINQLLTPPPEGKDELKRKQLIELSIINGTYRPTTATKLALQAPRMPATFSHAGSPSSGPLAGLDSSIFSMLGDPAKLRLLPSFGLPTSGASDLSSPHSPPATPRHNSGAPASLLDDNQAYLASLLAASNANAFSGNSLYGDYYRQIVAMSLADPSLLSYGGMRPNDLLASLMSGGGGAGGTSASSHHHDHHTTTAATGIGASVPPPAAYGEGGRTGSATSGSIGGTSSLHHHHTHHAAGSMGIGTGMGGGGGAGAGGSGLIPPATIQQYLAAASMLNGIPYNDNNNNSSHNNNNHRDSMASNRNRSPITHDGSGDAQVANMQAVSVGPPTNPMAGAVKRT >PPA01553 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:131590:136358:1 gene:PPA01553 transcript:PPA01553 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRPGRIKSKGREASTSQDGETPRGAPARLGKRLQGRPRKSIDDSPWGSRESTPFSPANPPSLNRRNPRGQPQLINRVSSADIDEAEELRAHKLARHLAEHPDSREEYAKLVAERPSFPPWMHEKDMIEHNGTVYQTGDIVCLFDADDETEGVDVPYYAQVRSLIHDTYMRPYAWITWLVPSSSAPDPHDFEAEHFMHSFADHKLYGLESLRWECERPDLEEYQNSDDPREAIQRARVVEMRQRATDLFKAVHFEVPSSKGVDILRAGDVKLAHTEDHKDVLEEVLKEANERYTARRAEKERKRKEEREKREKEVESDAEMEERKACKPLSTHLMRIALRSRSGDESDDGKEMKEEEEEDGEEGGEGGKRRTTPRTRGRTASQTSATSKGTIEE >PPA01621 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig103:538287:538984:-1 gene:PPA01621 transcript:PPA01621 gene_biotype:protein_coding transcript_biotype:protein_coding MSESQRMAFGRGRKDLLPQLRIPYFLIDQATDDTTFALPEKYECSRAKLTIHHLSRPLLTETVELTRLGESGATTVFKVPKKQAQS >PPA01586 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:294028:295303:-1 gene:PPA01586 transcript:PPA01586 gene_biotype:protein_coding transcript_biotype:protein_coding MARGQQKLQSQQKNAEKMAKLKKAQGSDQKTAAQAALTYKCSVCMAQMPDPKTYKQHFENKHPKAALPAELVEA >PPA01548 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:105171:106017:1 gene:PPA01548 transcript:PPA01548 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRNCSLIGPTEPDRPTILDCPSPTRPLTLNIEYPSRFRDFGTARLLIVVKYAGSGGMPGLMRAVSRGLKSGRLERLLIVTDEPISARDRRANYHIISQENLFH >PPA01575 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:240809:242152:-1 gene:PPA01575 transcript:PPA01575 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLLVLCAVATASAQFFYPGYAGYAGYGYTTGYAGYGYGFPSYGYGLGYAPVAAAAYPSYSYGYGLTSPAFAAHTIVARDTAAVPEKAVPAVAVAAEAVAVPELKADEEKISVVQKRDTAIDVQQKSSEEDDKTSVIQKKD >PPA01612 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:452728:454704:-1 gene:PPA01612 transcript:PPA01612 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGESSSRRIETAIRYAQARRTNEVPTRSSVDDPAVRELMGRYSNPPTPDRSITRRNTISRSTVASPARDRSTENIQELLERYRGPRSNTAPRSVGKESTAEREEHPRLASVISVAAADLVKRRRDSDPVVTAAANVYHNPLTSDSTTPTRMDEHSLTSARLSGSNIHVPFQVTTQAVNGSARPDTSASSARRARYIANSYERIP >PPA01607 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig103:409962:412603:-1 gene:PPA01607 transcript:PPA01607 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLKCDPMYELYETKLSEYYTSELRCRTIGDDRWYTFEDTNEKGKITIRGRGLSVVCLRKRCNKCGKLPTVSVAEFTQGKAGSCAINSCTLWKLRTAGSSTQQQYAGKVSCSSDKETEGKWLTDENVVVEEAVCISKDKRESEVKCTEASPLVTNCAANLTAFGCTQIAPPDTGHAECPDKKKIFLEHPGSPFFVDGTKITCHKVTGLWKVERNAERPHHLKRDGVVICADRNPAPQPRPLIPAAKEGDKKAVRLALILCCSVAAVLFLAAIATVVYFSSISGDFDIEIPSEL >PPA01572 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:232120:232960:1 gene:PPA01572 transcript:PPA01572 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAKVDQLFIDNQDNVEYLPIAQANALRAQLPVLGKKAWLTVTCHAYNYLGNYIFNEHSISGAFDHKSSKTQCATVFALSILDIY >PPA01567 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig103:216825:217184:-1 gene:PPA01567 transcript:PPA01567 gene_biotype:protein_coding transcript_biotype:protein_coding MHYHHHHHPVAGAMVAGAVAGAVVAGHHHHHHHHPVATAVVATHVAFHHPVAAVVHHAAHHHHCHY >PPA01561 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig103:187160:189060:-1 gene:PPA01561 transcript:PPA01561 gene_biotype:protein_coding transcript_biotype:protein_coding MLREAMKRGVREGADGAEQMDGRGIEREMEKEGGKRRGSEEGGVEEVRRPLVTEKAPSWRGLFDALNDENDRGREREALRDLETPAPLNETLTGVPRDPRVRGAEWKSAPMPPHPWEREEEERRVDMCNTVDLGCR >PPA01537 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:3697:7439:-1 gene:PPA01537 transcript:PPA01537 gene_biotype:protein_coding transcript_biotype:protein_coding MRISSSKDTPTYRRENSMESSVTSNDSGASSGSEGGTGEGIRKITAKERVIPAINVDQSAEETDEAPIKMFTPRFSSRPSTPSGDRVNGGVKHYADRVREMGTAATADRLREPLTPTVQPRASTLEPSSSLSASLRNLRASINNLHLAGSDLYSSSSSSEAGGRGATAPPAAGGTTSLGASPLLAPADSPGLTRFHSRTSQYRSFNTKRGTEKQQQLLDRLVSLRSRLQDTQSYKDRPLSRQVR >PPA01540 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:44141:47202:-1 gene:PPA01540 transcript:PPA01540 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVASPLKPTDTTTPSSTMTMSAAVKMESPSSPAAAAADEQQLQLLQEAACSNGNGGSLSAAAAAAAAASSTATLTPTPEPPREQHSCTGCIELREEMHRGMSEMRGKIDRLNEALFKIMGATGALGEPAAKRPAPARQQQQPMSHLAAVLAVKQEPNENGDMKNLMPSSFTPPGSAQQQQQSPPQLHGAKKRKPTKELIHRMGFPGGFGAFADAGSPLAAALAAAAAAGTANGMPTLHAAHAASQSPSPPALPTTPPNNNCREGGAETQTILSTMNLELPGTPTGATATVTIAEGAPSLQLQNLMNDMGGGLNMDAIQQQQQQILSMLGLGMQQSMGGGSPANLSAASTPRPDNSNGSDESTLDVSAREVRAGNC >PPA01551 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:123208:127324:-1 gene:PPA01551 transcript:PPA01551 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATERMAEVLVAMQQMMAAQQAELKALRDQQAQSATSSGDDSSKSRGPSVDSLEKQIILFNYNADEGWTYEAWWTRHEGLFNSVKVDDKEKNLMLLRHVDDSVDRQFRDHIRPKKLEEMSFSEVQVVMTKLFGDKKTIKKFATRVNRVVEEADVTQLTPDKIKTMIFLAGVDLPRHTGAMFHIINGMKNEENPNLEKILEIADTFKEAQHDSQTVTAQNRSQVNAIERKFKKSNERQNRKQNQSGGKCYRCGRNHEAKTCAHASTVCFNCEKVGHLAVVCRSPKTEKGKKKAKINMIFGEITTNESKFDVRMKMDGCEVTMGVDTGSDLTFISEKTWKRIGSPSMSEADAYAVCANGSSMDLEGKCMVTLGMNGITVHGSVYVTEKQTNLLGKDLIPFFFSLVPNKKQGANLNAVKADTGYAEMVKRDYPEICREGLGLCTKMKASLSLKSDSKPVFCKRREIPLALLTKVDDEIDRLLKLEAIEPVDYTDWAAPILVVPKANGKPRVCVDFSTGLNDRLEAYNHPLPLVSEIMTKLEGCTVFTQIDLSDAYLQIPVDDSSKKLLGISTHRGIFRYKRLPFGVSAAPGVFQKCMDTMLAGCKNASAYLDDIVIGGVTRGHHDENLKDVLDRLQEYGFRIRPEKCSFGKEKIRYLGFVMDKNGRRPDPEEVNWMWIRRSIGCGVRNVLKHSRRLKVVLATDASEKGIGAVLAHRINGKLRPIAHASRTLKDAETRYSQIEKEGLGIIFGVLKFHHYLYGRRFVLQTDHKPLLAIFGSKTGVKIHTAKRLYHWSTLLLAYSFDMEYVNTESFGYADALSRLISASRSDVEEDEDILGLKNVEKAVCKAVRNCASKMPVTVKDLQDATDQDSVLQKVKEYHMSRWPDLKNIVKGCLFLADKIIVPQSLQKKVLEMLHIGHPGIVRMKALARQTCYWYGMDTQIEQMVRECDQCAAASKQPVKIPLEPWPKSTEPWERIHVDYAGPVDGQYFLVIVDSYSKWPEVIMISSMTADNGTQFASEVFNKFLIERGIKHLYSPPYHPQSNGQAERFVDSLKRSLLKQKGERSIAEALQVFLFTYRKTPNAQCNGFSPAEVFIGRRLRSELQVCVPKTGGLNSNCHSDRKIGAAKEQFDRKNGVRPRKFKIGDVVLYRMHVVPNSYKWTKGVITAKIGKVIYLRDYEELIKTTIKLPEEYPGDFGMNYLSDQSTVPNSPMGSIKEPDPEPVKEPGEEFEAQAIAAEPTPVTVPTRMSTRTRKAPSRLDIDPSKKRY >PPA01570 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:222822:224769:1 gene:PPA01570 transcript:PPA01570 gene_biotype:protein_coding transcript_biotype:protein_coding MFYHRRHHPVAGAMVAGAVAGAVATAVVAVHHPVAAVVHHTTHNRAMDGPALLERLPRELVWMIIDYVPESFFQLGDLPRMMII >PPA01552 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:130505:131324:-1 gene:PPA01552 transcript:PPA01552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-arf-6 MGKLLSKIFGKKELRILMLGLDAAGKTTILYKLKLDQSVTTIPTVGFNVETVTYKNIKFNVWDVGGQDKIRPLWRHYYTGTQALIFVIDCADRERVDEARQELHRIINDREMTEAVILIFANKQDLADCTPVQYNST >PPA01601 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:376087:380405:1 gene:PPA01601 transcript:PPA01601 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMSSVPIKVMSSDTANSSSSYNHLTPNNHHNGTTRSAVPPPRIPHNQATPSSSAQPAPNGIASSSSHNHRPPPPPPPPSYPNQAQWMPPNERGPGYGQPPPMHYGGYPGSYLPAPGTPMPGMGMNWPQVNGNGGPMPFQNGQSGYVQPMIHPNPYQNRPGGPPPTRPCKSFQSYGAGQAQPQIPNTGPPRPGHPQSAGQNGPNEQSKRCFLTRGAVEARMSSQRVLVYGGKGALGSALVHSFKTRGYWVLSVGENESADASISVPKDCSSVVDQEKHVLSEVARTLSDGCKLDAILCVAGGWAGGNAANEAMVRNADLMVRQSVWPSLIAARLAALHLAPNGLLQFTGAATAVAGTPGMIGYGLAKAAVHQLTASLAAEKSGLPSGATVLAILPSTLDTPMNRKWMPKADHSSWTPLPWVGDLLHSFISTPSSRPVSGTLLKLETIAGESTAVPSKI >PPA01590 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:320126:330807:1 gene:PPA01590 transcript:PPA01590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dpm-1 MTKYSILLPTYNESENLPIVVWLLEKYLRDVEYELIIIDDASPDGTQDVAKALQKEYGASKILLKPRAGKLGLGTAYIHGLQFATGDFVILMDADLSHHPKFIPTMIGLQQEYNYDIVTGTRYVGDGGVSGWDLRRKVISKGANYLAQFLLEPGVSDLTGSFRLYKKAVLAELISECISKGYVFQMEMMFRASKKGYTVGCFSDLTLHLKAEIHNEGWNDIGRGFLGIFTPAKLTDEHWESIGKISKGSDVQDNIKKVAMSMGGVVFLVVVSVLLILCFCAAPCSLCVYSCTCKKKCKPKSNAIIAYTGITCNSVAILTIGLSILFCYLSIDALTTGVGNVPDSARPLPNLILGAAEKFKKEIINCVGGDLVSDLKAQFDAVPKGIDLELKKKKIDGFKNKSVELSTELEKEAMVDVTKQLDTGGGHEMKKKLDEVDKIRKKAEESEIELSIKDKMGDLTDKIAKITEDVNKQIEEKFKNIHSVRDKINNSTKSTYDKIDEYKKEKFGYAKALNLILFPCYLALVSAIWSCSKAVKFVQKKKAKPKEKLGLLIPLAIGLCLAFLPLLVSTAFMSFAGVTNVACGTIFKDDKGILPRLLTTFEVETKIKNKDVEFDLLTVIQECSAGKPLIAAVNGSQLIAEDLLIKQFDIDAKRAEMKQKLTEMSFGLPTDGDWQMILDEMGKITASIDDAESMINDLGAYEIELPPPATKVKLIDLVPAVKTTHGHLKDVVTAVSAFETECKKDIEVAKKLKENEIKLKIVQTLTAVGPDGDMLDRAQNGLNQGYLAFRSNLLEKSTSCKFLFDVFTDVGSILCDQALGGLNGVYAAIGLGVMSFFSATVGTVMIWHTLRAGKGQNKDDDKGGSDDSKEGKKKKDKKEKKSEGEEEETSPPPPANMAGKVGEQATPIGSDPSPQGGQVITVTSPNNPVVVAVPTDPAAPGEKTNPSLLPQDVVPDAGAPAADAGTPSSPIQMVPIEVNQGRLPSGALLLLTTTTATLANRSLQPFHIKRNSDSILEIKLSL >PPA01558 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig103:161999:162895:1 gene:PPA01558 transcript:PPA01558 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSAIFQAKNCEQKGIVLLRENATHRSNDFSGSIDAPAHQVFRATELQYMRWNREDESLRSLTLRSFSGVSKFESERSQGSWPDPMAGLPIPGERAHSTQALARVACSIYSL >PPA01566 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig103:215874:216672:1 gene:PPA01566 transcript:PPA01566 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVAKGYSGCPESILVDLISSQSDDPSSSYMSKENLLARISHLLNRCSHIEKLMINFEMKYDEAEFEIQDIEFDQGTPQSFFAEAVLLTHVCIRVNTWFGQWFWKGLERMLNSDQNPVQVKMEYPKDRVVLIHVLPMEAM >PPA01619 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:501624:510541:1 gene:PPA01619 transcript:PPA01619 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGENNTIFLDAHIRYRLGDPTHPITFCPSKIAIQVVVAQSDSFWRTRMHPHHCPALGCADTCYLRQHGRCLLSDHDMIRSIETDYTTMDPDDYDDLLFLTDKTEIFDVPLDNESFLSGLKHAKVMASNFALPIIYAGKADVVLLCWRATLAPGIELDSVRKGGWDYAAYPLQARTEYKEDEVFHGEFVLHFEQGVTINHIVDPATTPGETLSMVGPYDACLPKTDDGVVELVNNESLMRFLSSVPSRHSYWLRHGQDAYFPITSEGVLQYKYLERPEFDTIFSVRAYGCLFKANFIDNCARQAPRALLNVDVRALDEYSLREYREIRGVRQGRIDTLSTPTLLLDLDPRRNYEPIVTGEQCQVEATASSAADGIVYFWVINGEWNNLEADLPLAAFLFDKRERVEQGAKMRFNVFLKDSEVLMSFGPRRITASPEDTALAAPATRAPPLQYPTLPMQYPVQSTNRDEVPSTEFNVRLLTLTPSDH >PPA01541 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:70605:72726:-1 gene:PPA01541 transcript:PPA01541 gene_biotype:protein_coding transcript_biotype:protein_coding MERRLSSPPHKVNAVPRPIPDKKWFVQPWLIVLAGGILPFGSIFIEMYFIFTSFWAYKIYYVYGFSLLVTLILAVVTMCVTIVCCYFLLNAEDYRWRWTSFAAGASTSLYVYLYSVYYFLFKTKMYGLFQTVFYFGYMGLFSAALGLMCGTIGYIGTAKFVRKIYSTVKID >PPA01559 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:163926:168904:-1 gene:PPA01559 transcript:PPA01559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nud-2 MTLIEEEGMTSETLSKMSHDALIEKLLTYKDKYESLSEEFHDFQVSSKEIEQMLDNDLAVAVRAREKAERERDDAKRDADRIRTRADADKRDACAIEERLRAELTATRSALEAARVRVRALEQANDDLERKDRYQEQMLEDLTKNLESTIERATLLESELAETHFQAESASRTREEMRQDRPRVAVEPLRMERVAPQHTPAETPEDERKAFAAMHIDDTMEVDDEGAHPSTSSMECVQHLQHAQHHQQQHPLPPTPVSSQGEGDNMKGGEEEKPRRPFTHSINKIVKELLVRVDRVENILTTLKSGSGSASSGSVAPAPGAAR >PPA01620 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:516366:526707:1 gene:PPA01620 transcript:PPA01620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-bicd-1 MGEPAELASLRAEKERLEAAVEDAKEMCAKSAAFGLSLLEEKKELEEKNRKLRVELEAKEAEAELARITLEDFQTKHNARAKNERNNELNLLDENEDKEDEYRNRIATLEADLRAKEQEVDRVTSELADVMNTQTELLAAVKEQEGELKKLKASRAEIKDQREREQRNAAEFADIEEENIMHQKTIANLRGGQVEYEAMKVDINRLTEELYQLRNQLEDNERLMKIAEAQVDEAHLLAQQEREQRLQLKREYDALKNQEHLASLSGLLALGSSGEPIQVGGPPMGGGSLFDELSTSSDTRILDLETQKERLEEEVKEREKASVDIITSLINKLQLDNKGEVNYKQWRQHREIILDKLDSLAKGGDPEAEKKLHKAHADLRSMLLLAGERTAQMASAQDLMISLGDGLYQLYHHMNQTQQKPADKSILQVVQTLRQLARDNAEQSAAVSLADDDASGTETESGRSAPIALNANRIVLSKSFMKEATERLGATTIQSIVNDGDERQRISTDGTSMVKSAEGATKLLHTLRRSIEQMSASSAAAVDADDLTAQNNKLRNLLSVKREQISSLRTVLKSNKETAENALISLRDKYENEKRVYVETNDKLRKELKSFKEDAATFASHRAMFTARCEELQAKVEELQAERQANEEEKKTLNQLLRLAIQQKLNLTQRLEEVEVDRTERAAPRSYFWALKKE >PPA01583 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:285538:286401:1 gene:PPA01583 transcript:PPA01583 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNVLKKLYHGSDARVWKFHGIGDGEVIKDLKHTNATLDIKKQGGKLATAAVNIEDRKRILASFDKNPGQCEERTFWLLPHEVAPMRDIEPNARDDDIVTPNRPDPSNPAGAAKQSLFYCRDCGSSFILYRNLLKHIEKGKHFIRPEHVKLLDRVLGLFMRAIEDTIVPEPLSPVSEVVKAFKRASDPELPQGWAIKHGRKVGRYPEATKAFVKAKFDEFAKRGAKLKADEAERLMRADRFIEPKDWMTKSQLRNYINSLKWQLPKI >PPA01618 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:493595:498473:1 gene:PPA01618 transcript:PPA01618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-prmt-3 MHDANLSFSYRGAISSSAWSNPQQPEQPRMRECLSNALAAWNQKDYATAIKEYLKNLIMASEQERMDRYQQEFCRLIVGTLLGLRALSSNDIFPKSPLVLWTFGNITMERDPHRSMHLYKQAATMSGGLDLVNTMGSIQSCRTTIVSTWHISMINDLTRNFLFNRALAMIVSQDSRVIDIGSGTGLLSMYAARYTRQPIVAIEGEKPMAQLGAQCVIANGLQDRVLIYPVMSSQFLPTVKPDVIVSETMDAGGLGEKIMQF >PPA01556 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:155078:157115:-1 gene:PPA01556 transcript:PPA01556 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTFADLGKSAKDLFSKGYNVGLLKIDSTSKAGEKNDVEFKVASTHNIASGKLGGNFDVKYKVPQYGVTLTEKWNTDNQLGTVIEVAEQFGRGLKVTLDSTYAPHSGKRSGKLKADWSLPTARLTTDVALTTAPVVNAAAVFQRESFLIGASVTFDTATNKMTNSQLAFGQATKEYTLHSHIVNSNEFGASLFHKVAPNTEIGATLGWKVGGTGTVFAVATKYSPSRDVTFRAKLNNESQFGAAVQHTLSNSLILNLSTQMNLASNEGHKFGLGLEFTPSN >PPA01595 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig103:356370:356785:-1 gene:PPA01595 transcript:PPA01595 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRTHAPPCVCQKCGKAFSRPWLLKGHLRTHTGERPYECGECGRRFADRSNLRAHEHTHKTERRHKCARCAQTFARSHVLLKHEEMCDSGSQEDLPALAREDTVVDR >PPA01549 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:106441:114078:-1 gene:PPA01549 transcript:PPA01549 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRKVSDIGLFASAHKITPFLVVSYDMALRYAPALSSCQFDLLVCDEGHRLKNASGKLREALCSLSIPRRLLLTGTPVQNDLDEFHSLLDFVLPGNFGTPAEFRSLCREGGEEEGGGGEGDDSETSPELARLHAAIGECMLRRTSEVNVSHLPDKHEYVLFCAASKLQIKIFEAIADHVTGEPLVLIDQMRKASNHPAILYKHLQKGNADSECWLKCIPECLNTRVSYSSILSMFPRDFGSRTASVKDSVAQCYRIFSKATVPTCLPCPTFAGKLSVLVDMLVSFREHGECTVIVSQYTKTLDMIAILCSTLQFRIYRLSLAVARALRWKTSCTLQLCNLTCNGLFLRLDGSTPVADRQKLVNDFNQSRDSTNIFLLSSKAGGVGLNLIGASRLVLFDLDWNPASDLQAMARIWRDGQPRACHIYRLVTTGTIDEKILQRQIKKTGLAAIVNVVESLNGQTDTLSFRDEDLKDIFTFKETASNTHDLLECACGGDGLLPLEREEEEEVERAERDDIDEDEIAASVVRAGGVETTTVSIDDGEDTVGEGEEEEDTAELPTEPASMAELFRWRHYAPNNDVPWQHLKAQAGLGKGLR >PPA01587 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig103:305023:306609:1 gene:PPA01587 transcript:PPA01587 gene_biotype:protein_coding transcript_biotype:protein_coding MREYKIVVLGSGGVGKSALTVQFVQGIFVEKYDPTIEDSYRKQVEVDGQQCMLEILDTAGTEQFTAMRDLYMKNGQVR >PPA01538 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:13878:16708:-1 gene:PPA01538 transcript:PPA01538 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRDSPLSRTFHAGDQRQRQKMKENARSSGYGIGGDWLYSYSPSASRSATPDRLGWSSNGRTDATPDVQQQPRRGRDEERRRTGYREESFEDGGSSPASNETFITSPTVTATSRVDDPLIRYSVPSSREDEYGISPAHGYGRERPSAPREEYGSRPAHDYGMRARSSSLRPTSRTEELDEYGRRSHGPASPPLRGDTVEQ >PPA01609 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:420192:427611:-1 gene:PPA01609 transcript:PPA01609 gene_biotype:protein_coding transcript_biotype:protein_coding MTYRINPKRHSYHADITPSPLVYFETLPPGGWDHQDGGPGTVKKIPRARGNQKAPRTKPASPTIAHSSSFTLIESPHDVFPTSILMPEGTYGDGGTMDRRQGTMGSGTGTSKRVRFGPKVPPRWSSLWPTREEGRKEKRLSGSYGSSAGGMYGGGASPGYTRKFEEPSLDSWATSPRKFEVYKTRAERDAERNTQIHPQAVTAPSSAYRTSYYTDSMGSAGMGGSAGRSSAYYRPKSVYDEMPSYGGGGGGRPTSLYHERSTTPSYDNAPHDRSDGPSSNINDILARYTTGRDRLMEDYGGSGATSSYNRPSRRMTTAVTDSDRAAAYARSRSIDRKDSDVVDFRLKTPDHLGGTGGTGGEYTYVHYHDSAVNGARASQPRGGHSGMNDTPKSILKNKQNADYEPRAEASSSVGPVRSVIDRLKRHLSLEKSPPSSSSTTRAPSSGPAARPFIAPSSVSHTPSGGSYATGAGGGAGAYDDGNKRSSTSALPFNRRRTSELRLGADGCIVTNGYSDMMPTTSPYRYLDDSSYGRTSSISSSSRRDEPSTMTGIDKIKNLFTSASATRKDSGGARAYTPSGTSSSYGLSNVAATSSSRDAYGAAHSGAGGGGYGSRSTYLDEEPTDEFGKKMWHDADDSLLVVWIRYRRRRIVN >PPA01554 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:139498:141786:1 gene:PPA01554 transcript:PPA01554 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIQLQQMGDKAVIIEAADLTLLSLSSNIAALDAQVLATKTSQKTLNTAIEQLAEFLRTINDHDEPADVSAAVRKLNDSQRRVVQLSARLTSLTDRLGALQRAIARETHQHKIGVSEARDYCGTI >PPA01571 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:228593:229653:1 gene:PPA01571 transcript:PPA01571 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGNTICSLPLDLVLRDNFLQLLMKLNTLLKFASLVNTIVIEQGHNENVLIHHYFFGLTNADWAPIILDMFSRKMDKLSMADSFGVVGCLSANSADILRARLPWIGKKVWFDAVGNGNAETHYTLENDHIIRGPTANRRGFSLTVKHLSREEETIEEFIF >PPA01592 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:340276:340977:-1 gene:PPA01592 transcript:PPA01592 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSSGHPKLPTGLITVSAHHSTANPCVARKDTVDESDEDEDTDFEDATGVPDYPDEPTRTPRGPEDMNSSSC >PPA01542 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:73010:79198:-1 gene:PPA01542 transcript:PPA01542 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLWLSLLLLAGAAIADEHDHVYEVDEEVVLWMNTVGPYSNQQERYTYFSLPFCRGKKESIGHYHETMGEELLGVELDFSGLDIKFRTNFPRTVYCKVTLTEADVNTFVYAVTNQYSYQMYLDDMPIWGMVGEVDRKTTPPTYKLYTHKKLDVGYNGKQVVDVNLTSDGLVEIRKGAELEFSYEVNWQSSPMVFHDRFEKYLDPSFFQHRIHWFSIFNSFMMVVFLVGLVWMILVRTLKKDYARYKKDESIDDLDGDLGDEYGWKQVHGDVFRAPPMPLLFASLIGSGYHVFAVTIITTVLAIVGEFYTERGSLLSAAIFVYAASSPVNGFAGGSMYARFGGTNWIKQALFGAFLLPSLICGVAFLINFVAIYYHAARAIPFTIMLIVPVICIAVILPLTIVGTVLGRNMSGVGDYPCRSDKSGSDQFGTHK >PPA01563 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig103:194737:195029:1 gene:PPA01563 transcript:PPA01563 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLRAIIDAMDDEISLAEQLKRIARAHIKWNIHKAHVMVSDKKCQTDQAIDAFFSLSAIIS >PPA01614 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:459913:464609:-1 gene:PPA01614 transcript:PPA01614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-col-48 MDPSLASTHYAESNHVSTQTRRKALRSVTVQIIPASSSSRSRPRSKRSLQVPSPIQEEDTVPTASKEGPNRTPEETSSPSFPAAAAFKDLVFGIVRDKIPLLGAVLAGQDSQATNASAAQETPILPRQPPPDGTDGTSGAPTGTTQQDWYQRWLETMGLMADQMRERELRWTRRVIFIASLFSLLSLVMICACPPLIIYKLMRMRSELAPLVHNCIVHSVLFHHHFHHEYDDDKRTMRDTVERMISSSRSRRGTLIDVDDLISHLQSGALRGRELRLDSLQNSYKSKTRRTNNDQRSQNPPEQQFTVLNQGLPYSIGVMNSGYEPDGTLAYPYPGQQSTLYQPDFGQSVYSTPQPPNPVAELCCSYTTGPPGAQGQPGQPGQPGTSYPSSGRPPTTPLFYPTQQTTVSSCPPCQVVPGPPGPPGPKGVPGFANVVTVKGPPGPPGSYGPRGPTGPPGPPGPADPGRVIEIEVTGSEGPPGQPGPPGVPGKPGRPGRRGKNGHKGPEGACGRHGTPGNPGRNGLQGAVGKPGRNGVCACKTQSIKQISTDDYGETQQYSSESEQSYGGYRSFRDHKRAAATKFPTLHDDTKPIVQFVLPDGRFDP >PPA01578 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:264423:267819:-1 gene:PPA01578 transcript:PPA01578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rho-1 MERRKERDRLRNDKLVIVGDGACGKTCLLIVFSKDQFPDVRHFCPNVPIILVGNKKDLRNDPQTIRELQKMKQEPVKPEQGRAIAEQIGAFAYLECSAKNKDGIREVFEKATQAALQQKKKKKSKCTIL >PPA01611 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:448027:449162:-1 gene:PPA01611 transcript:PPA01611 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFKYSLLLTELLSYGRPHLPPIPIAPPHHYTSATAASGGGGGGGRMPIGGGGGSRSGSRSGRLARISQSNIRPHGGTGGSSHGLATATSAARKRLETRAGVGDPPVES >PPA01598 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:368184:370263:-1 gene:PPA01598 transcript:PPA01598 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLRRLTAMAAAEEGPVARVITQKLKDRFKPAHLSVACESHMHNVPRGAEMHFLVQVVADEFEGKTVLQRHRLVNECLAQELREGVHALRIDAVPTSKWTGEPPIESPKCLGGSKK >PPA01582 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:284812:285277:-1 gene:PPA01582 transcript:PPA01582 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIVLFALLACTSAQFVAPDFGYSGYAGYAGWGYGGYGYGFNGWGSWGYPGWGYGSWSVPSVATTVTAAPIIAAAAPVVTSTVTAAPLVAPVAATAFVRPTIIAPQPKNTKISVIQRA >PPA01557 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:157407:160567:-1 gene:PPA01557 transcript:PPA01557 gene_biotype:protein_coding transcript_biotype:protein_coding MSARVRFSSQPGVTHTIPASAEVLLDDLRNLPLPSYVSEEAYHMDIFTAASIGNIEIVERWLRNNDVANAVNRSGWSALLYAAQLDHAEICAVLVSRGANVNQANKKGQTPLMLAAACGNLNTVKVLLERGADALHVAAACSQQMIVAELLTRGADPNAQDKDLMTPTLEACAAAHEQTLLALLSKGGNAFACNAQGEDGRSLATDNNKILEIIEGAPRSLTELLVRMSLEKYEEKLREENVDSLDVFFDLNDQDLTDMKIPYGPKKRMLAVIDHYEKTKEINPLAVESNSPAVSRIDAPSSVQQQEISSTLRNIAQQNDACKRLAMTAFEHREDPVQLCQLLPQIINCCDQIATRLARHSTS >PPA01617 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:483036:492166:-1 gene:PPA01617 transcript:PPA01617 gene_biotype:protein_coding transcript_biotype:protein_coding MNFDQVDEPFSQDDVLFGLGEDPFACNSPMGDAAYNDPSRFDHMADAIGDDLGGMQYDNSLAVPMDDYQFPNDMQGGDSRDFQTLQPPVSSAYDMDMGNSQSSMGMGGYSNGGTNEGLLYEQTTAITNGDHHMMGAEEHYGMPGTSFGSYQPSPMEPDHHSSPSVSRQHSRPASRTPAQKAPGLVKQLNAPSTSSYSNSPTPTLPPPPVKSAPAKKAPPRPANGSRKKHPQSQNTVGAVLTKVHKLAATPAASTSTSLRLSPEEAMRVAALTQEIEQLQQVNTPHSRARQQSLSDERAQIFFNALASQNVSTVASQPAAPPTRPRVSHKKQQPQQPPPPVVVATSPTYTPQCATVYTPADGYAAVPGPSQGYAVTPSTSQPMQQRGTVYKYHYSNRRRTRAHSTHPALHHTTHAQVNSAPGPQLQQQHGGPLRLGSGGPGSAGGPPPPQAIYVQQQAQLQQLQPLHGQPPPQMVMTSSTPSSLSSMPLTSTPVIVPGRGKGRTMMVKQQQQQSVPVQQQLQHPGLQVQHPPGTTVRAALKQGKLHLLQQQHQQQQQLQQNNHHMQQQQLQQQGQLHHATQHQQQHRSSPMPSTSTASQPQPLLQLSLPVQQQQQQHQRPMRMDDSQFRPNPQQTARRMEEKRQGRQRRLQNAFDIMSAQLLTPETEVPFAGVDDIFKRLMPYHLLYEHDMDPNLEEEYPMEILTRAANVREVKSECRYC >PPA01597 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig103:365715:367153:-1 gene:PPA01597 transcript:PPA01597 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLATQSCRGLAHSSKNVARYGGSGGQRWAQQQTGFNVRTGPTLKERLLGPTTGKRTYFYKLYF >PPA01565 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:208688:214972:-1 gene:PPA01565 transcript:PPA01565 gene_biotype:protein_coding transcript_biotype:protein_coding MYSQFKNSGGNGESPAPSRPFQRTIANYADLDDPNGDVAPPAAPERRPRLLMQDRSKYQIRRAPDGSILPKPTMPPRTVGSQLGGAPRAPPPARGTLTRAGLIPAGARNKYTLRREVAGRPAVPERHPSPAFVSGESEEEGELPDEDKEKDQGRGPSGSRPGPITRSSLFGGGTVADGGSATDAVRSMFSTGRNQSPSRLTRRRDASPAHGGATSDTEKKIFTVGRNTSPSGRTRRREASPAAFTVPPSLMANRPPSLMTTTGGGGGGATEKLPEGRGDRSTARTLTAHDLTGMRSVSDVTKQLNRLIGRACPGDFDRYQLLDERDKLLCKLREIKPSFFSKSGSCKDMCPEKERYVRVVQMRVADYEMDMLGDMEPRRMVKEYSRSAADQEEPLEHEMRPPMVLETTMEYLLTDIVDSTIESSPDSSKWYDFLWNRTRAIRKEITQQMILEESAVRLIECCTRMHMFSGFSCTRMHMYAGFSLGRLRQEEFDPKMNDENLSKCLQSLRHLYEDLAKKIGINA >PPA01602 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:381287:387554:1 gene:PPA01602 transcript:PPA01602 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGASSAVDVAEDEAENPGRLQISTHRKSFFRGSIISSIISKDQNEEEQSDTDSVDSAIAVSGSEVNDANEPVEKRQYICGTDVCVEFVIEVLCQRFRKEIDPQSSWIAERLNNRTATEAAGVSAVVRITFGWEDAGIPSSCVLKIPVARDAREGDKGKVLYSLFKRECSSYEWVHNFRKIIAPEIYHIKKHHNESGGAMILMEDLAEKGNNCSARDGLSVEAVRDLLKRLALIHAQSRRENSWSTVVMDLPSSHFTAISNRYMDAREFFENHEVERSRMEAIKKYFALEYLQQTVTESADELKVPKVLVHGQPYAPNIFEKDGVVTGLLNWSSCHSGCFGEDIAKAICWNLPMRERLEHTTNLLESYHIHFVRYAGIESGVTFDLVRRAFDRFVPLAMVQFLNKNVYSNDKIMADAGFASHKASLVSMPSDGPVRLAVATNWEAICAVVSWCGVANLGVSTVAGWKVGGAPVQWVLDTSFPTAILTFRPKKRFPQGTMRYQLHKRAEATLNSGLDLKAAVRLPSNENFDDWIAVHTVDFFNRINLLYGTISDACTKTTCPTMSGGSKYEYLWQDGEQYKKPTRIPAPDYVFLLMDWIEVRINDDTIFPSCMACL >PPA01547 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig103:101207:101959:1 gene:PPA01547 transcript:PPA01547 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLHSDPHSSLDERMSVLDTYDSLNKTSKRMKIRILDDAADPRVLRCIIFDDVREGSVAIVQKKGQEELNEFDKKDGNYSKSSMNWSRINENCEPYGRRILAPMVSGVLIAIPSVNE >PPA01577 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:245652:246941:1 gene:PPA01577 transcript:PPA01577 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVAATSTGSSGSSLSSSAVSTSEGSTTSTRAQTTSSTTSTTTVVPTEPEKTTTSATGASTSHSSTVVPTTKDPGSVSTLAADPFVGLSSTTVVQQENRDGSTPDGSEMPDLESTEGKSSPDATTEEQFVDGVETTQRSTVDESTFSSTKPIDGKDPVGAWKLKSVRYHTYLHVTNAKGIEMSSEASRWIIERVNGKLVLKSDPGLFLRLSEETEGDIILSKDEVGANIWIAKDDGDNKWSFAVEGRWLTARNTGGVKTRVTDSPGQFEIFILEPY >PPA01593 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:349283:353495:-1 gene:PPA01593 transcript:PPA01593 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGGGDSSIPSTMTTSPVNKGALGGGGTAAAAAAPSNNLAALSPTPAPRTSTPCRETGAGGGGDAGKEEAEKRQREWMEIFLSSAAKLTIADYMNMFMHIRMRQEHMRGDESYCATVAVHPNHYIRNRYRDILPYDKNRVLIGSDALENVEGYVNASHVSLPNGLTTFIAAQAPLPQTLDDFWQMVDEQGIQLVVMLCKLVEMSKVKCERYWPERVGAPEVYGMYEITLEKEERFEDDEYLLRTLRMRQMREGGVTRTVHQLHYK >PPA01594 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:355013:356307:1 gene:PPA01594 transcript:PPA01594 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSLFVVLVAFIAGAHAAPRPVFTTTANPALFVRQRECAREGTLRVFRFSEASPIFCECVPGFEGRYCEKRICKNGKVRIIASDIKDPPSDHRQSDDLPYPPDYRIHSSVEELDNPDSWYPDLLTTTDCYCNPGFDGPTCEERIDRTAVTWIKVLAILEPIVFFIIFLIPCCCALHMAKEFCKPLLQHDRYSMMFYDVTQAYRPRPENLCTGGKTCPYEYKKTAPPPYVYSPLTTTLVDGLKPSTAHIVSRPTVPKCTVLQPTVSQPTVSPISELRQPVYTQAKCFPHV >PPA01544 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig103:84570:86775:1 gene:PPA01544 transcript:PPA01544 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLPYTKYSLICYHVLAAVDVASNMARFDGISFGHRSKSEDESTSSTYGLLAASRSESLNEVVRGRIFAGNYYLMKENRAKYYEQALKVRRLIADGMKKALGEVDVLLTPVACGPPPLYSDLSKGGFQREDADDFYTQPVNLAGNPAISVPFGSSSEKGLPIGVQLIGAHFEDLLVIGEVIKKIEEKSEVKRSSEMEV >PPA01564 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:195824:208139:-1 gene:PPA01564 transcript:PPA01564 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIHALSQEIFVESEAEFRAYDVMLHLTDSNILRQVLSYRRSVRESPAVRLALKLFSTIQSNNYTRFFRLVREQATYSQCCILFRYFGKMRLHAFSTIVSAYKGGTLPIDGITRVLAFESVEQAIEVAAQYELSYTQDAAGAPALLPDAKDFNPAVGEVAVPAGWIEQKREGRKLSEIFRGGLARQPHPDRDSAPPPVDSFDRATGAYSHDPVLKEYLERLGGDAPEDPFASQLAARVQLKRAEMVVERPVGAAAASAAASKPAFGGMLSSFGKPATGATTAAGGGLAAGALSAFGKPATCGSTFGSGLSSFGTGAAKAAAPPSFGGFGAKPPTTPSGFSFASGSKTTASEPSTEEEKKPMFGGIKQESETVPKPKPKLAPGEAAQTAEKLLTGVVDEVTKSVVRDTVAEEQAAKQREEDERRERERRERDQREREALAAAERAAAAAAAAKSAEKRKRSEQARLMSCSEHLFKRVVWPSLVKEVIDATTKEIARAAVREEEQRIADGLARYKRRMHDLWLRQFTDRWKAYAKWRKEERQRVRQVLAAVVSRNPFEGIGAQALKRRLSSPSVDEMEAKRRRSRPYANIDPMTAFALIGFVERRQARIARSVVDHWREWAERRARRREMIKWPLNRACAVPRVRPPTPTNEEEQQQQQENGGYGNTSLPLMERGGGARTEKRHKSRRSIGLYPFLSADDSFLDGRATPMRGVSPMEREEEKGRRRPRVSGIFNSTAVPETTAAPAIGFQTFVGRQERSAVSDYDQLDELLVRLMAGLESEARRSTSRKIWN >PPA01584 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig103:286982:288560:1 gene:PPA01584 transcript:PPA01584 gene_biotype:protein_coding transcript_biotype:protein_coding MLKALLLIVLISIAVFAVEDDEEHDRDKRQFIYASYPAVVGSLDFPLFPSYGFGPSLGFGFETPYGALDHKLIFLFLKMNSIVLFALLACTSAQFVAPDFGYSGYAGYAGWGYGGYGYGFNGWGSWGYPGWGYGSWSVPSVATTVTAAPIVAAAAPVVTSTVTAAPLVAPVAATAFVRPTIIAPQPKNTKISVIQRA >PPA01555 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:145076:154337:1 gene:PPA01555 transcript:PPA01555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ivd-1 MAVSSLRSLGASLLLSSSSRTASVAVTGRRNMAAYPIEDHVFGLTEDEIALRRAVRELADKELAPYAQAIDKENNFGRLREFWKLLGDHGLLGITAPAKYGGSGMSYFSHVIAMEELSRASGSIALSYGAHSNLCVNQLVRNGNDRQKDKYLPKSYTKKKDDAEIVNDDQQDKYLPKLISGEHIGALAMSEAGAGSDVVSMKLKAEKKGDKYILNGTKFWITNGPDADVLVVYAKTDPKKHQHGITCFLVEKGTPGFTTSPKLDKLGMRGSNTCELVFENCEIPEENVMGGIGKGVYVLMTGLDYERLVLSGGPLGLMQAACDIAFDYAHQRKAFGERIGTFQLMQGKMADMYTTLNACRSYLYTVAKATDAGHVTNKDCAGVILYLAEKATQVCLDAVQILGGNGYINDYPAGRLVRDAKLYEIGAGTSEVRRIIIGRALNKEYSN >PPA01599 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:370851:372295:-1 gene:PPA01599 transcript:PPA01599 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rhi-1 MSDVELDEPVDEFYKAPEKKTVAEIISADSGDSALDRYKASLLGNAAAAAQTIIDPTDPRIVLPKTLEIVHAGGVRDSIDLTQNPEGASMKLKEGVQYQLRLGFNVQREIVTGLRYLHRVTKMGITIEKESYMLGSYGPRQEVHHFNTPVDTAPEGMMQRGKYKIKSKLVDDDGHEYLVWSWNLEIAKDW >PPA01615 pep:known supercontig:P_pacificus-5.0:Ppa_Contig103:465476:467462:1 gene:PPA01615 transcript:PPA01615 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSLPLTGLLSGSLGPSSSSVAALSTTPALHKNKAGKFKTTVGKTQLLTYEMAQKPHHIGVRKSWLTWHSHNLEGFRQSQPLMVAQDEIVRRFIRGFFPQNLVVSGNELVLKRRGNVLYVAGFLQYSNRLDIRRIYWMFGFAEEFLSQLLKQPVKLELAFVETPYTGLVSWIPNFNR >PPA01624 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1031:1439:2232:1 gene:PPA01624 transcript:PPA01624 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAAAPAKKATKAKSEKKPASHPTYSAMIKAAIKHDASRTGTSRQTIANYIASNYKLGGNQASINAHLRLALIRGVKKGLFTQPKGTGANGSFRNSESAKAKKAEGTKVAKPKKAAAPKKAKAATGEKKAKSPKKKTPKAKTAAKPKSPKKAAAPKKAKTTKPKSPKKAKAPKAKAAPKAVKA >PPA01626 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1033:180:921:1 gene:PPA01626 transcript:PPA01626 gene_biotype:protein_coding transcript_biotype:protein_coding MGARLKFYKGKLHPMEENANDRDGWIAIRSIYDERKKKGLMRDGKKKKLLEKKGTE >PPA01627 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1038:138:1564:-1 gene:PPA01627 transcript:PPA01627 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQPSLLEFVEHNYAEEAAAQEQQPLFAYPMMDEGDIKMEEVDDEDMRLPKEMRLDVSDALANPTRRAVPRRRLAVAPKDGARRPSAIPVARLLTDTARRGARTSARGRSVQFTNDGLVDGDSVAQFAVGDIVMATYPKDGHDYRAKIISAYRVYGAGGERVVEYRVHYI >PPA01628 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1039:274:2658:1 gene:PPA01628 transcript:PPA01628 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRHSSTFNLTGTKRRATPSPTRSPSRLPSSRVPIRPPRPVAPPPLLVVADSGDGIVQIDILDQPDLPTREDRYGDDIRGNTNLVGCSTTIDTCHLALPDCDIDGKVLRGRKLRYVACRLQKIGDQSIAVCSCDQSRRNRIERIDPAVMIGSYEEYQREECLHLSIARSLPICTDFLSEIEHAVVIEESVQFSVDPCLFLVRDKWNSSGVIKITRMTLTCLTCHSQRCTHCMTIQSGHQENIQEIREEIEEEEESRPPLVMADPLPNCVHGSSMESRRAVMRIVDRDGVVRQESVHLQYSQCCKHLVHSLPLVPLSTSFALERRLLIHYEASFTQGAVSLTGYWNILREFRIRDEQDPDVSIEQILNSHTPGWFGTREMRRQMRAFSNGEGPVKTWVPTEFTALIRDSIGSNDMFNIHSPIPDILKVGSDGRARQLLTSIIDETVQYSEKETAEDMLRYMPILYIALCEIGFDSIPKSLFEVSVPPSLSMILF >PPA01632 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:13780:20024:1 gene:PPA01632 transcript:PPA01632 gene_biotype:protein_coding transcript_biotype:protein_coding MFITNSQYALHSPLDIQDGVGRLAKYLNENIETALEVTRKEVLFKFLQAANSIRIEEANALRKTIGDRFRFRTVGEIFGDFERSVPALYDAVLNVSETLAVGVGKIEPGTPEKYLKETTLGDHELDVLAETFAHSNAEIVLILCTCLVQTINRMSDEEIDKVRAVPREDIVLSATRWPYSVLNQTEIVRYALKFNSSMSVVLDARIKATKRVIAIEFDEDQQMEFVERVDILNTRMQEFLVQFAIISLVETVQNLEENDIMLLHRYVSRKAAFEDDSEIEFELSASAPQIYKMISLLMVDVEDSFKDRLRFGLDFIDRSWSLSSNDSLADEIDADLAPEIFAEMAKAYGAFATTVLVHLKEQVMHAALRLANENWIIERPEILRIIHDFTTKYHRNLLRYMRRHTPTVYSIIGPFHLLMEGKKRNAKDPAIATLLEILRAESVRVFISRVAGGRARDRWNEDSEDYFGRHFNAFSLVRALNPEQLVLLTKSFKILTPEQREIFESVADDSNANHHRPNLAWCMLGYF >PPA01658 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:115080:116009:1 gene:PPA01658 transcript:PPA01658 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGYTYGQTCTLLGKELDSKTCAVPSTISRQRAALYHYRKCQADNHFQRKDNDNFRKNNQILLYYNKHTHSRDNNNYNDHNVLIYYNNHTHNNNYRDNHILLCSNFHVYYIGNYDEFISYVKNFDHYQSQPPQFETSLLEWETLILAVRSVRSRN >PPA01715 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig104:446895:449235:-1 gene:PPA01715 transcript:PPA01715 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSDAAVEFTHSTMYSIRDFMGVDEVPLPNCDLGCFIYTATYGDLEPYMMNLVVYDPSLDQNRTLANLALKFDQTTSQKIPLDISVNGSYSIYNLNDPKDTGTEISVWVVERSKALEVDYEIYDALELSMNRGNIPPKDVVTIMSVTKFRVVAQPGGSNSYTARLVGFDNAFPENPDLCAYACQTPLNSNFDGFELHVDGPIVSIVFEKKNNVAMMADYRYAVSGERDMSKEGFIATSGYSLFNYQSRRFTVHASTRAATSKSFWRPTSTKCTWMRIWNSNPNTKLTLSGNRTNIKLTLDLTQHVIFDYTSLTAPQTFLIRHNAVLKEKATSPPPTTVRTVPTVPTSPTTIPTSTTVKTSTGPTTTTSGAGAATVLAATGSVMLLLAR >PPA01695 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:343585:343884:-1 gene:PPA01695 transcript:PPA01695 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFLYFRLNKLCVSDPTRTTAAAGLCSSYFSRPDAAEMTREYLAFRQYLDTINLQLKLTPIPK >PPA01669 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:175999:181531:1 gene:PPA01669 transcript:PPA01669 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDRLIAIKQYKDDVQLQEDERHTFYADDDGFFAMTIDPVQVSDTGRYTCMATNEYGQASTSAFFKVLKVEKEAAPPAFVGKLADQTCTEGEVIAFECEVEGWPEPELLWMVDDQPLRPSHDFKLEYDGQKAKLEIRDAQPDDTGTYKVRISNEYGTAESVAELKVDADPDKNHVAPEFQARIEDHECDEGAEVRFKSVITGDPNPEITWCINGVPLTPSEKVRMISEDGICILTIKDVTRHFDGIVTCQGQNRLGMTSCEGRLKIRVPPAPPTFNRPLEDNFVVTVIAPGGQVWIVPEKGSTFYEIDVAGWPDPAVVFFLKGRELRHGEGGVEIVGHDGYYKLTISNCSTDVHEGEVMCKASNEHGTAESRARLTVEPPEEESRSAPTFVKDIEDQTVKEGERAVFTTSVKGSPTPNITWFVNGAPINKDSPGVIEMTSTQHDHRLVIDSATYMGTVLCRAENNVGRFETSAKLTVIAAAKPKRAPRFTEELKDRRDIEQCSAIFEVRVEAEPAAVLEWTLNGQRLSEGELTNRLDQNTLLQSDLLLYHFLASLDAILLVVSL >PPA01668 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:173697:174832:1 gene:PPA01668 transcript:PPA01668 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRVMYVIELTEAEEGGLELVDPAWCKHFLPFPNQRSDDGTTTATNTTGTTPFGGAGSGAGDFEDGRSEFSEYSESGAYSRKSSIFPGPEEGPPRKKIKSPPAISPTGSSTSIYSGGSSSIDWTTTGTTLEMQGTRVTRTQYGFRTLQESSAKMCLKVTGYPLPIITWCVY >PPA01714 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig104:443924:445783:-1 gene:PPA01714 transcript:PPA01714 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLAALGHIFSLIVGTFGIFVYYRVQALHFNLAQTILNAFYTGMPFIMLRFPLILMETGVIKYETMADTSIVIISAIRMWLFVALYNFEMFLSTAKKNERVQKRLIQFRKNDGSYSVSYRWQLHDNTRSARELRILMIGCNGIITFILPVMFVPALILDNVPSKSELLEAAKLIYQVTSAYIFGISYLYLTFIIKKQRDYVLGLSPVE >PPA01696 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:344115:344361:-1 gene:PPA01696 transcript:PPA01696 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDDPVKATEESIFGGFCRFEDTNKCRDHAIVYAQYFRKNWLNAPGSALSGIHNKDEAGCKKNSE >PPA01633 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig104:22509:25337:1 gene:PPA01633 transcript:PPA01633 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKVTIAMDRMKDQIDKLEAQVRRLFEAHGRSTEFKRRKKDDGVPDDVFNADCAVSSSSQAPTEGEKMKRRIAEVYEDLRCADPDLDLIQNKMERLDGMYHEYLVEEMRERLNKTIGTSVEKAEKITVASAIARMDAAMNLEFPLAEWRKKPEQPKGCSRALWMDHIKMSKKFAELFAHISVIQRSGKLENINMEMATDVMYVAERYAEQNTLKDLRAKNKHVNKLVAYEVLKRLEEHEYFFCPFCNEPAYNVKQYLAHFGSGAHGRSLRKLEESRELLHVLALASSLMLKLSTISDE >PPA01692 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:324350:326829:-1 gene:PPA01692 transcript:PPA01692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ave-1 MEDEMIDIFIVLGCLTVGVFGGIVLILKYSNAIRMCSCGCCQPKKEDSVTTSPPPKRMTIKIARPPSKITPVQRPGHLALARIKSAPVPEIVHSPCGDQPDGSCFSSDIESGLHRQAVFILATAGKTYKAADAHSVLSAQHSSSTQSSYLPHQLNPLPKRRGSM >PPA01676 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:250486:252195:1 gene:PPA01676 transcript:PPA01676 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRKVDERGLITGECQGSPEFVSPEMVRGLPLTLATDLWSLGTLTYVLLTGISPFHGDSDNETLWNVGQANYVLIDDEWVDFSVHAQDFVRDLLQMQPKNRPTSLAALSHPWLLEGDDVPLSIDCLREFKYHNSEKWLVS >PPA01686 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig104:302056:303477:-1 gene:PPA01686 transcript:PPA01686 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGLNAFAARAATIGKAYIAIHRYHVWTRKLTIAIATYLEMADLYAFIWPTKENNPVSVPSGKWARHLRSTNLPLLRFKEILAVRKVEDDE >PPA01685 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:298948:301865:-1 gene:PPA01685 transcript:PPA01685 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYRIELAGGMYAWRTTIGLKRHVYGGWKRVDRAKERFKERVEVAIGEDIVDRVKKIGDGVRELNEHDAKTRITLELLEIWKDCMGGIHASDSTCKTESRALNDHFDAALGDSAERTDNEKHKMQKEERIADRTDPQKLEDSHIKDMKKSLANIHHVTREALEFRSKVHLYSKAREEFIDCVEKKKRNCDSVEYSKKEKLRIDELREIMNKKAINKRTSTSKRIGHYMRSTSLSPPQHQAFLKDCDAFFNNMWNGVKSAVQQWRDTRLMENGWLYPGPVRFFPIPAIYKLIRDVRCKKVLQDSATKLEQFHKALNETNAAFGAANDRLDNEKEIADQVTRLVVCNDQDEEDCKYLREGLEEKLTEQPSA >PPA01702 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:361216:370239:-1 gene:PPA01702 transcript:PPA01702 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYDSCVNIPDWFIAYDDGISGFHTHGSCVIAYSLTNCEGHHIIMKPGTLEHSRLNEIGFDNLAQSVGPCDIKRIEREDTIKTTNTKLRCLNRELPLVPISEGNNYQAFDSDNFGYERVVNDMISKSKMDLSEELSSCKNGLFASATTLPNSLRSCIEREIDQIFNLMLREPAKIRVYELELSVNLSETKLSSSMEELLRQTSEGLHSMIFVIDNVDNTNYAQKLQPALQLAERVNYDERGAAALVRAQLSTIIEAIDSGFDNVITGNALTSLHTELRRAHVGGGMRSPLDKFVFRLCNVFVSCMETILEVHVVGDGEGDSESNVEDDEAMLEPAQIKQEVQEGEDEDEDEDEEMESPDATDTSIETESTASAVEMVVTATLDTTGNAVDSPAPAVAIVTVAHVATQAALPVSAPQFDLRFHGTISYIGENHAAYTSAADTFDAPVEVAEAAETMPQPDEDRETNLPETMDVRDNDAAPSTSGSDGASSADAENLAAAAAEVAAAHDDVENDASQANADFDQPHDSADFAAQELSEAFMHVDESYEVEQSKTVVKPRKRGRKPKRSIVAAADGENMAATAEDDAIDADQMKASCDHPYDSANFADEEMSEANTLVSDSNEVEQSKHVAIIPRKRGRKPKKKKLTAGDGGEPAEDNRPKIIKRRRTQLELLASQPGHVDQYASAAIAATVTPPAAADKGISADNIEAAAAAAPAAAGLSDGTDAAVVAESTDNAVEQAASVFDDSRIAAEAANMDSEHGGNDEAEADTSQESEAAEQAELEAFAESREHRLDLCLESATHPGINLFDEYPRLAKDTKLIITDFCADSVKKPVHEVIGRLRRAFASCKKFEKFVEVDKIRSYLPNNQHKADLRNLQILLRYFPIERKKKTTQEDSIVKKKYCHDLNEIYNHFHGASTAEQALLALIGQGTTHPSIVSFGMDRMQLYVNMHGRLVKVDGGVPEAMLALLAVFNIFNYKFDATNTANVASVLAYFHYSIGKRLWPHPPEVLEAMRIKTGKEPQKEKEEDAKENTVNADKRGVEPLDVDSETEELGSVGYDTENEEEEASASSAIEDDAFVATEEAAEESDSASTVSAEQGSPRHELDFPVYPPNSPVRAMHVTNIQPEEGPSGSPSGIVKRSPAGRVSQEVSAKRKRSAVNYAELANGNARDDSPMPIKRPYRKQSAVGLKKEGEDAATEGSKQRRTAVAPIPSRSETPTQSRCYRYEQCLASAAERNSNILKQFPQLLVNTDLVHIIGDFCAMDLKRPPAIVREQIRRAFEKCKQYEEHAELLRNDNFANSLFQADLQSLLALVLYFNKKDVEERQIKTKKRPPKDRQRFDKLYCHIDKPTPNSTLYELVNRGITQPSIVTYGNDRSILYVSIQDNLVQVTGGVQEAILALMAIYHTFNFNFDPKIKDVMTYFWYSIGKTVPKCPKEVTKILEIQHILFS >PPA01708 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:397166:402728:1 gene:PPA01708 transcript:PPA01708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpt-1 MPDYLGDDQRKTKKTAEKEDDKPFQALDENDIAVLKRYGQGPYAEQLKQIETDIEECVKKVNELSGVKESDTGLAPPALWDIAADKQAMQQEQPLQVARCTKIITAEGQDPRYMINVKQFAKFVVDLAETVAPTDIEEGMRVGVDRNKYQIHLPLPAKIDPSVTMMQVEEKPDVTYADVGGCAEQIEKLREVVETPLLHPERFVNLGIEPPKGVLLYGPPGTGKTLCARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFEMARTKKACLIFFDEIDAVGGARFDDGMGGDNEVQRTMLELINQLDGFDPRGNIKVLMATNRPDTLDPALVRPGRLDRKVEFALPDLAGRSHILKIHAKQMSVERDIRYDLLARLCPNSTGAEIRSVCTEAGMFAIRARRKVATEKDFLEAVNKKCRVVFHGVAYLIEKLGSSRATPSSRPLRDI >PPA01679 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:257767:258741:1 gene:PPA01679 transcript:PPA01679 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNNEKAADWPAPSPLPNDSGAASSEEPFVSSDFNRTAASDVVLPRHLISPPPTVASPQPAIVLRDENGNPKEEYPYNTPKTLCTEQCVVDGAGEAQVKQKLINYEYRDIPHRC >PPA01690 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:314579:317382:1 gene:PPA01690 transcript:PPA01690 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLALISILLLLLDVSGAFHDIFSYILNNKEYRETVEQPWFRVHHSRHTKIPAAEKDQSSFGKHHVPDFGPEDKPYWTELEKRTFHCTTRACVCEFFGGSNSSLAFDQLPSKNTCRLRDGHLLKPAIRKEIRMMTDEERKAVEKALNEMKRDGTYNRLSRVHKYSGVHSGPAFTIWHRELLKRFELTVRKYQPSPHTMGIPYWDTSLDSHLPEPKDSIMFTPTFLGTTNDEGHVITGPYANWTTMEFQSE >PPA01720 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:460549:461945:1 gene:PPA01720 transcript:PPA01720 gene_biotype:protein_coding transcript_biotype:protein_coding MYPECALELWLFSDCGSQLKVSGVCHPAPYSIRAGLRAMQLAYFNSTDHAVFVGSCGVAQRDKQETYCATPVLWGFPNCNHQQGGSGWTRAWDVTGCVAEGWRAETTKCGAHSIEISGKGGSQCIGYENGWVSTSDELIFANLAWKRFDGVFEQTGPTEQDWLKLPRVHIVPGNWMPERI >PPA01682 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig104:276352:277208:1 gene:PPA01682 transcript:PPA01682 gene_biotype:protein_coding transcript_biotype:protein_coding MCISGVGGESTGSDVFDMVKVNILTSKGSQSIEALVMDTVITRSMNLKPLSAQDYAVVQAHCGNHPHLTENTIVSPDLLISITETQDLLADSTTIILPSGYKLNNILTSLTIQRSGDPVPLTADPPYTRVVEFSQSPLIDLTRYSTLNKAIRITGFILRFVQRTLKNSTNITLKQKYDSSFTSSSLLSAFERTRALQSLIRNHQSCHIKPHEVWIRNGLISQDDYGFKAGSFNH >PPA01638 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig104:33894:34391:-1 gene:PPA01638 transcript:PPA01638 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNVVRNQFTLLALLACAFTAYSLTPCEDFCQGTILGLTPYCYCNENFLKFNRTCFQKCIANCKAKPSYTGCIPSDGIPNAQLWICCIKKVDWQTNLKCDSECWSTALPV >PPA01672 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:188472:189628:-1 gene:PPA01672 transcript:PPA01672 gene_biotype:protein_coding transcript_biotype:protein_coding MALIIGFLFGVLDSTNNTNRTVMCALAIPSKKAQVFAVARFYQALSAAIPLFLSAYLTTYRLLLIEFIICVVGAGLYLRVVHKIKHHTHEEPNSFEAFTRKESCKFRRVD >PPA01691 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:319801:321326:1 gene:PPA01691 transcript:PPA01691 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRHLEYSHDYVHFYISGDMGRSWSSSNDVIFIYHHSMIDHIFEMFRQRAQNRTERETQYPESDETCFPPWHNADSFMPFLAPVRNVDGLSNAYTDNMYEFEPRPTCEMTRRDCGSKYLHCDVTHSIEPTCMSKIRLGGKCTGFEGTRVCYEAECVQGK >PPA01721 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:463232:465337:-1 gene:PPA01721 transcript:PPA01721 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEMPNRIARESTAGKILFVEFNVFQQYLRLSCSPPSTPDFMLNSRASRLRKALNNAIAANTDFILRTFQKYFDPAFRPYSLKDNCTSEQLQPSSRLNLTKISGIFALLCVCLIGSSVAFITEMIVYLSRRVFGGKRRAVDETTIATMTDQKNREQSIDETSLTAEFVSEEEKRQKSVDETSLTTITASEKEKRMQSVDEGSISTVTTTEMGERAQSMDEASLSTITTTETEKEKNSINDSSNSRSTNAETEKKVQSVDEQRVAAADELDIYKNVNKFLNH >PPA01683 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:287310:289134:1 gene:PPA01683 transcript:PPA01683 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYKLYYFAFRGLAEVSRQVFALAGVEYENVGLTKEEWVEFKKKTPFGRIPVLEVDGKLIAQSLTIARYIASQHGLAGKTPLEAAWADSIADEWRDFHGNFKKYWYLKIGVRQGDIEGTRVEYGIPARDKFFPLIVKQLKFTITGEVI >PPA01664 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:149004:151265:-1 gene:PPA01664 transcript:PPA01664 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNHTNAGPPGKLRILVVDSGIDANGACPNYPQFGPSPDCPYPGWCLEIIDVILRSGNISHEFVVDRNETQQLDWGRLQANGSFSGVLGRVESGEVDMACLLIQKSVMRMEHFDYSVPISEVRPTFIIREAPLTFWSLILNCLRPYDTTVWIGIVVALIVQMFVWTLIGRTEMRVGMRPQRLHGEYFAWDVFEEMFNGGDHPFYFLSGKLARLVFAVFQKGLLPAMYTALLLTALLTPVDVAPIKAFRNTGRRLFIARASSPSQADAARLIKSGEYKLISDKSKWFAQDVQLSSEKIFVELREATKNNPINDESAFNDASVKCYTFIFSKDMPSRSAHLVFQKGSPWRNIINAEIMKNYIFFDQVHKRYFEANFWSVSGIFVLASCGLIISAVSFIAEIICSII >PPA01684 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig104:291781:292726:-1 gene:PPA01684 transcript:PPA01684 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPVLLIALVLLPLSTAIKCKYNVSFTGLTDYEKRMVDAMDAKPEQTCDPGISHCVAFNGAYKDMTAKAVECARPGECKKHGCSGVARQVNDGSELGGYYYYGRTCCCKGDMCTPPADGGKSPAALLSLAALAAVLAARF >PPA01701 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:355955:358983:1 gene:PPA01701 transcript:PPA01701 gene_biotype:protein_coding transcript_biotype:protein_coding MHHKQGMGTEGERHGAGAAEPPPAYDQSANAPQQPYGYTAPPPQQVHLPGQPQPYGYTQPQAPLQVMAPQPYGYAQPQQAVQVMPGQTPYATYQPTMGAAPIIMQPGAPQPQVRWVAVPAAIPGCLPGLEFLAGLDKIIIRQKQDLIEIVTPIEIPNRYAIETPTGEQIYFAAEDGDFVQAQVMGADRGYRIKVYDGYNRFGGMRTRRACCASSLTITDENDKDVITIDGPCCCTRCCSDADFPLKSASTGTVLGQIKRKYLGYIQANYSKADVFEIDFPSDLSVRMKAAIIGACIMIDFLEFEVNTNRNNTRHNSS >PPA01655 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:101982:102776:1 gene:PPA01655 transcript:PPA01655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EZK9] MAQSVPPGDIATQPGTKIVFNAPYDDKHTYHIKVTNSSARRIGWAFKTTNMKRLGVDPAAGVLDPKENALIAVSCDAFAYGQEDTNNDRITIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA01681 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:268576:272780:-1 gene:PPA01681 transcript:PPA01681 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRMSTILRPPDTSIDAQKSGTLKFVPDWGDLNCDSTTSYIREYISFPPDDAGPSSSGLEGLDGLDATPRPILTELHLDCDRYYPQQLRLAEGRLKEAAALQAPTWRKLEKDGAQLTEAERHQIFDYPDFAMILWKHRRKHDLAALIASLPVDSLFHACEQREAQERQQWLQQQQGKLLQHKLLLQQQQRLQVQSEQEQLTVVEETVTRTGGLKRPRQGPIDSPEENTANAAAIAAETPIPMHPQSVQQLRKELHARVVPKAVENPTSIALLHKTKQKPNVPAAAAAPSAAERMQQDDIRGREEHRIESDRKETVRWRKKNAQPTVRPHANALTREKPVALTSTAPTPAATLPPATIPAPSTITSNSVTKLGPKKPLLVLTPVDRTDTKVEKTAAEVREMCNARSNTGEPKKIIVVRKTGDCTGQANRSQKGKSTGPVLGTWRRSPTAKITQQPHHISDERATEKGESPEGVLAEARKQDLEAFRDYIDSSAAAAPAAPAAIRPAAAAPAAVAADRDAFAHDHEWYSPECAWPLRNPNRCRTPVIVPPLVRPRDQSTIRDPPTFRHSSNNTSTKMKRPRAAPKIAKVVVAPPAATQQRRKAKPAEQFPFAWMYDGVITRPPSKIAYDATVAKVVEQPKQAKQAEVRPSLPPKRKRAATSVKTNKRSVQEPKQRDIQEMKNRKDKEPKEMIPASTPATDPDDFMDDFFDFDIIDDKPAEPTRNRSERQVSTDSYATSIDSAFFEMPDADYEQIVSEVSEEEFNAEFARFNNMETN >PPA01659 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:118279:118714:1 gene:PPA01659 transcript:PPA01659 gene_biotype:protein_coding transcript_biotype:protein_coding MWSNPPITNTAVPSLPRRERRINTDITNTPEVENLLNHLKELREEQQLRAYLQHLIQQLPLRQLQQMKRELDKILQQEQQQLQQRSSLRGLNKAVCCCIM >PPA01710 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:409288:413629:-1 gene:PPA01710 transcript:PPA01710 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGYHGMTQAVMGCTNLGTWKQPMPAGLGVLKAMNADPYAGPWGGKRCRDSPVDSISRDCACKPGQCEAGDKYLTQFNETLRFDFPAASGPAGYIVESIQGVGGTTQFPKNFLPAAFDAVHAKGGVCIADEVQTGFGRLGSDFWGFQEFKDPLFAANKTRPDIVTMAKGIGNGFPMGACVTTPEIAASFGKALYFNTYGGNPMASVVGKAVLDVIEEEGLQKNCDVVGTHFLKSLVSLKNPKIGDVRGKGLMIGVEMVDEDGKPLPVQRSGDVFEAIKDAGILVGKGGINGNVLRIKPPMCITKEDADRTVAAIDKALKDSKP >PPA01641 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:41212:41510:-1 gene:PPA01641 transcript:PPA01641 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSPATTATATAVPTPVDTALDTLARCLALANNLNSATETTKTAKTETPPSDSTKSATA >PPA01687 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig104:303917:304621:-1 gene:PPA01687 transcript:PPA01687 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSPAELEGILLSNHKIRDVAMVGIPHDDGGELVRAIVVKADENLSGADVEEIVSGKLASYKQITGGVVFLEVIPRSPAGMILRRELRDNFSSCMSE >PPA01700 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:353778:354608:1 gene:PPA01700 transcript:PPA01700 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQCCLNTIRPWITLMGQLLILRVLRRSSTNLSAKTKELHIQLVRTLTYHACLPIFTVIADAMTTVMLFDVFRHPLMENFVFCIPVR >PPA01640 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:35838:37735:-1 gene:PPA01640 transcript:PPA01640 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGKYRIKSLTKHGCQIWRRYIVPYTIVMANRLTTLFKTPAILPSCTLAEFVYYTQFLITSVEMIVSIHYFIVRFCGKVLTVVFIYGGLGIVFVMAGALLLWLATLFVAPILCSMWIVKAFITKQRYSGVTAFILSSSSTMSLLVLSFIFRKLAWRASYYHVSDPWYVSISNAIFALAYYVHEFRLLILCVLAISLVREIRGAVVATVMIAVRKVLGRPEPSLIVSNEVFLEGIEVNEKDNNNAIPVAPREVLLM >PPA01653 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:97366:98494:1 gene:PPA01653 transcript:PPA01653 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLLISAFLSCLLIAGEAQVVLTTCARMNDGPIFAKAAEVACSLSCKYRACNNSKCVFRSGRPVCECSICSNG >PPA01688 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:306304:310585:1 gene:PPA01688 transcript:PPA01688 gene_biotype:protein_coding transcript_biotype:protein_coding MRLALLSLLPLCTVALECYDGYRLLATGSVGTTTTQCSGWNDFCYNMTAFIIDAVKGGCSTWRCMLARDRCIGTTFQGVPVSLCCCSGDRCNIGGNRWLPPDAVNDDDDDDDDTSILQHPLIGIASGVASSLFSPRREPRVPRLNPILAYLLPGWLGSILPGWLQNMIMQPLQPLFRSVQDWAAAKIYELALYMKWIAIEKPEVCQNPKVIEQERKKAKVEKARKKVEDREKETRKRVEEYKKNQQKKIEEYKKIAKERIQETKDKVEEYKKDLKEKKERRECEFKENHERRMEEVREKVEQAKWEHEVRKEEVREKLERAKEDAQARKEQAMFDSERRRDESRERVERLKEDAQNRREDAKRNLEMRREESRERVEALKKDLERRKDESKKEWERRKGETRRSHRIYMSHTLVTGMHRIRTGVGWGSENGGHGGGASSGSGSSGSHGGWGSEGGNVDVGGGSGTGGSSGGWGSETPANMRKQQIQEAFRRGGDIDSGAPNNRGKRLAEPEA >PPA01678 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:255481:256170:1 gene:PPA01678 transcript:PPA01678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-89 MPEVPVRMIRGERRDIEEEIANRILSDISEENSIAGSLASIDDFDDRPRPIGSDWWSIDDEEWLSRLS >PPA01652 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:94139:96530:-1 gene:PPA01652 transcript:PPA01652 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLEELELTCSLLDIRLPYTDEAVEELRSRLTEKLAVDRVYVIGSLKIAAPRHIHLVLDVIRSFSIQVEKIEIDSSLDSLEQNDWTDKALSFDPLKSLRVTLSEVIESRNDIIKSILDRSLSVRRMSIVLKDKGSKRSYIDNHLLTDEYLMNLAKIMKEEVNLEFCASLLTPNGLLAEISKRTHDAKLSLQIYNSENAREIKAKILSEWNLTDVIDKEFKPRNRARKEPVAHDQYSIFNDGELLCVFTGQVLLTMPTEQLLDGEQWNQRLPFTISIERVMDKNEHSSLNKDNYCVNRK >PPA01651 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:90533:93428:-1 gene:PPA01651 transcript:PPA01651 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLILFTICLLLRASAVSANNNYTEEMEMMNRSKAVPLDVARLAAVCKQLHCVDRNCTGPSAANGGFQAYPKVIVELSQKGLPCPQCSEGGTSSREEAPSSSTSSSTGCCCWSRPNAIAPATVIRVTPKQEPPPPPRVHFFHREAMLCCIGCSHLSATRPEDKYPEWIHRFHLVCRYCVDSGRSGHTKRHCELVTMEKLFKAYKRTNSSLDMDPSTKLSCKHRAQWHLSMGSAATMLKMEELTKKIKLEEPSEDE >PPA01694 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:338261:342805:-1 gene:PPA01694 transcript:PPA01694 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPSVHETLRTELERLEVDFKALCEELGTSVERKNKTMSRRKQRASPTKSEDDLFDNIMASSSGQAPPTEEEKLRRMIEEVSADFLDDEPDIALLGAKLDRANDMYGKYMMEEMRIRVFKTTGTDMVPGDKNKVTVQSATAAMDSALSAEFSLAEYRRKPEQPKGYSRVAWIDTVKMAKKLAELIAHMAIVQRSGDLDTLNEDMTADAMLVAEAFVNRKKTNTQERNDQITNLIAHEVIKRLDDHEYFFCPFCSAIEFNIKQFLAHFATQSHTLAMKRQCESDTSTMLFLLVHKLFISLTAICDDLHIFYSKVYTGPILKNGSIMPNPGKETIPTLDFLAEIEKKYGQKINGDLDETRLQDATYIASVLPEIIKRHKSPIGKKLFAEFDKYLAKGTDEYVGEQLNLLTVSINVHMRAEL >PPA01639 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig104:34970:35627:1 gene:PPA01639 transcript:PPA01639 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVPFIAMHRNNQFTLLALLACAFTAYSLTPCEDFCQGTILGLTPYCYCNENFLKFNRTCFQKCIANCKAKPSYTGCIPSDGIPNAQLWICCIKKVDWQTNLKCDSECWSTALPV >PPA01665 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:154410:155071:-1 gene:PPA01665 transcript:PPA01665 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVGIRFATIFAAVFPEYMVVMAVYHCVQTINSAQYGLVIIFIHENMRLKSIAIIRSFLRRRKTTRTARISDIDKRTETHGEAYFDQLKNAWD >PPA01660 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:122577:125956:-1 gene:PPA01660 transcript:PPA01660 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYMQDGQLCSVCGDLATGLHYRYGAHADSRERAARAPSRELSASGRAVHAITCEGCKGFFRRTAQRRLVYECKDEEKCVINKDTRNFCQRCRLLKCYTVGMSAELVLNERERVCKRQLIMENRKCRSIQSVCASLVEPFPHLLEAAKALMPTTNSITRSYLSIIEGDHAPEEDLEQAVPVLMKRVRQFASVLPGVEGLDDTALTKLCTDKFFGVQLFRFAAAFDAEKEAMCPTKDVSITPSILAPHLPAHLISALFAHARTIAELELTDQSIAVMTALMLTVPGDEMDSPLTQCEASLFVQLYGLVDAESDVVPVAFRWPRYLALVHQFRRDSDRILTALNELSNEGSRLFAKLLSI >PPA01689 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:311477:313567:1 gene:PPA01689 transcript:PPA01689 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVIELVTQILFRMSFRSSALVLLSCIAAANAIECYMGFKLIAGQTVGGQTIKCDNSGAQCYNATVAEGGGGVIDVMKMGCSMWRCMAARDSCWSTTIKNIPIKFCCCGTHLCNIDRNSAALANQRVGGWNAEKPQEGENGAVMRVLGSDNAERPANNLTAEEMRSLFEGMNVDEDDSSTTVSPSAVEPSHGAEVGLEN >PPA01666 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:156288:159577:-1 gene:PPA01666 transcript:PPA01666 gene_biotype:protein_coding transcript_biotype:protein_coding MVADTSNYTALLTAQCGLILVALLIFFFTLRVITSFGAIHGNCKFFLSFVAVGQFSVILAHILKVGFWFTVEDYDRFALYQQPFFKAVQPFNEFGYFLVDCNNFLLIIERTIACTRLKSSYEEAGTHWTVVLISEALCIAISAYTAYLIHFQGQVVASAIIALAVEFISVILLIICYLYSKRAYANLEDVGSRYQMREVEHITRALFPACLITVLLRAFVTTMALFANAFASLFPTYIIFMAAYHCIQTINSAQYGLVIILIHESMRLKAKGMLHCLCGRERYAVSARISDLDKQKDGQGAAYFDQLKTIWD >PPA01673 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:194095:196245:-1 gene:PPA01673 transcript:PPA01673 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAFTVIGLLANVIYCMLPTRKVKDCISSIAKDTEEKPKLNEIRDSIVDTAKAFFDPLVLQLSPHFFYVGVQCAVWLTIYPTTLQFTKHLSHSTYVITIYGCMFSIGEFAMGLLTVPLAKRINNFGQTPCLILSMVLQAICFILILISTANRSTSVPNNDPTLLIQPKPRSFQKIRLRIRSDLYCFHNFPSLSTMPPTPPTTRTKGSSTDAAISKIIGLLQSLHDKVDAIPKCECSILRQEINEVKASLANTVQVLPSQPPPESTYAAVKQALTDATTYADKAKRAVWVGLQEGTTTEETTANDQKAIESLCSELNDPIISAALTEGSIKHHRHPEKKGERHKRILKIEFPSQKIRDQFLSNVRSSRPPTVTKQAGNYIRRDLCPFELDLERKARSEPFELNRKMGGLVYGIRDEKLIKFNGTPRPLPVGYETRPPRGHAISNDSVNSTMLNQSSVNHESVLSSLTPMQQQSNRHAAATTSSTGAGAKA >PPA01699 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:349114:350681:-1 gene:PPA01699 transcript:PPA01699 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLAEHSAKISAIVSQSALCLTGFSINFLLMYYVLRHSHPPRPLKVAILVIALYLPSFAQMVTLPFARLPSDAVKASLSPFYQNLEKYDFTGTPSMGNLFADYGTWYPMIMPFPCYAFILIVRYKILRQLRASGSQISERTKELHIQLVRTLTYHACLPFFVVIADAMFTVLLMDVYRHPLMENFIATIPACLSPIFTMVLIRPYRA >PPA01650 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:87511:90319:1 gene:PPA01650 transcript:PPA01650 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAQADFYDTALVYEPSSPSSRAKLSSSVPITVLSAEPFRVAGNVNKGQLKGPLFTTGFDNVRHNSNKCTSAIDFRFTDQSVDVTFNPVHATSSFLIKFSAEPFATATSSSTPSTMKTTASADKHAEERSTTVFEATDESTLSTYPTSIITDKIPTEKAEHTTDDDASQTRYTRASTTPSMPTKKTTYMKTTKAPKTKAPKPTKPPKPTKAPKSTKAPRTTKAPRTPKAHRTPKAREPTTGMTTTTAMNEPAMMTSVTTAVYEISPTTRNAEEITTRKTTSSPEEWPSSVSATPSTTESVEKTSTVFVVSSSSSTEYITESSTQRVVQHTTDETFADDSQTSRRYTRTSTTISIPTKKATPLKTTSHTTTVTAIEPVKMTSASTAVDDDKSTTTRHVEEEKDIV >PPA01707 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:382545:384339:1 gene:PPA01707 transcript:PPA01707 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEFSMYENRFGSRDLSLQAAAAAESLNSTSFLDQIDDGIGELRDWAEQLERNPSSSRVFDVDKTGLGVRAAYVHPSSASSSLIDQSDDDMGGRQSTTLWNADNPSSSRVFDVDKTGLGARAAHIHLSSTRSSAAIHGMEEDDSGEKEEENEGGRGGDQGSVFLDDSGKYFYHRPLESCIMVFFYQVK >PPA01709 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig104:407143:408143:1 gene:PPA01709 transcript:PPA01709 gene_biotype:protein_coding transcript_biotype:protein_coding MMARTPSSGSRSRSSSRSRSTAKKQTPSSKPKSHKKSPSKSPARTPKKTPSKSPARKASSASTSSSRSRGRPTKGTTPLATSTPKQPVKRSASASSASRSRSRPAATPAAAALRVRTHAVTATPRSYSPRPAYARNGDGAEGVARKLCGTVCAATKEVWGGFKDGATFLTRRQLTRKDRQRRNTTWKVILLLALAFFVYYTYMHKFVYYKQAYSYAQGYMDAARDFVNKKTKQ >PPA01667 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:166132:172906:1 gene:PPA01667 transcript:PPA01667 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPDVQFRVTATEDVNYSTHSARSSYRSETHTERDDRRGRSTSSEIISGSDTRSLPVYIAIQDYTPDKAAVDEIPLEQGQIVEVLDNKNPIRWLVRTKARPPACGWVPGSYFESPAEYYKQRRQTREIEGGAAKLTEEQEALLKRDQVYHDLLRSEEQFVSVLRSAVDDFIKVLDDAGVPDSVKTLRDQLAMNIAELYNFHANVMLKGLQYYSDDPGKVGQTFVRLEKDFDTHVHFYQHLPETLKLLEEREDVKAFFQEFVKYSSRARTSTKSMQKALELTLSVPQRAFDLEFLKDVQLFEGDVEKLGRLIRHELFNVYEEFSSMPEERYVFLFPKKMVLTEKVEEGGRTVYKHGTTIRLDKYTVRPHRTEDDCLEFRPISAGLPTFRMRPKELSTSDVTYKAWMREIADLQQQLRMPQSLDHRTWPVLGENASINSI >PPA01631 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:6087:7502:-1 gene:PPA01631 transcript:PPA01631 gene_biotype:protein_coding transcript_biotype:protein_coding MKEERIIVGVALTASAFAVMACLITIPSLYSTINELHDEVVDSVGIFRVETDAVWTEMMDIQIMVTPPSAPRESPFESIFRQKRANRKSKRGLPSWCQCEPTKPRCPPGPPGPAGSPGAPGPPGTPGPAGADNREIYAPIACPQRNPGCVQCPMGPPGPAGPAGSMGPPGPSGQPGQPGSGGGQGRPGPPGPMGDAGRPGGAGRPGQPGQPGRDGSVGRGRPGAPGPAGGKGEPGRPGADGRPGGSGRPGGMGAPGPAGNPGRQGDDGRPGIAGSSGIPGGDAAYCPCPRRSAVFVARARFVQKRH >PPA01719 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig104:457522:459567:1 gene:PPA01719 transcript:PPA01719 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSVPTDKSCETSLDVLELQKPLDEIIEGHANKVSSDIVDRSSSPQWSDNEIDTLEELEHFLSTFCPGDHKDFDDFIECSVCLNAMSLSEVVPDNQPIKVTEKKKTLKITDNLHKVSSATRSPLHRVNPCHGGVVSKRFPSNAGRRDVR >PPA01634 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:25785:26826:1 gene:PPA01634 transcript:PPA01634 gene_biotype:protein_coding transcript_biotype:protein_coding MNTARDYRSVLLHYFLLGRTATESHRKLVQTHGENAPSLHTCFNWFTRFKRGDYNLEHQPHPGRPSSRVRGRVLRELKANPKSSVRDIEKTIHIPKTTVARMLHDAGKTPKLPQVIPHDLTTAQLKKRVDVCQGLLHRRSNFNWVSHIVAMDEKWITYDNPERKLQWVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVRVPPKILSEGKILMLMDNARPHHAKITQKKMDELEMEWLPHPPYSPDLSPCDYHCFRSLSNFCRGKKFKNRDALVKEFEAWINSKPQE >PPA01705 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig104:380000:380589:-1 gene:PPA01705 transcript:PPA01705 gene_biotype:protein_coding transcript_biotype:protein_coding MAITEPLVDVVDNNQVVTGNYRVKEVCFIHARALTVKKGEELKGVSTCASNARNEIDLDFNIKVSFHVPNPVVDC >PPA01642 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:42307:43032:-1 gene:PPA01642 transcript:PPA01642 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSPTTTTVDTVAPAVQSPVDTALDTLTRCLVLAINLESTAKTAKTDKPSSDSAKSTTAST >PPA01711 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig104:427413:428452:-1 gene:PPA01711 transcript:PPA01711 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGSVSSAPSSCSSIDDLELQQRMAEIEDEQVKLKALQSQLAVSYAPRPAPVPAFKTISPEEQAEADSRSIYVGNVDYTCSDSDLKTHFLACGTVTRVTIPRDRFKSTPKGFAYVEFADTGARAKAVAMVDSLLKGRQIKVTEKRTNIRGISTTNRFPGFNKVNRAHGGAIIKHAYVAAKSIYQR >PPA01698 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:345339:347996:1 gene:PPA01698 transcript:PPA01698 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLSSTDFAASTFIEKRPVWTNQRLRALFIHSLNLPDKFSMEDLRVLLDAFSQTFINITANITSAMKTNNGRHASANKDHARFEQLPLESASF >PPA01635 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig104:26958:28296:1 gene:PPA01635 transcript:PPA01635 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTDKHTMPTLAFLADVERKYAKAINGDVDEERLDDAAYVASILPGIIERHKSPIGKQLFAEFHEYLGKGRNLYCHRCRWTVSNRTLFYRHHASPFHVTLCHSADDYLGKQFNLMTVSINVHLKNEL >PPA01629 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig104:365:1932:1 gene:PPA01629 transcript:PPA01629 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLHQANGTEVKFVPSDGNVHPVTASTLNTLYLLSHYRQVVTNQVLSLNAQPNEPVALLMPKLFGEWF >PPA01718 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig104:456689:456943:-1 gene:PPA01718 transcript:PPA01718 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMLSLRSPFLSLDLIRSSVTEFPSAGKEKALNDIVKGGKEDSRKIKDQS >PPA01661 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:128362:130482:-1 gene:PPA01661 transcript:PPA01661 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAFTDAPTTDAIQSTVDTDISVTSNTFSSTADGTISKHMPNTSTASISTTCTGLWTELPGGLCYRLVTLAAPVNIHDAELSCRSFGGHLPSITSNEQSNLIEAYLAGKGKQHSRIWIGLYCQVSVDLPSETDGYRAWIDNTPYSDEYTNFIDEDDDSKFCNISQTTTFIYNGVLQDSQTTDDNDILYFTVAPQSTTNPTTTAVELSTTSASQRGAYSLHLLIAMASVSKLNSLI >PPA01670 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:183270:184092:1 gene:PPA01670 transcript:PPA01670 gene_biotype:protein_coding transcript_biotype:protein_coding MQADSKREEGRDQWDSIGGRNVLDIFVFFSPFVKIREFDGSSKLELLGLKKEQTGQLRCVARNCEGEAVTTATLTVTGKPTPPEFDEKSII >PPA01649 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:84575:87070:1 gene:PPA01649 transcript:PPA01649 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAKSTSDSRKRQFNGNSTCEQVLKGLDLSGKTIAITGTTNGIGTETARSLALAGAHVICLNRNKELSEQQIERIRNEKPTVEMSFIKCDLSSISSIRWAAEELMHKHENLDVLILNAGIYGSPQTATTDGLETTFGVNHVGHFHLTALLLLLLERSAPSRIVVVSSEGHNHSGVNPKSSLEQKLSELMPPAEKEIGVKRGFILYSLSKLCNLLFAMKLHRDLEGKDVDVYVLHPGSFIGTNLSSNLGFLGRALNFVASPFNKTLSQGASTTVYCAVHPDTKGISGKYWDSCWDDEKKLNKSFSTDVELQEELWARTEKIIEDIESKH >PPA01680 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:259547:268192:1 gene:PPA01680 transcript:PPA01680 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPITVTADGVTFEGIDQKPQRGRHPISVLMDGYVVHDESSNDENGKRTKNKTKISCLSGKLQRVLAAAEADPSIPVGAPLFVEGLHGQDMTIQMSSRSGRASATLSPRSPRRSRAGTKSPVVLSPASEHSMEVVIATKRGKPSFLPPDGTAPEIDDEDANYKDREIKGKPKEHEPEFKDDSDKREKRKAPADDLERYRPKHFYKEEEYEPAYDIDDSPWDSHYQIGPDTYLMATRGPQFNARVRDYRRQLWGDGAGYVTQGYLGARNADVSVRERRRYTDILREKSSGAAESTVNDHANSIMRNPSATAIERIKVDITKVAPSATRKNEDGSFAPIFSARLRDIPMLKSGPTAVFECRVVGQPQPEIAWSMHEQPVEQDERHAITYKDGVARLIITSPSPTDLGLYACEAKNSHGSDKTTARLISGDKTRGFFVKNGYAPARPGRPDVELSSDTELYMTWEAPEGPTYLEGITYKLEFRLQTNGDPCAPWHTIADDIDDEAVVLKHLEPLGIYQFRVRAKNAFGYGEPSLNSRIVRTHQRGAPKLQVDVLRQDKRLSVVTLPQGKHGKKLEGISEESEESEAEIEKEKGWQVAGEVSLMTEDPRSRFQLESLLARGRFAIVRNAVDNKTEIGAHCACKISEATEDARREHLLLSRGQHENVAHLMGAYNNNGLMYLFVERLFEDVFARFTFMDYYTEEQIALTTRQIASGLHWLHFKGLAHLSLSPHNVMFASKRSWIVRIVDFSDARVVEEKPVNPASFDQNWAPPEFYLPDATVTVQSDIWGMGIILFCLLGGFHPFTSEYDSYEEIKENVLNVKCDPNLIPVQASQEALSFVTWALKKSALRRMRTDEALSHRFLSSDPSMVRRRETIKYPSSKLRKTAYLTRKQVTNELSDKLKQASA >PPA01671 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:186078:188339:1 gene:PPA01671 transcript:PPA01671 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLTAFVLLAVFSSDSARKIVDENSQEALWEAVKHRYVQWNVTIKDGEVNRPPRRGPFLKVVCTSDTHANLAKIMDKIPDGDVLIHAGDFTNFGTVKEIEEFDALMGTLPHRHKLVIAGNHELGFDETENLDIRRKKYQNNGTEFGYELLGNVTYLQDSHFEIDGLVFYGSPWHPLKGYPFYRPRNLIGKEWSRFKRKIDVLITHIPPLGYLDLYPPVERWGDFALLNRINDLRPQFHVFGHNHYNFGALSNGPTTFMNVASKRGKGSDYNAPLVFYIATNRPR >PPA01677 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:253108:254584:1 gene:PPA01677 transcript:PPA01677 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPPSSLAEQRKAEKGDRRMSTNMDPPPPVKEEEEKTPQPEEGNVKKGEVIRIPLDDFGRLVRLEDLLRIPHDDWGRPLERNAGPPVDFSGNPLPKLNKNLLKNIPPEIIEKMARRDDGGLIDICSAVDRPPADRERPKLQPQQKGETPAQTKRFNQIPRDARDLAPDLSQVRKE >PPA01662 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:133304:140232:-1 gene:PPA01662 transcript:PPA01662 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNTIFLLVFTAIYPLSAQSVGTTQSSGTTQCPDQFTSYWDGACLAFKKPDTAKDFIGAQAVCQNIKGNLVTILTKPENDFIKDKVNKTFGASAVFWLGELEWTEDCPMNGYANFAEPDKTICNNNDAHFFVSNALDVIWRTAEDGFVTDAIVCSYRTDQGCKQPDPHTPSADDLTTLHVNELIIISTSLVVVIVLLGAVCACFWQIGRRHRLEKRKAEDDRLKKECVEYLREANIDLNIEAGKFKFQVGKAAAAAGAADKAIERTASMRYTPFPRAEGAGKDFDEWEIDRRHVSIDYTTKLGQGAFGNVYLGIVDSSNIPTTSEKSIIEQSALRKDNNAVAIKMLHGTLFLSIGIIKSADKLQQMQFFEEIDLMKRLGYHERLVNMIACATQSEPALLIIEYCVHGDLLNYMRERRQFMLGSPEGISSIDRSKIITQKQQLMFCVQIAYGMEYLSQRGFVHRDIAARNILVDQHSSCKIGDFGLCREVERQDEHYHSRGGRLPLKWMSPEAIERYDFSIASDVWAFGVLLFEIITLGGNPYPDWPAAEILTRLKRGRRMDRPDNCTDHMFTVMNTCWQYKPENRPNFSDLRQKMGVALEEVSEDDYYLKLNARALYYCTQTTISFQCKRILRNIAVRLRMSRCFHATHARLEAQNYCSQKNAALPAITSAEVTLALIRERSPAMGLSPFDVFFIDLGYHRWTWIDGPVFNSSYTHFQETLTNPQMCSLDRPFFFDHDGKWVSTSRYAGDFPTLLVVVYVTGGAASKLSKIRVVRKNIARSDRDQSDGQRAVLCVALPPSTRLTPCFQPKKCLPTDIRYKKTRALRRALTKQLAITVVWKNLFNKEADKGVTTTIRHVSMNL >PPA01663 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:143525:146256:-1 gene:PPA01663 transcript:PPA01663 gene_biotype:protein_coding transcript_biotype:protein_coding MISKRNRNGNLRADLYYTSRIEGEQREAWFEEANGQIGHVLPCALSIDTLSAAKEAVAGHCTVNFEKFDKLQPSQKIIFACLVIAIVMEAVCIAYNIFTAFACCCKAALIKILLALSVILFIFLLVVVVTAGFANEKLLKQGEDDVHNINTDSVLTGNLGGSFIMAVIATVFSIANIIVSK >PPA01644 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:46064:48399:-1 gene:PPA01644 transcript:PPA01644 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSNATPAYSNAGYEQPYYHHDPFWSGQPPVGYNLPPLTDLANASAASSSSSSYEAPDPMQSMYYTMPQSYYMPSLAASMTSYADPAALAAAAAASSYAAPAPPGDAPHPLNNFYLQQQQQPSAPVPISQSMMTTTPSYAMPTQISPPTPHYDYTTVGYSPAAGGMGIRTSSTGQLQQSTSAAAAPPPVPAAAVKKKCGKKPKVEASPVRSAGGGDSEDFDENEGEDDHDRRKLNNIRERVRVKDINNAFHELGHMVNQFDNAGVTMEKQQTKLGILHNAVELIKNLEEQVKRRNLARNMANGALPAGPPQKPFPPNLQVPPNC >PPA01713 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:437951:441575:1 gene:PPA01713 transcript:PPA01713 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVNVVNVNDFRPRTYTKTRVFPQGGDDINNDVPWPIAFKKIINDSNYFVEEGRDFKFIVGDFTFSNGLGNLMFQYASLRAVARKEGARVVLPEDCVLRRAFDLDAVFVNADVMMQLMIDYSDQTVEVKDCCRFHPLEDLFKDGNNVVIVSGYLQSFRYFDKPNDFHLLTAQFSFLPAIEQEARQIFDNLNVKRMVAQAKPIEGRDGGNSLVVEDGKDEVFWVGVHVRHGMDVTFHSRNLNYGHVAAPLEYFEKSMQEMRERHENVAFLICSDDIDYAARNFKEQVKGEFAFCQRGAPREIDIQLLASCDGLILSPGTFSWWAAWLSHSVEVFYYAGWPRVGSSLDRQLNKTEYYIDEWKAIDA >PPA01697 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig104:344514:344847:-1 gene:PPA01697 transcript:PPA01697 gene_biotype:protein_coding transcript_biotype:protein_coding MPKITQYSTRIWWASMYENDADCKEKFPKPCANNDNVYNSRNWNVQQQFLRLPGCVQYCLAMIILKAKMN >PPA01654 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:100602:101599:1 gene:PPA01654 transcript:PPA01654 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGVIGSKIADTACMMSCNTKNCGGSKCENRGGRPDVNNDRVTNEWTNTPDGDAKQFRREWFQGDGMVRRKNLPIEYNP >PPA01674 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig104:196464:200809:-1 gene:PPA01674 transcript:PPA01674 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNLKDSRYALVTAIILGIAHMCMFVGFDTGSFIVESVLYSVHQRNPQDMNRHAGYYGQAIMYAFNMVGHIVAPAALCFMSAKWTMVLGSLFFTLSFGSYIFENEMIIYVSGALLGLFYAIYNAGYSRYLTQISTVHSIEKINGLEWSIACFGTLVGGLLIVPLIRMNPNSTKPSLYKEYTDTQVQ >PPA01703 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig104:371549:376595:-1 gene:PPA01703 transcript:PPA01703 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLTARATLVNNGEITVTEQIVVIDKADFPSASELRSDQRQLFERDDCNEFDRSEQSGVSQVAAMELFRKRNDGGYATSQICVLQHSNLRKQFETMINAQQLSIESRNEAIFVSPRTGMWLRKLRCTGDGNVTVFTGPTTLPKYLLRTWPCESLPDRLISFDSAITVQVDLGVSLEVEHARSVNSRYFAIPGEKLTILTSGRFNNLQKLKGEEEDVNVNMQIAFADIGSRIMLRKSRGAAALPYPMFYNDNIATAFHSKHFEVLIRHNELIEYVPKEIASADIWNSEDTSIIEFAVGGAKVSSPVKHSPIDDSSSPEEYALTRNYQGINDHENIESWKASDDQSIDFTTLLIAAALFGCIVIISVIVYENVKLRRSIQKRPLWMNQLRRVIRFRNSTAQAITSKFGKNQYKRRALTRKKSSIRVKNEYRFGDTGDLKLEEVQ >PPA01636 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:28945:29842:-1 gene:PPA01636 transcript:PPA01636 gene_biotype:protein_coding transcript_biotype:protein_coding MPYARACVLEVQRRGNILQTNVQRVTLRDVQVRGQTIPKGTWVNGDIHYLMANDPLFENPDEFRPERYLQEDGVTLKKDLVDHTVPFSIGKRACAGEGIARVELFLGLTATFQHFRISACEGDEIDLERPQSAILVPKEQNIRIEKVL >PPA01648 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig104:82102:83944:1 gene:PPA01648 transcript:PPA01648 gene_biotype:protein_coding transcript_biotype:protein_coding MKCMKQQNGGRKRKFFRKSTCAQVLKGLDLSGKVIAVTGTTNGIGIETARSLALAGAHVICLNRNEELSVKAISKVKNEKSSVEMSFIKCDLSSLASVRAAAEELLAKQEKLDVLILNAGIYIPTKRATFDGLETTFGTNHVGHFHLTTLLLPLIKKSTPSRIVVVSSEGHAHTRIKKNATLEAKLNVLIPEVKESMGMFQLHCVTRGTLLYARSKMCNVLFAMKLHRDLQGKGVDVYVLHPGSFIATNIQKNMGIFRYIVYLGVPFAKDLDQGASTTVYCAVHPDTEGISGKYWQSCWDDEKNLDRAFSDDVELQEELWRRTEKIIEDIEKKNE >PPA01643 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig104:44756:45689:1 gene:PPA01643 transcript:PPA01643 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLYTRHPPSSTLSTGSVPTFKERRPFYSRKMDIEDIRRQQPGKVPLIIERFEGERALPLMDRCKFLVPEHITVAELMHIIRRRLHLHPEQTFFLMANENSVVTNSMSLAQLYQHEKDEDGFLYLVYASHPAFG >PPA01646 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:72011:74025:-1 gene:PPA01646 transcript:PPA01646 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRKSLLLVLLFNLITCHKHLLPKELMLVKEKGIDAILEEGEVITPVRFTLKFDGLNDIEDTMRSVYEQTCQTVSSPSSTVRGGRFKRGIDWVETAGVAAIGIVSTIFYPVGAGIGAALGTMNAMKIVDLDYKMRRIYALHMADKFAAELDRTFVSHELSGIVLAGLSKHLMESTGMNSITEVRARTKMYIDHCCVGDVMVAHVVHSDPFNTIRGSLYMVADPGNFLINEKLYVYHDIPKEPFLSDDDETLPIEKCLPTTSKKIGTASLFEKYLRSMLMNGNIDQVKDELIKKIFSNKIDKKGRYDMWASECEILDRLFEYSSKLLFNLKCRTCSERKKLTRCHFETQKKGDSMKQVVYDSILGQTDCQSCQGSRQILNVTSTAWFIPVDISLQKESPSRCDAIPKEIKIGEYKFELGGITLFVGATTLLSSRDRTIRVAFYYAS >PPA01706 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig104:380883:381961:-1 gene:PPA01706 transcript:PPA01706 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKDYYFDSYAHFGIHQTFQNDLRMSEEAAKASGDSKPAPNEMTSKDYYFDSYSHFGIHEEMLKDEVRTNTYRNSIYHNKHLFKDKIVMDVGFGTGILSMFAAKSGAKRVLAIEFSDMAVQSRQIIKDQP >PPA01656 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:103427:105559:1 gene:PPA01656 transcript:PPA01656 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLIAILFCFTGAIEETVASNNWFLNTQGFLVDPLYTAFVIGSTVVIFVAGAVAVLAVVHAASGQPKQSDEEQGVVRQPTASETDRITDRKTKAEEEERIRKEEIAEKMRKEEKRKEVLRMYEEWKAGKGITKLAYTKVNPLPDPKYLVAEKGTVVESDVPFTIIGGDGEVVVEGRPRGATKMETKTTSTEKSSIKV >PPA01716 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig104:450928:453070:-1 gene:PPA01716 transcript:PPA01716 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFHAVHPVTNQTFWIPFFIRFHRLPEELQRHYHTFVTFEAIGHIFSLVAGWLAVSVFYKVHALHPNLMQAILNAMADDLIVTITFIRTWLFVDVYNFEVNIALERYFALRFVRTYEKVERSYSVSYRWQLHDNARSARELRWLIVVCNGVISLILPAMFVPAFIFDNDPSKSEVLEAAKLLYQVTSAYVFGCCYLFVCFVIKKHRTSPMLSSTLERTIEYKSTRRAISPDCKQSGGWTGEGGIRNE >PPA01637 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:30017:33429:-1 gene:PPA01637 transcript:PPA01637 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYITLGLLTLLVFGLFKYYQWTARYPKGPFPMPLIGNLLQINLKAMYKDFDRLGKLYNGMYTVFSPMPFVQITDYELLKETFVDKGDDLSGRPEQEALQELLGFGPNAGVINSNGDNWREQRRAAISIMRDFGMGKGLMEAQVRSSVADYIAHIESIENKDRTSLRWPIQVMVANVINEILFAYRYKYESCQPLMDYVHGFEKMIEEMMKHPGFLLAIFFPKLLDLPLIGDLAAGKVIRAQQKLNEYIIANVDGILAKYNVDDEPTCFVHAYKQRMEQGGNAFLEDKMVVPSSRPNLLGTCSDFFIAGMETTTTTLRWAILFFAKHQDKQAREGFDHCLKQN >PPA01693 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:332402:336158:1 gene:PPA01693 transcript:PPA01693 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLIIGGLSPISLRGVVPQILQSAETKKVVIYDDLSGVTSSSSPVLDDSRVSVVAGSTFNEKLLQKTFTDNKITHVVDLASLSTPKEASPIAVARTAVVGFTHVLDAVRHYGGKPRFVLVSREPSGSRSLSELTPPLPNSLVAANAMAVESMLHSYVISYRLPLVVARLSEGVLGHDLSRGLNAAFSTAVVTDEAFSAISIGDAARGEAAVSQKAVKECIEKIKAGSSCTLVSTNPSVFPIERAHKELGWMPEEKNLCEVLKAEVELASAAASGKVHSFTKVLLFGEDQPTGARLLKALAARSIPVVFGESKPGEDPIDTVKDEIFSVAPSCIVFVGDRKDDPAYFDQEPKPARLRENVGSNLHAPWILAAICERVRCPFTYVQTYKEEVNHNDQATSEGVVKGFAKRLLEQFTSEYQTRFILPQTAVNDEHIGLIVDRIEKKLVA >PPA01657 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:107743:114201:-1 gene:PPA01657 transcript:PPA01657 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEVIFIAGIGPIYGAKLSEAGFDKAYVLLGHLLLVKYDAKVEFASSTMYNIFDFEGSEEDSDDGLNPYNTNLLIYDKAKDRNKSIADIALQYEEGTSKKIPFDIAGNGHYVILNLNAPEDTGNDVTLWIIERAKAHEFDYEIYDAANINRAPSVPSTIITVMSALQFRVIADAGEPNSYTARLVGFDNALDNNPDNCNYAYKTDKNSAFEGFSFQVNAPIISIVFNERKSVNLKADYNYVNVRDLAKSGFISSPGYNGCAKLSNNQVHLAKQYRYSEDYDCHGEPDNFHIVYDADTSFTGDHKVTIKDMTNNQKYPLTTDQNAYHIDIASTQLVIISYDDITAPQSFMLRFTSTQIVEETTHAPTTSNNPRRDYDCAWFYIAKVCLTNNVNHVNHRNSDYEWRWRRSWNNRDLDRIIAEIGAYMNLETSKKIPLDIAGPGSYSILNLNALEDKGTDVNVWVIERAKANDIDYEIYDAGNMNRAVTTPRSVITIMSPMQFRDISYTTRLVGFDNALENNPDKCRYAYKTNDNTKFEGFEFQINAPIFSIAFNGKQKVNLKADFAYVNVRDLGQSGFLSSPGYNGCDGLGGDQVHRAKWTHYSDEYDLHGEPDSFSVYFDGDLDTAEGHQIDIKDMTNSQTFPISGPRAEITLTIPSTQYLIIRYTDISAPQSFLLRHTSTLIQKATSADPKTTSETVNALSQRSSLVKPSRMFSSFDYTIDLDNDHWGDYNQHYSKHTGDEAGIRRVLVDRERVWIRFPLGVGMGRMPSKTHMDLERKSCNGNVICIYQSHSFTKNLHED >PPA01645 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:65250:68883:1 gene:PPA01645 transcript:PPA01645 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGTTGTEDNIARRTVVDAYEQKYGKRIENAWIVLDHWAFVPSIFVYIPYVLTINIPFFRIPIYFFHDITIPLFTCFPVWDAAIMILLITDYRRGLMGMIRKNKVQEESVFQVTSTSGAENSRAILVGCTHGGTTDTVFDDRRITALNAGFQSVPFALLGIHFLYRYWSVRKPHLIQLFSTPKFVTLLISITAGQLMSWYLMSIYGTTGQEKDDAKRELQEEYERKYGKGIENAWVILDHWRDNRLNPTLVFMVMCLNVMMISALFTAASLAVLTFNHLNLTSKISTKSGRLQRKLLIALCAQASVPSLFVYTPYLLVMNIPFFRIPITIVHDASVPFSTCFPAILIASRER >PPA01717 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig104:454364:455551:-1 gene:PPA01717 transcript:PPA01717 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMAINPLTNQSVWIPFFIRFHRLPLQLQPYYTVKAFHSNLAQTISNGFYVGPPFILLRIPLILMETGIIKYENYLHGFIYVALACIVNNLAFFLFLYTSNKNEQVQEKLTQFKKSDKSYTV >PPA01630 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig104:2765:5115:1 gene:PPA01630 transcript:PPA01630 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHLGHVLRARAETYDDPTLAAIFSLNNSNYIAKALGDESSGLLPVLREQNAHILQFYLDAIQKHISEYMASWYPVLQTITSVDQMHPEDRTAMRNAITAFTREFEQTVSAQRGYCVTDPILADQVKAAVVPMYAKLYNKANALTEAASLSSHLRYGNTRRRAWT >PPA01712 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:430201:436477:1 gene:PPA01712 transcript:PPA01712 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPAFQGHYFIGNSGEYFPYCYRNRFTNNLEGIYLFVWQIIAKQTGATFTPKFVTYDSAESVANLTFDGLQGAVLRGGLLTAFEGTSMVPGMPFLYRYTMPFFESSSYNDMPMLSCLPPLRRRPEALAPKVGPLGLSPKKVGDDIAKATGDWKGLKVTCKLTIQIRQAKIDVVPSAASLIIKELKEPPRDRKEVKNVKHNGNITFDALLKIARIMRPLSMAHKLEGTVLEIFSPGWSRVQSGLVPSENNPSRPPPDGPRPDRTAQSIGCTVDDMHPHDLVDKIPVEYGKRTRIFSRAAVSHSIVSSTSRQLLLICKLCCSRNLLLLFVAILFFTSVIDSACHVVYRIMGRPDKGRSFQYTFLIPSIMLVLAIHNAGYSGNSLSRPPEATTYDKLMTTMMGGARQFVLEPKYLITYKADFDHFMGPASKPFSIAQSIFERFEKLCSDASLVSAIFTLDLATVAAIKGRCTLVRIPTPGYISGLMNFDDSRYYGMVFGKNYSTNKMVELTNQVLLRYFREEQMFNMWIPRFAKTYVGNIWGDTSKKKMEDQYAPYRFENLNGLMPIIYAFYGACILIFIVELVGYHSGFASARRWLAKITAAFQYIKCMGVK >PPA01647 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:79651:81546:1 gene:PPA01647 transcript:PPA01647 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDGRKRQFNGSSTCEQVLKGIDLKGMTIAITGTTNGIGTETARHLALAGAHVICLNRNKELTEQEIEKVREEKLAKNVQSPPLKMSFVKCDLASIASVRQAAEQIIRDYEKLDVLILNAGIYLPAHMATADGLESTFGVNHVGHFHLTTLLLPLLEKSTPSRIVIVSSEGHASSGINTSATLEEKITALMPSVEKNAGMMRALTLYCLSKLCNVLFAMKLHRNFSGKGVDVYVLHPGTMISTNIGNNMGIWASVLKVLAYPFNKTLSQGASTTVYCAVHPETKGVSGKYWESCWDDEKRFAKELGRDEQLQEELWKRTEKIIGDIEKK >PPA01675 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:201946:245181:1 gene:PPA01675 transcript:PPA01675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-89 MRDIHRSRLANRLGQWCIHVKRFYSTKTTLGRAPQITATPVSLNIKEGEDATFKITVSAEPAPRVQWSIGDKAFGWVTDRPTDFLSKLGPKAIEFGEHANLTVIEPRKVTKPDFTDKLRDMDVKEGQRVEMDVIALDGENFQWAIDGKPLKDGENGVHISQDGGKSKLVIDAAKPEHSGKLTARSTNEAGTAETEARLNVAPAGRAPQITATPVSLNIKEGEDATFKITVSAEPAPRVQWSIGDKLAEAIESVNTWKSGDDYMMKITRATPSEHGTIKVTAQNSCGSDSATCQLAVEQKPVKPTIEGVLQDRSVEQGEPIRWDLKLAKADPNVKVRWFLNGKELQHAPDCQVLDGGDGSYRLVIPDAKVENTGRIKVIAENPAGAVEQTAIVQVRGRAQKPDFAQRPQNHEVVVDESVKFSAIVSGNPMPSATWFLNGVQLVNSDAIKVKPVEQDTGKTSFRIMNAKLEQTGQVKCRIESAAGSVEATAELKVDKLKEKKKKKSIEKTEEVPKFTTTMDDRQVNEGDTVRFTTTIDIYPEGDVEWTLNNVPVKNIDNITTSKDGAGKYTIEIKDIRTDQAGELSCQATNAIGLKKQNATLMVKMTGEAPTFAKNLEDRLVTEGDAIEMSAVLNKVKPPATVIWLKDGAELKSGDRFKISQDGDTHKLAIESAKMEDKCRITLRAESAFGSADCAASIGVTKGRPSSKPAFQSDIPATNLAEGDSLVVKLLITGDPAPLVKWYINNQMVCQTPDTELTAVDGLYTMVIHGVSSDMTGTIKCTAYNKAGECTTTGPLKVVAPVPVEFETSLCDATCREGDTLKLKAVLLGEPTPVVSWYVNGKKLEESQNIKIHAEKGTYTVTIKDITCDYSGKVVCEAINEFGKASSEAMLLVLPRGEPPDFLEWLSNVRARQGSKVVHKVVFTGDPRPVLTWFINNKEVKDGVDGITIKTDANTSVLTIDNFSPDAHVGEIICKAENDAGEVSCTANMVTYTSDMFSESESDAGMAEDAGMDDTTEMSERESLPEEPPQRTPTPVMAPKFITKLRDTRAKRGHSAVFECVVPDTKGVVCKWLKDGKELELIARIRVAQRYGPEGHITNELIIDDVQPEDAGKYTVVVENAAGTDVCEATLNILETLEKPGAKAPEFIIKLQDKNVKVAEQTVLECKVSGTPAPKITWYHEQELITSSAKYSIETIDEVQRLTVSKTEKIDSGSYRCVAENEAGKAETSNRLAVYTPNIAPSFTKPLSEQTSSVGEKAIFTCSVAGTPQPHVEFYSGNTRLVTSSEYAVEHDASNTHWRLVIHSTTKTSFTSYRAVATNDEGSATSEAVLKEKSSAPKLEQGLKSKSVKEKEPVRMDVKVAGGKPDSVKWTKDGRPVVTDYRIRTEERPDGTYALVIDEARPEDAGTYAVEVRNPAGADSSSAPLTVEKGIVAPKLDQGLKSRSAKVKEQVKMEVKVAGGKPDAVNWTKDGKPIVVDGRIRTEERPDGTYALIIDDARPEDAGTYAVEVRNPAGIDTSAAPLKVEKDTAAPKFTKGLEPVEVKENAPLAASVTVSGAPAPKIEWFKDGQPVVADGTHIVCKSSGDEHSITIDSARKEDAGAYTVKASNPVGTAMSQAQFAVVKDLLPPKFLEALPFETEVKQGDTATLSVHVEGEEVEIKWMRDGICLVSTSSGRAHEVKTAPGKFSLVIDAVDQEDVGSYTCTATNRAGADKTVGSLKTPKYGFEKIPDESTAPFFVEPLKETVVKEGETVQLTCKVNPESKPTIKWFKDGQPIAAPDTKIVDGVITLSIANASSKDVGKYKCEATNEKGSAATEAPLMLSYGVQKYTQEEFSSGLSFTKLLSDQRVEIKQRLRFEAKLQGYHESTVRIQWTKDGGRVPPEAKVCAQQDGTLTLVIDDVIAGQEGAYKCTATSLVDATTVWTEARLINPGAMRAAATGKAGPPEFVDLLHSCTIEVGKTAFIRCKVTGEPRPSLKWTKDGKDIDVNRVRSDFADDGTITLSIDGVTHADSGEYRCFAENEYGSAWTEGPIVVMDVGAPRPPGEAPDFLSPVRPATVYEGETAVLEGKTCGIPAPAIKWYKNGKELQEDDRHKIESLSDGTQRLTVARCEIKDTDEYRCEATNEYGDVWSDVTLKVNPKPADDAVSIAGVHKAPTVLKSLEEIRIAETSKVIFECQIAGEPKPQVKWFKDKVELNIADSRYKQTVEANNTYKLTIDSVDVKDSGEYRAEARNVAGTARTEASLKVAKLGQEEKLMSGSSPEFTKDLSPVQAKVGEPAALECRVAGVPQPEVKWFKDGDEVKPGASVQIESLPDGTNRLKIDSAKPEDQGNYRVEATNPAGSMSSKAPITVTPKDTGAGLKLKRGLVDQTVDKGTKILLSVEVEGARPKTVKWYRGSEQVTSSSTTKVEQISDYEYRLEVTKSELSDTGNYRVVLSTDADSVESSCTVTVRDAAAGEEKDATKGAAEQLGGPKDPLPSFKKGLHDTAVPKGHSVELEVEVAGNPKTVKWYKNGDEITSPHAKLEDLGNGKHKLTIRDFQDKDVGTYSVKARNDAGEIESKANVTIADEGKKDDGAGKPTGGEKPKIVQGLVPTSVEKGETAIFTVKTAGPVKGVKWYKNGKEMGNPKTKDLGDGTYQLEIPNADDVDAADYKVAVSNDDGTADSSAALTVKLPKAKDDGAGKSQDDGKDKEKPKIVQGLVPTSVDKGETATFKIKVEGPVKSVKWYKNGKEIESPKAKDLGNGEYALEIPNADDVDAADYKVVVGNDAGNADSSAALTVRLPKAKDDGAGKDDGKDKEKPKIVQGLVPTSVDKGETATFKIKVEGPVKSVKWYKNGKEMESPKAKDLGNGEYALDIPNADDVDAADYKVVVGNDAGNADSSAALTVKLPKAKDDGAGKDDGKDKERPKIVQGLVPTSVDKGDTATFKIKVEGPVKTVKWYKNGKEIPNPKAKDLGNGEYSLEIPNADDVDAADYKVVVVNDAGDADSSAALTVKLPKAKDDGAGKDDGKDKEAPKIVEGLVPTSVDQGQTATFRVKVEGPVKTVKWYKNGAEIPDAKAKDLGNGVYSLEVPNAQEEDGAEYKVVVGNDAGTADSSAALTVKLPKPTFLKPLKDLEIAEDEDAVFEVQTNVRVKDVKWYRNGQEIKPDARVEMRDKDTKYTMVIKKATKEDAGRIKVVLTNASGSADSEAALTVKKSLAGPKIIKGLKDQVAAKGASLIFEVKIAGEPTDVKWKKDGTALSASDKIKIDKIDDQTYRLTIPAADLSDAGRYSVEASNEAGKAQSEASGEVDEKPTIVKGLEDGTVKEKDDHVFRVETSAPVRTVKWYKNGQEIKPDGHFALKQTGPKKAELTINKALLEDGATYKVVLGNAAGDCDSSAALTVTKPDILKVLDGLKDVDVAEGEPIKLTAKVQGTPKTVKWYKNGAEVTPNDKLKLESNPATGEYSLIIPAAAKSDGAAYRLALANDNGEVSTGAVAHVKVPKVSADSPACFLTPLKDTTVAEGDVLTLTAKVGGEPFPEIRWEKDGVPLSKTDRVSMRQALDGTVTLRVLDVKKEDLGRYKVIAKNPLGEQSSDCAVTVTDAKDEPSKPYFVIPLRTGDAPLGGKKEFAVKIRGVPKPTLEWFLNGKPLAVDGQRIKVEDMGDGNFTLTIADVREEDFGTIRCKAKNEHGEDQCQAEFGKSSDKLQKDRDGDGYAPRFNVPLWDRIIPEGDFMSMECHVDANPGAEISWFKDGAELKSTDRLEIWNSTDGACRITITKFGQGDTGVYQCVAKNSYGVADTRANYNVEFFFFGIRYAHRYTCDKGVRFNFQSQEKQIEYVPKIEEVVSKTEFAPRFNPPLENWVGPAGKTVTLACKVEGIPRAAVSWYKDGLPVKSDDRHTIEYADDGNCSLTIRDVKDTDGGSYRCVASNPLGSTNCSSLVTVKTPKTEAKKEGEEPFFTRSLVDTWADRGQTLVMKCEVTGDPFPEIKWYRNSMLLKDSERVKIETTPEGVCTCTVREVTMAEEGVYRCEAENRFGKAKTQATAHVEMALVKGEAPKLDDGQAPRFVIPLEDTTIMPGSAIELSCKVTGVPMPSVKWSKDGTVLIDDPRYETTVDAATGVHTLRASGATVLDEGTFRCVATNESGSATTKALLTVDGQWGWVGVHAGLEYTTDQMILLYFRGTLKNTDVPPTFTIRLGDVRATEGQPLKLECKVDASPLPEMVWYKDGAKIVPDERMQISLAPDGTARLLIPACVPDDEGMYRVIATNPSGTASDKGNAYVKKLPKERDDSATHPASDQFEAGKAPKLVEPLQSVRIPEKDKLTLRCRFSGEPKLSIKWYKDGERVFPYGKLKITDSPDGWCELTVDCASRQDGGGYRCVAENAYGSARTTGDAVVIAAPRKPISAAIDDALKPGKAPGFSTHLITRRGKPGDTIIFEFPLMNQRLIFDLCRCVPYGDPFPSIKWMKDGIELTTDGVKIRAEEGADKTQRLILSDVQFTSEGTYRCVATNEHGTASTKAECIVEGDRLAAFKTPSGVEGPPEESKPRIRRGLHNMSIHQGSVVEMIVCVTGNPTPTVKWFKDGKEIVSDGPDGKRSIFTDERGNHHLVIVNVLPEDEGEYALEATNKLGSARTEGCMNVIKPRFAGDDQGDRGGMPFPPGFVRQLKNKHVFNKLPTIFDCLVVGHPAPEVEWFHNGKRILPGGRIKIQRCGGGSHALLIVDTCVEDSGEYVAIAKNEHGTASSSAVLDVTVPYMDTIKFSNPEIEDVTPYLTEEFGFKKMDFAKLPTPPDRGPFIKEVSGHHLTLSWIPTKRSPPRYPQVTYVVEMRELPYKEWNLSRVFQLDYNIPEPVCKVRNLELGKSYQFRVRAENIYGISDPSPASPPSSLSDGPSTSSMTSSRYGSSSSSYGSPLSYTRSASSVPDYGSSSSSSGSSRDYGRGFAAASSRSRPYYTGSSLGASSSRSADNSQSPSRGGRYSRRYESPYADLNAAIPSSHLKYKPSRYLGAGANLLPTERLMAPPQPVLDKNKKIIPLLDPYAERALECMHAEQYACAPWFAPGVNDKRFCAENDTITIILNVSGYPDPEISWKFRGWEIDTAAPTSKYRVHTYGGTETTLTITGFGKDDAGQYQCFAKNQYGEAQQNIYVDLATHPKFLAPLSDKTCPSAQPLKLDVRVEGTPFPELKWMKDWRPIVESSRVKFVKDDGGILCSLVIQDPLWRDSGIYSCVAVNAAGQSTTSCTVTVEADEYGNPNDLPILKKHRQRRQERLRAENGIPATNVNGTALRKVHEIYEIDEADEK >PPA01704 pep:known supercontig:P_pacificus-5.0:Ppa_Contig104:377629:378674:1 gene:PPA01704 transcript:PPA01704 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTLVLFSLLAAASLACAPHKPKRHRNHHHGSHESHESHGSGEAPAKECTPLTTQWEKTACEAKLINPPSTTLKVSCDTGYQLFVKGAGEQAFGEAGPVDCDTSTQQWSYTYGGVKKTEADLTSQLQGGPLMFTCTKLKG >PPA01722 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1042:308:1916:-1 gene:PPA01722 transcript:PPA01722 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFQMPFLVLGSILIGATVLSCFLIDSHDDEAVDENAKGMLDMLRIPHIWLMVFAIIVCSISLSFLDPTLEAHLRT >PPA01723 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1043:662:1529:1 gene:PPA01723 transcript:PPA01723 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDYVLDLRAEDFLERRLQSQAFFCFILLSQSVFKLGLLKSIHHAHVLIRQKDIRVTNEPKVILDPTSGYMTAAYHTASVREVDH >PPA01724 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1044:366:2101:-1 gene:PPA01724 transcript:PPA01724 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLRFTAPAMSSLLLLLPLLAGDVMAATSVTIHGVTDTLKPEHEKYASALKDAEAAFLDAEKVFNDPSFETKEGWHKEGKHPSFSSWSKPTPQGKMVLVSTVLDGNIDDVMHETWGGIEALPSWNPSIAFANYYAVFSDNADILYYANNDILVVTGRDFLTTRIYRKTPKGYIMASRSVDLPEKPERKERVRANVFLGASQFRPDPTNNRKTLCDVIMIADMRGNLPKEMVEKSMPAMMSMLTEQNIKHFHELSKYSRRRR >PPA01725 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1045:160:1685:1 gene:PPA01725 transcript:PPA01725 gene_biotype:protein_coding transcript_biotype:protein_coding RSKTRGQRSSTNLLPLVLDALDAVVVLLDFVEEKVSFFFASSLAFFCLPAHTTSRPTIPSRCTTAICRGVPSLTPAEAAKRKHLLEQQRDQRMANGELTRDVDDTLDMVESMRIQEEDDRTPAEHLK >PPA01749 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:124677:126662:-1 gene:PPA01749 transcript:PPA01749 gene_biotype:protein_coding transcript_biotype:protein_coding MILIHVKHFSKHAVNESTACLEKFQISIVLKTVQTAPSSTFNFDSSRKQHIRNAMAPTVSVAQLRELIKTAGSTVRILDATYRERPAMSREAFQADWYGKVEKAQREWKKSVGLKNQSLLSISFPIREKS >PPA01771 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:265247:266721:-1 gene:PPA01771 transcript:PPA01771 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYAVQVVEFMKTCFPNVRGRGRPMHGRTSPISPSSPSTRRNISNPLFFGAPSLFPQGPPGANGLANSPISLLLGNARNNNMLMDGRLLGLRGPIGLPPPMVKGVLSKMLKVDRLVPNVI >PPA01742 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:105234:108660:-1 gene:PPA01742 transcript:PPA01742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pst-2 MRIYVILAFFTVATMGLSNASIGYLNYPTQVIFKCCKLIPVLIGGMIIQGKRYGLLDVTAAVMMSVGLIMFTLADSAVSPMFDPRGYVMICGALLADAVIGNLQEKNMKKYGGSSNEMVLYSYSIGAAYIFVLTLLSGEFFEAFAFFAEHPWKTYGYGLVFGFLGYLGVNVVLTLVKVAGALMAVTVTTFRKALTITLSFIMFAKPFTLDYLWAGTVIMVAIYLNLYSKNKKKWDPYIIAAWRKLTGKKKSEILMM >PPA01812 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:512011:514172:1 gene:PPA01812 transcript:PPA01812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cutl-25 MRSLLALLLVASAAAKTLDNQLIGTPEVVCGPDELRIKGITEDVFEGQIFIKRRRRVSGCAVVYDYSANSTTPELAIKLDRISTCGIEMKRNNEDKSLEIATIVAIAFHPQLMTSAYRNPPLPLRRPVIRRALRVHAAADDRGDERRLYQSLILSL >PPA01772 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:292497:301146:1 gene:PPA01772 transcript:PPA01772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fozi-1 MINFAGFSTTTSPEAQLNVATSSSPAEIKDQSETTDHLPTSTSQPEIKDQRSEITDRLIMMVAGAPPSLSRESTSGAPPALDCSSSPTNASATSSDSSSSSTRSVPTLSSVDLASLFLKNNLSKQELPSYNMFTDPVALLTLSQMLTAGAGGLSTPFPPPIGLQAPTPSAAAAAAPTASAATPTPTASAAAAAAAAAPGGGPAPSTTTGPRQAQKRPYPCSFQYCILCQKDVHSSKLPCHIRQCHVAKPMFQCPACDFTSTYSKNNVKSHMVSLHGLAGDPISYMDQYAAQVEEFMKICFPNVRGRGRPMHGRTSPISPSSPSTRRNSQGAPSLARRPSLPTEQAQMMQAAAAMHAAREQQMGAAASQLYGLNPLFFAAPSLFPQGPPGTNGLAKSPTSLLLGNARNNNMLMDGRLLGLRGTIGIPPPMVKTECSEMKLEHGESTSASTNEENGSLNAPFAPKLQRMLTSKYIEYPSVLAALSEDDCNGTIFSASNVAPMVEKLDLSLFEGVVSPQGALLPSSVIEKDQNYIHLATKIAALQSDDNFKVYEVLYALHRLDSTVLPAEVLDALIELAPSEVDAKRIRQYEENNANLSDEEQFIVQLARIDRLEEKLFCLRHMANYKRSVDELLQSFSSLLSSTRSLRSSSDLCTLANFFLLIGKKTPFY >PPA01762 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig105:196747:200070:-1 gene:PPA01762 transcript:PPA01762 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDRLRGGRRAPSRAREKEEEDSDEEDLIIGPVDRFFRRRRMVVSDEKISAIVGNEEAEEAGGEEECGKFVDTRFAEAIEVWVKQHGVPLPRRAPKLVYMKLSEGPKRATTRWTSGRAVRRGETERGCGADEDRLRGGRRAPSRAREKEEEDSDEEDLIIGPVDLIILESLISDSRIGRVHAEEMRASP >PPA01796 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:421115:423622:1 gene:PPA01796 transcript:PPA01796 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRQIPLCVFRETGKGWGVRTVCEVVRSAFVTEYCGEVNTVPKTGWKARYDFGMMHPARDTKTGEVVHDQFVISAAEKGNESRFFNHSCEPNMNSMCTVVERYGIFYHHIAFVSNKDIPAGAELTFDYFPDRREGDYSTLHMLNPCRCGSPKCRFQNTSDDVATLMNESMTNDVEQEKGGIRTLSKQELLKRKRRASSDNESIRRTRRKRRKMVRNITRKTRSVRSAKRAIPWLLSGTSGTSSEDEEQIWGKEEKKK >PPA01795 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig105:418063:419763:1 gene:PPA01795 transcript:PPA01795 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIEDWTDEGEEDETLGLLEFINYLVQSKVLQGVLPEFNQLKNVRCVSTCGACSRAGEDDEIWKCCGNLASIIIDESTNEATWGDEEDDAEVREDRLPDYMQFECTADCDCGDDCENRVLQEV >PPA01780 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:351281:354548:-1 gene:PPA01780 transcript:PPA01780 gene_biotype:protein_coding transcript_biotype:protein_coding MITIAKTFFRRTIMKKQTFTCQNDGNCPVDKSIRCACRHCRFEKCIKVGMDRNAIQQNRDPIGYTKRTRRYPAVKTAFGSSGNLSQSLTDAGFGGSGAGSNIPAPLSLMTSEMAARYGMSGPMGSDESSPKPPPVPPEQKEAQAKEDAFLEKLAKFEATCAKF >PPA01794 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:414230:416859:1 gene:PPA01794 transcript:PPA01794 gene_biotype:protein_coding transcript_biotype:protein_coding MLISDGAAPQVPAPANRNLNKEIRANTDYQKQVAKCAARWPNMHKKRAEADQDMPIEDDAEERAEIMADYYRYACRNRCNDLDFIEINLRVQHGDVEFERLFPNRYFDHKQSTTSAGFSMHKRTQYCLRLRAVENR >PPA01805 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:467914:470876:-1 gene:PPA01805 transcript:PPA01805 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSYPRILLEKRTLRTLPYRIPGAHITSGLECSLDTVSSFFSEKSTRRKRKGKGGTVSDDEKAKTKGKTLETSQRSLRRRKKMSKNKDEDGGGGGRGEKTVTDDKNSGRARKASSGSGTKRKVSSTNNEKSEMPQITKAEAVTKAEAVTKVEPPSPAAPPVNTDTAELTHGLTISEELRSKLYDPERDERSIKGRNPDEPTAEQLKRMISFVNQCLTSGARGLIEEFDAVKTFNVDLPASTATHQANPTKNRYRDIFCIEPSRVILKDGKEGDYINANWVRGPPFLNDFICTQGPLEGTINDFWRMVVQEQVGYIVMLCDLVELGKKKCEKYIPDKEGEAVMYGDYKVTLMKTLVDGHFINSVCTIDIPDKQSRIVYHHQWKNW >PPA01747 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig105:120996:121309:1 gene:PPA01747 transcript:PPA01747 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAAYGGIPSPFDPGENNEAKRSGSLEQCS >PPA01770 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:250820:253620:1 gene:PPA01770 transcript:PPA01770 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSDDALVAAGGAAATATAGRGFSYADAAKRLSAANSRQCQKLAGLCPSRPCGWQAACGCNEARYARQHRVGIVPRERLVGPRAIRKKMGRAILYSACPRPHEQQFQASPQGDPPTTTAAAAAPSSSAAAAGAGGSAAPTAALSPRVAAAAPAADDAAAAGPPPGFQPRPPKKEQQQAQPPTAQLQQLQLAHKARDALREPPTPAAADISFFYDQELDEQQRRNEEATAAAAAAASSEQQQAQQPNNNVAPAAAAAAPVMNLQFGLP >PPA01810 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig105:504818:505549:1 gene:PPA01810 transcript:PPA01810 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGTLWMRIGQKVFWDTLATPFFSAVVIIGVGILEGNGVAKSVREYWQKLYCILLLDCSLWPPFQVVNFRYIPAKFRVIACNCVTLLYNIGLRRAN >PPA01804 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig105:461360:462317:-1 gene:PPA01804 transcript:PPA01804 gene_biotype:protein_coding transcript_biotype:protein_coding MATYKPSVLAGSLTRLNYRNLLPPDRGVPLTALAGLRVLRHARLTKCPTIIHCSAGVGRTGTLVAIEYMLQTIITTDGPYDMREMVKALRNQRAHAIQTAPQYAYVAQAILRKMVSLDRSSPQANAKYQQFAGEMKEISAPPPPPPPPSEPAPAGP >PPA01791 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:409695:410664:-1 gene:PPA01791 transcript:PPA01791 gene_biotype:protein_coding transcript_biotype:protein_coding MTFIRSPKILSLQLYTFSAAVAASALTRASGAHTETKTPWDRLPEREQATPALESLEEWRVEWLAELAARTEATNDWWIIVIALCIGAALGALCLVMARKLNSLRLTE >PPA01778 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:329970:340017:1 gene:PPA01778 transcript:PPA01778 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nars-2 MHIFSYSYQVLVVPTGEVGITWSVGSSRMQDYTNITNFNGLDVHVFRSNQFEIRESSANAKKWMKNNVQGLKKRIGHAAFSSPPAMLSILQTGSTVGPIYSDDRFRSVLLMKKITMSPAKPDMPVGFALSEETSYKVEVMRTSYSVHEHGKETVYSWKAFFFFGIDMLKSPLLRGLRLAECARIVRASGSTDSGALLAHQTVSDLSRRKADPASSVEVRGWVKRAHKVGGVTFAHVSDGLSTETVQVVIPRSVCSSVPLGAALRARGVWRESSGSQQDCELEATEATVMAKDKDPRYSSLTPDQLRVDLPLRARSDNFAAILRLRSRLTAATHRFFQEAQFVHVDTPVVTANDCEGAGETFVLKLDNEKDSFFGHDVFLSVSSQLHLEAVTAGISRVYTIARAFRAEKNQSSTHMAEFSMLETDTVARLLLVLIYNILLAQIEIALCESVDELMDVAEAYLRTMMGELLGSVDADAANISDFSDMTQALAQSLARCDRIPRIRHAEALLVLAEIGHKLPASGALSRSAELALVDYYGGPVFVTHFPAAHKPFYMRRNAEGETESFDLLCPFVGELAGGSLRAATREQLERQCPDGKLPEALKWYASMRERGKPPSGGFGIGIERLMMTVLEIENIKDTTAFPRWYKRYALIEMVAGPSGSTAGLTPGHIFVAVLEQVTRIHGDYGAGAVRSSLHVRVVDGDVSVLRLPADSGHLLLSALPFVRHINKEPITVRLLFCGRSIRSCEKRLLTQRRKELSDAVAAAVTPGWSLIFGLWSFGWGAQA >PPA01777 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:328451:329386:1 gene:PPA01777 transcript:PPA01777 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSQSRLGCGLPTEEGIRLVGRLQDALWRVELQEREEGGTYLMFNTLSDIRQVSGVSPSVNDVAAPVDVAFWALIKMGGLGPVDWSSNAIC >PPA01787 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:387728:396767:1 gene:PPA01787 transcript:PPA01787 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDFVHNLGVEFNMDTTWPLLEMGEYFLAPVVMTAAEEFLTSSENEFSLIESFILADRFHLPLLMSWCNRQLTTTVRCKELRDSDQWNHFSQETQRLIDTAHNRLARDEQCTQNIPPTTTTQQQTSNPLYQFTAPNYFHTGGYANVGPTNSVIWAQGNGQYAAQHPAAPVVHGHHYAFAPHQLQYAPVAQVPHPAPVVPGLQNAPGLVPNVPIPAAQLAPVLAPIGHPAPVPGPQIGPPNIQNIVQPPQLASAPVAHDGCARIRPWNGIRNARANHQQYTTPTEKRNRCCSPRPALDSLTMSKPHRSHTNLKSQNTSKWISRADLLVELLDKDDDTRYAKRITGTITPEHDSVVIKKLVDKETIWDDDEFWKDGGHFAIRVTIRSQWKPLEQKRNNTDYRFHKRVEVDWRENHEWTDCCIQVEDEKFFVGKAQDSQKPLMRALEELETKETNARLVVEAEKELEAANDAVYAALAAVDKARKTRADARGAQIFKATIFCESMKAYGNGQRDMGKRREEEMKGYLRDLLIILTMIKADTRPVRRKKKRVAVRSVGGPSRSLMEDEGPSLAFKHLPPQGYPLPGTARKLPQHGGFAFKRLPGALEQPWGLARKSVPPAVKIAHKMMARKSIPSHLKQVPHGPLFMIEDAGGTAHVNAIAMPPRLRVSPPPDAADEGVVVARRRTSAADEYPLEEEEEDEEEEEEDEDGNDDDEEEELVIIICPQDDPIDMARKVPRGPWPRPPTVEVGPADKATYGSGRVGQTGCEEDRGVVAGWREPAISLVEEGDDGAAEDEEEGSGEDVDDDDEDADDDVDDDETMYEGELSPAGTPQRVWRSKRPRIVPGARKTAPSTAFEMVLEGGDHRGQLHFAPTHSMQPCCNHAAWHHFSVSQVMNIMVGFSVVG >PPA01793 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig105:413246:414016:-1 gene:PPA01793 transcript:PPA01793 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLLALSVFFIVVSAILPAADFTVPPLSEEQKAARSTQPAARCRLLDTIAKAAEADPEGFKAAVGRQHLGIIDLSPIRVKRERARVIKRQLHHPGASPSAASAMVSYCRS >PPA01774 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:309657:312111:1 gene:PPA01774 transcript:PPA01774 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKKVLKEDGKPITFDKSFYIFSGMNRLSTFGRELCDLFHKLAVYHKKFSKFDESEHGTKPMTMNKDLKLEPPDSPTSMIVNLTVNEKKGAARIKEEPNDDELDTMINSSRASLASDRLLILDILDILDILDILDILDILDILDVLDILDILDILDILDILDILDILDILDILDILDILDILDIPQTSMRDRLGQSKSPRLCAKEPQPRAWSMFLQKIRSAPIAIRFVLEPVVDMGSFEAFSGRKCTHCKMRNHSTEQCWKLKKKEKMRVGRESKI >PPA01789 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig105:404782:405131:-1 gene:PPA01789 transcript:PPA01789 gene_biotype:protein_coding transcript_biotype:protein_coding MNELFSALPRRTAPRATSIAVLRRAPKGKDSPASPTPPSSTRSLPFIASATANDSARGLGHGRADEQLRVAWDRVGITKI >PPA01813 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:535949:537411:1 gene:PPA01813 transcript:PPA01813 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRGRLLIVGSLLAALISLAHCNTDEKKDGRAGKPLNPNGPSFMRKRQWIEEPEPRPQLAEVEERPLLQDPTMTLKSALQHLRLRRQQQLQQLQLQQQPSRNLRALRGIVWLQ >PPA01729 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:59954:60690:1 gene:PPA01729 transcript:PPA01729 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIDVAKEFISNEKMEVDLCDEDTTTRIISFSDTKPAGHRGIEALIKIKCHVIGLAEVRRPNEASMDLAKSGSVLYHTQRLQNRMAGVGFIVYAPASSRDDSEYSEFVDQLEEAYHAPVSGSHRYRDN >PPA01741 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:99669:100885:-1 gene:PPA01741 transcript:PPA01741 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYKVHYQNCPFSENRKEFPSKNDRDDSSWKQSQPSVNLPVLKSPACSSDSTEGYENEKTMIERERTIITENEWAMRVMQSNQMKDVFRWVEGYRIQVANVITEIERLREHNTLLRDKAHDKIRWHEGEMKKMGLGMTIGRRKWERENGDWKEDWHFSIYSFICGMKVNVVEEHSTKVVSMHCRDRSRTIVSALRKHRSSVSSRKCVRFADSLGHDLEKMEYFTRDEENLFVHTMPK >PPA01761 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:191055:192096:1 gene:PPA01761 transcript:PPA01761 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVFAKIFALIVDGDVCILRHRTEDHHNHYSRLVLDDANLEYYFVPCGVARIERNLSVGKQSYTTVSARSFPTGALSDGWSVQRIAEILGYVGAQEII >PPA01799 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:430108:431732:1 gene:PPA01799 transcript:PPA01799 gene_biotype:protein_coding transcript_biotype:protein_coding MATWPMCVEPHVTLGSVERNSSIPPILVAVETYGKLIQYELDTGAALSIIDKTTWEYLASAYNGTKIAFKGVCRFPVCLDRSETLFDLHVLEDSTRKHPLMGRDLIDALRMDMGPFYNGTPRVNELSQQKSVLGQLDGVLKANAELFRPELGKFIRRQAELNFKEDKPSPVFRRARPVPHALRPKVEATIEKMVEQKVVTPVEHSEWASPLVIVPKPGDKLRICADFKQTLNPLLDINIYPLPKPDDLFHLLNGGEKYSKVDLKDAYLQMELCDEAKQYLVINTHRGLLRNERLPFGLASAPAIFQKSMEELLAGIEGVVIYLDDVTITAPNDAEHLVRLAKVLERFRSAGLRLKREKCEFLKEQIEFLGQLVSKEGTRPNPGKVKAISEMPPPRDLKQVESFLGMIQYYGKFIPNLSATAAPLNTLRRKGVTFEWSKSQLIAFETLKRRLVQADRLTHYDPQLPIVLATDASDYGLGAVIYPDGNERAIGKKVSG >PPA01736 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig105:80514:81460:1 gene:PPA01736 transcript:PPA01736 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDGIPTFKLVLVGDGGTGKTTFVKRHLTGEFEKKYVATLGVEVHPLLFHTNRGQIRFNVWDTAGQEKFGGLRDGYYIQGQCAIIMFDVTARVTYKNVPNWHRDLVRVCENIPIVLTGNKVDVKDRKVKAKTITFHRKKNLQYYDISAKSNYNFEKPFLWLARKLLGDPNLEFVAMPALAPPELCTL >PPA01800 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:433611:434321:1 gene:PPA01800 transcript:PPA01800 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKRKIVSTSVSGAPPTTRPPPAVTPELISQLVASLVNPKPSSSVPVITTPPPTLTLPVVRASLTEPVDQRYRIEANIARSLTETARLEQDRVNLLSQVTQLRTEVRELQYPPKPSILCSPPRRPLGARVHSDGTTVPNTPAKGLGTESVLLFLNLKSQYLTLVSMRENPIVILFYAGTDRRFDWRTQR >PPA01785 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:376525:377407:-1 gene:PPA01785 transcript:PPA01785 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLGRPIGQLLNTFNATGKATEDETKALAELHRLFDHSFVQKPAANSTDFGYDVRLAIFNAQQAKRALKTVVFNIFGKF >PPA01786 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:381662:382449:1 gene:PPA01786 transcript:PPA01786 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLKSIVINDYWHEFYYHKQTIENLKEVIAYGEGLVELTMDHKEVDAEIEENQEESQHEEESQPQDNEHSDQAKDNEGVGHEQVDSLSDQDETLLKSMLAEVQQKVDAQYGRETLDGVETENVIVDRVLLEDLIAYFKNDRKEDEGGNGNYKDYYNKYGYENYVK >PPA01758 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig105:170640:173330:1 gene:PPA01758 transcript:PPA01758 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCKACDYRCRSTHTLKKHNRAIHGDTWKQWTSQRRLSFANDAKCPFCGDATEDIHSLCQHVVQQHLNDISKENPYIGCDACEETFNWASDLYAHWTRPQSPCPGYAKVRQLKSAEVGALEIAAEAEAEIE >PPA01797 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:424822:425930:1 gene:PPA01797 transcript:PPA01797 gene_biotype:protein_coding transcript_biotype:protein_coding MNELFSALPRRTAPRATSIAVLRRAPKGKDSPASPTPPSSTRSLPFIASATANDSARGLGHGRADEQLRVAWDRDATALPSPSWSPLRSRVLSFPLRPSSVFDQLVPVDFEFPSVVKLQVLHSLN >PPA01788 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:399413:401163:1 gene:PPA01788 transcript:PPA01788 gene_biotype:protein_coding transcript_biotype:protein_coding MREYKKEELDGPVKPKKKPKKKSKNKKKEEVVEKSKTDEESGEEKEDPSSPVPQSEGETPQPRPFFQSQKLILQVKSDKPIAPKCKFRVLRFAKTQEVVEYDSQGEQHLLDHYNERAISNPWRRWGAHRALCDQGCYLVDKNKKLVEIKRGSDPPKCPPNPRQVTLNKNCEAPDSQTMMGTLRVEVGPAEDEVHRIGRLMEDYVLKNGGFVPPPMTRKEMQTRPTKGQPMVVVQTKGGVMRESSAVKKGKKSKPAKTPKKSSGSDSRSGEESEENTEEGDSTAEKVKKPREAQPPSNPPPAPPQPMENSRKGGGIGGFLKRKKDQFQQKGKSKAKNNKGSVR >PPA01775 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:313186:316578:1 gene:PPA01775 transcript:PPA01775 gene_biotype:protein_coding transcript_biotype:protein_coding MNETTDVLGSVERIGEDSRELFRLGLPAGKLLATYNATGEVTDEEKTALTELHKLFNYTFDRDTASLFGKTGNIYYQTDAIRFPSFLERVREYMAVLTNSSSRKIREDYYLAREQLDSQVRLGCRMPTKQGIQTLSNLQDTIRDVEFRARGKWNASEFSAVDSYKTGLFHLITTQQEEHHAEQLTKNITELKNIEFENVMDTLRSSHIALMCLLETTIDTSAFNQTLLQLKLEYLYRDLIQIQLLEWALSSVSEQDEKYYFKDAEIAALLVERTGHFLADWTRNVHSDINRDVFAA >PPA01776 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig105:325681:326473:-1 gene:PPA01776 transcript:PPA01776 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVQRNMEELIRKNSPTPAATTIQNLEVYNRLKPSHRNVLARQVVCEAEEEDHDWWKRSITNKTAEVLKLAERRKEFGVACKSKRTYECFCDNLSSAEMSFLARTNCGKKFC >PPA01756 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig105:161811:165054:1 gene:PPA01756 transcript:PPA01756 gene_biotype:protein_coding transcript_biotype:protein_coding MREPERWADVLTSGRIPTECYECASPATSARFVFKCSACNEVSAALQHLRLLPPASGPSTFREELASASPMVAHVPNCCCCICILPFEELPSASPVVDLRCGHLICMQCFPVFVKSGLTSSQFFLHPRYGFTIGCPWPSCTAVVKDPHHFAIAGKETYAEYKSLAVEAFITADAIKCAHCDIAFIWDSLEFEKEVGSSTAAENTKTMIECPHCSKHFCAVCRREPCTCEDEEAANRVIFEATTRACPRCKARTERAGGCAHITCTACGHEWCFVCVGPWTEECQWNHWS >PPA01755 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig105:156705:160612:1 gene:PPA01755 transcript:PPA01755 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAMQSAISKLQEDLNEKRALVTRAPVLDIVSNDVHLHSISLAPSGAPLISFSNGSTYSYSINLQSWIPFDVSNGLSRLAGESFAQEDLEGGAIAKAIKSKKRPAVTPSASLATRAWVSQSQMEGWIFAALDAKSYEDLCPMVARYIDMLIMDKATGKIREMLSLIDSWVERARRRDNNDEWMDEDKMKQLKEMWMNVPIILRERKSNGNTTKVALSVSVDVKCDSVAEFM >PPA01744 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:111678:114575:1 gene:PPA01744 transcript:PPA01744 gene_biotype:protein_coding transcript_biotype:protein_coding MSAETEKMLSNALNVFNAKLSDRQVIKLEGMEDYLKVPQYKTLVNLEKQASQLETDAAVRIMNSKIAYLKAIQELRTCEMRWFQEIRNGMDALEERDRLVKEVNMKMRKGDYSMLQPAAPAAAEAADAASEPRPSLRETAAAAKPPMYRRPEPERPVPPLLPTAKPEISDLKKRLAMINMNDTPTMRQPLTSININKSVEITSTPKISSMLSSKGSLDGSLVPNRSRESSKITREFKDHVLIKLSFSAPASPLNPAKWDVPAPNFDETIDIDTEPINPRLRGKPTLPSALLDSPPPAEEEDNQAEDTFPLVQPSLPFQTPTVPKSRPFLLSSMRKESSKGAADDVVPSSTKLPPRPVPTPVRPSSSSSSSDPLSSLGLMKLKRPVLNSEVGKKLMGQL >PPA01790 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:407854:409362:-1 gene:PPA01790 transcript:PPA01790 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNIETLRRNLRDLEAEIDLEIAALQARVAALQLPAKCDGPAVAAPAPTRASGAHTEAKTPEREQATPALVSLEEWRAEWLAELAARTASKEEEEAKRDEEAKIKMEEEEAKKKEETMMNSKVLGAVPGETYCALYSLVSRGGNPLPAVLKKYEGKTFVLGANRQVKGGGIAIQYLPAPITASSSLTAHTATALRGNKRVKGSQAMGEVEQGEESRETRGSLK >PPA01802 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:457634:458601:1 gene:PPA01802 transcript:PPA01802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-eef-1B.1 MSFDLKNDAGLKAFNDALATQAFATGFVLSGDDAALFDSLKAAPDAKKFANVARWYKNVASYDKKERAEWAGATTSAAAAADDDEDIDLFGSDSEDEEKAKQTAERLREYAAKKSKKPGPIAKSNIIFDVKPWDDTIDIAEIEKSVRSIEMDGLVWGAGKILPVAFGIKKLQICCVVEDDKVSTDALEESITGFEDLVQSIDVVAFNKV >PPA01748 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:121936:124556:-1 gene:PPA01748 transcript:PPA01748 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAYLKEHLPNAAFFNCEAATYPGQYEKSSLYPADIFAQYVRLLGVNKGDTVVVYARGACSGMLWAARAWWLLKVYGMERVHVLEGGLDRWKAEGGQVVDGPATIQPGNWDSSAPDAKLFVSFDDLFGNPAKVNDTYPTQINLLDARPAGQFNGTEPLSFDPNGAPGFHIPHSLNIPLPTLFTQGGELKSEAELAQILTTAGIVSSKPLVTMCNGGTQASLLGLACVKADKQFRLFNGSLREVSLRAPQLISDK >PPA01809 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:496585:501882:-1 gene:PPA01809 transcript:PPA01809 gene_biotype:protein_coding transcript_biotype:protein_coding MQNGSGRPTARPRPVGNANQRMFASIQSTLRSGKEYKSDDGAGPSRNERAPRRRVHTAVVLKSVDEVIAQLADLEKRGEHSTIEIKQNLEASSTTLTEMWDEEWAKLVEVLMKMCLESDEAVFVVELLPIFMKYADFLEAFREQMMAISSEFVLGEEAGEGRKLEQVPAFLGSLLCARWPRGMHRDTQESNPILFTAFEIVRGWMLVVQESSPEGKEEEKRERKYKIEKQPSEDSVDDVANELQDGCRIGEAAAAAAGSAHSEEEEEETAETSPELLNRCCSALAALCESQQRSLWLSRPELVDDMYKCFKRAITHNREIDGNVKCSLLHSYMLMNEWTRSKAPPSTMPLEVCIDSARSTYECLFGGADRLEICGELSVGGITPTLGLFESIYKISRSWPIQHRVMIRPRGGDFVYDDAEMDAMEADIRSLKERGARGFVFGVLNK >PPA01738 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:85355:87633:1 gene:PPA01738 transcript:PPA01738 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTATRRIQKECKEVVTSDELAESGITIEMLDDASFQHIHAYIRGPPDTPYEGGRFKLDITFPSEYPFHPLKAQFISKVWHPNVSSQTGLICLDILDYKKGKWTASLSLRVVLLSIQNLLATPEPTDPLDAVVAKQYMADKPNYERTARFWTQHFAGGPGEKDLEMAARVKSVSAETQNSEIEAISVLSCNSWDVKKAINYIKS >PPA01757 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig105:165985:168336:1 gene:PPA01757 transcript:PPA01757 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGDAFSQLLHNLNALLNVRAAGGSNSSEMYKSALGDLFDCIARNEREMYGNSKHIAFLNFAKSFATLSDSGLYNLFDPLAVHCATPSEASPSSVPPRLHPANHDFYHVLRDEREYNQLSNGLVNIPKSLDDDAGGRTSTMLSPHYMSSSPTYELEVKSDIDSERELDARKANIQTENLYTALFGPNAVSVQHPPTAKKQKLSMPKILNPKADPPEVEVCPYCTYQSTSTLPASKLVVRCLFC >PPA01766 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig105:214531:216826:-1 gene:PPA01766 transcript:PPA01766 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVSWFLARHLTVIEDIERRQIGQTCASYGYPAAKKRVYQWSVKAIRRRTTGTGRMRHLKKVQRRFKGEPIIMGFIGFFVKLIHIPINNIIVGA >PPA01781 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:359974:361648:1 gene:PPA01781 transcript:PPA01781 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVVNGIAWTSAEFPDIRGASSEQCQANVPPDQNLYICDPDRVLNKSQTTWLNAMLHDLTLSTPCPCQRRSQCSGGLDNDGGPLHGFVVSIAMLKNVQMSIHSPSENDLTVRSEGFCRSLEGKWALGDCGNSIIIFVWQHYKKSCSIFSKPWCLHCELASIFLDSFHYLSRCFPLFST >PPA01798 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig105:428554:429320:-1 gene:PPA01798 transcript:PPA01798 gene_biotype:protein_coding transcript_biotype:protein_coding MFIGRQGARELKHSALCAVLRLRPPVDARGHPTDRLQRINPFRVVTGCAPGSVRKQNSPHPAAVEQGDAPPEDPVPKK >PPA01754 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:144890:155919:1 gene:PPA01754 transcript:PPA01754 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKVVLTPWVTHDGGAIYSLDASPNEYKLATSGNGDSGEGCIVIWSLLPMLSEKHGRTVENHNPLLARIPMTGSVNAVRWSRCGNYFAAASDDKVDLAILLMKTGRPGRPEARRPVFFEGSPELRTPPQTVTVWEYGGRIKSAGTIGMRKEETNLEKYKCIHTLHGHAMEVLAVEWSWNRRFLASSSMDNTIIVWNALKLPERLVVLDASRDGHTGAVKGLSFDPIGKYLASQSQDKTLKVWNMENWTCETTISQPFEESAQSTMFMRPEWSPDGKMLVAPCAMNNGGPTAQLVQRGNWDTSRDLVGHRKAVTVVRSASRCFEYEDSKGRVSKISLFAVGSRDKSLSVWALPVNSRPLVVINNFFKHSIMDASWKGTNLDGTVRTLIFDETSVGRMLRQQEMSDMCYELYRTRLVQHEAAAASENGRTEGAENGANGCATKGAFVETAADLIASRTIAVPKRAQKDSSEREREEAEARAKAEAELAEQRKEQIENRTKEGKRRIQPVFLCSTIDNSAPEKPEIPDDVIDADKLDASFPGRRATTVFKDNEPPKSIESMEETESSSDDGASSSAESSDDDDVQEIEEIGPSTKRMKLGGRDRMLLADFRQPVLRPLERLEKDSLTLAAAELQPRLQHPINGVKQGLIVVQNEWASSSGVRATKVVRYRCNEEGLQSLSVDDVESSDAIVWTAYIPAPVLLMTAHAKWTVVACSDCSLHVLSTATGAVSFMLQLDSLPAQLGQQDARTYVLTSNGYFSSWSAI >PPA01753 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig105:142535:142724:1 gene:PPA01753 transcript:PPA01753 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLRPYRHRRHRKPQWEHNDNTDTYSSQLRCTSVRTIVSPFLTLAVLTGEGAL >PPA01732 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:66906:69392:1 gene:PPA01732 transcript:PPA01732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cor-1 MDGEVGRIDKDYPFVDAHKAPCLEVAWSPFNDNVIASCSEDTTAKVWLIPEGGLRKNLVEPVVELCGHQKRVNTIAWHPTAANVLLTAGGENKILMWNVGTGEVILEIDGHPDMIWSVAFNYDGSRFVTTCKDKKIRILDSHTGEVLQQGEAQKGVIDKQAPPPFQGMGHEGVKPQRAIFDRYGRVVTTGFTKRSERLYALRNDVRYRT >PPA01803 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:459821:460943:1 gene:PPA01803 transcript:PPA01803 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRQGGKLKPLKTAKKEAKELDEDDLALKQKRIEEQKALKEAAAKAAQKGPMGSGTGIKKSGKK >PPA01784 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:372705:375642:-1 gene:PPA01784 transcript:PPA01784 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKGHMSFMSNPLAILDIIDMNSRFGCRLPTKEGIRLVGRLHDAFWRVELGVTTPTVDDVMAVMSASHIEIISNHTTMMMTSCVLSYTLDKSAFNQTALLIRLGLLHRDLIRVQLTEWAVSSFVEVDDESYNADAEIATMMIERTANFLVKWTRTVQTVSWPEIGIEFAKRAIDITVNPTPAAAYNETARRVQKAFEEHGASDFSYHVLIVPTTRVGFYWSVGSSRMQDYTNITNFNGLDIHVFRFNRTEIGERPAMAKKWMKNNVHGLKKQIGTLLSFRLGAISTTSTMLSILQSESASGGPIYRDDLFRSVLLMTKTTISPYKPDMPIGFALSEDTSHKLDVMRTSYSVIGHGKETIYTWKAFFFL >PPA01783 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:369867:370887:-1 gene:PPA01783 transcript:PPA01783 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHATSVREDRPTSPDSSTHDGTTPPPMVLLPQLARPTPRYPDGRRTSAPAILWSAASMLGDKPSLDSLQLLHELLERQRMSNSKRRESSSPDSGMGEEGRSTQHGPFEAAPAPRLPAPAMAPTASMLTIGVPPPQTELTPPPPQMPVSTKCGKG >PPA01760 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:183542:186314:1 gene:PPA01760 transcript:PPA01760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-png-1 MERRRLKCTFQDGEFVVVYDVVKDEYSIGGEIRCGFAGSLAAGSGIQRKVEQDWRMVYVCREEGAAEGLLEWNVELDGAEIDTVTVEAREVLQKEGARVILTVCSGDQCMMIAGADLSPGASLHVKAQFVGGQGALAFQHAQLFRASLDDPKGPHLELSIINYCI >PPA01734 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig105:76150:79148:1 gene:PPA01734 transcript:PPA01734 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNQSLPQAIDDDMGIVVNPSHRPQSDARPPSAASSTRSSVREPSPERANPMLVRNQVKLRPRDDRDGVDGPMSAGQKRAAAELERIRRDQSRVATEDDRDSLAPSSTATPSMSPRMSVSSNMDAGPTNMEELNNHLLPAIRSLLVGLSELAQEALLDHLLLLLGDGSESPLARVSVSLHDDPLDLGDDAVVGDGHGRGGHLGDRQAHGLSLGRHDHDLLPDLDAILEPQHSRKEHLGAVADGVHLQMEEQKTHSAVLDDDSLVLGEQSLERSHDATHEVLGIDDVVHGDELVVLVRDSRPVSSQLLHLSAHSQQQTEMDADGTHVRARLALDPEDTLLLLLIVLDQLVLVDGTDTQLTLHGRDEWWSLEQSTIASIYPDIKALGLPEETLLECQDIRILNLKT >PPA01763 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:200340:201435:1 gene:PPA01763 transcript:PPA01763 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIQRYLTTQQIQDLIFIIPFYMEETGRDDISLVPLKYLPNVNLLPTDSLNEAIYWLQLHNATVLPAQPTVQEIDTLQQQLKNLGGTGAAATLVIAGVHANNQLAQFTDKFMDY >PPA01806 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig105:472813:473771:1 gene:PPA01806 transcript:PPA01806 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETMENLRPISKSWNHHIRELLYDRNDPLILHEVIMVRSRLTGEIKANVNVRQEFAPRIAKILPDWKQIGPYTECKENYVCFEQEILHFDGNALPEPDLSKRLY >PPA01740 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:96648:99282:-1 gene:PPA01740 transcript:PPA01740 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWLTHFELPASFSHKLFGNEDIDAFSSVLAIPHKPQGEKKSDSPDGERRGRGKKKEWKGVVSDDSDRSLSSQRDGKSPVKNLSMLIPVKKTMEETDEVKTKIYYDEHRRKRGESKSQKMDSDASEEELRIKRKEKEAKKASLAVDSAFGKEDSSSDSSSSKSTSPNKEDLAANRLIAQVIDVVEAAKVITWSQRKLINNQEFQEWMSTMKRHSNNLEPIPSDNGRNSLEDEGYRHWSSLFEERWRERWKGLVSETVMIDS >PPA01737 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig105:81929:83636:-1 gene:PPA01737 transcript:PPA01737 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVANMNEQVQRVCDWIAADPQLQGGYNAMGCSQGSQFLRAVAQRCPYPRMKSLMTFGGQHQGVYGWPGCYGDTPECDDLRFVFDGMAYVPYLQSTIVQAQYYHDPDDEELYRNGSIFIAEINNELEINQFYKNNLIKLDNLVLVVFDQDTTVIPRESSWFGFYKEKDIDTIVPYNESRLYIEDRIGLRTLDERGKLHFYTMKGPHMAYDWNLMKQLIDMYFK >PPA01743 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:110414:111117:-1 gene:PPA01743 transcript:PPA01743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rps-12 description:40S ribosomal protein S12 [Source:UniProtKB/TrEMBL;Acc:H3DWF3] MSEGGDESTAAPVVAATPAAPAVMDVQTALKAALRSAHFADGLAKGLHEAAKALDKREAHFAVLAENCEEPMYIKLVEALCKEHQIPLMKVSDKKLLGEWCGLCKYDQEGKARKVVGCSCAVVRDFGNDEQAKAVLTHYFATKK >PPA01735 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:77548:80119:-1 gene:PPA01735 transcript:PPA01735 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKDRKVDLGEAQASGDFKIKPSNDAPQLDTSQWPLLLKNFDKLNVRSNHYTPHSEGCSPLKRDIKNYISSGFFNLDKPANPSSHEVVAWIKKILRCEKTGHSGTLDPKVSGCLIVCIDRTTRLAKSQQGAGKEYVCIFKLHNPVESEKKVKQALEKLTGALFQRPPLISAVKRQLRIRTIYENKLIEYDQEQQQGIFWVKCESGTYVRTICVHLGLLLGVGGQMQELRRNRSGITDEHDQLVTMHDIIDAQYLLDHHKDESYMRRIVRPLEALLTEHKRIVIKDSAVNAICYGAKVLLPGVLRFEDGIEIGQEIVIVTTKGEAVCLAIAQMTTSTMAITDHGVVAKIKRVIMERDTYARKWGLGPVAQKKKKMVEEGLLGKFGKPNEKTPDGWKKDVPAYENGKKEEPKEEPMDEDDEEEEVKTPKKKTKEVKVEVEEEEEKPKKDKKKKKKQESSSDDSDSD >PPA01769 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:240268:248841:1 gene:PPA01769 transcript:PPA01769 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLWLCASVDQIVASIEGTEGTAAAGKKEEPKKKNKSKKQSTGSAQQAPPSSQPQSPVSSGAATAAGTPAREGRSSSSEKSSQSGDASSAATTVAAATVTSTPGGGAKKRESSGSAPPSTTPSPPPKKEKVATAAAPTAALSFAAAAAAKPQQQQQQQPVVQPERQHTPATIGANQSGGAVVVAAPQQSARAQLKTTTSSSSSEQQQQQKKQQQAAAGAAANPKKGPSGAATAAAGAKAGSKGAGKDQPDGAASQSRGKKETRKEAIKMEEERARSRISPQSSGSRSSIAGRPSSDDQDEEFVSCDEAPPAWRQQRSTDAGPVSAVLADENNYCYDEAELEAVLKASEEEAFQQVPSKVKKGTKASSQPLQHGGGGYGYHGQHHHHHQQQLHHSQHHGHGHHSVDRRASSSSTATASGGAGGIGGGPSSAPTARREMGKSQQQQQQQRDRQQQQHHHQPQQQSQLQGTRPASNSVGSVSGGGAKQKPSSSTSSQPGAVSVSADGSPTHHAARTNPMVSVSTNSSASFDTPPMMSPVGHAFIH >PPA01765 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig105:214014:214306:1 gene:PPA01765 transcript:PPA01765 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAPDTTERKRKEALAKKKEMWALLTRPAVPHPMDANLDWSSDEEEDEDDGIVPPILTTLVQLHSLADANLEETDEENDEE >PPA01768 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:231543:235674:1 gene:PPA01768 transcript:PPA01768 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLAPATIRPVQQPNPRPLQPVVCNLRKTVQSLGPDDFRKLLEGAAEPEYQRRSRRASTTEGEGKSEFVLSKLKEEVEEEDRRRREEREGKQRLRERINLTAMAYQGPDQEDILMMDDAENNGEEVVGNGNGGLQLQQSPERMEEGMVRRYPTLRHVQPGEGVPVGATARQLLARRGNEDVHARELHHGDVEMQEMRRNGEAAHSPGRPYTPPMSPIDMEGMLPFGGIPYLAALPPSLEYDDGDRFYISDSIYSRFYESSFDLTRAKPPAVKDNDLDQRRSGGSSSSAAAAANAANNVVNVPCTMDHVKALQQRSKPAGGKGAKVPPYEIRNSDYWEDLR >PPA01730 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:62939:63913:-1 gene:PPA01730 transcript:PPA01730 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGEMSILERCLEVALNPIGSLALGERLDANNASLMQTFNIVSPRIVELILSPYGFRVVESFLNANAYFINVGLAEHLSKEWIGLEQVLRTVRGRYVCDTLLKRKVKKLARKIISIAPF >PPA01739 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:91058:93398:1 gene:PPA01739 transcript:PPA01739 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGRGSMFEGAVIALFHLLATRSDKVRNLRPFTQCVLSALCRQNLPNLMNLTTTVLVFSVVIYFHGFRIDLHTKSARYRGQCSSYPIKLFYTSNIPIIICPHACLDSDTSGAYRSFPTGGISYYLSPLETLGHVLEDPLHCIIYIVFMLGSCAFFSKTWIDVPGSSAKDIHPHPTATAFRGLCIRALSVTADFMGAIGSGTGIMLAVTIIYQYIEIFVKEQQEPRMRVNPRCTSTLLSSPSSLLLSFDEEFWLWKSHSVRVRVVTRFDLMRGLPSFLPLLPLSFSLLPLFVPLRMKTIDRRRIGSYQSATIE >PPA01814 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:538212:546757:-1 gene:PPA01814 transcript:PPA01814 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSRSNTGVTLITKVEVFTYIKRLIFADRSEVADVPMPPAQPGAPATREALPATPASRKRPACSTSSSSAGLPVAASKASRRGSLSANRAIKTEEPDESSQSMDESSEMMDNGVQYSDMGADDEEPCTSSSILRTPSNAKPKADRRRTGREMSEESDGSDHTLIGSPKRKTFYTINDLVHGAVSDVTPVAKSEERRRGRKRVKRTDEGGEKREGEREAGGGGEKMEKGERSRERGRRSSSLHSNQPAERFRTDILTQIRAADSEYTPSSDEPCQVLRLSDRWREEWSHGVQVLLHPKNLPRFETRPCDLPAHSSGQFILPPHYIQSKDASYQREGTHKKYPVPPLRIYQGDRLDELWLERLNRSHAAHRLPQLALGTMLDLMNEFEIECFQKIHGVVLATLASPASSSSTTEVDEDAPCDICLLKLHVPNANKQIKVARSGYDTRMVAVGESKPATKDGDEEDQMFFCDGCNKCVHRTCYGYLDVPEGNWECEMCRAVTETLKCALCPARGGMMKPVKGTDQWVHVICSLHLPNVKFGTDKAGNDIILSVDQVPDERFTLKCNICDLKAGACIQCDYRGCATSFHTTCAQRSGTADVHHVKLTNDDYEFRAFCKTHARGAMEKKKDGKKGRKRKDMVRGDYGTVKHECCG >PPA01767 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:227884:229721:1 gene:PPA01767 transcript:PPA01767 gene_biotype:protein_coding transcript_biotype:protein_coding MRARCSCPYAFGGERCETLKRHGHYNDASCGVIEAQDAGSLALSTYPGDQQKGTFCQWLLKSNDPWAKIELSFDGLDMDNHDLPPGQICNDVLTVYGAGGVKTIPCDGSPVPAKLTSASNWVLVELRTSPWAMEAHAGPAIRYNLITRPTGALAFSDGMTASAFFGSLLPAITVILARCF >PPA01731 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig105:65714:66117:1 gene:PPA01731 transcript:PPA01731 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIVRQSKFRHVFCKPVKHENCMSDLKVTEITWDSLFSAVNPKFIALINRGAGGPFMVIPVNKV >PPA01764 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig105:213062:213830:1 gene:PPA01764 transcript:PPA01764 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNKFPGIEHYFDPWHFIRNITLSLLKICKASYMTPVRFWVKPIINRCYDAIDPSFKLFKECTHSPPTNPSIFIPKGGKILKRLEALVFTERNIEDIKSVSWLLQTSPCETSYQARSSALESLKVDGYGWIETGGINGNKMSY >PPA01751 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:130109:131721:-1 gene:PPA01751 transcript:PPA01751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dpy-30 MEVDAAAQVVVAAPVAETAAAAAAVDAAAAAAVAAPAAADAPSAPASVEQTAAPQDSQTTTTTVSSTQSSQLAAMPTRQYLDTTVVPILLQGLGALAKDRPADPIEFLAAFLRSEKSKYGPNSIKKVNPIDEGASGNNKEHY >PPA01733 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:71228:72361:1 gene:PPA01733 transcript:PPA01733 gene_biotype:protein_coding transcript_biotype:protein_coding MCKRGVSSNENEINRIYKLTTKGVVDILQFFVPRKSDLWQADLYPDTRSMVPALTAEQFADGANAAPNFMPVNPEAAAAASKPKLGVTIQAVKKANILSQLAPQA >PPA01773 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig105:305913:308131:1 gene:PPA01773 transcript:PPA01773 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAIEEIVGLLEPLVNVPLSSLLLRMHTLQEGNQRVERESRATSGGERGSGFGVGAIMAAASSPTSPSEFALLAQPAMSFLQDQAALAMDQLHSLLDFLGEPRQSPAESFQPEVPLGRLLSFVQTLQSELTEVDVVKNEPTD >PPA01728 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:50714:59068:-1 gene:PPA01728 transcript:PPA01728 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRNSSLMRKMEVDLCDSPEGLYCVLKVKGKSMDQPLQPGWRDALSRLIVLKSKSDKGADALEQAIVYGDYLVNEMVEILCCRRESFDNSTTAGLIIRLIERGSDDLKRAIVKCLCEPAENPTICKIRFTILFGEFTKACDANFTTSQRKHLDDLLASAAAPVVRPFVDVVDEERPKAAMETNESDSMVLVPKMKDEIYFGCFFQNTTDGQNKKRRRESPVPPQSSEKKPREEAAEPQASTSKNTVSALSTVAPMATVPPAATLTAKPTVSAPSTVPKAHSANCTRTFNRDPPICETRSVYSTSSANGDHAAYRNPGDYRIHSAYGNYTVFDALIISRAYSNCSTYSNCSIHHTHCTYGISTIYRSEAIYRTSYTNGSRTIYSTSYSYSNRKAYGSRAIYGTSYSYGNRKAYGNCIIYRSESIYRISYTNCSHRIRHVNSLYYTPPTEHATISYFIRITDGTSTVLHTPSTYRTSSAYGNRKAYGNCTTYRYCSVYRTHYTYRNYTFSRTSYAYGSSTIYGSESIYRTSYTYGGPRIGHVHSFYYTPPVEHATISYFIRITDGTSTVLHTPSTYRSLSTYRTTSAYGIHKAGRGLRSYSTPSTYSDRTTHRCRSGYSIRSVYSVYKAHHGLRIYSTIHHTTSQSSSFFHPISPDRSSSSTFGATVPLQPTVARKPTVATKPIVALGATVPSPPTVPHPPTVTTVPSTTPSAKPLASFSLPPPIFHPPTMTTRPTVASRATVPPPTVPTPSTVPPPPTVSPQLTVPPPTVTAQAYGPAPPPTVPTQPTAASGATVPSTTPSAGPPLPTQSPPIPRPASPPPMKVNDRLELMRRMDPGKDVACMAMGGKYRVLLAKLNNDPKLAPTIFNCIRNALLSLMMDERGSEVVKWFISNGSLKQRQEIVRKVEATMDDLVANGGYGRAVVQFAIDNCADEEKKKGLSEKMAIAMVASRDSASPTTSSGSEIEVVSQKINKQSAEKIAVTKVPSAKEERKRQILVVENGEVSIVPGRVVSDEKLKAIRKTFNEIDDAMIRGVLTPRDRKMFVQRGKVTHGWSQFFVDQIQERLPHSVRWLRWMTFLAEDKYLRPLLVAPVASVLHLLVFPSPLLTQMLSKSTRQAFVAGCLEGYVPGLHNAGHHTLSRLTDRDVCPPPLARLFQPDLQTAHCVNIGMLIVKAALPLKAHAFLLSPLGRSIAESEKGREFFVYGRSLFILPTKRGVLNMEIPLFDRQYTFTETVAKPPPEGCGWASLLKEAGLIIPRTDMMIR >PPA01759 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:175029:180269:1 gene:PPA01759 transcript:PPA01759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-png-1 MTKSIVSGDQVGMCPPCRRIAPIYEEMASQFPTITFTKVNVDMSRDIAGRYGIRAMPTFIVLWGGQEVERVQGADPNRLQQLAQQYAAAAAAAAAANPNAATAAEKQFLQQFTVQSERFAKYYDSELAQMLARSVVPPRISDVLDEKGPTLAAALDLMKWFKHEFFRWIDTPDCPQCKVDTGTKGKGLAGTPTPEELAHGASRVEIHSCPQCHSEARFPRYNDPIKLIETRGGRFIYDVTDHVWVELFLEGRWMHFDPCEATFDKPLLYECRSNYGVLAQVGWKKPLSYVLAFGRDHVADVTCKYVADARVAFKRRHMLPCTSITVEIVRAPMHRSRWLR >PPA01750 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:129190:130090:1 gene:PPA01750 transcript:PPA01750 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAAGHRTIVPYVTPSSLSSFIRQAEMHHVILVCFPSINCAFPSQLEGAVIIDPKENSDLPPPELHTVLLYTSNRTADQGEGPDWKNTRVESIPFSISSLDDSQSEKGREKFGQILANFFDRVHTLYPN >PPA01746 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:116797:120653:1 gene:PPA01746 transcript:PPA01746 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKKAIKQSQRVHRERAQPEARRHLGNLEKKKDYKKRAADFNEKAATLKKLKKHAMDKNPDEYHHHMNNSHLEFGKHFEDVKASDETELQKKLGSIRDLEYVKYHLHRERKKIDEMKSGLHLADASVGGSKHTVFVDDDEQAIAFDPCEYLDTDEALLGRAFNRPKGDQLVKNKVTGATSKADVKAADALRRTQYKELRKRMHREKELSVVVDKLELKKHLAASAGAEIKPKRVKKGKVRSHDLPPSIGQGLDPSLPKGLGLRVDPCLELLHKCVSVLELLSSTEVREGAETVVVARGQIRGVRWVREPFHLQLVHFLLGDFRMMRARVVHEHEDFALAQEFGRDPDRHLF >PPA01792 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:412094:412748:-1 gene:PPA01792 transcript:PPA01792 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRNKESTEVPTEEALDEARDASNNKRSWTTALFTTPSPSPPIEYIVCNM >PPA01807 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:476479:478516:1 gene:PPA01807 transcript:PPA01807 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLPAQEIHEWLLRTSEDLKLARKAGKPAEYIRDIIDERQKLLDRYQAFVRLQEEVADIQQTRIQAAASDEQVATAVVEAVIEWEPAGVEDATATEAVAEDDCDSKEELCGVCFEGIRRKRSATLEPCKHMFHRTCITRWLEQQQFEIRVIVSSFRTLSRLSDR >PPA01779 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig105:349298:350088:-1 gene:PPA01779 transcript:PPA01779 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIQAVCGPCKMLDPSFMEAVAMQQWCGESRISSISAFGNSPHFVRQNHETAAMGEAASAPSQFPSLSRAHSGPAREQGKSVISDVLGSDGERLGGNGEGARADSAVLW >PPA01811 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:505639:511130:1 gene:PPA01811 transcript:PPA01811 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLHGVDFDPSSIPIPSAPPNPSGSSSDQQSAWANAAAALQRVAPPPAPPPPPPSAAATPFPWLMQQYSNGLAAANDAYTYPQHQGFGGAWNPNGPTRPQNVGWNGQNRLKNQFNQQRQQWNQQQSWQQNGQQQQQQQQWNNNGQSSQQQSQPLAAANNFKAFAFKQPMASRLPHLQNNFVKQGGGPMDVGGQVCEKAPQFGNVPDSVRSYMERSLAAAPAADHEKVMGYLEARLRPMLQSGSFKFINWDKEPLPHTKNYELNKQWTPAAKNLPKANPLASPEKKPQWAPPPKGTENRRRRRASSSSPSESGRSSEKRGWYEDREARSPSIEITGETKVGFLSKKEKKQLLQREKAEKKKEKANNKKEKKKLHFDYVDPHEVSRKQDRMRRFAQDVIVAAPAVPYRPSRNHIVIGTSNEIEKKYFRLTAAPDPATVRPLHVLRDSLALMKNKYKQNAEYRYMCDQFRSIRQDLTVQRIRNSFTVEVYEIHARIALENKDREEFNKCQSQLKVLYEEVEDCVNASEFTAYRLLYSMAMCNSTDVTTILRSLTPAMKETECVAYALRVRNKLTMGDQVGFFKLYESKAPLMCAYLLDMFVERERIAALNVFIKAYRPSLPVSLVAKWMAMDEDEFVEWMKEKKVASMVCLRFFQLALRVDFIAKIP >PPA01745 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig105:115260:116060:-1 gene:PPA01745 transcript:PPA01745 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLRPTGCLLIYKYYLLGKGKGVPEIGRIVHLPELHRLTISMKRVTLTVELTGKNKTVTRLRFEGELVPIWGAKLFLSVVDSSYRTLP >PPA01726 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:3443:4850:1 gene:PPA01726 transcript:PPA01726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acbp-5 MNNGVGALVASPPSPSSMEWDMWVAAAKADDVDAIRRILERNPDILRKEEDVSGLTGIHWAADSGSLEVCRYLLGVDPSLIGELDTEGNEPMHLAALCGYWDVTEFLLNAGGSPTILNYERESAIDIAATPALKDLLRVRADQMEAAGRLLEDGTVVGRPQPPAELVHEEEHDDEVGLRAVVNNFVNF >PPA01801 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:435426:457213:-1 gene:PPA01801 transcript:PPA01801 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gop-1 MLRRLGGYSTLWKPKNPHSLEYLKYLQGVLVKNDKVTEGNKKILVEALRAISEILIWGDQNDSSVFDFFLERQMLTHFLHIMRQDNSGSICVQLLQTLNILFENIRHETSLYFLLSNNHVNEIITHKFDLRNEEIMAYYISFVKTLSLKLNQATIHFFFNEATNDFPLLTESLKLYNFSESMVRIAVRTIFLNIVRVQDENMQKFVHGASREYLKEVVDGIVGVSIDLDQFVRSAENVQANRDRLRTKVDELIDSFHYVGELLILGDCMADLARLLSHRFIFPLLLTSLVPRTSDAAVLLSSVAALFSIGQFIQIVNHKELIHTILTALLFEDATVLVSHWTRADDSFCLQAVPPRGPQPQSSAQNRVFFNALLSALDVSMNDDYAAFFALYTIYAIFENKEPQRRRRGRAAHRGAAAAREPQAGGGPFHAARVGEGLRPTPAGGIAAAGRANCRLRTITLQLACLVLRQFVMVLDSNDVHDQIRALAESTKKCLTGRLSDAAFVHHKDLFIDMFDEEYVDSGDDAQVCNAETMTSSMRFIPSPTGRRGSRAAPPSLLLAHVRTATEQADPQWKGGDDQGDQSPKINHRPFQTLASYLHVRRLERDMADACDDELPVRVGDNPLVAVDDCINLANSDLLSCTVIVSPSNERQSRFLVADQLQLILVEPAGKAGWGAVRFAGLLQDTSISGEPTDSRVLHIVVEGPPRPSGVSWRVGAARRTPLLQAKLVFDDHIRCMAGKQRLTKFPRKH >PPA01752 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:132890:137209:-1 gene:PPA01752 transcript:PPA01752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mett-10 MHERNPYARPPDFARLCAAHADLRPHCKLVANGKVHLDFADDAAVRALTRALLKQDFALDVDLPSGSLCPRVPQRLNYLLWIEDVIVASGLDADAVRGIDIGTGASCIYALLGARRFGWSFTATEAELSSSEVARQNVARNGLSERVDVVKTTVDGAIIEDVIASSPHPHYTFCMCNPPFFDGDGETGERFVERGEEGKEVGGRKEWENAVVGGKRPAPRSGTVAGENELATEGGECAFVGRMFEESARMRDGVRFYTTMLGKKSSVAVLMGRLARMREEEDEGKEGKRLRFASGELRQGRTQRWLLAWTWDARVRLPLRPASLELVMRECARTEAMQWIRTQLLSLHVAIERDDNDEEVEGGRMECRVATPSWLRRGRKRKKTLATGAKAHCVAAPGPCEAAPPTPDPAPFLPLDFSVSCRQRATYTLSGQARRLSIDESPGADSTTVVVFTVGDGQRKRDLAQLVVHLSNRRSVGK >PPA01782 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:364899:367294:-1 gene:PPA01782 transcript:PPA01782 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPMDDRTNPATLAALWNAAAAAAAAQQQARLQQLQQQPVQPVPIIHEGLLTTAPALQLQQHLQQHHHNALQRQLSAMPSSTNGGVIPPYLLEARRYSEPAPIPGHLSAINAARRKSRDGQVTYLWEFLLRLLQDKEYCPKYIKWIDHGKISSSLKAQAVQGVFKLVDSKAVSRLWGMHKNKPGMNYETMGRALRYYYQRGILQKVDGQRLVYQFMDVPKEALYSPGSPLFDSASDSMSDDAPPTPPTVSNLTVSTPSLASALFGQGLCNR >PPA01727 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig105:44292:48409:-1 gene:PPA01727 transcript:PPA01727 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCEISMERWLDVALNPIGSLALVERFDENTASLLRTFNILSQKVVDLIISPYGFRIVESFLTVDEVYVRLAESLSEKWNGFEKVLKTEKGRYRDWWFKNVEALTILIKAITFSDIDIAKDFIFHEQMVVDLCDTPEGLYCVLKPGWKNALADLIVFKSQSVAGCEALSQAILYGDYLVNEVIELFKKACDSNFTPPQRKRLEDCMPTTRVRSVVDDCITTSTANVSDSMNTPDGHSKKRRRESHVTSKSSAKKPREEVAKPHSSASKTTVLAPSTVSIPSDIPTVSTVARRPMVTAPSTIRTVSTQSTMASAAATVPLPPTVLPPHTVTTQSTIASSATVPIPSTTPSVKPRPSPTVSPTIPPVAGLKTMSRIDPGMDVINMAMSEKYRVLLSKLAKGTLLLRSTVFNCIRNSLLALMMHAKGSEVVKWFIVNGTSNQKEEIERKVEENLEDLVTNGGYGRAVALLSIDNCADSEKKKELIEKSVKAMESPRDPCPSTLDIDCDEVEIIETTIDKMPAAKSTVAKIPQPAVNSAIGEPVNQPIDKQSDERNTVAKKIDMQPDQGDTVAEKIDMQPDQGDTVAKASAGRR >PPA01815 pep:known supercontig:P_pacificus-5.0:Ppa_Contig105:547629:549337:1 gene:PPA01815 transcript:PPA01815 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVKKEERSDICRDFLKNICNRGNRCKFFHPSDSPAGNDKEQENRGCHRDNCRFVHVPREEADRYKATKEFNIVLARAVAAVSTSDTINGIPVCKEFQTGKCSRGTARCRYWHVNMEEERERRRRGLPPMGAGPPQGMGGPGPRGGPGGMGRGGPPPMGGPFGPGPGFGGPPFGGPGPMRRPMPADEMGGFGDVKRPRMGGEMEMRMAEMETRIADLTKENDALKREVQREHERYEDLYALFRQQAQGGQGAMGVVGGGAALNPMQQQPQQQQQQQVPQMQHAMQQQQQQRKSCRFGNTRADRRRILCKHRCEPQKGDDD >PPA01808 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig105:493935:495966:-1 gene:PPA01808 transcript:PPA01808 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCFDWTPDWRAAMLAACNVGFNTILTSTPTYRNRPCSGQQPTVGTAVETLREMADHAVTMPRPIEIMAGSGLDETSLRALLSRTSIQWFHGSASTPLSQAATHAPPFPMGPQDQELRKSADRNVVRLLHTIINERRQGN >PPA01816 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1051:525:1181:1 gene:PPA01816 transcript:PPA01816 gene_biotype:protein_coding transcript_biotype:protein_coding SPLFECCTVLRCEVHIRKNCKDALSDEGDSVASFDEEDDETTVNVVVESSVKPANLGNEMVEAASSTEKYDLGDFVTPSVLPPKTNLHHNDN >PPA01818 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1056:670:1074:1 gene:PPA01818 transcript:PPA01818 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIAAPPSARVVNCGVVGRFDFNSDHRLVRMCLSLPDKVKHKRCRERRDLDRSAFTVNANLLASVPLVRPNTAADAYLTIRAFTETAAADCWRVRRTPPWISPATRNLLQSRSQLQSNPQAAVHTPSPGKLPDR >PPA01820 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1058:593:1089:1 gene:PPA01820 transcript:PPA01820 gene_biotype:protein_coding transcript_biotype:protein_coding EISANASYVFINRDPQIDFPTLTTHRIVDVGGMTMTTTNKTLHEDWSSIFDLRSKTVMISFGTIGNSADMPSHFKQSLLQTFQAFPDVTFVWKYEKPAHNISRSIDNLIEAQWLPQKEMLG >PPA01838 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:89021:89899:-1 gene:PPA01838 transcript:PPA01838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-glb-32 MRRRTVLIAGSHLKKASCQSGLTPEQKRILETSWVKATPKQIRKATEDVFASIINHDRSLAVMFRLDDVPINRIRENQAFKKHAANFALVLDLVIKNIPDNVDSCCQALQALGGQHVSLRDRGFDSIYWDVFTDCFENNPPATFKTDIDREAWSAMILFILAQMKLGFRQVDRKPDRLSVTALYQ >PPA01854 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig106:134490:134872:1 gene:PPA01854 transcript:PPA01854 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLELFGMWLGIISMGFMILPMAQVKEWRARGSTDGFSSVHLILPVLM >PPA01844 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig106:107125:108320:1 gene:PPA01844 transcript:PPA01844 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSAKPSSRKGKKEKDGKEKEGKDSVKDKENTATEVSKEATLGTKREGKTEAEGKTEVTASEAEPRADPTPNNWQQNTKLSEKSAKDPEKEKEPNSKTDVGEGKTEVSMKSKEPMSQTEEAKTEIDTKKKEEKSNPSKEEKSNPPPSPQPIVIKVEQPKPQPTSSDPPKSDPPPTPKKKKCCPLWCC >PPA01912 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:411851:413430:-1 gene:PPA01912 transcript:PPA01912 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFEKNLDKKKEKTVKISTTLEDGDQIFIEPITASSSSLKKVIEKERKGPRIFASKSLSGEAEDDKEILIELTTELPTTKEIESITTMMSSTLPSSTMTANSLSTTVMEEEPSSSIPSTMTSLSSSTIPTWKEEEESSDVASTLTTISSTADTESTMKMTASTDTEISSTSSMTESASSTSSTKQMTSSTSKTTTMESTPHSESTTRAERMMVEKKMEKQVIMKVVVEKKNDMNLHRSDIRSECRPHMLARSEFCMRPLLKRWMGMREGRHEIANTTFPLFSLSSFEILELCDDYANLFLCAGLHTIRMCMDDHRIRYFRDLLGYSCSPQNIARTNVLVSSSIDNVWQKNQHQSVERPH >PPA01872 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:206838:207481:1 gene:PPA01872 transcript:PPA01872 gene_biotype:protein_coding transcript_biotype:protein_coding MIIAAIAGGFILIIVVILLVVFIVILPRRRKKKAYKRREAQVLEVLPKLKLTRTIEEPEVHSVERITQWSDREKEKEKASAKNEDKNSKQLGLPPMAKTSKESTEPSKEKTTPTPSKKEGKSTKSSKDSNKDPNKEKSIEKDKSVDTLDFVDSPK >PPA01884 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:252012:261454:-1 gene:PPA01884 transcript:PPA01884 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nid-1 MRFLPLFVSSFFVATVNGRARLFDFGKRAGDQLLESSLSAVTLEHPVVYMERNEDQIFISNKGIVTFQELDTEEYFPLDKLNRSAIAVLYSSVSEGKTYYRSTTTDRLLLTRLTDSIHHEFADTNHFNALQATVVTWEGQQGKERQGQNTFQLVLTTDGIQSYAFLLYAKIAWVKSKGHFAQSGFTHFDGRFQRTINSGTEEIKELADMTNMGQDGSYLFRISGVSIEDPRYGDDYAEYEDEESSEQSKAHNCPPNPYPSGECPSDCQQLTDDQGCTLCVCSSPDAERRRRPPVESVVPPVEQHHRREEIHPVRAPVEQPLYSAPLPSSSLCSGSICHAEASCNGQCCECRNNHFGNGVECLKREDPQRITGGFEGLAWNGKEFKKCNQSIPDGKVYTAVSKVPDTLGSSFLLLTPIGSLMGWLFAEAPEGAKNGFDITGGLFNRTANVHYDDHYAVTINQQFAGRDIYHYFKSTTSIHGHIPVLANDARVSFDNYEAKFTREEPGFIRSLATVHATVSERGTDRRMKMVVDEQIHYKECAQLAASLPSSIRIRYENIHVKYDKEDGVVRFASQSVVDTIPRRPGQTFDTTMRRQHGETQYQPPAGAPVPAPTHAANTDPCAEGAHLCTLPNMFCRAAEQKYRCECERGYTAKAEKSSPMGWICADLDECARGDHDCDPYALCINLPEDEVTSPPPLPDSALPAGHCIRHDQCHQWGECVWAAQGQTVGVCRCRGWYVGDGVTHCGPPEEMPSHHQSVANPETAGEEDEGRRRALLGHQPSHGGVRPCGSYICSEHAECMPSPDGGSECVCRSGFAGNGIYCESLSGDEEGEDTAPQLATLSKVCRVHEDCHEHASCAYSVVSGIWKCECTPPYKGDGISCAAFDQELTPVHGGHGVTARPGCDVTNDCSEHADCVFERTPEGQDQFKCVCRSSFYGDGWRCTQIDLGGANLPLPPVRNPGCDTLRNCHAEAQCVFDSHNDRYACECRPGYAGDGLNCVKTAENPTKENWDEEEEEHRPSCVDASDCHGNGHCVIDDATGGYVCECLPGFKGDGKDMCTFADQCNPTDTHTCTQNAECVYGERERAYVCKCVQGFTGDGISCLPHAPVSTCRENPRLCHANANCVQDRERNTYVCICKPGAVGDGYNQCTPVERPRCGNCSVHAHCANNEQTGAWSCKCNNGYQGNGHVCAPFTTCLEDRSLCHKNAECVPGEGGHYVCNCLYGYHGNGRSCAPDSDRADNELLIARGMAIYHRGVQADVPGKQLVIVPHQIAVGIDFDCADERIVWSDITGHVIRSSSLNGTETKAHYADILESPEGVAVDWSSRNVYYADSSRDEIGVVSLDGKYQKSLLNEGLFNPRALAIDVQNKHLYYTDWHRVHPVIGRMDLNGKNVIQFVNNDIHLPNGLVLLHQRRELCWVDAGAHTLSCINLDGTGRRTVFTDLQYPFGLTAINEQRLYWTDWKDNRVHSVSVYGEAYESFPISIGGSGKVYGILNVPKHCQGAPTSCSVNNGGCKYLCLPSAEGAHCACPDNKKGLKDC >PPA01866 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig106:170233:171518:1 gene:PPA01866 transcript:PPA01866 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNESPSRISRDERGKPRDIRNEIKFNVSHQGDLVVLAATTDTTVSDIGVDVMCIDQDKEEAATRILRLKDAFTEYERRTMLAKNGNEERWRSFYRHWCLKEAVLKSTGKGISEDLKKLEFHLKEEVEQNTVVYSTEYYQDGEYQKNFIFEESNIGSDHVAAVGKIVNKRFDRSPLNPPPLFKLVDLPFLLESSCNQCEDINDADEFDLFMAKPWKPII >PPA01880 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:245602:247537:1 gene:PPA01880 transcript:PPA01880 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDSSDPFFDWYHSPLSFEDWLAGGSLTVLCLIFIPLTALVAYIMYKADKEIIGYRYLVSSAFADILCMVQYGGFNGIAILAKNPLADKMNGRSAMQFYIDWVWFAMCLHYPLVAWSRFAAIKTPIWFCRQERWHSYVICLLPYLLALILVCSTHWSSFYVRFYFEPAVYGMLADDFVKWTIGLIVRDRNASGVQGVSKGQMSVEKRLMYPCILGNLIFVLGQVAITIGTGTGKWATWTICVLFFINSAANCLLLLLFSPNLRASVLQGGKSVKIFERTTVSVIRI >PPA01824 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:19599:22198:-1 gene:PPA01824 transcript:PPA01824 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTNSLSRRSSRFVTASVTIRHGIGKCCGVVSKCGFSWTHRPFCDQYKRISNRDDHVKNSAEKGVDTICDNSKTVFDEDNHYGVDNMEYVEITVADIVKRKEKEWKQNKKDKEIEAKKKRMVKNEGESDNWYDDFFNHEEWLNTEPFTPFIWPLTEVALGHLSLSSHHNGRVWAAQIFPALIYVVKETYELRTKLWSLYWKAMRELMMCAVEGKCLKETAIITRSITLCIEHLKGVPRFDREEIKDIVKREQEAQKMRRKSREEEMKGVKKRERRKLKRI >PPA01842 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig106:99500:102000:1 gene:PPA01842 transcript:PPA01842 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMGGTERDGGRELNVKPTLSTVAWRKMEQTGKKKNLTVLTLVLLRTLRQ >PPA01867 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:171813:177217:-1 gene:PPA01867 transcript:PPA01867 gene_biotype:protein_coding transcript_biotype:protein_coding MYQQTSRKTRYLSSEEWELCLEAERQAQRRDRSPTWLTVKMTSDSREASAPVEERAVDDDRNTTRKRERDEPRENKSPSPPAKQARKDEKEKDKEKDRKKDDKDRKSSKKDKKDKDDKERGKEKDKDAKRRSEKDKDRKDKDRKRRSRSRSTERKDKKRDRKDKEEHANGDVMEEGEVKEEAPPKILTEREKARLVIMEEMRNREEAEKSVAAVGDDPVWCNTKAQEQQPSRHCPYLDTIDRTVLDFDFEKLCSISLSHLNVYACMVCGKYFQGRGTNTHAYTHSLDTDHRVFLNLQTLKFFCLPDNYEVVDPSLDDIKYVLKPHFSDQLVKSLNGWSRAVRAYDDSTYYPGVVGLNNIKENDYENVILHAFSHVPPLRDYFLKEENYASIKRPPGDKLQELTRRFGELIRKLWNTKAFKAHVSPHEMLQAIVLCSEKKFQFTKQGDAAEFMNFLLNTLHQALNGTAKTNSSIVYKTFRGRMRVFTRKVPPVDASEDQRRMLMQLPEYQEEMKEQPFLFLSLDLPAAPLYRDELMQNIIPQVPLHVLLQKFNGSTEKEYKTYNENFLKRFELLSLPQYLVISYKRFHKNQWFVEKNPTIVNFPISNVDLYECVAEDKKGSLKYTTYDLLANIIHDGPPEAGGRYRTQILHAGTNKWFEMEDLHVKDILPQMITLAESYIQIWKLNTEKTREQRMEEIAPESELNIIAN >PPA01893 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:300551:301302:-1 gene:PPA01893 transcript:PPA01893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cpz-1 MADRINIKRKNKWPGALLSVQQVVDCSGAGTCAAGGEPGAVYKYAHEHGIPHETCNNYQAKDQKCNGYNQCGSCWPGNCFSISNYTLYKAKQYGKVNGLKKMKAEIFHNGPIACGIAATKAFEDYNGGIYKEVTDEDIDHIVSIVGWGYDHDSGISYWVGRNSWGTPWGEQGWFKLVTSEYKNGGSKYNLKIEEDCVWADPDITNL >PPA01903 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:347994:351764:1 gene:PPA01903 transcript:PPA01903 gene_biotype:protein_coding transcript_biotype:protein_coding MCELGTIPLHGAESLAEAIAVFSSYDSIHPYISVFLCVTAVAICDIIVMSSVFVFVVHFQLIALKRCDPSDFTLNWALFMYVHAQTSVIFHATSIWLTVLLAQIRVLTIRKATKLPTVVVMTTDLLSSEALSVRATALISFITLVVVVAIANYTASEFLSCVQQSENDLPVFVAVPSADCDLYQLALWTNGLFFKVVPCAMLTVSIIALLKIISDVAHRRRSLAQLMNKKKVPRDHTTPMLVAVLSIFLLAEFPQGVLHIGKVVFTHDTFHQQIYQPLGNVMDLLSLVNSAVNFIIYCAMSRNKLQYGADFDMERSQRPSAITDYTKTEQLALTSQRPSTSSSLHPSQAAFLSRLHSGYTDGGVSSATSGGRSSFLQVPCGASSSARMSFDDRNVHSERSSSLAIHELSLRIPELRETRATWKWREIIPVFRPFRSLRNFVIRVRHRSSLVPNITLNSFKQS >PPA01865 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:165930:169063:1 gene:PPA01865 transcript:PPA01865 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVQREVDRNQEVDRDHHREEREEEVEVHGISVVAVHPVHLLVPPLDRDHPDHHLVRPHMILETEREDRDDLDLHPEQLMGFPRTELSDSVAASEDSEDAREKRAIKRQIKEKEMAYAARLREWEGREKKMLDVHKEAKKLKAFLEDYDDEKDDPKYYKYERRENYSFNREKSSSLFARRRDFEREKGADERDRLAEQAEIEELKRQIVEESKVAKVKEEEMETKDVEEEARRRHEQKEAAARAKHQRDGSGSPNPHQPLGQRRRANGDGGSSSSESGSEDDEERAEDENDVVKEGQKWSTFGAADSPAVSGVTSSPMGGASASPATGGASASVTRSPLITSAPIRINTLKQTAASVFGGDEDDDDGGMMARKKLKPFEITQEERIKTMTAGEIKKLQKEIADTIPADKEALFAFEVKKEHLTKGDAMGRVKSWVAKKIKEYIGEEDQSLIQFICEKVTSGASPAKIQDDLAMIIDNEAAAFTIKLWRLVIYETECASRGLPQATASSR >PPA01898 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:320536:321702:-1 gene:PPA01898 transcript:PPA01898 gene_biotype:protein_coding transcript_biotype:protein_coding MDMQWENNLKLANCTFRDPKVDRNPWGFCNLPQGNGTLSFLIIGNSYAANHASQECEPLIVTTSPYCSGAVKTQQAFLKDIDTYKPDVLFLSSRYIEPNVPLGTTDVKNDQLYIDMMNKLKKYEEKVKKVFILQSFPRPANLQKIEDIRIAANQTIEGFMEEAIEADSIPMRKRVEELAKNCEKCVIYDVMQLHMDKGRFMVANPVSHLHYFEALRHHTPIGLKLVEPLYHKLSKNFDDLMNSKWPNNVVKVD >PPA01833 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig106:71703:72826:-1 gene:PPA01833 transcript:PPA01833 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMSSFILHIVHAAVGFLATALSFVAVFTPGWSTNNVNVITRNQAGYDRDVYGTIGLGILPFTCALPGQAQYNMIFNWNNCGEWFDNLPWTGKLVVACMFIGLLLQIIAFVWNHFVHALPIFSIVAVIFLAVSLAFWGFSFGQFLGVKEPITGVTTLTVDAYLGYSFWLALGALVLNIVNAILATVVIGRIKKEIYATQIVIGDDFCAPSSMEQSNVEQNIEQGTDI >PPA01839 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig106:90391:90654:-1 gene:PPA01839 transcript:PPA01839 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQSSTGVELTTNEKVERLFRLNKRNARSRSLDTRQESASTKSPRAVGGSQSAK >PPA01930 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:511312:513949:1 gene:PPA01930 transcript:PPA01930 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSLLGADSLLGRHLISLLFSSQSPLLHLPDHIRLWSREEMEERLALDSLPSSSLVFLHGLDRLEEAIEGAEIVINCHEYVDWSILPNKERLRRENIDVPLRLLSIISPTARLIHLSSTFVQSWARWPNIFGKEQRAADYESGWPFKARPVTDIIKMSSLFSSTIPFIGDGCGCTQFSYAGNVAAGVLRAISHCPTKEEDESDLGRIVIIGDETPINNTYTTVLPLVQNGKLRISSLKIPFFLLFIPYFIFSFFIRLFSKFFDIPATITKLPDPWVMYFFFHHWTFFSSFKARFFLNHIDLFTKEDALIRSSAYYRKLNPSDIVQCKVVLLEFS >PPA01890 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:288840:290852:-1 gene:PPA01890 transcript:PPA01890 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASTKRKVTHTLTSGYSDHVRVPNVIVMVGLPARGKTYISKKLCRYLNWAGIKTRVFNVGEYRRKEENACDANHGANANFFSADNQDALRVREESARRAMEDLCEYLETSKGTVAILDATNTTKKRRKMVIDFCDEKGFRCFFIESVCDDPNIINANVTDVKVSEGKEENGRKERNIVEQAKDDFMKRIDNYKKQYEPLDMDNETYLSFIKVINAGRSFYVNRVNGHAQSRVIYYLMNIHLLPRVVYFTRHGESEYNAMGRMGGDSPLTPHGDAYAHALEQFFKGERVQDLRVWSSQKIRAVQTAKGLKGIAAHVEYWKALDELDAGICEGLTYADIENRYPKQTEDRKKDKYHYRFPSGESYEDVVARLEPVIMELERQGNVLVVSHQAVLRCILAYFTDRTMSEVPYIDVPLHTLIKLVPRAYSCHLTFYTFDVTRRVWTAREGSLNLCESPQSISPPNTPARTP >PPA01864 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:163433:164472:1 gene:PPA01864 transcript:PPA01864 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGGPPQPLIGSMMRPTHGGGPANQVTTVFVGNISEKADNEFVKQMLEMCGKVVAWKRMAGVSGKLQGFGFCDFEEPEDTLRALRVLNDFQLGDKKLIVKVEQKHRDQLKAFAEKEAGQPAKPNSEELPASDKALRKDENVRLKILEAIEKDYPDLVKFEDGELEEKEKDAKLKEAKKEGEESKVLSSSLSFFLLFILHSVMYIIPMDRSFSV >PPA01873 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig106:208450:210368:1 gene:PPA01873 transcript:PPA01873 gene_biotype:protein_coding transcript_biotype:protein_coding MMVCVFIVEGDALKTLPSVVKFMYKEKDKSKPEVFNGKDSLAIPTKSCLISRRKSEDFKIMSKKLVIPESKPNAVKVTDITCTANKYKYKSVAGESGTAKECPSDKWFINEEFVKQSSGRFIHVSYLVCNGESGRWKGPKTSEEVEDGASAYCERNCEALSPLKDDCIDSKKCSNIFRNDQEITCPAAYSLRVESSQKIISPEKLTCNIANGRWKTTDNIEIEKGAKAYCEASSLTKSPSVFISLLPSIAVSSIVIIAVITAMAGLFLYMRKKNTKEAMGAVEVKKGGAISSMMAPPTTDIVR >PPA01907 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:376980:378722:1 gene:PPA01907 transcript:PPA01907 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTCQDGLEGTHRPVLMALLAIVAFLLPTIAIVFFYVKIMLRLKRQMSAHIQSRIPYRRITLYTCLITSFFLICHIPFWSTQILGVVAAITGWAPFSVGSDLRYIMMHASHMLPFISATFNWLIYALLNSQFKKGFVLVTERMLRKQTRSMLPTSRDLCDGGMTLDVASRCDDVVALCPNCEHPLTLRMKAPHSQYSK >PPA01914 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:418249:420611:1 gene:PPA01914 transcript:PPA01914 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPLFSLLLLIPLSSAYVYPCLDACECDTTDEVIHCHNGERKTLALPDEGRLRGFHAIGMTFNKVEKLPSEDEIIDKFPDVLAIDVERNPDFDCSSLLEFTKVKVISDCYKNISDISQIPEMLRPSKDCDFECTAKKHYNDLHKYVMKLWEVIKEKYRTFDKDQALEQIKVWMADVVKTFFIETVQSINRKIDKSLDEFHPRNQQKTITAVSPAPTLEMVTREFLRFLNRHRRQLGGGGEWKASAGIVKFSSNDLDK >PPA01855 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:135163:136220:1 gene:PPA01855 transcript:PPA01855 gene_biotype:protein_coding transcript_biotype:protein_coding MGCWLRHGFMTADNMNIIINSMGVVSSAFYIAVFAVYTKDKSYLYTQLGALGAVFLAIFAYVGTLSAEEAPDAMGKIAAVAQNAGIVGGIYQIKTVIETKTTEYMPASMQFGILFIVAQWTLFGVLSGNMYMAAANIPGLIMSFISISLYVIYPPITWRVPILGTQQAPTKKSD >PPA01913 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig106:413685:416912:-1 gene:PPA01913 transcript:PPA01913 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSQSIPSHVIENVEFFCGPTSQVALDALPCISGSLLKSRHCSSSLLGIMPSSAHEKKCASLVSLFDCSRDKMDAECGEGTLITLAASINAFGCTIKEYIQEELKKMRLGDEDLQEGSAYDDIIEGTPILFEDDQSLQTVKETRSINVSLIPHTVGANLTGIPIHASQYSSPEWMSMGNDSDPFLPPSSSSSSSSSLSSLSNSSHFPTIECSIVQEEFLKDCYSELADRTSDWAAPLPIHIFSLSTAEIRDLCEDYRRTTENCLGPHFDLNCTGSLTVNTMDNRIGTMCTASLSQSFTEDFECLRRVTTLQGCESVSSPLPSGDCSSSPEFLCYSRRSLVECSPDAFDLFISTVFTLGCHKLMSTFNGDY >PPA01853 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:131681:133395:1 gene:PPA01853 transcript:PPA01853 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSAYATFVAIYSALFMFFPLMIVRQWVKRKSAEGFSIMTFLLVNFMLACWVKFSILSGDSRALYSYSFGLTMMSLYTVAFGFYTNNKKVFIIQISILLGLLAVIFSYVDGLPDDVSRVATMGKIAAISQTAMIGGPFFQMKEVIAKGTSEYLSFGFIILSLTMVGNRFLLGLLQGNMTIALGYFPGLAMNLGTLSMFYFYPPLTWRVPIIGTGPTQKKNE >PPA01850 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:121597:123094:-1 gene:PPA01850 transcript:PPA01850 gene_biotype:protein_coding transcript_biotype:protein_coding MHFILATVSLLALASGVASQCTGNDHPSCGSWKNNGYCTNTGNTMDTRKKYCGVACGFCNLDGSQTAAGGGSTLTDCVDANANCAAWVASNNFCARPDYSNSMKLLYCCKTCRPVVFGTTTTTAAGATDATTEATTTV >PPA01874 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:212677:214753:-1 gene:PPA01874 transcript:PPA01874 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFRNAVESLHTFLMNKDNLTVNVHLPTLIGAAGATLVGYVVVRYCWELIPVGGTKQKAIFITGCDSGFGRALSLKCAKAGFTVFSGCLTQEGCDSLSKEASSLSLYPVPLDVTKDESVTDARKIVGEKLGDKQLWAVVNNAGIFSCYGLAEWCSSDEYKLSFEVNLLGAAFLDLLKRSRGRVISVSSVAGRISTAGAAPYSVAKYGVEAWNDAIRRELRRFGITVHLLEPGIFKSTNLLDQKAHDDRVWSVWKKMSSQLREEYGEDYRQELVDKWNAALNRIGSSNINHVVDNYYHALTAYFPRLSLSV >PPA01937 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig106:564691:565364:-1 gene:PPA01937 transcript:PPA01937 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAEGFRPSLLVFISILSGLVVTPPISSGSKLLQLPRDNMARVAPNRWDKSKSEPSA >PPA01905 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:363328:364661:-1 gene:PPA01905 transcript:PPA01905 gene_biotype:protein_coding transcript_biotype:protein_coding MIVERKIVDPNSVESAVNEPPSTDYLTVVMISDTHCNLDLIPYGDILIHAGDITTYGDAPELIKFNDELGRLSHPHKIVIAGNHELGFDPEEDQSMRKDKYQGQGTADGWKLLTNCTFLNDSSTTIDGVTFYGSSWHPLEVGVDVLITHSPPIGHLDLYPPLERWGCRYLLEKVEQLRPLLHVFGHVHHCYGAVKNEHTIFVNAASEKSSKDGFNHPLIAYIPKKQQ >PPA01921 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:452610:455959:-1 gene:PPA01921 transcript:PPA01921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ccz-1 MHSSPMYFIGPGQTTGGLRGCQNRLTDIMDCFFIAHPKSGGKEGEESNRIMYYYPKTDSIDKQTEITGFAEAVVNFTDNFVSVPTADKSKLTEEIPFRDVVTAKTVHVYIMVEEAQFMIGLIMNKKAAQDMDYTLFSPSLRAILIKAHTMFKLFFGNFTEFHKNDVTQFKDRIEYFFSRYLSVLRVYNMPLLDYFSGVIFLRLEDSALFCDIDAFTTELTEQIPAVDKLIFLYQESLLYYTVPKKDLPALFHYLSHNLLPMSLRTELEPSSVLSRPTGHQGKFVTGPREYSTDEPIDGKDALPTVAYRSLNATVCMFVKGDVTVSRHLMRDIEGCLGTELPHLASSIAEATNKHQSEGTRSDMDFHYIYFNPSSLSLRTTFLDVCTAGGPPPIPPNIHKVACTSFEKLMDEKEEFGECCVKSESDWWIFLKKANGRSLILFLPPSSVNAFTEIHIKKLPQVAISVISK >PPA01826 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:32244:43140:1 gene:PPA01826 transcript:PPA01826 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSEPTTPNANRSRRPSEKLDYGIDWIEAFKMAPPRQRHSTLADEIDGRLSAMDQEEKERELMNETLNKIDEVSKGKMDTEKRSELLFDSSITLKDIFGQSAKSDDVVFNASISLDDVFAGIKTASAQKKSSTADKYYYHDDDDDDEEVVPPEVAALYSSRPSTIPPPSSSSLPHSSSVNIDDVFAALDRRDRKTVNRPNLDDYYADPPSEVFTDSAVSSRPQTDSKTTDRERGEEEEGEGGSETSSGVSHGKVTPPREDNWLTSLVEKRRSVEMTLPRGGEGDLSSDNEPSPPLDHSQLRPSLTPKQTRKESYLHVGELFAGSSLSTAERVEKIEEEKADFEWLNRLADRSTEEILDAVFACSAKEGKDGGKKCGCQACDPTRSIYLEREAATASEERKKSLHLVNPVEITLEEVFEGAKSPREIAFYQSGSRDRVEERTVSSLPPVDIDLEVVFSTPVHSPSSRGIDSEKWQPRTLVKEIKQSVVPQSRKRSADHEPPLAPSEIDLGQVFEGSSRVSSTPQTELNVDLIFASSSSTTTTSRVNLDKFTPKTLERNYKEPLPVTASYPVIITDPIDMEAVFNPSKTTITDSALISTSTYPVLLKDSEPKAIPELTIINSSVPVIIAEEIVSEAMEEGLRSPKLEKTDEHGMISKSSFPVFLGPDSLGTESVKFGLNSRSASPEPSSVDLDQVFSGVSSEHKPRIDLSKYENRTLERRKEQTPVMITNTSFPVILADPLDMEQVFNPSSQVKEDLHFGTITNSSVPVLIAEEIVSEAIDEALRSPKLENTVDQGMISNSSFPVFLVEKKQDELIPQGVKFGLNSRSASPEPSSVDLDQVFSGVSSEHKSRIDLSKYEKRTLERRKEQAQKPVMITNSSVPVIITDPLDMDQVFNPSTSQTKDDLPTISITNSSVPVLVAEGIVSEAIGEALRSPKLEKTDDQGMISNSSFPVFFVDKKPGVDNVKFALNSRSASPEPSSVDLDQVFSGVSSASKPRIDLSKYENRTLERRKEPAQKTVMITNSSVPVIIADPLNMNLVFNPSLTPLKKDESSVPSVVAESIVQKALDQALPRPQKLEESPIDLDQVFTSTSSTSAPRVDLSKFEVRSLERRRDTPRPVMTPPSIHSTVAVDPLDIDLVFAPTTSAKKDDVIEEEEVTTSPRSSPIPPQFDAVDVVSDAIAEVIVADAIDEAMRSPAMINSSSTFSFVFSSEEKAGSFAAIDSASSLDTIPEHPVYPRTITHIRSSAPDFIARGEDVNDDSPLKVVEEEDEDEEDEETPLPVFARSRNPAYGMASDRPFVSQSEDAPSHFIDPISIVTDLSPSPSVKKIISPEPSKERIPEASIDLEEVFASPMSPSTSTTSRLDLDKFVARTLERRHEPARIVTPVQETRGTRHSDLPPSSISVDDIFSPQPPPVVVVAPEKPPRYPQQSESAVTDPMDDPAAEVDLDSVFDASFGSETKDTSRFAQRSVDHVLTAEFNDRKEAAVSTLSTLPSSAIGDELIIPAPIPPPRRSPRLSNSTEDTPAPPPRHKLISLSSFPVLLTESSSNDDTPMPPTRNRDASPVAPGVLIEPTATPPNSLSASPVRSHRRTDSMSLPRSRNESFNRLSESITEREEPVLTVEAVFSSSSSDITRPSVTVREDGTDTTVDIILPPPRAPPRMNFPPPPPVEEIEYEMKTEDEESLEMKSPTIEEDVKEARLMASPDFPPLSVVTAPVEIDMDAVFESKILTPSRFDTDRWQPRTLEREKKRMVEEIVEKREKSDLPESTVDIDEVFSGRLTTTNVEYVTSPTRFTLKKSEEKKERWEDDLAKEIDEYEQRRNRLGQETDGSVRSTISRGSSIPFSSTPEGTWRNESMRSAQEPVVALIEKEKRRKASSASEKSGKSARRKGSKGSYKVEHVLEPQSDGLVELRSLPESGAQAEAREAKRNGKKTASSIEDSEEEKGVRIQVHTIPAPLSISMDSIDDIERSIDDAFDEALSPRGRVIEIHRIPSSSIVRAEVHRHDEHESETYPHPEYSTETLEGRGGVYRSVTSLPSTSDTTKIVFPLTSPMEEQRYVTSASIRLEKNLPTPETTMERNRSHHASPSDIDLRWISAPPPEVKVTMRKRSRSEGPLGFLEDVVIHGQDSEDIRNYRMSWHPSSVRTELEDRGYRGLDEKIHGALTTTVRVAGYSSPKSGRSREARERRKKIIRKSRTSISSSTSTGGSSRPDEEELLEVEVTKRHIILRGSYNLVVSKDEPLGLLLLSLSDGQPIVPAALDFSVLPKLRRLLRECMTRRARRSQHYD >PPA01852 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:128902:130702:1 gene:PPA01852 transcript:PPA01852 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFSIFAAWLAIFSISFTFLPILQILDWKARGTADGFSSVNFVLPVLTIACWLRHGYMTDDVNNKLINSVNLAAFTVYIFCFAYFQPKYLYIQLLSLFAVLYATFAYVDSVDSSLAADTMGGIAAAMQIVSLAGGIYDIKRAISMGTTEYIPASMQFGIFFLTGQWALFGYLAPNPYIMVANLAGLAVNIVTIALYFIYPPITWRVPLIGTQQEHKKKN >PPA01934 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig106:528670:537690:1 gene:PPA01934 transcript:PPA01934 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRAASENSAIPMTAPSTMQKKKERAEKIKEAREKYSKLSRSKLPPSTATVPLDRPTTSRLRPPSVSALPPPLFQCDPSGAASLRAGPLPSTAMTGRSSTVPRPSLAPRSSMAPSMTTRPIVRPSVPRPSMLPRGSVAPFVGLPFGSNFNLSSSSSSSIIRPSSSNVSSIQFEPMGESLNRIMRNEPLPMASGARASSIARRGPRPSMMPGAINIFTATGNERLEAERLLGTMTPRLRNRGDESVARSRVRFDMVESIQEQRESVGDDVDEGPTSTAVVEPSIRTTQQSSLLHDPLSLLSSIPSLPSITRPLLPLSVDPPRSILKQKKSSRGVVSTSSSSSTTIISASSSTTVLGTSNVDITGTRDENERGTPSRGRLTPSRNGSTKKNKKKHEGMMDVFAILNRMTEEETRDTPHEMIKACMDMLKKMDRQKIEEKRLEEEDTEDEEEAVMNRGILEENEEVDDFHETIPLQPLEVTIDGTPRRRSSVSPQRPLQSLRDPLDRLEIIDTVNGDEKLIQRGEFHGVAATTGGKRVPSMQSPELALSRLHDNLHRNYRVNPESVMIDLVLKLENGDGRALSSIQLEGRRAIAKRLWTQIVDRGLPISIESMNAYLKVLLENENKWNPVDKLKKIEEDHGLVPDQETFRLLLQKLSLKGEIKECSKMCYEMARHGQSPSDDVSHSHLVYANAVRGYDHKADSMIEQASTKFGSEGEALSLGAACVAAASGGNIDRLRAVLRRSVDENLRLRLPIESVFEIIWALSQKSGDNDKNYQSVMEEIERHISSYHFRSSMVLLGETMRVKNSLQRQDRNVFAEQLIGRMCRAMIRSKMEEGSMMEMANRIVTTMHIRSRFIHDELMLSALMLKDCDPYERFDSFSSILPVVDPKRERPHLILPILALTNSVDDRLKFLFRASALGYSNFDELDTGLMAQYLFQPMYRHQSWLANETCRRTPIMDIMTDIIHSYGVSKPCVWRMMNNWWKIKKEEERNIVIEMRMKPHADEIHRWLRSEYSGIFEKRNKEDAPTFVLSKAKLENAIEKGDASTILSLLSSHGWPEDVVLASFAPRILELLLANENNANIMKWLTALSREASCRQELHGQEIATPLDSSHIVKVIRHSAKETPMSTKSLIEMAYELKRLFPRAVFGQDSFLETIPETNKLIAACFIFKNGNQLTMEAVDDIIELMRTLLKLEIIGLSPNAEIVTPFCVLKFFTKLTHSDKFFIRTFPSLCLKYTSLAEMKDGEARNMLTSALKFCEVMRLGPLIVEMCDMFARYGVQPDNKERRRIENTMEEHQKLVNRWIFSPYGFIQANPEQEFIKEDEWKKYSRVL >PPA01843 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig106:105015:105788:1 gene:PPA01843 transcript:PPA01843 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNKDEKTFKLKAANKKKYLNGKGKGQNDRIRSRKKMPLEWVMAELMSTHPEAEIVVLDEKRDHEYEIPDPSSTVNYRTAKCIKSSALKEV >PPA01869 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:190914:198431:1 gene:PPA01869 transcript:PPA01869 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEQLCEYFTQFILFVYSLIFRLITRVKKRSFTPYTDAFLDTISVQPEEWKLEGKQEKDEQIAFDATTIDGETSLFISIHTTGECLETFVHLQSGGRTLICPTTLARMSAGSGSIFLAGPLRLEMREPFRSWRIQYKGLMTYNFVDTNTLDDQLLSRPFCLVKHSRTAKNVLDTSDLTQWGEYHCELSIGGDEKKESRLRGLRTRYRDEVQQEQQFSVYFDHGDRLLWSRRQCEQGSITHGFMARGDQRVVPLTLHNKDSIYGLNYGDKDIINFEQASLPYAVSKISPPITFSYKTATGEVVSVTRLTVRHLKYDGQAIFIQRNAAYTKGPAPTLPPIPEYTAYGVEREMPVLRFSHRACQDSSITGGKAANLARLTSIANGVPRLFYIFPYFRSFTVPRGISVTTTAFKSHLNAHSNIKKLIEKLGNQGNDLDTCLHLGEQIGAGLAASIMSEELKREITDTLLIETGSETRFAVRSSAVGEDGSELSSAGQLESYMEVEINDVPEKVLLCWASNYRKECLSYRKQYGQPINPLMGVVVQRMIVNGVAGVMFTCDPVRGDPSRVVINALKGKGEDVVSGTVTPDTVVLRKMEGTVIEQSEPCCLVEIAQARLLKAGLYLEGLFGGPQDVEFIVNLTTNEINVVQSRDVTGRERESDFELRTEFNSSNLTDHECYTMANVGEVMPEPLTPLFVSSSLKPYDSALMSKNEAAVRCNRHYQISFTVYNNRILFLRMWEQNEKDRISEFALGGQELFTKEMFEMGKQRYERLHQLFPLMRLANIIKIVVHDSYVLSKLAHEKEELCRSLIAGDTPAERLANLEKQRRVHTDMIIAHMGESMFSSFTYVVIAMIVRGADHGDFSPEMLSDIAAIFSSGNEVGAISADVPTALKNLAIALVEDGVNANQLEDEKEGLELIKSGKNSSAHYERFMSMHGHRGPGELDYIAQTWSDHPELLVHTVKGMVATPSALKKGEAHAEIDSVLDSLRSVKVTGAKRWFMKMIVRQSHRAVGLREECKNLLVKGSAHMRANIAALGTQLVDQGFLPEKELVFFFTLPELHHFIKSRAPRFISRAMRRRKIFPSFRGKRYEYFWQGPGHEIAEPTADLLTSSSLSGTTVCEGLVVGRARVAKSIDDARDTQPGEILITTYTDICWSPLFPIVKGLVTEVGGLLSHGAVVAREYGLPSLIAVKFATDVFKTGDLVELNATKGTISKVVEKEDDK >PPA01851 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:124905:125695:-1 gene:PPA01851 transcript:PPA01851 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVIVLATLVAAAAAQCTGSDHPSCASWQRNGYCSNNSPETVKKYCGVTCGFCTRDGFQTALGGGDNLANCVDANANCASWQARNQFCTNPANSNAMKLQYCCATCRPSVLASRTTTAASAKSSTVTGSTTTAPTTTTLP >PPA01832 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:68968:69812:1 gene:PPA01832 transcript:PPA01832 gene_biotype:protein_coding transcript_biotype:protein_coding MNALLLQFFFVLLLSSSVNSALVSAEWSEWVETPDSPCSDTCGYCGVDGMCSDTCGMCGTKVVAVRKCLSKTCQGSAERSEICGETLCLFPRRE >PPA01894 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:302882:307457:-1 gene:PPA01894 transcript:PPA01894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pro-3 MSVVPMPQASGKINKFAMTERHLGFLQEMVRKNPESYKETINLLHLQPVQHRMDVQPLLDSITFLSGVVIFFKEEAKAFGDQMLTVLREQATGLDPHVRMAFCKALIPLRNKNFIEPLTLLELFFELVKLEDKHLRKFILSSISSHLKMICVQKKNLKLAGKIQNFCFAKLKDSRSIVVRCSQLILIDAFRKKYFRDTKTANAISEGCFHKIPKIQVAAIKFFIGSKSDEDGEEELSDDEDENGGEEDKKTLKEVMNAFRYAKKTKKRERDLEKAKKTMNKKKKAKKESRGKECNLLAVQSLYDAQEFGDRLFKMLESTKVEKFEVRLFRIALLARIIGIHRLQTLQFYSYVQRFLQPKQRDVTRILLYAAQACHELVPPDTIESLVKCIAYNFVTDRNSPEAITVGINAIREIFNNCPFAATEDLLRDLSEYKSYKNKNVSMAARAIITLFRQVNPKLLHKRDRGRITAEQAEGEDREYLDFGVPAVREFVPGAECLPEDREENGEMEIDEEEEEKDSDDDGWVDVHHAADVSDEGGEEGVEDEDEDDEDEEGEWETDDEEGEEEEEEDDDDDEEEEGEDEEESEGDEEEEEQGEQTENKKVAVQSKKLKKRQTKSEMKAAIATVPKEKAVKVSEGRILTQEEFKKINQFQIKKALVSQKKIAREIVGTRKRTLDDDRLDEEYEEKRQRRIEGDGLPRLKDIEHFHKKFRRATKAERMESIMEGREGREEYGKGRKKNAPHAGKTNRENAKKKVFNMVKNKTTIIP >PPA01878 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:229984:231762:-1 gene:PPA01878 transcript:PPA01878 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEELEEMLKNNKEITIHLPSEWSDEQRQKAIDGFTAMQNKYHFPIYYSLSQPLYKKEFIDQWLSVELGSRSTVSRECPHCIKPTLRFFGRVELLKHIRKNHIAVFLEMEKDYLNKFWNEKPENEEIHRLLVDCALVDEWTKRN >PPA01904 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:353235:354036:1 gene:PPA01904 transcript:PPA01904 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRTLIISSALFIPIVISLKCYVITPPQPLTNPPAQGTETECPQNTNVCLKTYDRNLNTIQKTCGTYGANCTNQIGTPNQPAQCFNSTTTTSCCCYGDR >PPA01859 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:147543:151027:-1 gene:PPA01859 transcript:PPA01859 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFARRSSSAAMSSNVLGRERDDKENASGSERKQVQLTTTNNYTHKKGGKFSLKNFDIGRPLGKGKFGSVYLARHRDPEYIVALKILFKSQLKSASVEHQLLREIEIQGHLRHPHILRMYTYFWDEKKIYLVLEYAEKGELYKRLQAKGRFDDRTAGTYIYQMADALHYCHSKNVIHRDIKPENILIGSDGELKISDFGWSVHAPSNKRRTMCGTLDYLPPEMINGHSHSKHVDIWALGILCYEFLVGKPPFESEDSTKTYEAVKKLRYVFPHYVTGGARDLITKLLVLEPTQRLPLDKVMEHFWVKGHVDSKKAEMKREANGSGLTDKAQSVVNQLVSAGYGMQTAVDALQKTDFNLEKAFENLLSDPKKEVKTEKKKEEMAEVKKREGEKMKTGTF >PPA01834 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:73639:75489:1 gene:PPA01834 transcript:PPA01834 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPEVPKPASRLANFTPSPSTMIVSKRRKVQFSPEQASSLLSTSLHIHSSYMNQNFITLSKLGEGDFGEVKEVKSKLSSSKFAIKIFKVDKMKIKSGMIYTKTNQDEALVHLKSFNIAHLDVKPQNILRSENGVYKLADFSVTVDLNKVSVSADAPLTTNEWTEMKDDGKLPNRVSAALTGSFGLAGMVQSMISEYSSRPSAKELHPNNHRETTLEESKKENMFLRESIKNMHFRHSLSSSCERKLRENKSPLINLSI >PPA01923 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:465454:467078:1 gene:PPA01923 transcript:PPA01923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hint-3 MSDGVSGCKFCDIAHHKKELHLRESDNCIVIRDIKPKAPQHFLVIPKVHIARPTDLTIADRDLLKEMESLGREYLRRELKEKGEADTVEDMLRVGFHWPPMVRVNHLHLHVIYPIKELSFMSKVLTFKPGKVFKTLPKVMEILENKGNLKDEK >PPA01922 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:456242:464236:1 gene:PPA01922 transcript:PPA01922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rnp-6 MGDNVEVVPEAVPPVQNGGTPSVPAMMPDFVAMPLLQQTGEVFVGAGAKKEAQLVGLGLKKLRTKEKDNVAAARRYCLDLSVKQVMQKQQQSHQQNQQKQAMYSQALSLMGRVYIGSISFEVRESDLKKAFENFGPVKAINMSYDNSTGNHKGFAFLEFEIPEAAVIAQDAMNGLMMGGRNLKVGRPANMPQAQPIIDMIMTEATKYHRVYVASVHPDLSESDLKSVFEAFGEVTKCQLARNNATNKGEHRGFGYIEFSTRQASQEAIAGMNMFDLGGQFLRVGKCITPPEALNYLMPQTSSVLPSAAAMAAASITAKIQATEMLQKPKSQANLVDSAQNSPGQNSPGYVPAAPHQSIGVDPVNGLYITSGLPSGPSPCLALANQTNPIPPHLSAVAATPLAQTMQSAIEPPPPPPPVPMDVGSPQRFQPVPPPPFGGANGSFNGAPVPPPPSLMSMPVPPPFAGSMPPPPPPPNGASAPIPNQSVPEPSVPSRRGGFGGFADSVPPIGGGAPGTAPAPALIDPITGKTMEPPKPKVQKPKKEKRSDMSILDRIKVQTVNMSAKATFGPVDDGFTPKDEEKEGEQLALMGSKQGDVHAMALALLDGNKALVQAMKRKEEKAKEEEEGTAKKKKKIKAPKAQTGPKLNTAAALAAAATAGQMSDAIINTAAASEDASLSSQETISIRGNDARHLLMTKLMRVNRSSVVLLKNMVTPDDIDEFLEGEIREECGKFGNVSEVVIASDPTAGVAKVFVRFNDPTEAEAAKQSLDKRTSQNSSLVDQSLQQSMIK >PPA01895 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:310094:314598:-1 gene:PPA01895 transcript:PPA01895 gene_biotype:protein_coding transcript_biotype:protein_coding MQRADVTKEEVNTDGDRKEFQATLKDGTLLCRLINAIKPGTIKKIMKPMSNFNCMENINQFCTAAAALGVPVEETFQSVDLFDGRDLFSVTVTLRSLGKKLKMSLKMNAVSNRQMMDRFFAKPTPVESKPSSSKEEVVVEQEEIPEEEEQLPPPRTSNLAIIRRIPPQMHSKNLRRFFAEYTEEKKFLCFHFRHRPEAKAEDDSQPGSSKSSRERAKNSTTCCIVQLASAQDRQDFIEDYHGRPWEDEDGRQIPRRCAVFPIKVSIEGGEDKLSETDLKEMIELRPPRDMPQGNVGTPSIFFLEQIRLCRLPASLIGKLGLKSDPTDRFVRDNPAIDNDDGDHGDEDECEEWERHEALHDDVTEHERVKERKYEEEMEVTWEKGGPGLVWEMDKNKWDEMEKGTDMDWMWTDDWDVDYSVYYEGRSAGDVDSRAAVEMREDEERRSGRLVDSVFTKKKGQGMRKRRRSEGDDKGDGNSVEKYSKGVGSKIMQKMGWSSGSGLGKNKQGGVQPVSVHLEDEGQSGRERRGVGYYGERIDRNSFVKRREEHGIASIYDDKYEKEYKRNGQGEILFRRPDKTADNIRLVRSVYTTFALPSNE >PPA01924 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:467679:468163:1 gene:PPA01924 transcript:PPA01924 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLSLALFVLVLFTLSSAFRGASRTFDNNVQLASMQGSATGGFRARRIPSFVIQPMDRERRGPLFEYEFGNY >PPA01897 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:319182:320164:-1 gene:PPA01897 transcript:PPA01897 gene_biotype:protein_coding transcript_biotype:protein_coding MIILFLVISLSIIGDAQAGLGFDANQNIRASSFDLQSISTSTFECTKDNGYDLFFGRIYKSNGEVDYKGVQNIRNAVAAGLKTVDAYMIPCLTSNCPSAERQVYIAINATKGIQFGTLWLDIEELFVSWPADKSFNRQFILDMINSASKMGQSSNFNGFISFGGWEKPKARQVNANLKGPCNVGVIAVSYYE >PPA01931 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:515104:518483:-1 gene:PPA01931 transcript:PPA01931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pbo-5 MFYLLLTPLLLFALSIECTQLPENDKRPKVQLITKDYSLEDESQLESYPDGSLSNGNGVEIVHQKDHNPIVSIGTSKRLTTHLLAHHDNRAPPDGVVDVHYEMDLVHILGIDELRQTMTALVYVDEKWEDPTLAWNASDFEGMTKTWLPIENIWIPDIIIFNMLAHEDLLTSVRAPISRPAVYTVSCEINIKHFPLDEQRCALEIASWSYDIHKIRMHAIISYSLQHYTDNEEWKLTNILVKEGEYDHEGIAISRIIYEVHVRRKPLFYMVTLTFPSYIMCAISVVGLFARFSTTGEREERFTLGVTAILTMAVLSLVVSEKVPHSSTSVPLLVIYFLFNMVTVSVAAMSTGLSMKVHRMGRFGREPPDWMLALFLLTPKTHMLYECKCSSTKDLLPNTHSSDSKVIVDLSSLNDP >PPA01861 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig106:156430:157554:1 gene:PPA01861 transcript:PPA01861 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNVVELLKIADSLKHLKRTGWVKCGVPEPETVACHMYRMAILSWSLKGELPGIDIDRCLKMSLVHDIGEAIVGDITPHCGVSDADKYTKEKMALDKIAAMAPNGAGQEWAQLWAEYEAHETPEGKVVKQLDKFDMVAQAFDYELKYGIDLQQFFDSTTKCFHSEPFVSWDRDLRTRRHEALAKKQ >PPA01919 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:447233:448462:-1 gene:PPA01919 transcript:PPA01919 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDPPALKAVIMPRMKLCSLHALAAQNLHRTMDAEQFEAHIAFVLIRLLSALKLLQTDGIETLSTNFREFLLVYRTWNKSNDVPQLLFLPDALESSDDSDSEQVGLCRYALRALCTLLHHRIDGDVPRFLNQTRHSRALLAAAKSLHSDKSNSLSMSKQLLELSLWGAGTAVEGDFEAKLWMDSRRAECVNQLIKN >PPA01876 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:219875:220311:-1 gene:PPA01876 transcript:PPA01876 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTDKETLHRLVRSVVYELGMSASDSESDEDDLEDGDDPPSSPSPQPPDRPFSPIDVKHEETLKKTEETLRPDSKENIIRDNCSYPDSANAYSVSIFLYILTV >PPA01910 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:401796:407662:1 gene:PPA01910 transcript:PPA01910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ptr-22 MCVIVLDELAGIPSDAVINNARLQFTASDSPSHYEGRVLEEFLRQNGSLNMIEVMIRARDNGSLLRDDHLEQLQSIMTEITDTVSVNYRGQPLTFNDMCEPYCKKNEPLRALLSLYSGNYSRFAVTYPVMDMLGQEVFIGANVYDVDLDEDGETIKGFRSAILHFFLVHKSRKVMIAWENKVVDLLYSDKYSLLNSGAASDNLVAMEIRAMGTKTAPLLLVAVFCLMIFLVLSSIRRRRRESKPFESILGALIPILAGITTVGIVSSTGLAFQSIVVSTLFLLLAIGIDDVFLMLSSWHQTDNTKDIKERLALTVMDSGCSMTVTSITNLISFGNGVLSTTPVLQTFAIYSTVASIICYLYQLILFPAILALTAHKEYKRVDEECTSCCLPEEIETVSVMRTKRENALKWLARLVTKRWMILPIIPTLIVFWALSVYGIANIETDLSVQKLALPDSRLVEFKNEYDKSLKAMQTYAVIVSNPGDLRDPTKYEEVKNMVHSYENASYAFGEKSTFFWLRPYEEFLQFYSSDGEDEDIAFTYKNIPDFLNNDMYQYYKGTLRINETACALNEPECIEKFLFSTGFTTLVKFAEMYPLIAEWREIAARYPSLNITAYTERSNFADQSVSLKPVIWETLYSEVICMGISFLVFIPDVISIASAMFSLISVNLGVFGFLALWGVGVDPLSMASLLMAIGFSVDISAHISYHYYENKAKSPREKMEETLVEIGWPTIQGALSTLFAMSPILLKPSYLGLVFLKTVFLVTVFGLIHGLVILPVLLNFFTSVFRRNQVHQSESSEAVNGVAPHRISIKSFAPPKEDR >PPA01829 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig106:50587:51349:-1 gene:PPA01829 transcript:PPA01829 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQFNDRDESGVAVNIPEDINQRNYLGLTREAATQMVNMFIKQYPNEWWRIVQ >PPA01889 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig106:282378:284278:-1 gene:PPA01889 transcript:PPA01889 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTEILPYSTRYIATLHFHSGFNIFWLSISSAIFYALGCCVSFLFVRDSLCHLNIRNEVDRIEKVIGYSLGTILLFVLRRVHRVKALVWVLSTLLIVANLRHTFIVHSESNKCSDVYAMTSNREKNFEGFAFVFNICAMSLSACFNVLLLLHFFETAPSILRLTCFVFVYGANKLAYEIAPMLFDHSSQTEFFRILPIYTYHLIIIIFTILRSGSKLPFSLYLFDLMPQSEGRKGDDHCTTMDQTVDCSKKDKFLEVSANPISEGAKKIEPLASKRKFAPRR >PPA01871 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:203483:203897:-1 gene:PPA01871 transcript:PPA01871 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRVLPRIDAVLRHPMDDITISWISPPPLGWREKLWLSSIGILLLIAIIVLIGAREGVKIQ >PPA01916 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:431215:436169:-1 gene:PPA01916 transcript:PPA01916 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSFYSSEIEMEEDLRPTLGSFIEFIPIADHLGIDVGILIRIDILSDAIIEVVERITYYFIFNPLATHRSFDAPTEVQFPSLLICNKMQLRASSVAKYSQPLLKTMCLLHDDEESFLNSTHLLDSFDHVDLRDMYKHSLQNVDDLVLSCEYDKGRSSCIEDVRPILTPQGLCFTVSPNLTVRRPGTVSEPGVIVSLYDKTLPATAHFAEGIHLDAGKIVSIPINDMRKVEYSRAACKWVKSYEAIEESCGCVPILSPRNRDILTKGSPLETHYVSAVNKSHLLPPCTLQQEVICVENITLCQLLSDSLANGLILQSHLLLESNTYEECPEDCHDISYSSVVFGGELSVSEVASLVRTDWEEDKEKRLTQFMPAFEIIPNTRIPLVRAVQALASEAQSFLKQSLDYVQLVLSPHLKSVLSILRLNITSDYDIESVTVEDDLTDTMVDQALFDLDLIESGLNRPFNTYGIRSIEFVERNKIVERILSMILATRKCVLRIKDSEKEDIEEILSDCVAQFIPTYKLLQTTRLIASSHDPNISTEYEDLSSKLVTCLQRLKWTQTPKVFEWHDFDISVKEFETLYKEGGKDNQEIVDLLKMRKIIHVDLIEAIASLSARVESILSTRDSFMREMDLTTSDHANTSISQIVEIQECVQQLPPKLLTLRVRMS >PPA01860 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:151026:156063:1 gene:PPA01860 transcript:PPA01860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-zwl-1 MADLQISAAHIAENEPTLYHDEFRVRLVDHRVLPIVGNDSLFAQQQMVVIDYPDVEKPRFEDVDEDKENTIHTADTTLQAGGGSPLKFNFLTLDKLKEGDADLFNFKVSIRTITENTFPVNPIPVDRAFAIRQRLHNSDLPFFDADDKILPSSPIFFVCNASDEKRTVYVGIQRSASTCRLFNTECTGGLDVAGRDAILNNFDRFSLIGDKKCSTRMQIEILRPRLHKKEDEVDNTVDAISTLSVDYSTNEYDIAQMPSSTAYATLNFSPGWCDPRVDYDLKTRDLQLMMVMARALHSDIPFDWTHAGERKKKDSEIQSEVRALIANTNQQVTTDESKRYIDFTERLWEILRECRSNELLVKSDTFFIFEEIIIYSAVRSKSINTILHDDNYSSLATLIRDSCKGKEVRPPRLEGLQPIDTLLEIAVEKFRRDLVTEFVKRSFVASEGDIRDMLMRSLERVKATKDKVVDDTRALIPLHLGLQTISHLEGTLILTHRNTLAKYTKDVITRYMSKEITNFHDVNFELRIPLIQVKPEIIPGMKPASFSTEVIYKCKDGNQKGNERARAHLFLARTPPLRGLEGVLANIDDPSNRYVATVTKCTTLTMKKKIVKKDVYNGIVDSWEKQADELMKTPQWSKVKDGKKARVSIINILLDKGNDESLIGMCDQISEYAHLHAFKIPNHIVLPGTEPLQKMTSSDPAALRRRVMKAEKRVEEAKKRTALLRHEQQDVSERLKVIRDIARKLGYVPKEDTNSGETTMRTNVTTIEDESMMEDSVADDDNKENDENDRSTVDEKNDDTVIRENIEMGESFNEDKTMTEESMEKMMERSMRLDE >PPA01906 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:369517:370259:1 gene:PPA01906 transcript:PPA01906 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAVRNRSALHKYFVDNYRTQAALLEPLYQDKHALMAAFGERTVAASNDAVSRRYMELFGDDQIMYRYVFKHFSPASAGANFPFISFATHTYDQFYFVGPTTFNYTHSDEDVAQIVSTAFTNFAKYRRVHNPNGNGTTTDLPIEWIPATNSQPSVNFVFETQPWMSYEFFHGRPYLNNVLNQIAGTFYAV >PPA01933 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:525371:527196:-1 gene:PPA01933 transcript:PPA01933 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLVQPLPLASAKIYWLKYWAVFGAALAVEFVLDSLFLTYLIPGYELARLIFVVYSVNPVTNGAQSLYDNLLKPLMFKHQPQIDAVKSNVTAAIMDRVSGVATKVGHMLFSATVNRMAAIPGIQMVGAPIMPQRTSAYNLRMENYEEADEMDYPIIREIKEEPLDWDDVEDEVEIISVKQPRTRQSKRNQPPVIEVEDEERMSDVEMSSGDDVEFIPAPKKGGSSGRGRGRGRGKGKKN >PPA01827 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:43327:45753:1 gene:PPA01827 transcript:PPA01827 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRGASLLDMICPANENMKTVASDLFHPINNPRGKVNLCTAENRVCEKEEIRFHPNTHRWIQRYAGSGGINETRLALVSHFSSIYSISIDEEEAVLLPSCTAAYDVLSHLCCDPHDVILTPSPYYARLRNDCAERNECRVEPVALEMGKPELFVSDFEREFATWASKGEKVRVIVLVNPHNPLGNIFTPHQMMSVCEWAISNDIFIIVDEILAGTIYDERNLAEFPSILGLKKDLSKPEYLVWMGSLSKDLGLPGIKTALVVTGSPAIREAVRRMENLGSVPAPSQLIVFCPVILPIAAWLSSTLSSARHRLSSHSQFVVQSLEDIGVPSVVPKAGICLMADFSKFMPSISSEEENSLHRRFIEHGIVLTKGESTVAPESGWFRISFGVPKDELELGMRRIYSTIARDATLKRKICYGEKKNGVKKEWLISDVRPTSPGTTVESA >PPA01848 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:114797:116375:-1 gene:PPA01848 transcript:PPA01848 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDKVSEAVEEWRDKEEDYSNKENTDEMLCEIDEDDDQVHKILKKLEKKDDKFKKLVKKIKKFFKARKDALDCSKILLCSKGRYTKSEKEWRERCIALSLDPDKTPKPTKEEWERARNYESADGETKKPKNSDGEKYRERAIMDKLGIDDGSAGNEELKMALEKDKRKRRDKNDERRAKRYEEKCKRRDERAAAKKEKEVKKGSKKDSGVSGTEQTDVEMEGGTTGITEGATTGVTEGKTSKKSDRSGVSITGQK >PPA01856 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:136415:137448:-1 gene:PPA01856 transcript:PPA01856 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKKAAVASDIYVWTNDDPDLEIVEPPMRVSINKNSLQYGRKDDRPPQKHTISITNHGDSPVAFKIQCSDNVNYFVNEKYGLIAGHVVREMPDIRGPNFFDLWVWRRPCTCTPQAVGLYEQRSDVLQILIAPALSFSVPPASYFHHSKPYETLRASLIYTGKASDKKANTACLNRSWRHWNTWERELKELKDKKAAESSVMQNNQ >PPA01882 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig106:248555:248978:-1 gene:PPA01882 transcript:PPA01882 gene_biotype:protein_coding transcript_biotype:protein_coding MRLILLVFLTVTAVSTKGLSKSCRELLSCAINRGCIKTAFLTARFKVTQQITHQMYDDLATAIDYGCIFNTGCNDECNACK >PPA01825 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:25646:27446:1 gene:PPA01825 transcript:PPA01825 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKSRKKVGVIATIDADQFKPYLEKEFNSRMRDHAAKDKPWLPAEKLIQEMKECLYFKYGSASDEDFKFDKETGGTMVYYKVTCMKVKDSYYIGLSTYDNKFTLHDIEHVDTIASGWSFLGFSKKKTEIKRWFEKRYVTLNDLKQLLDFIMRDFAERIRMEYKQYLTAANIPVHN >PPA01857 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:141923:143172:-1 gene:PPA01857 transcript:PPA01857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-23 MLEAEKESIVDMLSNFNLNSELMRLGAGDREDINATANRLMARTRAVEVLVGTPRNSEQQNALQQVNTLIEGMINKMSDDLGNTKEQCRRFLNACSPEETGPIDQRFQAQVIECTADDQKKIRRKLAQIITQIERAERTCMPQCNQ >PPA01875 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:215557:218121:-1 gene:PPA01875 transcript:PPA01875 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPQILPHHQTFSTPNEIPLSNLRLLSPKAPSMLTSSQYGTPQTTQFGFNSNNLPLNPGIVHNNIPLMVPSQTASMTTGLMPGVYPLFGLDGMPVLMNMNEAASTMQQYQMQQFAQHQQQQIIQQNNQQRMFMQQHIQMQLQHLQQQQSMLQQAAMASSAMENNSTTTQPVPPQFFTPSNIPKRRSSDELPKKRTHETKCTPDDNKKIRKGAGIPNEQIKIQSHPQITVESVARPTVLPTDSNSLLIKTDVPQECQNLEITLIVSPSKTQEPQELSLYFYRPLILRDRQIKTCGVVNLLLTHVREVVDLSYHYAIFYVIEKGMKAKQENWFEQNLGCSSQEGTSSVVNFRPIFC >PPA01911 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:408412:410795:-1 gene:PPA01911 transcript:PPA01911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cyp-42A1 MTGMQGPAALPFIGNLHQFRFEADEFFEQAQGLGYMLEENGDRICRIWFSGLPFVLIYGAEEVEAVLSSSKVLHKPFHYRFLSAWIGDGLLISDPEKWRPRRKLLTPTFHYDILKDFVEVYNRHARTLCTRIFETAGEKYADVFHKVTLCTLDIICEAALGVNIDAQNTHSPYLDAVMDMKKIVFRRQLAPQYYPEFLFRWFGEGREQEKCVKILHDFTGTAIRARKKMADEAGGVQNLVEKEAREGRRRMAFLDLMLDMHAKGDLSLEGICEEVDTFTFEGHDTTSASINWFLHLMGANPDKQRRVQQELDEIFGDSDRDVTYEDLSRLKYMEAAIKETLRLYPSVPIFARQVTEDLRIKDHLLPTGTGIVIMPSMVHKDTRYWPDPEVFLPERFLDTEANRHPYSYIPFSAGSRNCIGQRFAIMEEKCVLAILLRQFKVEAKLATHDMRIAAELIIRPMYGNEIRLTRRKAFS >PPA01881 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:247726:248463:-1 gene:PPA01881 transcript:PPA01881 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKLQLTDVLSGESATGDCGTLVSCATECIAKAGAVSEKIVNCLLHGLYHLIIFFQACVSGDLRKAINYNGQCHDMFRTIVYAKFKKDFDAIGRQPAIGHL >PPA01868 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig106:178685:181975:-1 gene:PPA01868 transcript:PPA01868 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLASIQDQYHYLESVLKAKALVLYCQHNWKALYRLLSEHKFSPHNYGVLQDLWLRAHYTEASKTKEKELGAVCKYRIRKKNPFPATIWDGEETNYCFKAKSRNVLKEAYKRNQYPSVEDKRKLASQTELTVTQVSNWFKNKRQRDRAAGTLDRSSKCDSDDSASGCGDVKPQRSEINSNTTTVSNGLTSSLNSGLSSLNNVNFTFGSFDPTQVEYSDEENVSIPLHLQSLCLLTIQWIRDAPTTYDELRSNSFNACCSYAT >PPA01886 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:271201:274015:1 gene:PPA01886 transcript:PPA01886 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLWTILLFPSFLLSTLSTDPPTDAKYVDLIEKDDYYECGISQIRTGLKYCTQFKKCDKVGIVKSAVDTKLMKPKRTFAYCDQAIKNVKAQIRIVESPDIWKNIEYILCQEKDGKNVTIVKFTGVGLEFTDPNNQTNIVLRCVGEGRARFDIYHTTEEKDVNEGVYENARYFVVYTFIALTITATLSALYYLLYLRWENQKLEKAITRRQSRHDELWLSIERMERSEYDPEEVWNAMPLVHQQVALEAAIFIQKYKGEKFTPQLRQWLIDRNYPAGATEIILHLAGLNDPEFPFYAPEERSAASGFKNEQRLDEFAERIEARIVDTLNYANRLSRRKIVFTDHRMMELHHFIIELGLTSLGSPSHPTIHDADIPVLPRHSFGTRDDPRDEEKEQRENEVRNRRPHFLHHDWDEAQKLIG >PPA01877 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:225221:228292:-1 gene:PPA01877 transcript:PPA01877 gene_biotype:protein_coding transcript_biotype:protein_coding MTQYEDLSSTGRQRGAVTYHMERKIIIDGDSEGQWNQSTSLMTQPYITNPMETQVPSQLQSLLLMNEWPLDKYNDCASSDFKVDSFSMPNQQFAMTGNQYDYNSMKYDGVDVNMGGMSQSMVPQPFVDVTSTALSPTPPLTPMDTMSPYDYTQSSSTQPMYGGMTKDGYTTNHANVPLCNGMQSYSPMPMDMSMGGYSSTGAAPATIAPFDSMQPAYSQYAPTSYDQPIDATAAMNNSGQMKMEFTPTYDNSSFNQQQQKEITFNDYYDYEASNMFIPQNKSLVSYTKGQSKVQQQPQKSMKLPANVLIAVPDTQWKTSTEYNGLDAVFDFSIANPLPLIEVKPQEQVEQKKKKSLVRIKHRSPSPIKSSSNSTEEQPVRNPDGRKKHSHFKNAGEEERVMRDFMSNDDPNCPFECSKKKVSMTNKRGHLKKHHYDIYFKYCRTKRTRVEEVKERHLGASNGDRICLICDAQFFSQKDMLKHLEKRHKQSFDRYEEEIETATEEDSKLCGGKKRKIDSDEIPFRSRRPFS >PPA01821 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:6923:8316:1 gene:PPA01821 transcript:PPA01821 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTGGFGSPAFPDIYPNEFQDTAVIQLGDSFANYVIHIEFLVFQLENGYDTVDIFDGNSTESALNIGKLTGNMTGRTYQSDGPTLTILFKTDLTGQRDGFYVRWNAVKKGDSYSSPKTCDHLLIMETTGYGLIYSPGYVGNYPDSITCNWTLEAGIGQKVLVIFYSYETEDCYDVLTFYDGTAINANKRPK >PPA01846 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:110249:111480:-1 gene:PPA01846 transcript:PPA01846 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCISLYFGSNLALRFLNKGKVERTLEGKTRVIDVDETAQTSTGPEIYNFSLTYSKDLFEIIAVDANLTYNLRGNETVQIRKFWDKLKLFKSSGLSTGAIVGITIGALVAFALLCLAARYYFNRRNRLDVTVTHFERADTEKKGMTPPSTPAGGGYIPNKMSRAQNEITGTEITGFTSTASNTGSNASNATNGTNGPGRTGFEGQNILEDKQSRLEVLAVPSIPSPGAFSPK >PPA01823 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:10272:14295:1 gene:PPA01823 transcript:PPA01823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acs-12 MVINNTTEATVTYGEVRMQASRLAQSIVAMGVEKGEAILIVMDNRPETIIIFLAASMAGAVTTTINPWWCADELQHQVETSYTRFAFVIPTAISPVRSVFERLKRDYRLICVGPREYAEGLPILSDLELTLEGILITHEMFCQQIAVANHPFYDNPRSNEYTVSLLPFFRHIGLESCFVGLFNGVTMVCVPEHDAIKYMECIEKYEARCVFTTPYMVHQMSRSSLNAPSIDCLKTVIVGTAALTRTVHEAFLARFPKASIVSMYGMTETGVLARSKPRQEYSKDVGKLVSTVQMKVLDMLTGEEVPRGEKGVLYVSGPSTSAPYLDCPEQDPLGGWRKTGDVGSIDDKGNVYLVDRAREIIKVFGVQVIPQEIEEILGAHPAVVECAVVGVNDKEAGERPIAFAVLKPLMQVTREELVDYVNERLCRPKWILRVELSTTLPRTSCGAILRRVLAEAASLSMDALPTSVAN >PPA01836 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:81954:82521:1 gene:PPA01836 transcript:PPA01836 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRLFALLAILPLAVLSLQCYNYMKSSQMNPAAVQMTSQTCPPDAFYCVKSYQMNQDINGFQAYVETRNCANQQRNGCFGTQFDQVCCCNGNFCNSAGEKVGVLAMLAPIAVYAANYFA >PPA01896 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig106:315560:316867:-1 gene:PPA01896 transcript:PPA01896 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPRSYSEARITNLYMDSHWEQFIKTVVFEILQSIGIHGSGNGNLSFLIIGNSYAANHGRMIVDNLRDHYGRIAIHTVSGPKEAVKMQEGFLRDIHSFKPDVLFLSARYIEPNVPIDNEKVEEDTLYKSMMEKLKRYDANVEKIFILQAFPRPANLQKVETARLNAGKPIEAYMEEAIEADSIPMRRRVEEIAKHCDKCVVYDLMQLHMVNGTFTVSNSETHLHYFEALRHHTPIGLKLVEPVYQKLSENFDVLLKSKTPDNLVTCDD >PPA01932 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:519422:522613:-1 gene:PPA01932 transcript:PPA01932 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVNLKRGPILALSASSFLIFFILYSFSPSTPEKVSIRDVRIRSIKDERETKDKVEFPGMKEEVKTEKLISVKKNEKKEEGEEKLTKVEKKIVNEDKGDDIAKKREFVREMMLHAWYGYRNYTWGYNEIRPDAKTPNNQAIFGGSKMAATIVDAADTLWMMGLKDEYKQARDFIQANFSIGNAQGTLSVFETNIRFVGGLLALRALTGEEFYTDKAREVAEALLPAFNTPSGISYSNIDMRTKAASNYGWANGGSSILSEFGSIHLEFTYLSHLTGKNIFANKVKKIRETLDKSEKIDGGLYSNYMNPKTGRWSGMTHVSLGALGDSFYEYLIKSWIQTNFKDDQARRMYWDASDAIQRKMIFTSKSGLRFAAELKGGHADKKMGHLACFCVGMFALEAKYEKNETRKEQIMQLAEDLGHTCHESYIRTESRIGPEMFYFNDQDDATSKRSEHGYILRPEVIEGFFYLWRITGKEKYRDWVWDAITAINKNCRVEAGFTGLQNVYNLNQGRDDVQQSFFLAETLKYAYLTLPILFTGWYSTITAEEFEMIP >PPA01862 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:157642:160050:-1 gene:PPA01862 transcript:PPA01862 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTLFGWFFGLQFTISVPFIAFIIAAYYAYRYYWELETVGDYSKKAVFISGCDSGFGRALAIKCANAGLTVFAGCLTKQGEDTLQAETKGLSLFTCPLDVTKDESVAEARKFVDKNLKKGIKLWAVVANAGVFTCYGPDDWCTMEDYKFSVEINTFGVVRCVQAFMDLIKENEGRIVAVSSVAGRVSVPASAPYSVAKYGVEAYIDCVRQETARWGVKCSLLEPGAFKTALLDKDAMRKRVDSKYNAMTPAKREAWGEEFKEWFINNWNNTLHSFGSSNINIVVNNYFHAVTAKYPRCRYLCGLDAVLFFLPFSLLDARIQDKVFALLAGGKEVLPNDLKKKFDKKNL >PPA01847 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:114134:114586:-1 gene:PPA01847 transcript:PPA01847 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFLLISLLTTTFLIAFCGKKKAPPPKGLERASGEAPPPGGAPPPPSKPAAPGSDKKEEKKDGEEKKEEKEEEKQEKSKLSTPSKGGSAPEKEKTEGDDKGPASQTGATGATAA >PPA01888 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig106:279414:280827:-1 gene:PPA01888 transcript:PPA01888 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLLVASLLTTAAAFPFEITPQPLFPVPDPTPAEPCGLAARACIAAVDCASGFCNIAPGAATGCCQDTQWPEPGAQPTPPPFEDSACPVNVPLCVNDNDCGFSGYCNHTEARHNFFGCCQFGPGPTGGPEPFPFPTGAPFPFPSDGPFPFPSDGPGPFPFPVTAPAPTGDICPPWINLCIADADCEPGDSCSHSSSNSDVFGCCHAGVVDPVDPIFPTFPPFPFPDPVPTLAPAEICPASVALCIADAECGPGQECSHTVSNSDVFGCCQSVDGFAPSTIPPFIPVEEQPRCAAELACIADADCSLFGARCDFIFGSPMGCCTPNQF >PPA01822 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig106:8696:9130:1 gene:PPA01822 transcript:PPA01822 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDIVNPTTEAAFVLSAGTKFSLTFTSDLTKNYAGFSAVFRYVSASETINSDFNRMDWTPSEVKRHPKDINPSKIPK >PPA01837 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:86583:87895:-1 gene:PPA01837 transcript:PPA01837 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNNVILLSLFFTSIFASNNTLTRISRDVNTVCTPDQIGDLLQCYARFLALYNFLIEQVGSRSVLPHFSKLYYEMNRQSLSTICINWNVMTTCIAPFSLQCINLQVFQKVTYDQPDAILYMQNHAFFEYACGTGNNLFMANEGCLTTALAVSSFPKRLLDCGGNSQVEDPGMLCQAAQGTNQCIKDSFFRECGESAAIGACHAATNIARRAEEVEPMCLIDMDITCSGNYDSILVIIASTLAYMLTR >PPA01891 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:292607:293971:1 gene:PPA01891 transcript:PPA01891 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVEDAERAALDKRIQEITEQLGRLDSNNPSQFRARQMLEKELKDIRKGKIRMKTASTKSPDDSVMEKRGVKTCKDLETDEPIRIREEGVRKKKRGCLEHINEQQRRLEELKEKIAMEEETRKRVQEVIRTIMDMRTTMNMEINKIAETTRTELALIAKKLEHHDQDLKVRKEIHTRFRKKQQANGASLTAKFLSAITKFLWYLLFIVAIIRDKCVRPTKRYFSAERMDGSTENIGSKETDNSKESINNSNEANDKLKEKEEGITETKKVV >PPA01835 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:76138:80533:-1 gene:PPA01835 transcript:PPA01835 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVPTVASTSYDELLNETAAENGRSPQSDIIIGVAMIVLSVICAIAYSIILVAIWRDKELIRMTSYKFMFVLGWCDVIQCFPHAVTGVFTLYQSTGTYWLAKLMGVFATPFYVGYAVLTVMLSINRFLQLAFPHLDQTLFSPKATKVWIGVALGFVVFYMIALASPWASIVYNPDWYSWDYDYTLPGSFYVQKLEMVIEVGGIFLSGGLYIGVIIMLMRTRKRFAASRNYTTEVKILIQALTITVYCSILNILWHNYQYILPLDLWTYTGLNFMWIFNSGVYPLIYFIVNSALRGKIVRATKETRTMFTHSVVNPERSKTNGTPSHGSITGKEPKNATGCFDVTQCLPHLITGIFTLFQSTGEYWIAKVWVGIAVLIVLSFILSLASPWASITYVPKFLPLNLWTFCALNFMWILNSGVYPAVYLMVNRLNLNGLLDPIDALCLKGFSISKNLGSVWKITPE >PPA01845 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:109178:109616:-1 gene:PPA01845 transcript:PPA01845 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLLVSSLPVATLIALGCGGKKKEPPTGGAAPASGAAPPGAPPAGGAAPAGGEKTGGENKTALDGMSQTGATGGTQA >PPA01858 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:143506:144217:-1 gene:PPA01858 transcript:PPA01858 gene_biotype:protein_coding transcript_biotype:protein_coding MMSETTITEVPPQRVPLREANTQICLQRTMLVKCRFDWFAIDRSMISMIKWYTSVVNPVRSCNY >PPA01899 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:326111:329114:1 gene:PPA01899 transcript:PPA01899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mec-9 MHSLLIILLFSIGAAIAAYEEDRCMEDYDPGPCQHYQIRWFWDPADEMCKEFHYGGCMGTRNRFSTKQECMKQCRYKLHNPAVIPDLCLLEPDQGTCADERKGQWWYYFDPNDGDCKKLFFHGCGGNDNKFYSLHLCNKVCAERLSPKTACNFCDLRTSYCKAHGKFNYTCECRLGYRKNSQSKECIDIDECRQSTNICDRNAWCTNTIGSYSCECMASFVGDGKQCTYVGLGRSTMDCSDCSPFATCRNGVCQCDKGFQGDGLNCTDVNECMRLPYVCDKNAECVNKEGSFICQCLSGYAGNGYNCTKSNTSCLDKFDINYQQTCGRENWREHYYFDHDAKMCTLFW >PPA01828 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:47048:47956:-1 gene:PPA01828 transcript:PPA01828 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAATALISGKCFPLHNIRECAVIRAKSYPQMDELHKCVICEEGFNPSLTACHIIDSHLHTTIFNCKLCNFKTIFEAKELTLVAKQDGCSDALNQILEEEEACNVCLGKASLLL >PPA01925 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig106:471622:472771:-1 gene:PPA01925 transcript:PPA01925 gene_biotype:protein_coding transcript_biotype:protein_coding MATEISRCFSTHEEAASDVDMASLIYRGWGKGRIKKCGCSPDAFVQMAIQLANYRDQGRFVLTYEPASSRFYANSRTETLRSVTDESCEFVRAMEDGGKTDINVFVYAESFFHDDTLVDSSAPIISYSSQRCTRASLLRKACELHSTHNRDCMVNKGVDRHLFVLFVMSQATGTSSPFLNHYIQQEWLLSTSHVPNVTNTMKEDNEFSDKSWLGASFGAVAKTGYGICYRFAGNHSICAHISSYHSAKNTDSQRFRANLEKAFEDLSTLFD >PPA01849 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig106:120002:120711:-1 gene:PPA01849 transcript:PPA01849 gene_biotype:protein_coding transcript_biotype:protein_coding MHFVLATVSLLALTSGVASQCTGNDHPSCGSWKNNGYCTNTGNTMDMRKKYCGVTCGFCNLDGSQTAVGGGTALTPCVDANANCASWVASNNFCARTDYSNSMKLLYCCKTCRPVVFATTTTTVASVTEASTEAPTTI >PPA01935 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:554734:557553:-1 gene:PPA01935 transcript:PPA01935 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVCILYPMLVLNFTPVRSLQLSTIKKRNKKMSSSHDLGYFLCEEVSPLMATLPAESEWSVWQNESCTLASRSVDECACSQGEDGDDGASEGWRWRWCAVQMPDCAAVMVEMTHCKRDECAAADGEWCCWEGGVDDESVNYRLSLKASPSFDRGSSDCRAPRGNSQSCTNLINDKKRVKRAQSPKCGSMRASSPNSQCSSSCVCAEIDGSLGCGFGGANCQSTVQQVQQCSFVGGLLDSQYCLLHSGIGSCSIHPHPCSSPCGHASAQSSFACDYGCSSYTTEEHVTANVFAEVYPSPLHPVSSSSSPIHDSSSPLSYHSFNIPLSDSPDSNADYNQHYSPYDKVSYEKDFSDLDLDQEIIDEFLIPDRQELYQLDYSDIQFDSIPSSPSFYSFIDDIIGEVREEIHIEKSSPSSPSDTLAESISLIAHSDIDAKLKIVTSSGCSDKAYKVTIEPVKKSRKRLAAPPPPNGAKKERIDISKLSREEAAERKRYQNRVAAQRYRAKIREERDSEFNECAFLEKRNEFLREQEEILSSEIAKYKALLLDRAATQ >PPA01920 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:448571:451102:-1 gene:PPA01920 transcript:PPA01920 gene_biotype:protein_coding transcript_biotype:protein_coding MESKDNRSESNLSSRIDEEKKRSNSAGNRLDDDTVSSSSDLSRSPSPVKDTRKARNFHKILNRFQSASEAANGGRPNRRITVGTVRMDGSEDLSLIFIIPHSSFSFYFSSFISTWKFSISYKFRSKSRKRKNNSITNISLLTRVVRSSNISFFSSFTSTNSIRFTILTGIYTSSQNRSSCHLNSKWKSRESQRAEQITYIKPTPMVGAMRETFEKKNGRRTKSREEQLNEISGMDSIRNEGSLISRSSFSLSPGVTLQRGRAVENSYSQMCSGTVSTLSWVVEKLSERNRKEEMIMRGPFSLFTFHNLHMHRNPVLIKGTSFFFDASFTKDRKEHDVTVMISPHSHYAPVIRRGLGVSTYGEIEDIDGAIAK >PPA01863 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig106:160547:161070:-1 gene:PPA01863 transcript:PPA01863 gene_biotype:protein_coding transcript_biotype:protein_coding MSNETEGLTLHTFPLDVTKDESVAEARKFVDNNLKPGIKFWAVVANAGVLACYGPDEWNTIDDFKLAIEVNTFGAYIDCIRY >PPA01926 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:472847:476323:-1 gene:PPA01926 transcript:PPA01926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cpt-6 MTNSGKKLIRPPFPLFTKFPSRIERAGYKLYNYLDNSLYPVRPFYFGAAVAASTAYFTRFEDSSKLLQLVPKIGESAVSQYVRLCAVSLSTAYLPVFFSRFVLRHFYFKYKKWLYENPKNPSTLTKMIRSLLSLTPPRLKSCDALLPSLPVPALEDTVERFLESIRQLHSKEELAAIDKMARDFLEGEGPKLQRFTKLYALFKDNYVTGFWETYAYHYSRSSLLINSSVAHVDLFSDIPATQAVRAAHIVYIEFLSQLSIDRQTYSPLGGGLVCARHYDKMYAVSRVPGKTVDHLDNYGISRHVIVLHDGGIYRIDVVDENDRIYSVDQLSDVFIELLTRPDTKVEGAEGRIPALTHDKRNDWHMNRRRFFESIPKNKKALKEIETAAFVIVLSPVNDWDYDQENPDTLSRFMKSMLTGEGANRWVDKSLNYIIGKNGRCGGTTEHSIADGSEFDHIMENFVWMENDIINYPPLDVQIAREKSFNITEAKERGVKFAERIEIEVSEEVGQVF >PPA01841 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:94078:95447:-1 gene:PPA01841 transcript:PPA01841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cat-4 MVTVEQPHQNGSGANGSTKEEKDESKAVVLASTQTSPLRAPALLTPSSPEILNFPKSLQRKLVTNEDRLADTAKMYRQIIENVGEDPTRQGVLKTPERAAKAMMFFTKGYEDNLSELLNGAVFDEDHDEMVIVKDIEMFSLCEHHLVPFLGKVHIGYLPNKKVLGLSKLARIVEMFSRRLQVQERLTKQIATAMVQAVQPTGVAVVIEASHMCMIMRGVQKINATTTTSCMLGVFRDDPKTREEFLNLIKK >PPA01908 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:379434:383395:-1 gene:PPA01908 transcript:PPA01908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dop-5 MMWLMALAVSSTLLMERENKENPLTWCWITSPQYIALSSLLSFFLPGAIVVYMYVKIFRKLRHHQLYMFGQSSTNRLEKKRSLPRVIIEEVRSRRGSRLSQTGSNSGSPTRRSSGGSGHKAERSPSQPEIHSIALPPQRWRSPTICAETFEHDRQAAAKKRVSIVPDPPSMDISSVSNMAHIRQEHESAKERENEMKIGKEKDENETAGNEVLRKLSEERRYRREERRKSSTDARQLNGIGTIMEAFDKAFAQVSRERRNSDSPTSPFGLHPFPSHPSLKPSLSTVRDEDSVQSNEEIEVCTVITTADIETTGRKSPVPVHVPLETIPVVTSSTLTAPLIVPSLDDSIEIESSVDEPLLKKEEIEQQQTPLLSVPIINRRPSKSNGGILKSRNCSYTSSLIPPPTFLMVPAIMSINNTPPLSPIVKDSNCLLKVPRMYDCPSPTACDIPPSNSSHSSYTSASGSSETYRRISMNSFGSSLTEGTESSYDADSRRSSAWSTLRAAVLNDPRRGKKSLVDMDIDRTGGQKKMSTISRGKLRRIATQVTRAIRRKRRESLAIRRESRATRVVAAILVLHSFFMARIRSFCLQSYHLHVSQQEFPSNDGPTFRMD >PPA01831 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:58354:63800:-1 gene:PPA01831 transcript:PPA01831 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPLSTPPPESVVPRALEMFNRRGSVIAVMIGGKGSADVLNAASGAPSSAPLTAPSDYGDKINSKPSTSMISGDFTSTASDPDGRDDGRVHEWRPPYPRLCAYHDVNSLGAAWSLGLCPSWLEMRPTGASQAQSPTDDDNGDGKQNDLLMSCSNFRNEIGCEPIRRVALSRQTKELVVDAAEVEGWQREHTAAEAGVLEDVSNVYLGGRLCAARQPKNVIEPQDLGGYYYRHCFAGRPHIEYFGMDDELGPIAVSIVKETDSREDSHSQVGERKRKSGGSTAAEKTAVSVSSMIYRVVIRISELKPIRLAIPEEMLSEPAETRSTRALMRELLELTCPRIPFSCLRPALQSHKIEEMLMKIDEQPMYTRYKIGVLLCMKEQSSEEQMYNNEFSTPAFEEFLDFLGSRVRLKGFDAYKGGLDTRGDTTGTHSVYVEYQAHDVMFHVSTLLPYTSNNRQQLSRKRHIGNDMVTVIFQEPGALPFSPLAVRSHFQHVFIIVRVNEACSENTTYSVAVSRSKDVPSFGPPLPPGATFTKCADFHDWLLTKIINAENAVHRSKKFATMAARTRREALRDLAENYVGPHANDGAARIASRLLGGSVKRKGDKGIHKKPAMASTRGALSWLVDVHDHSLNTRVSCVLGLSADAMVLLERPSGVCIFCTPTHSIIGWANTEMGLRLYYDHSDSMLLRCVTESGTDEELSQLLERLQSVTKGDEAKEVVLRRPSTAHPWGFHLHDEGVVTDVEMYQTAWKAGLRQGSRIVEVEGQNVATLSIEDLAVIVRERDCMRLLLLSPAPDGSPRRGCEDPNCPAVKGNEQMLTPDAFAKQPLTYVRKSLGREIH >PPA01927 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig106:500289:500747:1 gene:PPA01927 transcript:PPA01927 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCKILSGSATFLDGARTKYIRFMENSKREIVVMFEGNPCANESVMEGVFYITTMIKQTDNN >PPA01887 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:275374:277289:1 gene:PPA01887 transcript:PPA01887 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRITASLLVLATVSNGLVQFSQSALYDIYDFQGVKEVPLANCANGCYIFASTTETAQDAYMKNLVVHDYASGKDMSIAAISKQIQVGTSQKLPYDLVAAGRYSILNLNAADAQASDVAVYVVDRTKARSIDFEIYDAATMARATVAPKTVVTVLAAKHFFVKADKGQVNSFTARLTGFENAQENNADNCVYAYKTQTFDGFEFHVSSAIVSFVFDKKNPVTIKANYDWLNVRHLDQAGFLSSPGFHGCAKVNALQVFRQFNGGFYGEEFDLHANNKLRVTWDIDANVTQDIVIKDMTNSKRNAVNGVKKNFQIVMEGTDFVTSYYNSASPPYGFIARHTPTRV >PPA01892 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:299878:300347:1 gene:PPA01892 transcript:PPA01892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hint-1 MASEVEKAQNASNEEDTIFGKIIRKEIPAKIIFEDEEVLAFHDVSPQAPVHFLVIPKRRIDMLENAKESDSPLLGKLLLTAANVARTLNVKDGYRVVVNNGKNGAQSVFHLHLHVMGDRQMSWPPG >PPA01902 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:339283:340408:1 gene:PPA01902 transcript:PPA01902 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTPQISVQLPISVVVLPHVNELSGVKEPDTGLAPPALWDIAADKQAMQQEQRLQVARCTKIIIAEGQDHSLTMVLTEATAEDDCELDGDHTEYNQDHE >PPA01879 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:239688:243622:1 gene:PPA01879 transcript:PPA01879 gene_biotype:protein_coding transcript_biotype:protein_coding MYACTFCDRTFDDKDERTKHRVEIHPLMRGTKGQCCVCARPFPGLFPFMDHMDEIHPVETAQIVVAPENQLRAYGWQYQEAFFEEGKDHRFNNMDYIIHINKLKRRQEEKELEESDDDDDDDDEYSYEGEEEQKDDQEVAQVPSPAQTEGYLDDAEIEKEDDQEDSTEVPIDIKPVILKFGVAEDANRKRSQIVEIPAESKRHRGLTERDFNLPLSSSSSIIPPQFPWNDQPQQQQVHQQHSTFPTQTIPTNQYKISPFPTNHIKTSPPFPTNQYKPNPPFPPAIPPFHPHMQQGMPYPSGHFAPNFPQYPMMHPQMNPQMQYYAQQQAQFGLSNPAFAPQMNNMWQFPTIQPLLPNMSAAMSGNSSSYVPMTPSPTSSNITGQSNDGTKKEEMGSVSRISTPSEMKLKEDLKEPQQGNVFGHIQFPNGTTQEQMNEAILNYANSFAQNGPSTSAAFPSLQQPLNVQISDHQIVQEENMPQLSAEIDPVGLSPVETKPVVFLPKTPTVSTDIISVKPISPNFTTVSSDPPALAPQSPLDKDVEATIRAAAADIENEMEEGEIEDGTPLIQQAISAMLYGGGTRNSTIGAPTFLHANTAPCQADINYWTGKSTNTCPRCGICVNSRTMRKSHYERCHFKEFYSLSRSRLSDIEKWLSIRLGKDSNERRETRICVHCPKKNWNFQGRTALLMHLESDHENIFHEYGLDYVRTFTDKHSKTSNVDLHNHLVRAAALPPQ >PPA01917 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:437217:440775:-1 gene:PPA01917 transcript:PPA01917 gene_biotype:protein_coding transcript_biotype:protein_coding MGECGGRAEALVPTFPSRTFPNHYSIATGLHPGTHGIIDNRFRVNKVNYDQTKGEYYGGEPIWNTVVKNGKKSKVYMWLGAYDAIDGVEATFHFRKYERHGLIDKINNVTDWLSSDDPPDLAMLYLEHPDEEGHSFGLDSRQVREATFLADRLLKYTLERTTERLKTWKVKKEDMGNHGADNLDNPSKLVFAVGFWCERDEKIMERQCDRESDTKTEGYVIPEEAEDNFNDLTSVGGPLNGETKAMKNGKGGYKKGIFYSIKKFLARPFQRKTDRYVRLPKSLHTKIVFYRQGLIPLDAEAQLYKFWVLILILWSISSLIGSMIYFGTYALAVIKEGKSKN >PPA01885 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:265510:270607:-1 gene:PPA01885 transcript:PPA01885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mec-4 MSWIQNLKNWERLPESAEYMKKVYGDPLAYLNADQSKFVTEREYFGDFGYGECFNSTSSEVQCELITGEFDPKQLPYEKRLAWHFKEFCYKTSAHGIPMIGQAPNRYYRGVWILLFIGCMSMLYYNADSVLDKYNRNEKIVDIQLKFDTAPFPAITLCNLNPYKASLASNVALVQRTLNAFRDAMPSDKKNKGNDDDDDDDTPHRMKRSSKDAYFEPGYSKCVCGKSSSEQFEGEGKHSTTDAIDDDHDDNDEEPYEDPYESSTAKTASDDDCEGDRYKYDEPILEENRCICAFDRGTQDAWPCHPPTDWRNTSCLFCNEHAFCREHPPAGEAPTHAPRICLCASTGFCIAYSDKAPMIEIWKFLSGGPTTEDPNFLEAMGFAGMTDEVAIVNKAKENIMFAMATLSMGERQGLSTTKRELIHKCSFNGMACDIDGDFMTHVDPVFGNCFTFNYNRTVNLTSIRAGPMYGLRMLVYVNASDYMPTTEATGVRLTIHDKEDFPFPDTFGYSAPTGYVSSFGLRLRKMSRLPAPYGDCIPDGKGTEYIYDNYEYSVEFVNLLADFGGQLGLWCGISFLTCCEFIFLFLETAYISGEHKYNVWKKKKEEKERRRKLGY >PPA01918 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:442715:445530:-1 gene:PPA01918 transcript:PPA01918 gene_biotype:protein_coding transcript_biotype:protein_coding MTCAWMKECKRERSVTPPLLVISLDGFANRYADWKNTPTIKKIGECGGRAKYMYPSFPSKTFPNHYSIATGLYPGTHGIVDNVFYTPNKTKFDSNKGWFYSGEPIWNTVVKNKKVSKTFQWLGSYEKIYGIEATFHNPMYEPNVSFISKIDNVFEEAYRMDRHINYIMNKLHKAGVLGCTNIIIVSDHGMRNITKRTVLDKIDPKYDKNVTSSAGNNVLFYDDVMDIPAAPNNGTEGLLDDLLVDPPKRCNKFERFNSISVSNVTGYLQSEDSSVCSIATGRLFLLYSRQLSRTVGMEILVNSTGNYEGEFMTKLNDGLIGLSCDEEGGKSALTTQIFESTLKLTWTNFASLSLVGRAMTNVIKRKSTRIQIGFVYGSDGSVKSAFATGFWCEGEGWRKEKDYCINEEETRTEAYVIPSSAVENFNCLDEDALLFGYRTTFADIENLTELSLLPKSMPLSTRNRIALFLSTQTFF >PPA01870 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:198634:201385:-1 gene:PPA01870 transcript:PPA01870 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hex-5 MIRRLQLLVAVISLAVAVDKYVHMDLKGAPPHPDYFKQSLDIFAKLGAKGVVIEWEDMFPLTGILSSASHGNAYSKADVIGILEYANRVNLTVIPLVQTFGHAEWILKTALFEPLRENENYTNVFCIGNPATKDILTDLLSQVAQLHAAVTPMPFFHIGADEAVIKKPQPGTCPEDIEMMEELGTNDTKVLVFHHLRTVSKLIQDEFPETKILAWFDEFKGADAQVIRDFELDQRLTPVVWKYNPSDLEKALPDDMWSNLSDAFSSAWGASAFKGADGSAKIDNAIVPYMSNNQQWRIQLDLHSGKFNRSIEAVIVTGWQRYDHFTGLCELLPTSMTSLALSMKILDNGNVTTDDASMISSILSCPNSTTLVNLIAGNDTCKYEGYKVRDSIKQVVSYMKNFDDYTWIHNLENGWFGKTAQDRHYSSRYYIESLIGQYKKYLKNTNKMKKDIINNFGVIYFSETASEFLFDRVDSFTEKLSRATLKHEFPSMCE >PPA01900 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:330483:333331:1 gene:PPA01900 transcript:PPA01900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mec-9 MCWDKFDMNYRNQCQAGNWQQRYYFDHASLTCRQFWYDGCRSNSRNMFDDALTCQWLCEAQPMYKSKSCLEEFDHRYKEMCNGGKWRQQWYFDKNNKKCIPFWYDGCKGNTKNIFPDELSCLKTCEDPASKDPKDNYHLENASEHSRTKYEDDIRYYCYCRSGWRGSHCTEVVDRDPCEFQPCKNGGTCKAKFENKKTMHECFCATGFGGPLCSERPCDSAPCQNNGTCRTTAAASTYFCDCQHDHGGKNCEFVIGKPSILEENFGSEVEQVSSGKAEWVEEMKIQRQRRKFEKKQKQSKKKRI >PPA01883 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig106:250085:251579:1 gene:PPA01883 transcript:PPA01883 gene_biotype:protein_coding transcript_biotype:protein_coding MKSASVIVLLSLASSSFSAGCQGAQFDSVLQCYSTFFAAYGMSLPTPTTIPEYWDFHKTRMGWFDQYGVKVQQKVCDIGNVLVDCLQPNWDCINVEMYTRMGQTTADADNYKTDLYVTQYQCSPRGLQLALKVFNCMDQTRLSGGQEAGEACEAAIPQDFINYGHCGGYNVFLDCMYQIYLPSCGPDGAEFFCGTTRAGLMANDPTCDMKGELNQCPGVKSNIAMKLQKLKMLH >PPA01840 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig106:91429:92526:-1 gene:PPA01840 transcript:PPA01840 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWGLVIASQMFCVALIASFNIFVKDELGVRMRKYMIITFEICIYIAVLALLLINQRRTRLRETFDYTELTCRYQLAENIRACRFLLTFVLFDSLITATDVVADVGFNLIERRRIVPSKDRYHTKIRNVLGEQVITTHTIDQYFSRLTSSWEAPIKRY >PPA01901 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:333874:337559:-1 gene:PPA01901 transcript:PPA01901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-egl-47 MYPFSQTLDVSTEDEEQEGQPVLSHYPNGSCSNHHEPLARRQTNSNLRPAINGNVKNHFAPRLVGCISRSLRPVFIFLRILSLFPVLIHRGTNRRRKRWRRLTTTVILFNVAILLIALNGYLTRLNFQLIVAYEVNFGLMHASTVSAIISGIKPFIACFLIALFTFRIKTHTRLIRLIDAVDLSFRSAFRASPPVRKYTLWFFTSSAVLFLIPFIYRIIEYIQTGLVLGDDPLNDFAFILVPMLTVWNIVPLMYYSLHNKIVRFYLTTLIKALDNEHKRRVFSLKFYYEQFLRITVIQEGIGTFFNPYILFSLSWSVAILCLTIYFMTQDHASLSQPITAEQFLDSVMRKTLNTRVHFAIGWAAIQVVVAALHIVIICHTGMLTNERTRQVVNSVLRIVPDQNADLDRFQLFSLIVTYSFLLLKLKDNPNVAPIMTNIVTIVNSSSTVTAIDTRP >PPA01929 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:509552:510153:1 gene:PPA01929 transcript:PPA01929 gene_biotype:protein_coding transcript_biotype:protein_coding MEWCEYWTLLDQKESHPECALFSNSKETILRRIGRYPEEVPSVAVPSSPITPYEPILSAAPPKCESDKEIFDCMECESNCANTNPPCTRGEMDSQSKTNLFY >PPA01909 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:393007:393762:1 gene:PPA01909 transcript:PPA01909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cnb-1 MDRDGFISNGELFQVLKMMVGSNLKDTQLQQIVDKTILFHDRDNDGKISFAEFCDWMSLTSIIDGNVV >PPA01915 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:423530:424261:-1 gene:PPA01915 transcript:PPA01915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mod-1 MQDYIRELLTQFRGVKVELVWMDIPITFIHYIQLPDFDMVEFKVQKRNLLYPNGQWDQLEVEFTFKRRYGFYIIQAYVPTYLTIIVSWVSFCMEPKALPARTTVGISSLLALTFQFGNILKNLPRVSYVKGEIL >PPA01928 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig106:505843:507157:-1 gene:PPA01928 transcript:PPA01928 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLQFSLLLLLFLDSQHGFLSDLNNMKYHSREQGSPFLMITMIRKRVSTKENSLSIVFWEREILEWLGLDHLHCLEFLHLDIKPGNIMVTDDLRCKIGDFSVAMDITKDNPMDADFGDKKYAAPELFAHMFTPKADVFSLGITIAEISVPSSSPLSEDEWESIRKIGTLPDREYTIR >PPA01936 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig106:558560:559965:-1 gene:PPA01936 transcript:PPA01936 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRRDFLSPLSALPFSGIEILPRSDEMLKKKDFLAGFYRQRSNYVIVEYV >PPA01830 pep:known supercontig:P_pacificus-5.0:Ppa_Contig106:51983:55699:1 gene:PPA01830 transcript:PPA01830 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLEKLEATEKMKMSPKEYKQVVKMHHNWYTQAVHGLLIAYAKKMYKTHSDDPHVRKAIVACLDGVTEENALKQTADCLTHLFDGTLISQMGDKMHGERYSFVEKLNETRISAESKDIAITKSSTDSTPSTPSTTTSTTTQPSTTSTSTLPSFVLAKKKHRKRYGKGPIRIKFRQLRKGRKHFDEEKRRVKRALSAFMGAKSDPPKRKVRKMEKLEYILDPSGSGIKSTTSMLENILKIAKGKGTDRVPFDFMMQKIEKLRDIHIEAKTENGYRRRMLDSVLGPDHPIKLPDMSDLTITKELRNILPNEYKSLVDMLQGIPGIDDKGRDRFLSPRFMPLFPSGDSRDNNSILSPEIMPMYRLPSLLETTGLLERERNSLLSLILESSGAMDVVDTAMDAIMKTKDMGLGEDVNRANKMIGNTFTEIKGILSPQQHAEMEEREFTHATSAQLKKLYGSQGKYNESTFPFDLVEYDKWSDEEKEQSLRNTIRILADRGEDDHPTSHRARYKRALGYPDIVFPNGYTIKFFSHTTLSPFYFSPSFSTLSVLGPVILSPSLFCPSFLTGLLFSPPVIAPQLANPLFLSPYVLGPDVMSAAMFNVYVLSPYFMSPNVINPYIASPLILSPFVMCPDVLSPTILSGAVLSPSVLSPQVFTKNAMSISALSPSFLIEIEKTVTISDVT >PPA01938 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1063:452:1935:-1 gene:PPA01938 transcript:PPA01938 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKQREVAPVVAHSAFKPKKGWIIVGKIQYDTKIELGSGSGGTIVFRGTYAEREVAVKRVLKQNVQLATREVKALIESDSDDNVIRYFDSYIVISSLQTFCFLPEEEQNSLSSGSGIVGSMGWMSPEALKLQSTASDRFVGEDFKSLLSKRIMKNGKKIKQH >PPA01940 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1064:3958:4960:1 gene:PPA01940 transcript:PPA01940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-jmjd-2 MRRLSTPEYLNVPRPWDTLVNRYECEICKGHGEGLMVCSLCVSEGLSDVDIVHATCAREVGMRLERRTFPQLVVVICHRHERKIRLKIGTQVEVEERNMSKSIDGKKEREDIVKSIGNVVGYVDDEVEDDGEEDINRRCIVDFENNDESLNTQESDIVKCWCGNCDGKTHVVVLWQQNGVEYPAYYRGPTPPSHYKVQIDGEIDI >PPA01939 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1064:268:1986:1 gene:PPA01939 transcript:PPA01939 gene_biotype:protein_coding transcript_biotype:protein_coding TIRYEDESSASSTAHSYTFYPTLEQIVHFPTLIDTIERETNAGSRCGVVKIVPPNGWKPRKAGYSNKLIGDTVINRPVTEMFNSGIKQKCYVKDVDQENLPDPVYGADTEGSLYDDDVDTLNISRLGTILDDLKKDINMPGVTTTYLYFGIWRTMFPWHAEDVDLYSINYLHHGSPKHWWSVPPEAADLFERMMSQLFRDDASKCSAFLRHKNYIVHPDLLTLYGIPFSMTTQRENEFIITFPRVILERKG >PPA01941 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1066:87:704:-1 gene:PPA01941 transcript:PPA01941 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFHGNGCKCPGCLKRKQIHHPKAPTPANLSLAASVASVKNHVESAQSVPATYYVPNIGSVSPPPPLMMQASMPAQNGLVPGTAPVTLATQGSVPNHNPLVLSTVTPTVSQSVITARPIQDAAVPTMNAENPEAYFDQMMG >PPA01942 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1067:297:2143:-1 gene:PPA01942 transcript:PPA01942 gene_biotype:protein_coding transcript_biotype:protein_coding VPLPSVLPELVEVYDDRQDEWKYVDLVDGRSTAKKMHRLAVCLQPVNLMADWPLIPNFFETWIGNGATIFYVYVHSISEEVDLMLKLYEDQYDIEVARVDWPTVPTEDVGADDDLNPNNRMYRTEVATAVNDCLLRARATAELAVSSDMDEIITPMNLKGKNETLFNIIDDYRNRKLKNGAVPGAFLFRHSYAYVENNWFSIAHPSDLSFHYYRNVSYEQKPEALEQYD >PPA01943 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1068:584:2242:1 gene:PPA01943 transcript:PPA01943 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIPSQILRSLARASQEDARSGTAAHPVDQRNTQVHLGYGILVVRRLAARPLAAVLDQASGTVEWLVARLAQRDEQIRERLKGLDTKMADTRIGSVSSWVNILTLLARNADT >PPA01944 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1069:505:1460:1 gene:PPA01944 transcript:PPA01944 gene_biotype:protein_coding transcript_biotype:protein_coding MVCATALLSSSLALSLLHHSTPPSQSFVISPYSLDTALSVIHDGAGGPTQQELTDLLLKGCTPAEVTAHYSSLALSLLANNVSGVTFKSANRFYVSESTSLKKEYEAEVVANYQVKVEKVNFREKAAVASQINSFVNDATEGMIKQVVDSQSMDPDTMAMFVNAIYFLGKWKFTLSKPYTYTFKGIAGNRTMDFMKREEVLWDPRPRVWWCSSFLLTSA >PPA01972 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:221543:224159:-1 gene:PPA01972 transcript:PPA01972 gene_biotype:protein_coding transcript_biotype:protein_coding MLFEGRRLLEQKQTTINPSACRPHIEASPKPSTSSDSSSSSGSSDAAFSESFLFHVTPENLDKAHIVIEMFDHLPNGQTISTGHCVLGRLSPGTGHAHWLQMLRKPQLPVCMWHRSCISASSTFHCTIFQKKTN >PPA01989 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:345509:350241:-1 gene:PPA01989 transcript:PPA01989 gene_biotype:protein_coding transcript_biotype:protein_coding MATERKGMLCRGVISSPKMIGPLEVAEGMKALFEIGRMMRKRYVKEHKILSSAYTAKEVLNPDALCPRQDQLKEMVKNSAEYQNYVKDPQVVEVLKYLSDSTGTDVTFENLQLLQDPLYCQSNHIEELNQTGAKIEDFYPWYYSGDLPSIVNSILDVNHDFLEGDGNPAGINGIDVSVEIPKIRAGEMLKLIISNIRGVFNCRDNAAATSCRKFYKNLRYYALSAHDSSVGALLTLLGDGSAQRVLFTAEFVTEGHPDRMCDIISDTVLDAHLAQDPNAKVACETVTKTGMVLLAGEITSKAVVDYQSLVRNAVKKIGFDVQVGPTCKSPCNSRSAINSIKLSRKLN >PPA01984 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig107:331739:332143:-1 gene:PPA01984 transcript:PPA01984 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSGLNTRRSSQIGNNSLIRRPSKKIRAMIEHHNVFEVGTLHLEPFSRDEICKNF >PPA02012 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:460660:461970:-1 gene:PPA02012 transcript:PPA02012 gene_biotype:protein_coding transcript_biotype:protein_coding MDRITSDERARDYSFRPISRETVIMPPMLSLKPVNIPEDDSDEEEVIFTRQMITRLNNSLIAQNEKPVPVSEERYRKGDKKKRAPSASKRENAFCGF >PPA01970 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:199478:203304:1 gene:PPA01970 transcript:PPA01970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rgs-3 MVEQLNKDMQAMFDPSLRDARPEPEMPSADGVEYPRAAAWSAGQLQAVLSDDKGRQLFRVFLWKSLAEENLNFIEATEKLKKMKTSEDKKSFAQEIIDKYAVLINLSAPSMASIRRSAQSDDIDMEDWAPAIKEVRRLLENDQFPRFRRSDVYLDSEDQRSKITFQFLELILPRSYAEKWATSFEALLGNAVGRHHFRLFLRSIRAEENLRFWDAVVEFRASRGKTTSMIVQARDIIATFLTEGTPNEVFLPFGTKQVIDARVLDEQIDITLFDEATKHVEQVLRNDPYVRFLQSAEYDNLCAKLTRP >PPA02011 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:455600:460427:-1 gene:PPA02011 transcript:PPA02011 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDKSFYSSNDHAPQQLADSQNEKPVPVSEERYRKGDKKKACPFGLELRNRKKRFPAFKKERLSSGSAKPKTAERPPSAVSTASTVAAAAAAPAAVAAAPTLSKAEAMLAKDKLDASELKDVLNEIEAYEGTMDPNALKITPDGLDIINDKKYYFRSRLAELHNVQRLLEQRKEEALFREQYSQAGGIDKCLQQLRLREEPLKELLIERMDALKKADYDEAQVQKDRFEINLEAALDIPDLKKFISAKEMTRIRKDAKDRPNKD >PPA01952 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:50386:50932:-1 gene:PPA01952 transcript:PPA01952 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAFACICFLALSACALAEEAKEETPVLEFSGFQEKQKPVASKNVDELFAAALAKLSPEHRKIVEDTLGSPEEEVEKKEEEKKDEKRVRRTTW >PPA01976 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:242037:245422:-1 gene:PPA01976 transcript:PPA01976 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHGSMSSFGGPGVPRRRKMSLLQSLRQQNKALADSGLDMARQRTDSESSPPTRGSPPLSPSKGALSSLKSKTKALFGGRKDSRPQLESRRTMHSIHTATPTEFSQSCDPINGRSRKSPSTTNDSGRGSQGHLDERIQLDERGELLLESMRGGHRVEHAIVHANPKRSCVANGYSIHHHPQLIRTSSCPSLSSPSLPSPPIQAYAPPQAVYYAGANGHRKSGGSCSSRSGCNGCPLVVPESDDEIVFADRDGIDVCSHARGYRRESKQKKESPSPISGSL >PPA01975 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:239710:240556:-1 gene:PPA01975 transcript:PPA01975 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQIICRFDNIRRVSNVNEVDEDAVSNHSRVFIPSESRPEADRASTAHSVRHSRAELECISRGSMALTEDLADFDDSLSLMLLDHYLPLSRSNTNQIIFRVE >PPA01960 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:121800:125567:1 gene:PPA01960 transcript:PPA01960 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAFWTILSIILVLLWIGVMYLWFMIRHFRREPLVDPKNGLRLLTVLGSGGHTTEMLDLLKHFNDEAYSNRTYVVADTDNHSERKALESEQARHSGTFVVEKIPRSREVGQSYLTSVFTTAYASLHAFIIVSRIRPSIVLVNGPGTCVPVAISAALLDMLRVANTRIVYVESICRVERLSLTAAILYYSGLADDVIVQWPQLKDTYPRVRTLDEMS >PPA02000 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:392120:394898:1 gene:PPA02000 transcript:PPA02000 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEMCGSLAFGLDWDRDYFLPIVRLIIFHHAAYFVSRRARQIYWPLSVFLLNPTVIYVLIRKTQMSLDCKAAFVAHHVVLICFDVYNGLLYQMYPLAPLPIFICTGLFCTNNVSPRILLTILAFWTITMCVPYLFIMTRMHQKMLFHDSPFKVSMRAQIGVLSALITTLLANLVGFAIWTDESAEKTRILQTPSVSWTVSVSHNFLVLGAAPGDVGDFIYELILLAASIFINFSYYIFITYHAVFKLGKQMKRTSLPAQNSKTRDAQLRFVYSLTIQATLTGIFFITPLALLFIALLVDFSFMPGILLGISRPLFLVGHMRYIYKYK >PPA01971 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig107:204380:205406:-1 gene:PPA01971 transcript:PPA01971 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSLLLVLLIATVATAQTWSAWTATPNSPCSATCGMCGVRVIATRTCSVLGKCSGAAQQYEECGSKLCPFGGGKPVKTCCPGYVKGLLPAQRGLECVARVAVMVAKTKLT >PPA02010 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:452498:455503:1 gene:PPA02010 transcript:PPA02010 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDCKAAFVAHHIVLICFDVYNGLLYQMYPLAPLPIFICTGLLCTNNVSPRILLTVLAFWTITMCVPYLFIMTRMHQKMLFHDSPFKLSMRAQIGVLSALIMTLLANLVGFAIWTGESVEKARIVQTPSVAWTLSVSHNFLVLGAAPGDVGDFIYELILLAASILINFSYYIFITYHAVFKLGKQMKRTSLPAQNSKTRDAQLRFVYSLTIQATLTGIFFITPLALLFIALLVDFSFMPGILLGISRPLFLCLYSLCSFYHSVVFLVKNPWCIQSFIIHSVHYTHVTV >PPA01974 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:233391:234407:-1 gene:PPA01974 transcript:PPA01974 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPSGKHLAAIWLRWPTRTETCTTTNSDTASATTAASAAQTDLSLIQCPGSLGEYLQQLQLQHDQEYSFGYQVKT >PPA02004 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:406284:406797:-1 gene:PPA02004 transcript:PPA02004 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHLYIGTITARTLASRDKQTELELALDNIKCDVLAVQEARIVGCASFNLTSSGTLVFHSGGPTATHGAAFLLRPHLVLLRPHLAGGAVFRGLSPLLATRLLSNQRFFLVCVYPSTSSYGDKEYDDFMDQVEAALRSPPRGHAPVLSRGNLAMKEGNLAKSR >PPA01958 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:93229:96700:1 gene:PPA01958 transcript:PPA01958 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSNNKNNNHSKTISRFSQTLSHIKNLHWVVIEDANVTSPVVERILQRSGLPYVYFHATTEPGMPKRGWTHRNRALQFIRENYKDYKKGAVVYFADDDNSYDIRIFDQYIRRVKRLGIWAVGLAGSAAVEAPRVANKTIVGWDVVFAPGRAFATDMAGFALNLKEILRVPTASFAQRCAKSSPETCFLAQFGMKKEEAEPFGYDDDPKEVSGV >PPA01963 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:139511:142584:1 gene:PPA01963 transcript:PPA01963 gene_biotype:protein_coding transcript_biotype:protein_coding MIADATAGTSTPKRGRGAPPPPIEMPGMGMMGGSGASSPAVLPPPVIFSPSVDISDTCDFCDGTRLENKKTKQPEELVTCHDCGRSGHPSCLNFTENMRLSTSKFGWQCIECKSCAICGTSDNDDKLLFCDDCDRGFHLYCLRPALEQAPDDAWSCHLCMKVFGSKAAAAQPKK >PPA01982 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:320113:324809:1 gene:PPA01982 transcript:PPA01982 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFSLKALYPTPTMAQSARAMAAAAFPDDVVQLLKQLMSIPSNTGEEGDAVDYLVAGLHADGCLHMFVHRQAVGERGRANVYATRAPIGERAPRLVFNSHIDTVPPHVDYREDEENVYGRGSCDAKGQVAAMILAARHLVRHRPALAADVGLLFVVGEETDHAGMIKANSLPGFAPEYLIVGEPTEMKFATIQKGACKVTLRVTGKAGHSGYPHSGESAIHKLLEILKDITDERWPRDEKHGDTTYNIGTIAGGQALNAWAAKAEASIFFRVTTSYADVRARVERIVAGRAEIDHSLGGNDPVLLSLPSFPHETGQASFNTDIPYYDKFKSLKGVYLFGAGSITVAHGPREFVPIAELRAAVGKHVQLADDY >PPA01973 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:227797:230100:-1 gene:PPA01973 transcript:PPA01973 gene_biotype:protein_coding transcript_biotype:protein_coding MPEETVSLTSPSSPFWWRDKRHPRPMGRPCWPPRSRSSDEECDRGMNFIAKHFFEYQSFVNLPHKAVQLHKTPPSQAGADPSMGWAPKNMNKAGKNQPAPAARANRIHHEPRQHGTKHGRAGKHEHRMWGDRHGELKDEKVAPHKDAWTKFVSNEDPGPRTIFECDFEDRVLQTAEETLPERLLRKASPTDELEFQMAYNANKVSFTVGV >PPA01999 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:383178:390117:-1 gene:PPA01999 transcript:PPA01999 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIAFLLLVTAATAFYLPTHEEKLAAWSKLNAVCDGDFKTGLPDGYELVLVNPIWRHGDRGPTESFAGDVLTEDDWDFGGGGYGELSPIGMQQHYELGEKLWTRYAENDKFLSARYRAKEVYARSTDKNRTLISAMSNFAGMYSRASAIKGTDYPDFAGWPQTFVPVPIHTEPQRTDYIGDATDTYCPRGDDLWELAKQHPEYVAYDSKPRTQQTLQYLRDQTGADEATVNFDNVYLIRGGMLCESIHFADNFSTWYPWYTEDVKQRVGEIDNQNIDFQNGIFGSGMFQGYDLTVEIPTVRGGPILNEVVEKAQGVLDCYVTNKYGVQTRCTEKDHFLSNLKYFVISGHDTSIAAYLTVLEAKPYVLTSGGYSSYSSAVITEFFVDTKNGNERYFRVLFHDDADSCFRIITPFVTGCEMGADFCKMDHLEALAAKYAPPGGIEDLCMQRVTGPAVSTTKPPPPATTSQLTTTNKPDNGPSTTVTVPTIPTTTTSASLFSFLSASTFVLIAKLIF >PPA01992 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig107:356844:358584:-1 gene:PPA01992 transcript:PPA01992 gene_biotype:protein_coding transcript_biotype:protein_coding MVANVQGVLACMDTPDDDEKCRNFYKKLRYYALSAHDTTTAAFLTILGVKKYIIPEGYPNYSAAVFLEIYQDKTTGERYFKVQYHADKDSGFKPITAFVRGCDPKENTCPISVLDDLVAKYAPDTDMVTLCNTPPNGVPPTTTVRPATGSSTIVTTVPPTTNKPTTTPTTPPTTTSASSLSLLTALSVMIISRLL >PPA01981 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:313820:315280:-1 gene:PPA01981 transcript:PPA01981 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDFTLVQGGRDVPTPSSRLIVPSRTVRLRDHTRKHRELPNVIFAPVEGSRVLTKTAFINANSKETNDEAFKIYEHFLATKGQSVLAQIADPFFVTQPPERTTIATPSERRAATRSITMRISMR >PPA01987 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:339945:342560:-1 gene:PPA01987 transcript:PPA01987 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGVGIINGTVSDLDTDAPSFPLIITCIVIYGVMIGIGLIAVALFAAAQISGRKTFAQFPFFKIVRHLTIANGLFLFIQAVNIFPSMLIDTEDTPDWLNDLWGTVGDVVTELGDQAVLYFTFLMGVNRLLVFAAPRALWLFQGHSLRIILLCTWLLVGGTTAIRLTGGNPKKFNRKTLTFNALILEPNTTWAYQVTTLAGYIIPLLLILLYIVIFIFLRKKRQSAQSARQVTSASADESPPQRDTSNDDIQILIQALIVSVSLEITRLISTIAPMMRWIVNILASVSSVANQALNPLIFVCTNKMVRRALRRIPKSIMGQNSSTNSLAKP >PPA01991 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:353076:356321:-1 gene:PPA01991 transcript:PPA01991 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIVIFGVAATAAALSRSERKARYDALPDEKVDPNLELIFATSLWRHGDRAAANPIAGVDQFTEDDWTIGGGGYGQLSPEGMRQHFELGRKLRKRYVDDFKLLSPAYTAKEVYFRATDLNRTLISAYANAAGMYSGFGVEGQNYPSKTALPDWPTGYVPIPVHTIPYNKDHELHPDADCNRLQELYDLVDSSEEYQNYMKQPQVSQVLKYLSGYAGMELTPDDIYNFYDPMFCESLHIEELNQTGAKIEDFYPWFYTGDIPAMVDSIQAIDDDFSEGLGNPNGINGIDVSVEIPKIHAGDTLKLVVSNVNGILKCRTDSTSSDCRSFYNNLKYYAMSAHDSTVMSFLVILGAKKYVMTEFPAYSATVLLEVYEDKTTGEKSFKTFYHADENSGFKPFTGFVRGCDMTKE >PPA01980 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:306437:312585:-1 gene:PPA01980 transcript:PPA01980 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGPPPRNDTQQIRQFVAGRSLRREEQRYPAEAKPVVRARMIASPVVGHQPSSLVAPPRDLSAAPTALPLAARSSPLQFGIASPQPSQQQQLQQQRAHQQQQLFQEQQQQQQQQQQQQQQQQQQQQQQFQEEQQRQQQLQQQQQFLLGQQQAVGFPQAVQSPFRAQFPSDQLFTPDLRNPDEVAWANYLAARYNEQNRRPFRPTTVGGAFTRNRQPGRPIPLPNPARIEMMSDPDVFYAPPPASPFDFEEEQKRSIPPTAFAIDETGENFEKPSNRLRNFFARFPPYEQQQQQQQFPQFGQQQQQFSAQPFFLTPQQLQQQQLQQQQQQQLRQQEQFRQLQTSQQPFFPNSFVDRNTQRFTGQQEERESTQQSQQQQQFTTPPPFFPPPTTNAFYNGPGQPFQQPAHLRREATPTLISQPPPPHAPFGFLPTTTQPPPFQRPQPPLALPNPLDLFTPPPPPPQQPPPAFAPTKQPVPVHSVTVSRPRSALSPNQKLDSCCRKQGVNPICQNLCNFDSFNDKTLVSAFLTAQCPGDQMGKAFDCASTKKANQQYFSFQLITADHNECCVRGGLGSFLGGKCLPFCNTHKDTPANVLDYLPCLQVFRVIRDCFQEYQRVNPNIFGD >PPA01978 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:261778:263402:1 gene:PPA01978 transcript:PPA01978 gene_biotype:protein_coding transcript_biotype:protein_coding MEITNLTESTLPRGVALPKYGRTLPVATVHVQPGHALALRPHPAEAVHPTAGVQIIIIVPVEVMVVAVVAQYGVPAAAQEQVAVVFPISAGIQIIQISPYLSSGHAARSAVLPLRCRASPHLLLLGYCSCGVARGVAATASAERLEFRALYFNGKIAKQAAELSHANVQDA >PPA01959 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:98860:102992:1 gene:PPA01959 transcript:PPA01959 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPSDHAAPAAQAPGLFGRILAWRPWGVAAAPAPPEPSSPHGRPPRTPPRASPGARTRSRSRSPLALRPLDAYAQDDEDDEELLLRGRPRRPAAAVDDDVDDPMGEDEDGLDDGDEASSTRRSSKSASSAAASSRSPSPARPWATRLRIRAAVPAAAAARVVAAAPHRARRPAAARPQPGAARLRLPRGAPAKAAELRARVSSMSAVRSLPSRRATCVQSDPDVGVTSSDVIAFSKMIKKRPHIESHVEMRVARLDQPTGKTHYAKVASAAVNKLNSFVEAMCEASRLLHNLIAAANGRGTARLTRPELLQLQQARAAMSVARQATLRWRELCQGVR >PPA01947 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:10050:12013:1 gene:PPA01947 transcript:PPA01947 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGEQGTTAVAGEEMEEAMADGAEITLADGEATVAADGVETTTAEAGAEIREEEDGEDAAETMIIIITITTVTATATAIAAKSIAREEEDPLEGSSHRVGHRRFVISLFIQ >PPA01964 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:143983:155471:1 gene:PPA01964 transcript:PPA01964 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHDAMVWMFERPLYEGLCARKAKSELEGMLDLQMANANCCRFNRWGTLVAVGATDGRVFIIDFLTRGVVKQFPAHVGPLSTLSWSRDGRTLLTGSVDQTIVVWNVLTGQAIVKMKYAGMILSAQFNPRDDNQLLVLPNGQHPSVEWIKPRSSKIVASSNPLLQEDTVSCAAYDRRGKYVVTGTSKGRIVIFDVATQQLLSIVKQNSVQQVRNIVVPRRGCFILTNSQDRVIRRYDIDELIKGGTRGNTIEPVQKLSDIVNKAAWKSVCCSYDGEYICGASTKAHALYIWERANGSLIKILHGTKHQGEALHDVQWHPTRAVILSVANGIVSVWTQAHVENWSAFAPEFTELEENARYVEKEGEFDQYDEDASEDEKDDEDDSEDVEIDVVNLKADEMGCSSDEDDAHLPTMPTVNSGPLWFIPVTPEIENPEDRNPMTSMAMASYTPYADINFITQPASGATLQYSDANDLRLQMLAAHSMTAASAKPMSCRAEITPNTTTALCWILQKAAEVERGSLMGWGEARTNG >PPA02008 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:447823:448503:-1 gene:PPA02008 transcript:PPA02008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3E930] MAQSVPPGDIATQPGTKIVFNAPYDDKHTYHIKVTNSSARRIGWAFKTTNMKRLGVDPAAGVLDPKENALIAVSCDAFAYGQEDTNNDRVTIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA01990 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:351819:352279:-1 gene:PPA01990 transcript:PPA01990 gene_biotype:protein_coding transcript_biotype:protein_coding MITCPVSVLDDLVAKYAPDKDMETLCNTPLFETPQDTTPLAPELTTEKTTSKTTPQTTAKPTTTTSSAPSFSILAAISVIFLANVL >PPA01996 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:373848:377813:-1 gene:PPA01996 transcript:PPA01996 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLLVLSVPVLSLPPHQRDAIYRSLPADVGAAVAGNIKLIFVNAVWRHGDRSPEQSLPGKDTDLFSEDDWKFGGGGYGELSPTGMIQQFTLGGRIAQRYMQDFAFLTPRYRAYEIYIRSTDYNRTLISAYSNVAGMYKDTGVDGTDLPTGVDGWPVGWVPVPVHTVVNKYDYTGNPDADCKRKAQVKQLILDSDEFAAYNADPQATTLDWLSSNSQSSVTAQNAYWFQDTMQCESVHAFDLKGPNSDASKWYPWYFSGTVPDMTNSIVGRGLDFLDGLGNPLGVKGVDVSVEMPRMRAGETVGTIYGNMQGALACYKTPNDDSCRKFFQKRKYFAISAHDKTLAALLILLGPKKYVFPVGYPAFAASTFIELYVDTDTDEEYFKVIYLATPDDEFKSVTNYVKGCPLTDELCPLSVLRDIVDKYTPKPDMDTYCNTDVFSSS >PPA01985 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:333351:334350:1 gene:PPA01985 transcript:PPA01985 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRATLFNADILDCKIIMHSSLLLVLLITVAVNAQTWTGWVDTVNPPCSATCGMCGVKVVATRTCSQLGKCSGAAQRYEECGSKMCPFKGGKPGKTCCSGYTKGLLPNGQGFECVARKAEMDRKTNLST >PPA02006 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:410118:411632:-1 gene:PPA02006 transcript:PPA02006 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGELMGRGQEGHRIADHECSMRSERGRLMRQKITPTTTTLLNFFTHSQKETIVPKMAASVTPGTSVIENAVPSITPRKPQLPTEQRTASATPATSGAAKVEIPKTPTTPAKKVLPKIRMDGPLGTSNSFKDVPVTTPRKSQQPSEQKIPAKVAQTNSGSEFMETPKNAADATPSKKEVDKNDIITLDDSDDDIQLVEEIPKSEQRPDVLEKQEELEVQHADVSELQVDAEDEKEGEEEDGDDAMEGDSMEGTTKKRKRQRKFDDLFIDMNDKMIVDVE >PPA01986 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:336753:339726:1 gene:PPA01986 transcript:PPA01986 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSLILIPLIVVTVAAQQWTTWTPTPNSACSATCGMCGVQVIATRTCPVLGKCSGPSQQYGECGAALCPFPTPTCCTGYVKGNIAGGGFELLYVLKRQSNLVKLDGSFHTLMMHSTTGNLIFSIVFCFIQAPAGALGPVISHIELIKIWAKISYLCFALWFITLLISIPLMFPGRTAHYTMLSVFNTCAVQFTFDGFANQLYTVIGSFFALVIEIIAILLYIAMFAKFNEFKLRGKKKASEVKRMTQSVWRTTVAAMVASTGSWLIVFFFLASFIYSHVTGRALLSDQQYPPIFVL >PPA01997 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:379711:381446:1 gene:PPA01997 transcript:PPA01997 gene_biotype:protein_coding transcript_biotype:protein_coding MNCTARCCATRTRAYTYDQGLKGCAQHGPVAGLTCTHPTREMLRETKNCPRIDTQVYLYLGYPRKHWTAGREPISSNLGSMPCGLGYNAIDLLMPDLSHKVVCSAYYVGLAWDPELGVWYYLEIVRTYICGAACFSVPLADADALREDACAPLPAISGIPGYGPPSLFNRTWPCSHEKASVVKYELVNTKTEETSKYKAWINCVYGTWLYLMNYMDKYKQTRGITAPFPILLQKE >PPA01995 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:369135:370188:-1 gene:PPA01995 transcript:PPA01995 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIAAGVINIAGFVPFLLLFRYNSRKWTAMRSFHSRRPQSPSTDTSCRGDSSCWRIFESASTIPYISHLSLSLFDLWIALVA >PPA01962 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:130977:136216:1 gene:PPA01962 transcript:PPA01962 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTIWAMYIGQLGLLPLGALCSAAMAVTESNYIELMKSCSKFNSRIIGERKTRYPFFDQQTGTAHRPSVYAWRKSEQRYTSNDPDYVYAYPAIKWRRDPSAPPSDATEMKMFLHNNQPLSDAINHVSAYGGPTAGMGTVMMPSSMGNLEAMALMSSGESTMDSASLSMNHGVETGGRRAAMKRDYEDFDEDDLDALSDDGGDSDDDFGAKKKKGKGGAPAKRKKASAGPGGASSSSQLGAPMAPTPVAAPAQAATAGGADEKRHACNSEPARSFIMYIMPEPPPPLTGKILRKLASAVMADYF >PPA01988 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:343674:344213:-1 gene:PPA01988 transcript:PPA01988 gene_biotype:protein_coding transcript_biotype:protein_coding MCVKPNQIICPLSVLDDLLKKYAPDEDMATWCNTPVDAGPATTFANPAINDATSSGKSTLMTTTTTKQPTTTSFASYFSLTSAIFAVLITFLL >PPA01983 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:325847:329537:1 gene:PPA01983 transcript:PPA01983 gene_biotype:protein_coding transcript_biotype:protein_coding MPILSTDVVDLTQQLCTIDSVSGKEGEIVDVVAKGLEEQGWHVHRQKVYDDGKGRDNVYATRVPIGDHVPRLVFNSHFDTVPPLIPLKRTDDALFGRGVNEAKGQLAALIIAANRLVDERPDLAKDVALLFVVGEEIDHAGMIKANDLPGYGPEFLIVGEPTEMTYASIQKGALKVKVSVAGKAGHSGYPHAGVSAIHKLVEILDDIMKYEWPKDAHHGDTTFNIGSISGGQALNAWAEKAAAGNLSIFFRVTTTYDDLKNRIETIVNGRAQLDWSLGGNNPVKLTLPPYEAPVGQASFNTDLPYFKGIEQLRGAFLYGAGTITKAFGPDEFVPISELRECVVNHVRLAKTLLEQ >PPA01951 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:41075:43540:1 gene:PPA01951 transcript:PPA01951 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVFVALLLAVILPYAAFGDSGCFWLGTFPVCLPDPCPAGTTEVAKSSHTKTRFADFGKGCWPAFSKRLCCNNAVVHADLYKAKF >PPA01977 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:246562:247674:-1 gene:PPA01977 transcript:PPA01977 gene_biotype:protein_coding transcript_biotype:protein_coding MFATGGGVSDYLMFRLLTDNGQQPQRKSSIAYPPAAYNPDRRASMYQPTAIKQQSLLLAHRPACAHSAKARVQKMHFR >PPA02003 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:404585:405212:-1 gene:PPA02003 transcript:PPA02003 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCILKRFEVVLEETESSTQTGLRRGWTTRQPALHQAGIPVYLAFVDFRKAFDTVEWNACWQSLGTYGAHPTLISLLRTLYESSSTLIRVNEDLVPATVKRGVRQGDTLSPRLFNVVLRAAMDTIDWEMDGIRIDWKNLCHLEYADDVTLIAKTRPELERMLKKLMETCSRVGLEINASKTNLLTSCTTTRSPSVINGM >PPA01965 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:156336:158738:-1 gene:PPA01965 transcript:PPA01965 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQRDRATMTSLLPSCSSSDEDTVRDDRLLPTPVDSTLFPPPHSTTVGLVVCLPPISSFPLIPPMRRRLKKRGERANALGPSRAGRISPSHLFLPAHSSDEETPEEERRKRKRARTKQLLSSKIQITLAGRMSPSHLFLPAHSSDEETPEEERRTRKRARTKQLLSSKIQITLAGRMSPSHLFLPAHSSDEETPEEERRTRKRARTKRD >PPA02001 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:395876:396979:-1 gene:PPA02001 transcript:PPA02001 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSLAELHNVQRLLEQRKEEALFREQYSQAGGIDKCLQQLRLREEPLKELLIERMDALQKADYDEAQVQKDRFEINLEAALDIPDLKKFISAKEDAKDRPNKD >PPA01950 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:39745:40421:1 gene:PPA01950 transcript:PPA01950 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLVLLSLLLCLAASRTIDNEDNEEVLARKAADVLTSIRSLRREEKKALENLEDTERAVVEELLEARELQKRTRKIRRQL >PPA01998 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:382286:382976:1 gene:PPA01998 transcript:PPA01998 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVESATPNQIPDAAQDWMMEEDLKSLPRATKVNSLIFVRHLTETSFELNVNAPDNTDITRHILVDMEGGRRFYEPGDGIRIRVDPYHRGEGYEFHIVDIDSHGRVLEHLVDI >PPA01948 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig107:36578:37781:1 gene:PPA01948 transcript:PPA01948 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKDTIYGGGRKDLKLLSDMFGQLGERRRLKNLAKLKTNAEMEKTDAEKLTVTVAKFQGKIREFLNAHETSNPYWDKYLEQFLHQVNKKALSTTEKAKMIQSFLLNIMTKDQFVVIATQLHLCYSGRILYNDKIRDCFVTGKHTLMVMRSGNNVTEPYRWEKMDTAGSDEIFHETAWSMNATMGALFTSKGVKGRFQTEVLNVSSNGRKDFPGWWKSGLQYAELNQSEYMRNLMSRKYEYSYLVLCF >PPA01967 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:182562:185074:-1 gene:PPA01967 transcript:PPA01967 gene_biotype:protein_coding transcript_biotype:protein_coding MAATWSGFSLPSNKGNHKIAAYRICGDPDSIVSYRFKEKVDGDEVPIRNRKAKSAGVSRVEEYDAIDDNDIQVIGQSETFRISDPDDSKRIYLAARRTFELAIELGIEALLIDGNFGFTPIPTCTKKRAYQLFTVRVCCRNTSFLLVAALLPSKAASEYTLLLETVQTIFSNMNFSLKGVRIVWNPGSPRVEHPPCFHRSEWMTHPETMFCKFMIERHRTTNLVEKYESKREEEDVRV >PPA01954 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:56060:59210:1 gene:PPA01954 transcript:PPA01954 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFCCIWAKTTTVDAVNLWPDMSVPFVVDPAIEDQTDRIIALDNALAHLSRVTCLSFIQRTTEKNFIIFSELSESVPCWDVLSMKGGEQMVNVGGNCLEPVKLPATVTRIFSDCDLQKVQYLYPCPGILPVRPSNCTSIFNRANNVAASVIPCDVEDPDACPYPTYRNNTLPVGSACSSACDYSGRLLPLLRSFNARLGVFDHFYTTDTVTDASMISNGYEEEDNHFYTSNETERAGAETLGYQAIIAR >PPA01969 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:195182:195463:1 gene:PPA01969 transcript:PPA01969 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQWKDARLMMTMLSDSCNLNRQTGLLAAVLALRSVIAQWNAYPRIHRYCFH >PPA02005 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:408040:409686:-1 gene:PPA02005 transcript:PPA02005 gene_biotype:protein_coding transcript_biotype:protein_coding MNDESMETATEESMDEADERLPNEVSHISLEDRHERNVALIVKQLNLWNQLVKKFLTTAVAAVAEPVVDTRDREPKAHHGRGDMVRWTCGDKNCTRAFGTHAQVYQHMLYDHPLHPDRSDIVGLCECGLFADFPDLIVHRQKGDKATCGAPHSTLVYWTNDEMKAEKMNEEDKAKKDAFSDLAQELTRVVRRCVWRECAKHNFLFDTFAEMEEHNAKQHNIKLLYTCRVDHPDEKSLITCLSAPLSSNTSGRLCKSFCFPSITKM >PPA01949 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:37907:38929:-1 gene:PPA01949 transcript:PPA01949 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTLLLPLLGVLSTISTASRTGLSTVGQLAYEYVVMLRSQQQDLLDTLPASREKNQVKIQLIEDELAYIKRRKEILSRKLRNKRTKRIEKIRKTVRALDGNFYTPPPEYQRII >PPA01946 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:5431:9155:1 gene:PPA01946 transcript:PPA01946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nas-30 MADDSEMGRNLELAPQLFESDIVLTVPQIKGIVLAEKETQEGRRRYKRKVITGGVYRWADPASIPYRLKDNDRELSRSYNQKSRVNVDDFYTTSTGFHENYGVRDHILFYKGSGCFSSVGKTGGSQMISIGKGCLEAGIIAHEIAHSLGFWHEQSRPDRDYYIRIRREFVASGSETNFLKSSYREADSMGLPYDLGSIMHYGPEAFTSRHGEITVESLNARYESTIGQRQRPSFIDIKQMNRLYCNDKCAGMQLACRHGGYPDPADCQKCKCPDGLSGPICDDIPGDCGSELTAIRGWQQLIHEGRGDCTWRIRTTNGKIRLRLSRVEFDCKRTCEQYVEVKAAADFQQTGFRTCCPEAWSVVSEGDEVLIIVRSGKKRVNSMKIEYVRVNSLTEEVDEVEKVASGKAPAIKKWVPGQENRGFRGSENGPVEKFILDSIGALRHRFVAQTFDFSNRGKR >PPA01994 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:363516:365480:-1 gene:PPA01994 transcript:PPA01994 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLALIAVVGAAIAVPRSVRQARYLALPADVKDENLELIFAHSLWRHGDRAAEHAVPGLDAFPEDAWTFGGGGYGELSPEGMRMHFNLGRMIRKRYVDDFKILSSAYSAKEIYVRSTDYNRTLISAYSNVAGMYSGFGIAGQNFPEKTDDFPDWPTNYIPIPVHTVDYSTDYVGHPDAKCDRQDQLYEMIRQSPEYQSYINDPQSRTEFPDYAIYCNPVVVQLLPWPKWTRSSLSSLSEFSPAVFFCK >PPA01979 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:290898:292948:-1 gene:PPA01979 transcript:PPA01979 gene_biotype:protein_coding transcript_biotype:protein_coding MIARAVALMAFGGLLNSLMQEEGMNDFRPPRKHSLHHGRILMSNGVQRSDREIVKKISTASFGSYGRKISSGSGPLVTQQLRSSYESRKFLVLRMASVNQLSFTTCSIARPCLILQ >PPA02009 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:449348:449765:1 gene:PPA02009 transcript:PPA02009 gene_biotype:protein_coding transcript_biotype:protein_coding MCALQLRCDPAAGVLDPKENALIAVSCDAFAYGQEDTNNDRVTIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNPWIFF >PPA01966 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:162413:171909:1 gene:PPA01966 transcript:PPA01966 gene_biotype:protein_coding transcript_biotype:protein_coding MISAELLEKNFGGERFPEELEGMLDLQMANANCCRFNRWGTLVAVGATDGRVFIIDFLTRGIVKQFPAHVGPLSTLSWSRDGRTLLTGSVDQTIVVWNVLTGQAIVKMKYAGMILSAQFNPRDDNQLLVLPNGQHPSVEWIKPRSSKIVAWSNPLLLEDFVSCAAYDRRGKYVVTGTSKGRIVIFDVATQQLLSIVKQNSVQQVRNIVVPRRGCFILTNSQDRVIRRYDIDELIKGGTRGNTIEPVQQLSDIVNKAAWKSVCCSYDGEYICGASTKSHALYIWERANGSLIKILHGTKHQGAVLHDVQWHPKRVVVLSVANGIVSVWTQAHVENWSAFAPEFTEVEENARYVEKEGEFDQYDEDASEDEKDDEDDSEDVEIDVVNLKADEMGCSSDEDDARLPTMPTVGSGPLWFIPVTPVIENPDYRNPMTSMAMASYTPYADERR >PPA01955 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:65508:68816:1 gene:PPA01955 transcript:PPA01955 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDTQKSFDDPFDDPSLVTTAFLDIMWQDEHGMSALMAAAQCMDLRNLNGHTALEIARSRGHTEVVRVMEKYARGGYRRKQRASRSVAAEMANKYKMAAAHADEEDIMLEIEANFKTLTSDEDGSEYIGSGDN >PPA02013 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:464415:465027:-1 gene:PPA02013 transcript:PPA02013 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEPFKKNEPPKALREAQSSMPDCEGGFCVRRFTPVIVVRRANFSCFSVEPTTSNRRVSLRTEEREEHRCAGDASYN >PPA01945 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig107:2622:4811:1 gene:PPA01945 transcript:PPA01945 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNFDMFVSTDSSALIGLARERVERGESPYPERLASVHRTLVKWTFVQSASPTQDFFPPLFGSITPQRQSTFGIANPLGDLLSLFNQPATHEKERFESVNDYADQIVHSKHMATGHRSSAVRPWSPFAASSSRTNSPIRPYNSQSKSTKLAAPRIKAVVVQPIDESEDNAADYEDHASSSTRGLTPGRQTERKSEPRRGSPADDDDDTIDVTLVDGIPSLRNRRKYTPHDVSLAPFRRHYQQSENSNDEEYTLHSYKHHPVNTAPVIRTNTPTAESLPDDDDDWTNLESEISTFSTVHQTPNGNYRNVQKIMSAFKSLMVDPNKPRKVDFFKVKGNRKNTIDIP >PPA01993 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:358929:360763:-1 gene:PPA01993 transcript:PPA01993 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLQDPIFCQSQHIEELNQTGANIEDFYPWFYTGDVPSWVDWIIDKDEDFTNGIANPGGVNGIDVSVEIPKIRAGDTLKLVGVINVCSLSSHDLPPSIGQGLDPSLPKGLGLRVDPCLELLHKCVSVLELLSSTEVREGAETVVVARGQIRGVRWVREPFHLQLVHFLLGDFRMMRARVVHEHEDFALAQEFGRDPDRHLFQLGSEEVSLDGDAGREDLPVDGTEDGEEETEEFLLSVKFRLWSLLGFLIDVHPLKFPLRIIVGDPLLIHGDDVADPIEIGSTLSCREVMRNHLGELRCLPSIMQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAAYGGIPSPFDPGENNEAKRSGSLEQCS >PPA01953 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:52007:55093:-1 gene:PPA01953 transcript:PPA01953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3DX11] MSDAPAQPPAEEKKEEKKDAPPTDADKSKMDGNTKSDGAEPSEKVKERECPENMRVWIDDCIRRLETILLNPNTFVMHLMHMNEVIAILHAITPIIMDEGSLAECEAPCKVVGDIHGQFVHMHMLFDMIGRVPQERMLFLGDYVDRGPHSTEVVLYLFCLKIRYPSRIFILRGNHETPAVNKIYGFYAELINKFGYPGIGMWYDFQSVFNRLPLAGLISKKVLCMHGGLSPELASLDTIRSIVRPCEPLDKGLLIDLLWSDPTNKGDGWFHSIRGISYMFGKQIVAQFCEKTGVDLIIRAHQVVQDGYEFMGGRKLITVFSAPNYCNQFSNAAAVVCIDQELKVLQ >PPA01961 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:126757:127999:-1 gene:PPA01961 transcript:PPA01961 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLLLLSASLILPAAAQMRKCYSCASSNMQSNYMTKQRGPGNRLNPPKIGLCFTTCIRERTVFDDNCNGDVWILKARASEDCPGLCYKWSQQVNNSGSLSPMTVRGCYQNLYDTRNPTTMREPLHTFCTFISKKGQFNNAYAGIRNSNPPSAISK >PPA01957 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:90536:91728:1 gene:PPA01957 transcript:PPA01957 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAKLADYLLRAPSPKQLGRSSGCQNAMHYVKRCLPFVLLLALAFVLIRSSSAPGHAWKFLNAAAPQIKQLSPDDPED >PPA02007 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:435027:440044:-1 gene:PPA02007 transcript:PPA02007 gene_biotype:protein_coding transcript_biotype:protein_coding MHCPTLDASNKLTCDDAHVMRIKTSVETKYIFGNALECKADGFYENTEKLLSFKDDPNEDNEKFDIACISTCDSELALSQNAEITKNSNPKRDGDVVQCQDVAGQILHVTYGEATIRVFGPATCDKDIGWTGVNAMERPRGTADGNIVKFAGHKAQFKTQCLKVYDGAMVKDMKDEKDKNDIPFCPAADLCASIKFNQQANGPTLECKDNNFRLVINDENNDNKPVTVTRAKTMACTNEGWTEGTEKVVDFKDPDEDITKPVEAICKNKCHPHFIKFTNEEACPKETECSKPTYDPSSKTLKYTEVLVLEYNGELKESFTEATCHLENGWSNGQELFKMDNLNFRVKARCEQLCAFNVNKAALNDETETGLDYDRVAKTLTCNGTDEMLAYILILLRLNGVGVYSDLTCTKDGWITDPKIKDVDGNPMKKVEFKPIIASEADAAKAQRDERAKQFTCVPNDCLRAFKCKGHEYTKVGTDEDCINPDITKIKYEMSCTSPSKLTNKDQTLSYDTLRCSDGAWKSADQSTLIDKLNPDSDKINKPVSERPAFDVFCNALACTGCTVDDLTIIQSTTDANIAAYQFNNGSFTTCSHFKCGSGADYVVEGSGKNKYYRNTGSNPIKCSSKKQDVKKTFTAPDGSVNDKIADKPLICSSKGWTKDGESTLFIDKLGMP >PPA02002 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig107:402192:402877:-1 gene:PPA02002 transcript:PPA02002 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYGEGDVGEWYLRRRKEDGEEYDYRLEEECERRRQQDILGPLASDIVPNMQEIPKSRKNKRVDDKGDQECAVVRRPKALSLGVDERCREESAGYRSFPASAPHRASRQFAIREDGILMESNGM >PPA01956 pep:known supercontig:P_pacificus-5.0:Ppa_Contig107:73420:76069:1 gene:PPA01956 transcript:PPA01956 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVLTPPPPNSFLFRPTPLSRQKMPNGRQGAYSATKSAVGRSRSAHLSRMRQNTQSIDTDEEREKMDVVEKQDSKETKKPATAASGRRGTEPNGGIMNSMAEKFRTLMRFSTSDANTFSDALASDDQHKNCRDSSLPGLPSSTATTATVRSPENGKKEGEFDDFFQNNEARTATSAGKQSLSASYGSGPSNGLKLPPILGLRRRTTSEGRRSEKFVVANGDE >PPA01968 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig107:186440:186994:1 gene:PPA01968 transcript:PPA01968 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFAMQSFLLTPTTSISVPADFADCVEEELDFEIDDPVKSAENLIISIEQ >PPA02014 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1070:435:2038:1 gene:PPA02014 transcript:PPA02014 gene_biotype:protein_coding transcript_biotype:protein_coding MKILDRVPENLNKAFELAEVFEYNKQYEERAYLSLGTIASIPPLAKKNGVAIHSFSFSVAQNGKSSCDRVAAQVKRKLRDFVARGNNIKNAKELFSAISQSGLKGLSVYRATVKREKTEKAEKALGKLLRPKMEGISGFGHFVFDKNTIRVWKMNGIGDGRLYTDLSGFTRVLKIEEEGGFLASSESSKADEASIQKGDNPERFWTAYLTKKDSNEEEIDDVDEIDDHGHEILRKQRDCSRVKNAVHSSKITDVQAHNNVLSEVSQAMTEMSHGAGLSSKAGWALRLKRKRGVYSKKAKRFAEKLFQLGDVSGRKMDPAEVERLMKEEESIKPYERMNAQQIRSYFGTLSKEKKAAKEPKAPKRRKTKDKNEEDEDEDEEMMLNEDDEEDYDDLSEEDEENIDDFGRERDDVIHDIIRESFMNFSTRMMIRLLTMMFESRSNTT >PPA02015 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1071:21:1633:1 gene:PPA02015 transcript:PPA02015 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVGLNQCVYLWSACNSQVIKLCDLANDSDSVTSVQWTDKGDFLAVGTNKGITQIWAVHANKMVHEMPGHSSRIGCLAWNGDIICSGSRDRVIIQRDVRAPPGYSERRLTSHRQEVCGLKWSPDKQYLASGGNDNQLLVWSLRRPEPCQTYTEHNAAVKALAWSPHHHGLLVSGGGTADRCLRFLNTLTGQPMQCIDTGSQVVIWKYPSLQPVTKLAGHQMR >PPA02017 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1073:3821:4729:1 gene:PPA02017 transcript:PPA02017 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSNGGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGAFSQSEYSVRTDETACRTYEIGKVRVAEDGDEDGEQDE >PPA02016 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1073:74:1883:1 gene:PPA02016 transcript:PPA02016 gene_biotype:protein_coding transcript_biotype:protein_coding AVQFNNGPVQNMIVGTSIGKATCSYRNPLPLKVYDVETSCTRRKLQNVDKNGQFYNYSKAMFSPCDEMILYDNTLYDIRAADPVVRSFDRMNQASTNNGGCFHPHGNEIIINTEVWDMRSFRLLHSVPALDQCRLQFNATGNIILAGQYSPLNDPYKTQFASTLRTMSSSDYSHKD >PPA02078 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:321429:324500:-1 gene:PPA02078 transcript:PPA02078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ceh-32 MLEESGDVDSLAKFLYALPREVADEVGNQEPVLRARAIVYFHMGMFAEMKTILAGHKFAADCHAKLQMLWQEAHYQEAEKARGRPLGPVDKYRVRKKFPMPRTIWDGEQKTHCFKERTRSLLREWYLKDPYPNPSKKKELASATGLTAMQVGNWFKNRRQRDRAAAAKNKSNCVGVELKKAGGSSGFSSDEEDDFDDSTTDSPSPHDDPADLSTSSLLASSLLGKRPAGGGLLAAFGGQEGPLGTPALNPILLNMMMTMNPAFLGMLQLQQQQQPQLAAAAAAPSLAATPPATPATGSAPKRSRLMIDEILNLKTAKDERHSASPASAASSGATDQSPNTSTG >PPA02024 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:19990:23997:1 gene:PPA02024 transcript:PPA02024 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSVEFQCNSGFKLQYESDAKWAEPESIKCTGNQLLISLTTGISSIVPDHIRCMKKPQQLANKDLKCDSEFGLQAKFDKAWEDVKSASCVSNSFQVIDKMDVKLGLEKYAMAFRCVQMNIDERFDNSGCKFQDCEKPTGCGEMKCKEQWALLILKNGKWKEVQSSSCNAGTFRGKLLNNGEEFDDPEAKVKCARQKCTQCKNPCPTCTDNEFKDVKKWDKCTEFSCDPSTRTILGSKPLKPTKFTCDRNRANALVHSKRIVLQTIKAANNWKISWVILANAKTITGYKSILEHTKADKESDAYPYRIRCIRKDLSNLVDKSDCEDAECTEPTNCLQIYCDAMSSLHIRSDSEWIADVELDNDNPLACDKKLFFADDNNQMVQISNLSCDKTEGIWMTKIDGKAEKTELKGGIFLANG >PPA02028 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:49100:52157:-1 gene:PPA02028 transcript:PPA02028 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVFLCLFLIELLKCPPVRSATSVADNVLGTAARVASGYPIIGGMLLKGMVPFRSSSCCGDTPSIRSIQGTGLGCGGVTIRLTKQKMDELSHEEEHTVVLTDENGRPIEEEENIDVVDEEMEEEGREKMLSTDPLGLNTDLEQVKMAQKGTSVKLERNYEEERRKVTAMTEASEKAVEGTDFTELEELRTHYDELREEIRVRNEREAAMRERLGAGSVEQMENMVEKRERENHSLETARKMLKIFDDFFLRVGCGTVVEVEENNE >PPA02054 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:184417:186170:-1 gene:PPA02054 transcript:PPA02054 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSGADYCMLNAPGTGCITHNCCDNFTCKFRAGAQCASGSCCDLSNCTPLSSTTICRRFASKGVVVLQCQEIWGTDAEPAPETCYANNMNEFGCGYKTEKDDMKCDRENVLCGKLHCTHPNPPEYSIVRGWKGLEWKPPCLAYLSDHQEGYELDYHIGMTPDGTSCGDGKYWLNTKCVSKSELLKESETCDECESGVCTNLGCRCGDVKGDLCQI >PPA02079 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:331328:331991:-1 gene:PPA02079 transcript:PPA02079 gene_biotype:protein_coding transcript_biotype:protein_coding MISNLLGNHSKTKVHLLYHQSIDEADLFQSFNYNVIGNVPKPGSGGEYDVQDGFGWTNGVILDLLITYNHRLKLPDQLRPTPTPETTDDPSSDTVVSRTSRSVDGDPSTTSSSGMAAILSLVSLIGARLTL >PPA02063 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:223057:223612:1 gene:PPA02063 transcript:PPA02063 gene_biotype:protein_coding transcript_biotype:protein_coding MMILIVIVVATIIFRVSGNGWLPMIIIRRIRGEKGKEGGKDRRDPSASSMAMSKSTTQPTPTPIPATTKKPRARVSSNTLKTARSASSTNSDANSTPNSTPNSTPKETIFVI >PPA02030 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:56886:57763:1 gene:PPA02030 transcript:PPA02030 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAYPIAFLLLASAFQVMGDTPAGGAAVADPNAAGGAGGAAGAADGGAAAGGAANEDGLVGTVFTTASNAVKPIPVVGGPAAAAIDATKGVSKQIIETGRGFIVFAQKFATGLPVAGPIMAPMFDAALKIFETFFGKAPPAAAQPQ >PPA02021 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:9037:10747:-1 gene:PPA02021 transcript:PPA02021 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLLLRFFTAATQFVGLSDLSSFTQDISPMLSVADLPPFSNPLETPEFDDILLGRLIVSKENFPFLTPKDSPEAALIYPTVNQLDVINAAVGGRKELMRRAAGEGADLIDVSVGIIPQWVFDLVKFLEGAYSYEVLNSTVVDPGSLPFDKIQAGEYFQTVQVKNALAEVFVQKLYEKLKDFSANGRPWVPAKALVMEMDICTDVDFNQATNKDFMFDLNKGGKMVFYRVICQEKDENSIYISISVYDNDFKFHPIEHVETIVKAWRFLWWSSRKVEIRRWYEPRYLTQDEWGTLMDFMLSDFAVRLRREYRHFFEQAGIHIHDD >PPA02062 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:219032:221392:1 gene:PPA02062 transcript:PPA02062 gene_biotype:protein_coding transcript_biotype:protein_coding MCEFENNCTKPILNSDKRVKCEDGAFEFDLFSATGSVNKGCDLEHFITNACDPDSQCKEPNNTNSTDNVTTCSSDFGLQALLGDQLKSDNADWLTVKSASCDSTKFKAILSDGKSIEQVSPKVRCVGMKSTRCDNPCPSCPSNSTAKVIKSSQWNVCAKFTCGEHSRLVIGEKKVENVEIDCAYSKNNGNASWTLNNTFFDTAKCDYYCTLISPINKRFQRRQAN >PPA02071 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:281673:285699:-1 gene:PPA02071 transcript:PPA02071 gene_biotype:protein_coding transcript_biotype:protein_coding MRILIYTLVLVGVIAASPLFGSSDDSSESEESTTVAPTEYQREDSQEESIETTVISREGDDQSISTEETTVIEETPAPVDHNGRVDVAHRIDDDRREEGSEERTQERRDDDEDTTTVNPPELPTAMAQMMGQLEIRLIDENNTQTHVESVIEPPPLSPITPYIRDDEDQHETTPDTPVQEGDSTADPNEISEQQQQQSNAEYDAKWKKVGSRNALSDYSRTRKQVEYVSDTVLNLETTTTVTTGEETEEVPTSSPDATLPSVPQTEEIPDTTTTLSPMDQVALQKIQPVNSISGMGVSWALGSAYSLVDEFHRELALEDIEDDVIRDQRSSVNATGVELSAFELGTAKKMPEGPFPDAVTDEDLPVIEGISVDDEEDDPEPVEECLANRHQLADEVVHRMEKQVERLSKMVRKLGKQQSQYCTQAADETTDRQLYTDTYSQCPIWREEKIVHYYKLMRITYCADYGMIQIRQMAER >PPA02068 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:257949:263135:-1 gene:PPA02068 transcript:PPA02068 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYQRREQETLYRFDALNQKISFRPIDRPNSTTVILLRSMGLCSSSEDKAEAAKTKEIDKQISADKADNNKTIKLLLLGAGECGKSTILKQMRLHHKKFSEDELAQQKSVVFNNTINAMVEICRFMAENNYPFEKEDRKADVDTLKDVLKQAREHEPFSQSTAAALKSLWSDTHVREFYKQHKLIYHLHESTQYFMDRLDRICQPDFTPNSEEILYTRIKTTGIVEVSFEIEKAHFRVFDVGGQRSERKKWIHCFEDVNAIIFIVAISEYDEKLAEDHHTNRLVESIRLYEQITNSRWFVKTSMIVFLNKTDLFREKIATSKATISIAFPDYNGPHEFDESVDFIKAELLKKQTGGTTKKQVCYCRYEPISPK >PPA02081 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:343019:344270:-1 gene:PPA02081 transcript:PPA02081 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIHISSLCIIYSFSLAQDIVVDDFVPLISTDEGDPRRPTSPGGGGLEPIVNVCDETNADNWFIYCSGPLLEAVNVHSLFNDSKTFVDMPLKEDPVYVNDKFHEVFANLTVDQINRDELLAFVNEYFRTSFEGLKHPLLPITCSLLSLLSLPSSRSFCFLTHDFIALL >PPA02077 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:308441:309831:-1 gene:PPA02077 transcript:PPA02077 gene_biotype:protein_coding transcript_biotype:protein_coding MPRITKPELLFLFSLSLLTLDCDAFHLKEEQSEPPNFMKEYMTRGMFTCTTEYLNTIQELQKLIKKAYLEHIKCQEEMAAADKTYPKEDNDIFAALNDLQDRFREEDIAEAESPLPTTAPTTETPLTS >PPA02089 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:407806:413258:-1 gene:PPA02089 transcript:PPA02089 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDALVSAASSFIQRTSKIPHLQECNGPCPTPGNCWMYHRPAPRQGCGPGFPPGPGFGPMPPPSFMPPAGIRYGFGPNFTYRQPPPPPGPGYGMDPRMGPVFPPPGHFPPSGTYQEMVALGKGVAMRHPIRPVRRLPDSVLNNLLSRKRIPYFIVEEELKKEDDNVNRENTEDGYVSAALRHWLVHLLKPYNDKVNVLNLDSVLRSFIHNQITLPSGVTFPLECKLWIIYMVKNHKKQSCTLQRVAMDSMGNDYFIVPDCRLYVKVLTVKATLPIDEAKLKGQHWESLYRYVHTQQWILLSDNQEGWKVVTRAFDMFKLNDVHNSLHPGIFVKLTSQSLSNKKKTSDNDVNALKNALEAINVKEFSHPISPTASIPTYYRIPRGEEQNNKPRPVASVTPLVRKVSDQDSRAQQACGQSTGSSQEPKRRCGYFPRCDRIHTNDEFFHPMEKCKKLAAGQKCDGQWCLFLHGDCPSDGTCTDMSCIFEHHHSPTVVERRVLANKKKQGSLSRNPSTTNLSRINSMSNISLCSNRTGGRRKSVSFDFDSEDQCDVEKKSSTTAPSPGILRPMGTNRKGRCRFGEQCTNKECDYMHPREKCPVFPKCPLGGACRYKHEICKSDGVCMNENCDFEHTLRRPTKKYWCNDGSQCKRVNCKFIHPKECTGRCPTPGTCWMYHRPTTATTATNRPAAAAAARPTSAAAAAATKPPAASQAPPVPPRSTSATPESPGPGAKPVVPPRITAPPSSPQQPARGSASGTPSSPDYAGAPLHWQTADPRYGNGANFNYGYHGANGYGPGPGMGPGGYGHSGFQPGPGAYAPYPWYSQGYDYGYGPGMGYGGYGNMPGYVPPPIPHPQQTPPPSYNEDEQRFLDSKNRP >PPA02056 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:191071:192581:-1 gene:PPA02056 transcript:PPA02056 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHLCSLCTNPCPSCNPEFHPVFSAPSKWNECAKFECGVGARTNLSNGNKMDNGKLVCTEESGDAVWNSDKGHTHIKEVTCENLCTLKSPINSTCDGTVTHRDFFHRVMRINCAKITKLMAINIHAQTRRLCKDVVREAEKRKWMWAERLSNFSHERWSLRILEWTPQGRRNRGRPLKRWRDDFVNAAGPQFLQLARDRTQWRTLMATQLRSHQ >PPA02069 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:269658:270969:-1 gene:PPA02069 transcript:PPA02069 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPRHPNAVVLPQPIAVSPLIRFGRWAALGLGVLWGAYRLTVIREYHADIREWEHEKAVAKAAEDAKKKKWLAKDEMRYLMKVVNIPFEEGVAQFGVEDLYREE >PPA02050 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:162683:163502:1 gene:PPA02050 transcript:PPA02050 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSVECVLGKFQTDNPEPVSAKCVKVYCKHRNPLKSECPINLSKCANEIKYTSLKCDRVSGKWFGTREFEPHSFNDSTNIFCMDISENTAIAILNTTVELIGTTTQVPIEISTGKEVPNTLPATEVAEASTASGVALSLMLATIGALSAIAIILLICAIVLSAQ >PPA02038 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:98463:103303:1 gene:PPA02038 transcript:PPA02038 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSLGLPLMDDNVKKDNLPVYFAIMFFAKVLGPVVGLLIGGQLNKIFYNFNPPQGLTPKDPMWIGCWWLGFLIFGFLLFFPSVALYFFPSDSISAKKEDVELEKLNGKNGDTSPKKEALKLNLYDKHKKDHGHLSAKQEFKAFLSTMWELFRVPIYMGSVFGRILDVLAFKGFFVFLSKYLQLQFDMPQHKIQTYVALVGIVGFACGVAGGSGTMRKFRMEGRTAAAWVATCSLCAALLSFANANVGCTNVIGEIGQQGLRTNFTFNSCDKSCGCDDVPLYPVCDSLKRSSQEQKQNAMQSEKRRARESCFSVFHESERDAQWGSPSSLNGKVHVPRIRAKGNAFYSPCHAGCPLEGKMFNVYNQNDAGSANSVDKYHLLMTPVFSKCKCAAGDGIVSRENCHNPDCDTKFSIFFIFQAIGAFFGGMAVVPGMLIILRSVKPEHRSVSLGFNGFVVSLFATLPSPVLWGKIYDMSCLYWPKFCGDRVGACQLYDLDQLRVRIHLIYGSIRIVSLLSDIWVVYWAKGLKLMDDTEGKEEESESEEKTAIEDDKTEHKVVSRKHSRKPTLPEAEIIALEEEGHNRTL >PPA02019 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:539:1673:1 gene:PPA02019 transcript:PPA02019 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKNRDPMNLASLTVRGKRDRGGRGSPRGGGRGGLLDAKMLTTASRLSTFLSLLDFTHCLH >PPA02088 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:395289:407706:1 gene:PPA02088 transcript:PPA02088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-clec-198 MGRVGCMGTMQSGMVQLDIGIVDQDSTLYPTSRWVHSDRIDLMPRKLLASAMYFNVDDYNDEATYDDVNYIYCQYDNDHQGTNNDIHDNYYHDYEGANDDHFYDHNNSDNDLDSINNKDNCDGTDPGREASIVANGQFFLAMQRNNVTFHGTCTGGKWLMGTPPITINTIECRLSPIITTTSTTSTTTTTKYGPHNDFHFHVNVNDNKDANNYFYSFHHDDNCEQTDPAFDILAAIIANGKIVLANNLNNVTFSGTCTGGKWFMGTPPIAINTLECRLANPPITISTSTSTTTRTSTTSTTTTTASDDDEWDIEPEMMAAYKAIIRMIVEDREATTTARSTVETSTMFATEGTTEGVETTTSDAETTIKPTEPTLDPTTTDLAETTTQEATAPTSAPHVDTTFLDQTTPAIETTLSPTDATTTLGPTTAELAETTTQEATVLSSSVPAETSSATETTLAPTDVTTETSTNSTEPASDISTTQSNTKTTTDLPAETTELTTIKPETTIKPETIASETSTALESTTGAESTNQTEPITDGVETTLEPTTVPMLPSTSEVSTEEITISPEPTTDPTTTEDSDNTTPDAEASLKPTNPPTDSPTTSDDAETTETPINPPMTTTDSASTASDEAETTEAATNPEALTTESSTNATEDSETTMNVTDPHLLSTTEDAETTLEPTNQPTTDALSTTEELEERTNPPTTDSLTTLLTTKRPSRRTTEGSSEEVTDGPSTEPPTSASCKLCVNLKPLPARPGDGRAISVVDHSFPTEGSCRQADVTCRAADGETAVIECDSGNIMASGSGTVKMRAKCNNQAEWIASGMNITGYRCYSRTPVKATTPDGSVGTDETDPTELATEPTETESPTTEAVTKMSTVPAPICTTCPNIPVDFTVSMRDGTTILDHRIEKGCSLVSIACYDPENLSNATLTYNNSPDEVLSYGGMANASLKCDSTGKWREPAKNDEVASVTCTVASKCGSCPELEITMLAQKNNTLEDAASVLNMYTLDECEQAIFTCRPQDSTSTRLVRAIFNGGQRGEAPTASYTASITCSHDGIWVETGTTKMIDSISCIYQAPPPTTTSTTTTTTTARPLSSTTSTSTTTTAPTTTTTIPGLDPNNKCMNCSRLVVSVPGQREGSTTLQHKLGKCLTVSVFCQPQQIGDTVDFFVNGQSLGWRGPTFNRTFDCNLNSEWVDQASGTEIDNVACTVMAVTTTSKSMTTTTTTPRAITVTSQTITIYDTEEATEGTTSGTDESETPTDGTTLNREQSTEVATEGTTEEPTEETDETTEGPVMNTTTTTARPVTTTVVTMVSSSTPTSTSTASTTTTRPTSTISTSASTSTSTTKRAPTTTSTTTTTSTTTTRPTTTTTMTTTTTSPPTTTTTVMREPPDSTSTSTHTPTTSTTTRAPTTTTFAGQCGSCANLVAAPLTSLGANQYNGMLVLDHSFSSSSVCRAVSIECIANKNTDTAAILVNGAISLSSASGETTFRLTCSSNGQHGNFIHCYECKLQSGCGGHRNHNNPPARNLYNHDYHYNYCTDNDDHGRWRMHQYNLLEMRCSTCSDLEVIRVDRTGFINGLSTVVRGLDGAGCKLVSFTCAPLVAGTTTAIFNGPSTILSQQPNQFTIACSCNSQAVWMSGRAGGQSTDDEFIFVYVDYNIDNDHRANDNNDAGSYHDNLYNYSCTDYDNDHTGSYRNDFYHDYNHSTNDDHNSDHGTDNYYNDYSSANHNNDGSNHNHNSDSYNDHNNYSAPITTTTTLAPTTTTSTTSTTTTTSPSTTTTVPSTANPAQCGICANVKASAVPSIAANQYYGILVVDHFIAIDQCRVVIIRCRAQNPFDIATILLNGETTAANARNAGGVTPSPGVTTTTIATPTTTAPGSAACGNCDRNSVASTSTDYTAGFLTLDFRYVGQCVVVAMTCEPQTTGQSVSLINSDGTVLATQAGSLTTSLTCTDSATWVVEGTPIPVSGMKCTIVQPSSSTTTTTTLAPTGDCAWADWHEWSTCTDTCGSCGTHQRFRACQKPAGATCTCPGSAYTKEPCNLDTMIDRSFISTTRQSPRTCTTWRQLYSVFPLLLMIR >PPA02092 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:427102:429303:1 gene:PPA02092 transcript:PPA02092 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMELTQNPGSSARPAWRVEQKETKDEKKKDSNELTKKVTARLSAIAPPPPGDDFFATPGERQKTDANAPVPGTPGQKDPNAVVTDQQQAGAVKSDDGLKTERLSGPIGNQVFGIPSIPAPGEAAAPPAASPDHPAAAAAAAAAVPAVRTSKESEKDEEKSSSKSSTKSDRKGDVKSPEKKSDKKDKKKDGKKDSKKKDGNKDKKKSDKKDSSKKKTTKKSPNKSSPKKKVSAKPKKSVKNSVTHKKKT >PPA02043 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:117548:118129:1 gene:PPA02043 transcript:PPA02043 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLALSASLLLVAIAAAHDHAEHAKLSPEAQALDEKIHAIHETEKEVNAANKAIDALLAGASESVKKELESVHHKREKREGHKSHNNGKRHRRTTPKA >PPA02059 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:202057:202736:1 gene:PPA02059 transcript:PPA02059 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSLLLLVLILAALCIHESTSQYLSYGYGYPYYGNYYGYSGYYPYYNYYGYNSYYPYLYGKK >PPA02036 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:88575:90993:1 gene:PPA02036 transcript:PPA02036 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSVHSGYSNRSRQSRSKQPIYTSDKRARLTQRDNKKWLRLLTVFGYVVFVSAPAISLSVYYTCIWDPMYIEKFNATRQSPPAINLSPIKPKRSLDAIEAVLSPMEEKKCTCEEKPQSADEKTVKEPKKEMSLETLLKQLWILSEKMCYCEVNIVDAQ >PPA02027 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:45630:46412:1 gene:PPA02027 transcript:PPA02027 gene_biotype:protein_coding transcript_biotype:protein_coding MIRILLCIAATTAVSQACSCLEQTAQEGYCSADWVSRVKVNSREEIIEISTSTPFRPNFYNIKFAVQHVEVFKKPSNISSLPSIVFGSTICPSLPESINGQEFLLAGGYHDNRLTTSLCGQVRPAVANVWGPVLEWRQVPVDFPTKMKSFKC >PPA02047 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:135336:138486:-1 gene:PPA02047 transcript:PPA02047 gene_biotype:protein_coding transcript_biotype:protein_coding MTFYLVMVNLTLASGFSPLFESVYAIEVSDYCRKMRDTHLPGHPRRYSSRNLHSYGHVTAASKHDDALFMVANLVREAFYGFAIAMPCALAWERCFATLFSSWYEKQSASSILIFIMQSLSLEMYAWGNAFFLVYDVYCFQFNVVSYAVLFIFGAALFQYILTLNVAYNRKLQQMTRNEYCLSRSYQIRENIRIMQMIRKLAFPTVIFNIPAFGFISLYAFLPNEERLDVVRNVAVAFFDLWIALYAAAFGLLTYNLEPKLQESVRRLSYAAYILDRYDDVTGKIRKLTMRSPANKDKNDTDTQPSKADHKSGKPKDSKAAKADAESGKGNHSKTTNNFKVGGATAQPVNAFFPAKGLFLTTDFIERNDDDIGSCSLSLETSPPSKEANEESSLAGGLSPEKDGINPK >PPA02042 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:115788:116131:-1 gene:PPA02042 transcript:PPA02042 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMAIYIFTRSLRQNELLHESEQWMDMTLSEKYQLNENIRVLQILLPIVISHFSITMFAAVGFFYFELAEYGKDFYPFLEVS >PPA02080 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:336420:339944:-1 gene:PPA02080 transcript:PPA02080 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tre-3 MKGLWLESKSTGPITYILAVPTIHEDIETQKERYSLIWLEHEFIAPGGRFREFYYWDAYWIVKGLIASEMYTTVQKMIENLASMIERYGFVPNGGRIYYLKRSQPPMFSAMVYEYYESTHDKAFVRKMLPIMEKEQEFWNDKRMIDVRVPTGNFSDIASAAESGWDFSTRWFKDSGILLDIETTTVLPVDLNAFQCWNMDILEYLHERTGNKTQSEYYRNKRAEFRDTIHHVFYNNTAGSWFDYNLRTKTHNIEFYASIAVPLFTGCYHSLNQAKSESLFKLMNESGVFNYPGGVPTSMNGNSSEQWDFPNGFSNLNHMIIEGLRKSENAQMQDKTL >PPA02072 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:287831:290347:-1 gene:PPA02072 transcript:PPA02072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fkb-6 MNIPEGYTDITRQKDGGVLKKILQPTTSLEKPKIGANVKVHYVGTLNADGTKFDSSRDRHAAFEFILGKGQVIRGWDIGVATMKKGEKADFIIKAEYAYGAAGSPPKIPGGATLNFEVELIDWCGEDISPEKDGSMRRETIVPGEPLTNPNETSTCTVHVVGEHEGRVFMDQEISFILGEGSEHKLPEGVDKALRRFTKGEKSVITIKGTRYTYGSNPPEEFNLPANATLNFTIFLKDFDKVPATWEMCPADKITHAKEAKDRGTAFLSEGKVKLALIKYKRVEEILEYEKSGDPEEKKERDTLLLAAFLNIALCYSKLNEQLKCTEFCDKALELSARNVKALYRKGTALLTMNEPEEAQRIFEEILEIEADNKAAQQQILVCKSKVRQYEQRAKKQFKGMFEKLSKTIVESDRS >PPA02065 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:239818:242172:1 gene:PPA02065 transcript:PPA02065 gene_biotype:protein_coding transcript_biotype:protein_coding MKISVSKFTPVNRLNPYKLHFAQCGEHAQILIKNGDVQKKLENNSTLTCKELKDPETLWTSSIDGNSCEEKSPLKSFCKENSTTCEPLPQNVRVCPKKMYYEPDNGSPEEIINLQCNPEQGTWSANTIDGSKMDIPKGGNVYCHAGIGTLITTNGRK >PPA02040 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:111464:113971:-1 gene:PPA02040 transcript:PPA02040 gene_biotype:protein_coding transcript_biotype:protein_coding MFFNGDSLQVYNESLLVTLTVQRYAHALLHGTPVEKVPELFKSESAGLFYHAFLAYMRKDRISFDAFFLHFPAIFVFDNVWTLNKESASKIPSVSRANCVPERDTTRIYVDRSKLFSGVGVVHANNIAKIKIQSSECLRKGMPYFVSVPIDIVDDRAKYPIGAKKSRDGTIPDFWSFEEKEECLIDLSDNDDITATVVKETKTMDETRDNSIVRTLDIRATIAEREHKRQEDMLRLANDGIEVHEMGQQVLNLFPYGYDDYLEYLEKRPFLFDVQQRENDGAALITSKQKAECTAANESLPEEDRFNFDDLKGRRS >PPA02083 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:348323:352389:-1 gene:PPA02083 transcript:PPA02083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rhr-2 MTREGKWQRRQFPFWLCIFQIIFILCFSLCAHFNEHALPDNKSKVYVNTDYPLFQDIHVMSLVGFGFLMAFLKRYGFAAVSMNLLLCAFVSQWAMLIRGFLSQEFRDSYSFTISIEESNRVKHCRIMIADLSCTPILISMGALIGRFTPMQFLFMAFIETLVCTGVEYVVITMMHVNDGGRSLVIHAFGAYFGLAVAKTARKVRVLSKRGTIRVVGEDAFDDNNSETDGVDHSELFSMIGTLFLWIFFPSFNAAVQEPEDARIRAVINTYLSMTSCTVITFMLTSLTDRQGRFNMIHIQSSTLAGGVAIGSVANAILQPWHSLLIGGIAAIISVFGHTHIQPYLRKSWLSVTDTCGVHNLHGLPGILSGLCSIVIVVVYNPSYYGDSLYQIYPYFVGGDKNGNRGPWDQAAYQFYAIVIVFFGAIELHVLVSSFTHITRVT >PPA02097 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:458569:464146:-1 gene:PPA02097 transcript:PPA02097 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVDKTACPSEFNDGKQINQFFEYENTSSPPNVIITGVDSGDPPSDNTNGQDGPLNPPTDNTDVDSGQPHDGDTNGDVDLPDPFHQNPPPPDTPTVPAGNPPDDTPNETPSPIEFVPPLDFDMNAIAQISSFPFARADVSADPFHKFITAQYSVVDQEKMERQKCYRGDPTADPPVHLDTRMPTPLLKLEETNLIFRFAPGLSIVSGFYRNQNASKLVREYDNCTAGLRQEIIIVEPAFPSRLYCEGCLAYGNPTEFYHLQGYNKPTSPKIIDLKPVTVDQFYAADKPLPVDSTLPLAAWADPQYSYKKRIAAWKELTDAETGGDADDEKNKLHAFDSEHPIRPSSIDRFLTTGVSPMGNDRLTNFSADGAVRILSAPLPTANGRPRHLTLACAQVQSSVAFTTDTINHIEFIGMVNELLEEKTSDYHTLGYKFSEWDVYDRSRFEVKEALITSMNLVVYVHFSPYSCCSACCCTREQCGLKHATSEEACAELNSTSTRTGHLVVKRFDRKKAVTIDGVDAEGLKEFSDLLSQEPFATVGLPIYSQRFRHVKWAKIQEKLLDPSKGFGKFATKDGKAIPRFVETIKCDNEHKQCSAWAKCRGFEMPDIPINPEDDPIILNAKCKTAEILGLKVIKLEEDFNTVQLQAGDSVRVIATTLNASRVHWSIGKKVIALMNVSKTCDEQDNIFVDLNKRDLIIRHVDSTIEESTFTASSATSAARVIVVVERKSYLKENMMWIIVVCAAFAVIFVALLVFCAWWRCCRKRGQSQQCFSSFLQDCTEK >PPA02096 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:443110:444279:-1 gene:PPA02096 transcript:PPA02096 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSKEGDEGNSGSDKRKDKEGTTKEVTPAVDDFFATPLEREKAETNVPVPVPIGEKDPFIIVTDQERTSVVKEVDDGPKVSIPAAPGEPSSSPAAAAASPALPGAAAAAEKDEEKESSSKSSSKSDRKDEKGPMKKDDKKDKKKDAKKDSKKKDGKKDKKKSVKKDAAKKKTTKKSPKKDSPIKKTSPTPKKPVKKSAAGKKK >PPA02020 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:4880:5374:1 gene:PPA02020 transcript:PPA02020 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVNSSLSELSSARTLLPSLDRSCGYAPSLAILPQGPASIVALRRASNRKSSELPCQPDQTSPVHRPSPPFIASATGSDSTRGRGHGRAVEQLRVAWDRVGIADNEFDAN >PPA02025 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:36246:36501:-1 gene:PPA02025 transcript:PPA02025 gene_biotype:protein_coding transcript_biotype:protein_coding MREAGAVKDVRIAYDKKTGESKGFAIVTFENDEFAKKAVDELDGAEFRHRSLRVTSAPQN >PPA02066 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:244603:252189:-1 gene:PPA02066 transcript:PPA02066 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVVGWRFSLLAAALVVQPLLGERTAGAAEDCTTDTSDRLLFYTIKPDCSIRVATGAIPSAQFKPTTVAQCADAKDVRHGTFTSDAKPGILAMYRNPPPSVRDPTTTTPRWIVHLIAIAASHSSAFSMDIGDEDYFLEESGENDACGVEREKSLVCVSASADHTSLRLTRYEQRGQNYTRVAATTASIRHGVLAADTTILSLTEAGNGTIRVRAWSERERKLTLITISPDLVIQSIVKQLLKKEIGSVIAADDDTILARNCDERGCRTLMRGKRTHAKEEEEANNDPTKLSVTGRMFAEKFEVYETILDFAQAEGNPGVISGIQAIVVFLYLLVYTLVMFIRTVEDDGESLRFTTTERAQLHGFDYIQQQEDAKKEKTKYCIETAEEKEETAEAPAPVRQESSAPPSESGDRQKGTVTASSGPATPGPATPGPSRSTTTITTQQPTTRPSQSTTVVAASSVESMTKPTEDNFVHDEDAVAAGVKKKPEQHSLTSMEAMVEGPPPPPPPRKLMQTETSGVSPDLTTASRTEPQQPPPPPPAVVVPAPLQKSRSNLKPVPDSKPPSRRFSPTEPHQQKRTADVMKKLPPTPPPCQHHYVSPGGFSTCTALCSQVADFNRQYAMGKDYRNLGIPPGQIRNAARDRQAHGVIIMMRSHQEVFQSYF >PPA02060 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:206450:206941:1 gene:PPA02060 transcript:PPA02060 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIATIAWLLGRLKEEIVWFLLTPAEEFDGKRPETYWEMALKQARRNSGGGRKAQSRQRAVMRNDYGRSARLAMYRDRAANLCVFS >PPA02076 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:307035:307516:1 gene:PPA02076 transcript:PPA02076 gene_biotype:protein_coding transcript_biotype:protein_coding MRNDHLASSAEVASTRGPQKYDESLAHITKRFLRENGNQKRQVYTHVTCATDTQQIQVVIDSVIDVIIQQTMQKIGIQ >PPA02094 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:440496:440776:-1 gene:PPA02094 transcript:PPA02094 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPDAYFGSWSVDKNENFDEYLEAKNAILLAGHNITFTNLGNGRYRAEHKAREIRALI >PPA02061 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:207534:210008:-1 gene:PPA02061 transcript:PPA02061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-196 MEREIQIGIFKDLGAAAAAADIDATLREQLYRARDNAPLFKSTTDDSIFNQAHIKPRDRAAFSSFLEFVGRYNKTYERKRDAHHRFQVYKRNLKAIAKWQERELGTAVYGETIFSDLTSAEFKQIYLPYQWPLKREFGAIDIGAYGVNADDAPAEFDWRKKGAVTPVKDQGACGSCWAFSTTGNVEGQWFLAKGKLVSLSEQELVDCDSLDQGCNGGLPSNAYQEIIRLGGLEPEDAYPYHHKDESCSIVKSKMAVYINDSVTLPKDEEEMKAWIATKGPISIGQFTLKI >PPA02041 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:114378:115444:1 gene:PPA02041 transcript:PPA02041 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFILLSALLLVAMAAENSGKHRGTRAPPTEEQKAQWEAKKKEELAKLSQEAQAVAEKINAIIKSEKDKIARRKAIETLLAGVSKSVRKEVEEFYHGKKGGKGEDKKGGEKKGEKKGEKKGEHKGHGKGHGPRRTTIKA >PPA02034 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:81818:83608:1 gene:PPA02034 transcript:PPA02034 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTFTLILLPLLTVHQVRACSCKRKDAYITFQRADYVSRILVEKAEKFDDGHVFEMVYTVKHLKVYKAPKNTCKLHTKVRTAIDQGTCGVHLTVGDEVIIAVSRVFVEKVDKIIEDGHVSEIVYTVKHLDVYKLPENTKILPTQVRTAGDQGRCGVNLIKEQEIVIAEDKETIFNSTYRPSHLQIELCTHGIIGRADAERLKNINP >PPA02037 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:94386:96976:1 gene:PPA02037 transcript:PPA02037 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPAIREVLIGWHNTTVKIRWFFFVFGLVYFLESIGGFYMTSAVVFIEKQFNIPSRLSGTMVSAGDFAYIPVIIFTSYFGGRGNRAKWIGAGCILISIANFLIAASNFLFPRADYEHDLLNVSDELARRVDKVVGEEFNGTDDMLERTPLMRANDIRVLTALPYAFCDTEINGLRLAISAA >PPA02057 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:194623:195765:-1 gene:PPA02057 transcript:PPA02057 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDCKKDKEGCTWVPQPPSGHHKAKCPPDFVIECQDQQSKFGGKTDLWRYGTAVELKCEPEKFQYKDQEFDETYVFAKPETGFECPKDYSLQSTQFAVVMEQNTPIESVPIELRCAMKKRCKVMNDYYYESLCDPGDECEKVN >PPA02086 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:362907:363355:-1 gene:PPA02086 transcript:PPA02086 gene_biotype:protein_coding transcript_biotype:protein_coding MADTAPKQITPVRVRPSRRSLFPLLSKAASRCSSGDSSFQFTKSPRPRPRSEKIAVMSDGDEPFMGAAYKGKR >PPA02064 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:234271:237306:1 gene:PPA02064 transcript:PPA02064 gene_biotype:protein_coding transcript_biotype:protein_coding MCNMDEYYSENLCNTTTEECKKPINDSDIAACDREFGLQAMMKLKSFLDCDSAQDEDCHEPLSCTPMMCDDGHALLADLTCALLSNRLPRIERKKMCIWNVSQKPKMGSQHGKSNRNQLIQTK >PPA02095 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:441590:443003:1 gene:PPA02095 transcript:PPA02095 gene_biotype:protein_coding transcript_biotype:protein_coding MALNILELPLEMVAEVVKHVDYNGLLSLRRTCTIMKELAEAEAGQIAAIKRIIFNDHHFNFEIPNDQYLEFLPQFREILPTCVIETFKFASKKELYISLFESFLDGLTITEKCLSGYCYYNDKDWDLAYETIKRLQFNTAQLGVHQYVRHGDKMVLADVVDGLILTRDYHEHIKEPKLPQLGKKTYLFTRLSKGVPRKNFTVGTMNVLLDITDSTGEMEIWHDGMRRRDRS >PPA02075 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:301645:305242:1 gene:PPA02075 transcript:PPA02075 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRLGGGHIVQFSTPQDELSRRIDKELEDEKKIKILKILLLGPGESGKSTTLKQMKIIHAEGYSPTDLMSKRDVVYHNLFNGIREAIKEAQNQNLPLEEELMEDIRRIEKHGEKMRTMDIHPIPHEVFQETSKAIERVYASAPIQFVIYRLSNTPVDDAGRYFLEQLSRVTAPDYLPTVQDVLKSRVATQGCVQMSFFIKDFTFNVYDVGGQRSQRKKWLHIFDNVHAVLFITSLSEYNQKLAEDNTTNRMRESLGLFGNICKNEYFKQTDKQTICRKYY >PPA02039 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:105182:108581:1 gene:PPA02039 transcript:PPA02039 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLLRILLVLAAYGFFKEFKPSEPYLYEFEHETLNISSEDLSSRVYPVWTYSYLIFLVPVFLLTDILLYKPIIVAEAVSYLVCWILFDLPIAKSVLTQQIIEVLYGAASATEVAYFAYIYVVVDRSFFKRATSISRAALQAGKFTSYLTAQLIILLHWGDYYTLNYIATGSLALGFIASLLLPMASWRVAYERRFPIKDGEMRKEEPSYGIFVKRLIMTLWKDTVSMYSNSFILKWSLWWALASCVDYQVQNYAQTLWGSVQTEDTQYNGITEAIVPLVALLGEEFTGAFPTVLLMEKVNVRWHLWGEATLAVLSIIDAGILLLSALTPSIFVMYGCYIVYRVLYEAMITIAQFNLASHLYKDSFGLLFGLNTFVALVLQTILTMVVADKKGLHLPIREQALQEWRSSTEGRGANGEQ >PPA02073 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:290869:293785:1 gene:PPA02073 transcript:PPA02073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rad-51 MSAQMAHVDADVENEENAGLACQSIMSLEQRGFVKGDLNKLKEAGYHTIEAIAFATRKELIAVKGISEQKAERLQTEAYKLCPMGFTTASEMHARRADMVQIRTGSQALDQLLGGGIETGSITELFGEYRTGKSQICHSLAVICQLPIDMGGAEGKCMWIDTENTFRPERIVAAAQRFGMDPSSVLENVAIARCYNSEHQFHLSVAAAAMMSESRYALLIVDSATGLFRADYNGRGELANRQMALGRFMRQLMKLADQFGIAVVITNQVVSQVDGGAMFQADAKKPIGGHIVAHASTTRLGLRKGKGENRVCKVHQSPCLAEGEATFSITTTGIEDGKDN >PPA02052 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:170871:172096:1 gene:PPA02052 transcript:PPA02052 gene_biotype:protein_coding transcript_biotype:protein_coding MGENNVCRVIDRLDSSTFRSPTQQVVKCDRGKFKMDDSELAAAKCSTKVPIVNSIGETTAAIPIDSHNSQAAQQTDEKTSSTVDLSTVIAITAVLSLIILIVLICAIVLLIKVRGYQKANNLTSNATSLSSSKKIRSEGIVLDRNSTRKETWQNRKSKERRRKAVEALRTTKVSVNTEKNDKEKKNVRPLYLKQESTLGSFDTGH >PPA02049 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:148170:150263:-1 gene:PPA02049 transcript:PPA02049 gene_biotype:protein_coding transcript_biotype:protein_coding MYATRPLHRNIRLIIASCLSFTGLSSVCRLVLLYFQYTGIPLPETGSASIVLLASLGRELGLGVLVAIPFDVAVERMVATRYWSWYEKESKDTLWVFGSILIFSVFAALLNGVCYIYGADYYRHIAVAIFDLFYISLSAYLWHFVPKLRPNNSR >PPA02044 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:118896:120131:1 gene:PPA02044 transcript:PPA02044 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHKSLVALMNFHALWTFILCLSTFVDGAINVYTHLTMRSERALEKQLISTNNPSNICFFSRYNIEITKGW >PPA02033 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:69079:70377:-1 gene:PPA02033 transcript:PPA02033 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAYPIAFLLLASAFQVMGDTPAGGAAVADPNAAGGAGGAAGAADGGAAAGGASNDDGLVGTVFTTASNAVKPIPVVGGPAAAAIDGTKGVSKQIIETGRGFIVFAQKFATGLPVAGPIMAPMFDAALKIFETFFGKAPPAAAQPQ >PPA02045 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:126452:130974:1 gene:PPA02045 transcript:PPA02045 gene_biotype:protein_coding transcript_biotype:protein_coding MLSELEIAQLFVDNRYLKALILFRLLSSGAALVCMTALQMNKKNPTDLLMTSDHCLKRMIPQFFGISGTHQSCS >PPA02074 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:294307:296416:1 gene:PPA02074 transcript:PPA02074 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKTSAAKKEVKKNVQFENRKEEILDEAEDRMDRMREMIAQRKNDILKGMLEAIESLDPSLLNMTVGEFMQMSVACAEEKENDDVRSAKEARAAREAREAREMTVADHEMARPNEIQQTAVRPLKSGRVMELRTPAGKAFRVPSMITPRVGGGSMMTRAIRPDEVAFSAAGSPIVMGDATGRDSREESTEFDQLHQLVNANEEALSPNTRHMVQTLKTFMHRKALGDPV >PPA02046 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:131690:132046:1 gene:PPA02046 transcript:PPA02046 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAVAFLYFDLAGFPKELYPIFEDTINMVYLQGLAMPLIFVSRYRRKRSTENQMYATNMSTGLEYITAHDLVITKGW >PPA02035 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:84875:85535:1 gene:PPA02035 transcript:PPA02035 gene_biotype:protein_coding transcript_biotype:protein_coding MIPARSLLVLLSIIVVSFACTCRDSGPEAAYCKAEFGPFIELTLRLRVPYHDPLSTLVHVSKIKVDQVYELARNNHTYDYLYTVKHLTTFKKGPAHLDEEIRSPFHESMCGVKLRKGEEVVVAGDFIMHL >PPA02082 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:346618:346967:-1 gene:PPA02082 transcript:PPA02082 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPTGAHGQEADRAGFYYGLPQDVMWKSMELQREMQMRYRDHESNEIY >PPA02032 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:64233:65111:-1 gene:PPA02032 transcript:PPA02032 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAYPIAFLLLASAFQVMGDTPAGGAAVADPNAAGGAGGAAGAADGGAAAGGASNDDGLVGTVFTTASNAVKPVPVVGGPAAAAIDATKGVSKQIIETGRGFIVFAQKFATGLPVAGPIMAPMFDAALKIFETFFGKAPPAAAQPQ >PPA02091 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:420491:426083:1 gene:PPA02091 transcript:PPA02091 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRLRYDIFSIFSIYTAQPPRTTVATTTDTPSGPSVQSSPIPPLDFDMNAIAQISSFPFARVVESADPFHKLITAQYAEKEDVVERQKCTMKQGTGEDGLNSLDTRMGTPLIKLEEPAFPSRLYCETTQSPKNQHPTEFYHLQRYNQLNLAALTPVNFTQLYSAEFPLPVDSILPLGAWADPQYSFIHRLNDWKEFNHLEVAEAEKHKRSFVSDHPLRLSFIDRFLLNGLPSPCSNLLTGFSADGAVRILSYPLPNGNARPRHLTLACAQIAKAGISFNVMTSFQMKSSAAIATDSITHVEFSSTDVKEKEHEILHQPEYAEGSTEQWKNEGLSGVTNLPGGYKFIDWEVYNETQFKTQTAISSTFNLHLSVHFSPYSCCSSCCCSREQCGKKLATGQKQCYDLISTKTRIGHLVVNKVDSGKPVTIPDTIAEGLKQFNALLAQEPFATTGLPIYSQRFRHPDWITIQKKLLDPTAGFGKVIGPSHTPRFVETVMCVDKEKDCDNWASCRGFDTPKVPVSPDDEDPDDPNVPSTKCVPANIKGLKEIKLRAETNNIKLKEGDAVRIVLTSLEGSEIRWTVRSTAVEMMDETNSCDQQNNEFVDTNGRDLIIQSVTPDIADSPIEAKTATSSAKIHVEVETKDWWKDNKIYFIIAFVLVVVVIVGVCAFCCWWKCFRGKGYCKRGKSSRTEGGSTKTSNKRTGKTSQKDSKEGEECKQYLYKHLLN >PPA02029 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:53665:55253:1 gene:PPA02029 transcript:PPA02029 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAYPIAFLLLASAFQVMGDTPAGGAAVADPNAAGGAAGAADGGAAAGGAGGSDGGVADKIFDTVALVEAGRGLKDQAVKVAENVVNTVTDAVKGVAVIGPLMKQIGDAFLKVMYAMLGQGKPVQPAQEKYGVRRIEKPLKDP >PPA02051 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:164159:167131:-1 gene:PPA02051 transcript:PPA02051 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDYVVIPLSDPILNTTVGQNCTGLAKHTNKTIGHMIFVFVQLLYVVPSMVYIKFLLVALTCGKHRQIRYSSIFYTLIAMGAWTAVLIHVPHSIFEMIGKRPHLSMMTNLFRFCPTYSALSEPSFGLDLYVYLIQASFVIWDTLRVLGATMIVLYRFHSLLNLTQARKLWESHKTQIILAVIAVPSIIYLPIFAISSRAVIDDEEMIFQNEGLTFFNPEMIHVLISIVCTIVVLVTQIIIVKKKKDQPSSMEMRAPNEEPAQEKESSKFLGDTIDRALNIVGIVEIIAVAVYTLFITARFVRNRFDHSLPPRILYGSLLASDFLSFAPLWAIFFVSAWIREDATPFRSLIMKCCKSRQANQDNEA >PPA02048 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:140368:145821:1 gene:PPA02048 transcript:PPA02048 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEVFEGVGLQHGCTGRMMLRVVFPTVVFNGPAYIFFFIYLLVPSNCGHEFIKHFSIGMFDLWIGISNRPDSQHEGDIYFKLFNLDMTRRETSSPPLFFSHLSVENSGWEWWCQFLNSGSG >PPA02067 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:254585:257077:1 gene:PPA02067 transcript:PPA02067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cav-2 description:Caveolin [Source:UniProtKB/TrEMBL;Acc:H3DXC4] MSNRSSRSSTQGGVPPSAPAYPPVAPPVSQYYEEPPIVVVEKGEKEKMKIAEATLEDEIQAYRQDMLNRDPMKINRDLKVGFNEIFAEPDVTLHSIDCVWTNSYKVFELTKLWWYRFLSVICGLPIAICMGCCFACFSFNIIWCFQPCVRAFRINMLFIKQLWAYTVHIFIRPLTLACGVGL >PPA02022 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:11069:12468:1 gene:PPA02022 transcript:PPA02022 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVCDSYSSGYGQAMMIVARITFLGIMSAVSLGQAPCADYDSVCVPDREMEPGCKCTLIRPEQEEEFDFDDDVIRKILDQKAIDELNRFYSKNHSRPVPIDTTIFASIHNIAHTHLMTFPTSLLQKIINALGDWISPVSARTLLKCGNQTCEVANEATDLQGHPPPTRPDRAFRVTRAIRYDIQGENRTLLAFVSATSKFVLSKKSVRECHHVLFWKECETVMKPREFDDASRANWLKHMRHSIMATFRKDNANLLE >PPA02098 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:467361:467956:1 gene:PPA02098 transcript:PPA02098 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSFSILRRLGTAKAMSAKTKRMQYALFRMLTVQVLNPCFNLFNPIVLTHYKHLTRESELRQSTVNCGMDTICVT >PPA02090 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:417529:417942:-1 gene:PPA02090 transcript:PPA02090 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLAGYKLWLTNLQRQPVFMDNYWSALLANDMKDGTNSFQIPYFVVEEELKKEDGNVHLVNKQDVIISNALRHWLVCTYFN >PPA02026 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:44074:45097:1 gene:PPA02026 transcript:PPA02026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cri-2 MNSLTLFTLLALATISLACKCKEQTAKESFCQAHWVSHLKIKLRVSKQPVPGDETRKGLNNIRYAVEHLEVFKKPSNLTALPNEIFTPSEPPACGLILDVGKEYLLAGRVAPGGTLSTVICGQVRPDDTREELFENVLEWKKVPTSFPPKMKEMQC >PPA02023 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:17034:18976:1 gene:PPA02023 transcript:PPA02023 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEAASVYQQKLRAPLLERSLSSGNPTYILKRAAPRLPTKLTAEKFVDAVKNIDTFIFDADGVLWLGEAALPGSARTVELLLSLNKRVIVLTNNATKSRALYAKKLAKLGFPAELNKNSLVNPAAVVAEVLSLAGIKSSGKKVYLIGAQGVRDELDEVGIEYFGFGPEPEDNSDGSAFMFDIKLDMKREEVGAVVVGYEKHFDYHKLMKAANYLQEPDCLFVATNEDETCPGPNPNIITPDAGPLVAAVRVASGRDPITVGKPNSPAFQYICRRWKIDPARTMMVGDRTNTDVQFGRDHGLKTLLVLSGCHQLEDIMENHVQGRDNMVPDYFAESLGSLSLEQ >PPA02070 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:273724:280716:1 gene:PPA02070 transcript:PPA02070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-uda-1 MLLGAVLLLLHQSRVAVRGETETSIDDEGYYCYGDGHLHTSQPCRFFAIVIDAGSTGTRLQLFRFVHSTTARGLPFHAEEETFHEVKPGLSSFVGRPHEAAASVQELLATAISIVPLKLRGRTPIVLKATAGLRLLPGDAAQRILEYVNTTVRSSGFVCLNNCVGILDGTDEGVFSWFTLNLLLARFYHDSKGNEVDPSPARSAAAFDLGGGSTQVTYWPSSGAMFDAHPSYRHDIDFFQSHMHLYTHSYLGLGLQSARLGVLQGAKGEKEGLELESPCFPPSFVLPKWEQALKEWSVRGSPEYSLRACQQTTTDFVRRSEIGKLTDLRGSSHLYFFSYFYERARQAGLVGEGETKAVRVKQWRDAAEKGTWLFDDPSPSITACSTSSFPSDVPHWLPWACLDLSYIHALLKDGYGFDDDQEIIPVNSISGMGVSWALGSAYSLVDEFHRELALEDIEDDVIRDQRSSVNATGVELVDSFLTVLSQKTTDVLAYFNLVS >PPA02087 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:368092:369389:-1 gene:PPA02087 transcript:PPA02087 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKSKATVSKSAPEKRDKQKSSTVSVESTVSLLDRSGAIGLEELEAAMRNLGLEQTRDELDKIIDEVDQRGNHEIDFDEFCEVMRRLYEKKTSWNEVIQQCFTVFDRAEAGCISKRDFQYVLREFGDITDNTIIEEIFNEVDVDGNGLIDVDEFSYMVRNYMNDDDI >PPA02085 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:355925:360253:-1 gene:PPA02085 transcript:PPA02085 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDSGAFGDDVESREEKAKKKTFENAIDDENDYERAVGLAESALRSLRRTRFSIGEAGDPWNGSEYHQQLLHLHKMESSVGCMQMDSAAAAGGEMESSEQLLQGGGGAAIPSLAGWLQIEIDTMDDRKRVFNSEVDELRGLLKALEIEGAPISSVNFHILFSIFHHLHSSVEYDEEWARLVVDACRVLHEFSELRRVVVLLLQMTSAMTKDMEPMTEHILTVLLRETVGHCTQWIKLSEWQRDIICCLLMRRRSTRRYGERLWVAKSLTRVAPKSRSSLLCTN >PPA02053 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:179141:179611:1 gene:PPA02053 transcript:PPA02053 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLKDLNADEDDEIRVYEERKSSVDDKEKRKLFSLVKLEPVLWDFRSQKYKKGTTQRKRTWASIDHALCLEDGTASKAFKAACAARKRAKSAIKDTPSGSGKSMTVKEIEYDEELSFLDEVEMESTL >PPA02031 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:61052:62372:1 gene:PPA02031 transcript:PPA02031 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAYPIAFLLLASAFQVMGDTPAGGAAVADPNAAGGAGGAAGAADGGAAAGGASNDDGLVGTVFTTASNAVKPIPVVGGPAAAAIDATKGVSKQIIETGRGFIVFAQKFATTLPVAGPIMAPMFDAALKIFETFFGKAPPAAAQPQ >PPA02058 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:200432:200838:-1 gene:PPA02058 transcript:PPA02058 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHGVLIVGYGQEGKKPYWIIKNSWGEKWGEQGYYRLYRGKNVCGVTEMATSAIIN >PPA02084 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:353887:354941:-1 gene:PPA02084 transcript:PPA02084 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGAEEALRDAGIMDAKCREVMETAAKDLGRRLEIESARPMHGHTRPIFFPAIKDVANSIYN >PPA02093 pep:known supercontig:P_pacificus-5.0:Ppa_Contig108:440084:440461:-1 gene:PPA02093 transcript:PPA02093 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSCTYEFSLGEEFEIKGLDGVQDKLTVVMEGANLVEFHTKQDKPNQPVDKQVYSMQDGKLVQCFSDGKISCKRYYKRKN >PPA02055 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig108:186405:188003:-1 gene:PPA02055 transcript:PPA02055 gene_biotype:protein_coding transcript_biotype:protein_coding MACPIEISHAGASLSPLSLFTLLTSITSHTHVHTLHFRHEGREFSLDFLPSMTVFTPDEQKNRTKYPSSFCHFQGVNQDGFSAWISACHPDRIEMILRAPVGSFTLKQPNGSFAFDSKDCDYSVFYDSLNIEIQLVHLSIFKTKNTANIKFTSKDDVMKSFKKYLENECSGVHFDHADDNQEVVVAAVTLAHELGHGIGMEHDK >PPA02099 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1082:8:1350:-1 gene:PPA02099 transcript:PPA02099 gene_biotype:protein_coding transcript_biotype:protein_coding SLLAEFDRAMSTKSLLRKSIVQCQRIRIVQCQRSLSLRNSIVQCQRSLSLRNSIVQCQRIRSCNVNEVSPCGIRSCNVNEFDRAMSTKSLLAEFDRAMSTKSLLAEFDRAMSTKSLLAEFDRAMSTKSLLAEFDRAMSTNSIVQCQRSLSLRNSIVKCQRNSISLLAEFDRAMSTKSLLAEFDRAMSTEFDRAMSTKSLLAEFDRAMSTKSLLAEFDRAMSTKSLLAEFDRAMSTNSIVQCQRSLSLRNSIVQCQRNSIVQCQRSLSLLNSIVPCQRNSISLLAEFDRAMSTKSLLAEFDRAMSTKSLLAEFDRAMSTEFDRAMSTKSLLAEFDRAMSTEFDRAMSTEFDRAMSTKSLLAEFDRAMSTEFDRAMSTKSLLAEFDRAMSTNSIVQCQRSLSLRNSIVQCQRNSIVQCQRSLSLRNSIVQCQRNSIVQ >PPA02101 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1087:162:4156:1 gene:PPA02101 transcript:PPA02101 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRYKSFDEVIGRLRKDGLPSEQDSRKAIEELLKEQNPQNISSSSFAPSRKSGVDRVMAPSATLNSSMNTSTPSSASSDRVRENDLSRSSGSSSASNTSSPEAIADRTPPKGPSNPSNGSNGTKKRSASPIMNDDSKGKEDEDDYYPSTASSSKRKAHAPSMTSLSKTTPSPQMNTSLSSRPVRQESASPPEDPTMGKEELRGKSSKMTSVSPHPPPSSSSLIPPSSSSSRAPSTSPRTDWNTVYGVITSPIEADNYINAFTTSYTEYAEMHSKLSKVADEFTGMEKELKSCARGSNEAKEMEIKIQSKYSHYEKDIDFIK >PPA02102 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1088:171:633:1 gene:PPA02102 transcript:PPA02102 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNKVTFIAGVGPTYGAKLSEAGFDKAYVLHDYLLLVKYAMGVKKVTFIAGVGPTYGAKLSEAGFDKAYVILDHLLLVKKYEEL >PPA02104 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1089:1049:1268:1 gene:PPA02104 transcript:PPA02104 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQKKDKDMPVIPTLLKKNLELLDSSGVAKEDKLDIIILEGAYALSVQPGLITIGR >PPA02204 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:657046:658135:1 gene:PPA02204 transcript:PPA02204 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDVYEVENILKKRVNDEGEAEYLVKWLGYTKKTWEPLYNLKGSEDLLKKFEKSSSTPKTPKSKEAVTPKRSAKAHVDKTPKATSRKRSRDQITASSLRASDQTTPKRVATARTPNDVVNSSKRATKRFIDESVVTMPVISYVEEQDDPTRSASTERSFRDVSVEPNPIKRARESSQRPRALTCENWDSE >PPA02186 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:457503:461017:1 gene:PPA02186 transcript:PPA02186 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRHKLFLTCSAVGLLILYIQVWSLGYRFRTAKNTIRILAISKCLMKETKLTMNLNGIESPLKFQPLEGGCPWAWAKKCEWNAYELQSEMIPEAPHQVEISIDDGRSVVVEVERRETVIQGQIQVCVPPLYWYHDWSRLILFFELWRKHKVTFIIGIYRLSHSLAHNDCALRMEAEFGVLLDVDEFIHISNNLTLFEYVKPRFDGEPKLGSLMFKHFGLKVGQLNGSFDGIVNAQLFPNDRPTKVLPNEGMLLHYRMNFDNEEPKNATRFRMFNIEYEKQRKIYSGMKCLLRDQMHQQLLKSVMRNGDLKVVKFHYFTVEKISKKRMIGYFSLLLKIPTTGFTTFKPIGITQTDASEVFDSSPNTEPTGVTTDPTATPNTEETTTDPTSTPATGSTTDSNAETTTTDPIATPATGSTTDSNAETTTTDPTASPATGSTTDSNAETTTTDPTATPATGSTTDPTAETTTTDPTATPATGSTTDPTAETTTTDPTATPATGSTTDPTAETTTTDPTATPATGSTTDPTAETTTTDLTGTPATGSTTDPTAETTMTDPTGTPATGSTTDPTAETTTTDPTATPAL >PPA02210 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:744110:744572:1 gene:PPA02210 transcript:PPA02210 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYCLFGDTVNTASRMESNGKPGHIHLSSDACRILNVMFPSFRTEPRGEVIVKGKGVMETHWLIGQDGDIDGDFDRPERSLPDRLKQDSPLYRQYQRKTTMELDKIDKID >PPA02232 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:822911:824738:-1 gene:PPA02232 transcript:PPA02232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mgl-3 MISYSSTGSELSEKARFHLFSRVVPPDNLQATVMAKVVKKLEWNYVHAIADSGSYGEKGMEAFRVAAAREKVCIDGDVHKIGRRPTTKEFESIITRMKSKGKARGVVMFVDEDNLKQLLSVLEMMIDRGNNDLKDHFWFVASDSWGKKTSVVSGRERLTLGAITIAPKQRTIDGFNDYFLNLGPTNPFLEEYWDSLNCGMYGSTNFGECFSSTNLTFNQESYVPYVYDAVSAMAHAISKYIKRECGDTPYGSCELQSQTFNGEILQTYYRNKTIGEARIDEKGDGMGLYDVFQIGKQGLYMKIGTYERNDLSLNLSETRSRLVNTNNFPFSVCSQNCAAGFYRSYQDQTCCWACIPCDNTTSIVVNVTE >PPA02121 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:64027:64560:-1 gene:PPA02121 transcript:PPA02121 gene_biotype:protein_coding transcript_biotype:protein_coding MIFYLWKENKRKKKKNIVNKDITRVENGLVVSTALNGIIHVVLYAVVLMTASLNWIGAGATLILFIALSSILPFWLLISLVPSLRRELHCLHIPIGMNPSILFSFTPSILPITPTPKKNNTNKNTVTAVRT >PPA02111 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:20095:22821:1 gene:PPA02111 transcript:PPA02111 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLASFFPDWRILHIAISIPIIIYGFVLIIWTKESLAFLVSNRQFDKVADWVTASDRVSRAKMGDPHWNYTASGLVEIPASIFLPLLMDLIGRRPSVILTHALTGTILAILPFIPANHDHMYLSLWMISKFGVSASFFALYIYAAELFPVEHRSLCVGTCCALGNIGALLVPFIAKMSPLMTFSIYACVSLVSCLLTLLLPETSH >PPA02159 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:321830:323336:1 gene:PPA02159 transcript:PPA02159 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGNKTSSDVDIERNQSTETTKFIPKGKPSNEDHSESSESQETGSDTKYGPLPPTFIDKLLELKCTRCNTQFSSKKENEYPFFAYCDIVCGQCANTGEFTGTRCETCKLLPNRVEDAGEKEYKRFDALLVRCVDIPHVDEDGVKNKSIDRVVCVWCARKHHEGHACSFLNELRDQTFSRSLEMNRFAMKVVSSVIPKRTANTL >PPA02154 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:299640:302437:-1 gene:PPA02154 transcript:PPA02154 gene_biotype:protein_coding transcript_biotype:protein_coding MKALSKKMFVSRQLFALSETPFEDNRIKRDQWESEYKKDSPFGKIPILEVDGKKLPQSHAIARYLARKFDHLPFFVVLTGLKDGDLSTLRIEVGEPARDKFFEYIEQIAKKNGSGYLVGRSVTWADLLIVDYMFTLESKAPGYLDGFPTMKKVKKSVENTPKLKEYLAKRPNNAF >PPA02213 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:756363:757628:1 gene:PPA02213 transcript:PPA02213 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEFAPNVHTQHRPAETVRHNKRVLTSSTSSCPPSTISLATLSSSSCISHCSSHSDCSGLRLCCEKGCEKKCVYPASTTPCLHDAITAEVHSLKVNRKCDANGLYALHQCDEGGCFCVDEKTGEEKVGTRTVYGEEPKCEVPLRGSCPPLTCTLSCSSGFELSSNGCPSCKCKDPCGRLNCPKGMLCSLISVDCLNTEENDASFCPPQPRCVPNLCPMGEPLLSSSGSPKSCTESHECEPNHFCHDIGVSSGGICCRIPVPLAHSGQCPSLPPTLPSPQRCAIK >PPA02245 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:900335:907176:1 gene:PPA02245 transcript:PPA02245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-22 MGGVGGGAHIPLTNQEKHFDATKSKVLQEIQRGDSDHFGESFAEQIARAETPKIFNQQEPMWAEKAREKSERARSRTPGGREYTPSDPYNGGAVGYPYQHKLGSAGDEAREYAEKSRSFVHANHGEGYGPAYYQVQDHSPRHRSRSESSQRGYELGGMDFAGRGAGMYYENPEAHTYVDLNRKHRETSQPPIAGSDFGTGAIGYGAYHGHGPDPPRLRSKYTADPRAPVNLYVAPNVDSVDGNLLVGDTLSNQKVQPRVLSHPDGSFGTSFAPPQGHRPDHRTYRAPPKPDEPVTFCVSAPKMNGGYSSAPSHQTDVFTQRQAFNDKEVSPHTLLTDEALRPRRREHTPDWVNASLNKQQQWKHLSDPRLDKNLEVYYTEPNWKRNVDQRKNAWERRAFETEQQLQRPKAPASGAPYWANRAEQTHNTWARAADDVNRGGQAYQQGGVQHHDYSNSQSTQNYSTQQSQPGFGQNYPAQTTTHFSQPLSVGFGGNQMSNQMHSPGGYSVHTQSQSGDKNTGNYATSEHFERERNEDNRHEKSSYTHSESKSTTVNGQPVGALPSGGGQQTFHYANGGVQNSQSQSSHQPSHVVPPSSFQNFKSSSYNTSSNTQNQTTRTLSPRPPLNTGPLTNHHIANGVETDILNTGFNENQIRYFEERHAVPTPHHHHSPLPQKTNTSSSVALPGGGHRYTNFSSESTGVQGGPSYSTNERYSSETRDPNGRSYQTSSFSRSEQKSSSTIPQTWQPSENRTASSYNDNFHKESNKRSETTTTSTAPVTRNTTYNYAQQPLSSQISPGQTRYEHYSMKKSEEKKSEETRPIPATPPQPVQETRYEHYSMKKHEEKSEETRPIPLPPPQPVQESRYEHYSMKRHEEKSEETRPIPLPPPQPVQESRYEHYSMKRHEEKSEETRPIPLPPPQPVQESRYEHYSMKRHEEKSEETRPIPLPPPQPVQDSRYEHYSTKRHEEKFEETRPIPLPPPQPVQESRYEHYSMKRHEEKSEETRPIPLPPPQPVQDSRYEHYSTKRHEEKSEETRPIPLPPPQPVQESRYEHYSMKKTEVLCGELNIADKFPEKKEETRPVVIPAPPPVQTESRYEKYSSNRHEETKTESRPVSQQSNYTESRQQDYHKSSNEKTTTSSTSGAPRVITSVSSNLNNDAFDRKAEMSETLPRGSISNTHNNTQGGYRDHSGHDVSYKRELATSSDPGRDVALLKEEEKRTRDDKVLQEEDDYRHDNNYYSY >PPA02165 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:349199:355577:1 gene:PPA02165 transcript:PPA02165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hecd-1 MDKCMEVARSMQPSVASGSNKVLASRVRLSSRRARQSVDITRSRVTRGPDWQWNEQDGGEGGEGNVMGPPEAGWIDIQWINNGCCNSYRWGADGKFDLTAIDASNPPAPSPLVDSSPFANLPGLSSLSNSLGLRNKRGSAAGVVPCSASSSSSTTSTIGKKSMSTTNLVEERVPSVSVAATGQAASAESLQHQTPSLENILANYTGGRSMGMEALFDETREAYMEGEDSIELSGSAEVVEDEIEEAKEASEGDNTGNNKNLSASTPNLKNALLKELDDGEDEEDEDEEEEGTEDGEEGEHDESRSDHNKSSTDTLAALLDSFGGERKFLEKLRDFAADYSMDSLMNESGQSSSSASTAGRHPSTLPSTSSTPSVPSSTPSFTATSAGKKAVAAVKSKASSYADAMKSLMQHMLEPTNSVDGEAEDFEDEEMYDDVDGTEEDLEEEFSMTGGLPVESLASLLKGTADGSALRVNFKTLSQLMMGGRNSDRINKDSGKAERGVNAFHLRHFDDEFILKCTFNALIPAFDPRPGRTNAKQTVDIELPSAHGSAASTSTAQPQPVAIHAPTMQPLAALKSDVRLRLFMVGPNQLGVENVTVEMEEDDECIFKYIQSIVNHLGWSQSDGCRRIWDSSWNIFYEDASQPSKMTASPLNPVDVIPANVQEVLRVLLLLKRVGGLLPECDITPDVFISEKITHKLKQELSDPLVVSAGILPSWCAKLVFDYPCLFSIETRQLYLQATSFGVSRSIVWLQSRRDAAIERNRGGSTNAALASARRDDQYEFRLGRIKHERVKISRNEDELLEQAIRLLRFHADRKAVLEIEYTGEEGTGLGPTLEFYALVAAELQKKCLAIWMCDDADESQLKMEESELDLGEGKKPPGYYVRRSSGLFPAPLPPHSKDSKRATELFKVLGIFLAKVLQDGRLVDLPLARSFLKLVTHPAVSHDKPMKTEGVLDLDDFEEVHPVKGLFLKELTALVARKRGIEADQSLKQETKRRRLEELTMSINGTRCSIDQLGLNFTVNPPSTVFTYEEMELIEGGADIDVTIENVDLYVDKCREFYLNSGIRPQVIAFRQGFDRVFPLSSLNAFSPEEVQRLISGEQTPEWTREDIINYTEPKLGYTRESPVFLRFVDVLVEMSGADRKRFLQFATGCSNLPPGKGILEIVTGQ >PPA02251 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:920728:921486:-1 gene:PPA02251 transcript:PPA02251 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPPNTPEVDPGIPCVCGSMPLLSANSLADSLGVSPAYKQADSARWNSAVRVYKSSRAHSPISGRSFDEEDPIDIMP >PPA02128 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:100292:100856:-1 gene:PPA02128 transcript:PPA02128 gene_biotype:protein_coding transcript_biotype:protein_coding MNTILLLLLSVSALCAAENQITDFSNTINSQSGEVIGNCVNELCPPSYTCTLGVCHRAIRVRKAGAPAIGPCVNAKCPDNHMCEHNENRCYPVEPVAE >PPA02242 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:893820:896088:1 gene:PPA02242 transcript:PPA02242 gene_biotype:protein_coding transcript_biotype:protein_coding MNGESSRENQTKRGNSFTGNPGLRIRLTKKGINQLKNVGVKLLNEKISHLSGYSTDYPFSQPGLEGHVYLKDVRVLRFTPAQISVVNFLPPKFIVFGLENMAISLAANFHGTAQHNLFKVEGTINGLTIALTSELQTTREGNLIVKVPNCSTIINHSHFNLRPEGLLGPVVKIFEGHINDVVRQRIPIMFCSKLQQLIEKNSPRLFEKLSKTTFEDKFDSSTISETEMMQKFIADLIHGLYMDNSHIHSPIVTYDYFETQQRGEIRYDTDYIPTPFYPKFMNVPVASDRMLYLFGSDYLFNSMLFHAYERNKLTLMLDNSNVPPLYRRALDTSCGGAPSSDLLAGICVGTLLPSIATTFPNSTTSFLLVPHTMPDFSFNGESAAVGLETRILSYVHSSGRRHQMMVASAEGQADVLLHVDQERMWGEIKLNKLGVHLHRASVAGIDPESVEQLSPLAKTFIGPQLSSKLQKGMPFPLKGQVKLLDPRLRIDEGYVELATDFILNEDVLREKINEAFSKLEF >PPA02183 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:448411:449350:-1 gene:PPA02183 transcript:PPA02183 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLDRLQNIDRTIGYPQGRGSGILNVLEELDEDGTSIKDGLGTAPNPSFSEETAAPLYGTNTVKSSQGGEFVLFYTTECVFSNYHPAPIVVDGKDFLTSEHYFMWTKAKTFKDEKTAEEILNAGSPAEAKRLGRQVENFNDDVWKAASIRLMTVACYRKFQQNVSLRKTLFSTAGKVLVEAAPSDRIWGIGMGTTNKNAGDPKNWKGTNNLGRILTVIREHMISSKLYDREL >PPA02196 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:602034:605755:1 gene:PPA02196 transcript:PPA02196 gene_biotype:protein_coding transcript_biotype:protein_coding MGKENGPEKALFMCPVCFNPFSARALHEHIAENHLSHRYHVSLSIGNTRHHFQVVARRLEAGVPDTVGEVGAQDTVVVGAPAMEMEAGVPAMEMEAGVPDTVMEAGVPDTVMEAGVPDTVMEAGAQDMAEEAEAPDTVDGIGAPATESVAGAQDMAEEAEAPDTVVVGAPATEMEAGAPATERVAGAQDMSEEVGAPATVVEVGVLDTAEAIGVPETVEAIGALQTAEAVPLEAANDKMIDNLSLYGASQPEFTAGRFHVLNDYNPPQISGSNLGNLSGQTGSSSRLERGPPIPWYTGSSYSGYNNSGSNSSRIPVSPRRESMDSLLKSFQDTRDIPILKKNRPNDEPDRRH >PPA02247 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:909012:910263:1 gene:PPA02247 transcript:PPA02247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3DXV2] MDPPPPPIPPSLPKEEKTDKTKEAEHSQPHSENGVGETEGSGANTGPVDTSKSRPRRKSEKDKKLKKEDKQNIIKYFNQTINSLVKKKYGKKGFYYPFKSAEIMITLAAVKKILKKEPPMIEMKVPVVIVGDLHGQYSDLIRIFDMFRDDTNPGYLNTRYVFLGDYVDRGRQSLEIIMILFMLKVLYPRQFALLRGNHECRAINKAYGFAAEIRERFLDPKKSLEIFEGFNEVFTHLPLSCLVADSILCMHGGISSKMKTRDDIMKVFFLFLIPPFHYSILFKIPKPLKDVSTNPIATDLLWADPMIGLPWLSLRLSTMQ >PPA02202 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:646738:647696:-1 gene:PPA02202 transcript:PPA02202 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSFEAAATREEGDFLLLERSNSADICELMMRETKNDSPIREFEDQERESVQALVKRMIKEGAGPIKISEWAEEAGFDDYYLKQYAEELLPKRIMKTKDDNFVMGDTFAEYLHLFKIIYTQEIMVMLNRGDTISEETISEDLGVDSLLDSLAMEWSSTAFNVEKKNGATKVTKRV >PPA02123 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:67326:69023:-1 gene:PPA02123 transcript:PPA02123 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLAQQDQITSRMISQVPPQMGQPLHTPSVHHPQQSHPSHPSQSSSSNRPSRYSSYMQSISSTHPSSTIPSLPPYLSTSASTDLIYHNHQRQHEKEEAKEKEKKLMPIERPKLTNDDIIRLVRCRHKSMLAKEQKGERKFTAIGDLDQDDISEFNRYLMIMQSKRPEKEGGKYKQDEHGLITVNGMKMRPRKSTFVSAFSLPMGKQISDYVKKKEDDDRPPIIVLPSTLKYIPKVPLCAEGKVKPQLCRGHENTKERRENPDLFGGKYMEKIQGLFHSVTGDPRRVPNKSTTGEKWEYPFEDGEAPPGMEVHFNVEGRMWNPEYDYQLVFEQLHEISERVMGFRFELPPEKRERRSASQTRLFEKDLFERDLTKAEEVPEFGNVIEVKTRSGRIDYDGDLSDCDDGRIAKGREELISYEEKIKEKKRKEEEELEKALDSDYEEDDEEVGQEKEEPKTVKKRTDYI >PPA02225 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:797437:798089:-1 gene:PPA02225 transcript:PPA02225 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVFHDNAVSLWAYSSAAVNVVAFGVYVLTWRLIKAQYYKRLTVYKNAVNRRFNVKDSKATYLENVDLRVTNL >PPA02169 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:378227:379570:1 gene:PPA02169 transcript:PPA02169 gene_biotype:protein_coding transcript_biotype:protein_coding MWLILAIALPLSLGLEMTPTECKEAGFNVGSLKCSSCEHLNKFGLETLMTDCQSCCTAEKELKHEKFPMANLEVCECNLARFPQIQAFVRKNMENAWNGKVRVKHVRGVRPQVVLKDSSGNARQTLNVEKWDTDTLIDFLNQWIE >PPA02161 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:332240:335152:-1 gene:PPA02161 transcript:PPA02161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lgc-43 MMKWMDRVNMNELEQWNKKKEMEKDEAKKKEDQPKKEKEGEEEEYEEVYEYVYEDEIETTPSPPFVFREAVIEMENEEASGFTDDFTLPEQKSKVVITEINQIDTRLFERELMSMRVRDEQVSHIRDLLHNASYLELDTGESHKDYGGSYVLPLLQSVAYDNNTVPLIFSDIPVHVRTQLKILYLGNFDSHLMEFTVDAEMNMRWFDLRLANNFSRPIRLREKALIDLIWRPDPYFVNSKVTLLPSCPMIFCRYPHDRQECDLRMSSIAFPRSLVTFTWHSTPYIFHYRPDLPELTLADPWADECIVEGKLIASSCLRLVFNLKREEGRYIVEKYLPSALAMMFSWVAPYVPYHYEEVRIVTPITVLLTLVQMEKGDQQEKQTEKAANEYEKMQLYGKRRTMRRLVTRVDRFSQISSPLIFISFLLYYVLVLSRGDEKDCVDS >PPA02249 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:917055:919220:1 gene:PPA02249 transcript:PPA02249 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lact-6 MQSTSLLISSVLSVLLYRSSLPSPHYDETIKENFERDGASVAVYHKGELVVNLWGGYADTTADRVWKKDTQTLLFSATKGITAVCIALLVDQGKLRYDDLVVKFWPEYGANGKQNTTVEDVLTHKAGIPFLDERVDFSDIGTDEVRLKIERAKPAWIPGTASGYHAVTFGFILDELIKKVDGRDTAQFFREEIALKNELDLSIGVEKNKFHRQARITLPDWPEYVKDIIRDPRIIAMLGISTVRFDGIIEKITATHSWLNFGYDMFTLNDPDVASLPLSAVTGVGTAQDTAKLFSLLLQGKIISNSTLTGLHRPTLDTWHIEQVVLYPVMKGHGFFYDPHPTVLSSYFRLCHIAAFDLQV >PPA02126 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:78523:80122:-1 gene:PPA02126 transcript:PPA02126 gene_biotype:protein_coding transcript_biotype:protein_coding MSASKRSLKTSSYLEHVKKALKDAVHISEKQMKEVLGCKLTKDSDRISLSYLKKIPLAAQGSADIISEAKQGLLSVVLMFIHMTKNPLVKTDKVKEMALWEFLELLEVNQTAIHPVFGLPSKLIAPSNAAEFVSQGWLSFEKKQTERNDSEEIVYDWGPRAYAIISPHELLETFCEINGDEATDWKEHYKIGKNEDVNVPPPPPTQRKRTQSQRMKRETKEEDD >PPA02119 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:60176:61085:-1 gene:PPA02119 transcript:PPA02119 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDNAIFLLALLYSFLVLFGFITNSLIIAATFSSKSLQSTCNILIAFCSFSDIIHMFGHLPKIIWIFTGRFFMSSYLCNTFQAIPLFGLSAGTFGILSIGVDRLISVLFPTFYTSKNPFRYLVSHAFVIILYFIGQCLLILSNFEDKQVICSPPEVYHGQAKENWAMISCIVYITSFIVYLIVWVQVRRKGINVIFVDSTFTVVDDNWPTKY >PPA02150 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:295250:296495:1 gene:PPA02150 transcript:PPA02150 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSLVYGGAQVDYAMKLNAPIYALEHRFYGESIPTEDASLENLKYLSTQQVIEDIADFIRQMNEDKGEGQQWIVIGESYPANLAAWSRLKHPELISGALASSAPILAMMDFYGYLKIVEDDFKKIGGECFDLLSNGLNDAQKLLQSAEGRDKLSKTLKIVPPLSDYDDITDNDRDQLFGQLTLAFELSVQFNEPKPSELCQTLTSFGANIDPIEALGKLIRTPFSVNFTEMVDELRTTRFDDPNVESRLWVYQTCREFGYIQTTNMGSNVFGQTESSK >PPA02146 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:264886:267247:1 gene:PPA02146 transcript:PPA02146 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGLQPIAKSVMGRKTETVREQQERQKAGFKDKVAQMKKEYNAKQGEDSHAVDSVPTPSMDEEDRLMICEDEEQSDGGVDQFNSLDNIDMADTPLFDRDMSTFSIGDKNEGFQTEVEPGGTIVKRRRGKTPTEHKQDSQVAHRIMKSPATPKRSSSTVTSRRETIDFIPLGRGRPKSQQRKNDDEKIEKQKMVSKSFSSSVVKMSKKEGTDADESIDMKEVALSSKEHYQMDGSFDDYSAPLNDVAAFPITTPTRSQSFSNTMNGKLPQGVDKQGLREGKSWKEEERRKTSQALFGDVSDSEDDDMIEDETKKEKGMIKTQKCEEQIETKKRMEDATNMDDIINENSEEMEEEIVMVEDSTLEEKNEDMEEEIVEIEGKPIHEKEDERDEEAFEIEEKKMEEVDKEEGGADDYNEEIKEEKEEMKEKKKEVDGIMEEVLVINEDETMEEEKEEEEESGIKEKKENDYEKVIANAKKRDEMEMEDNSCLLTMSQLMCTT >PPA02157 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:309995:311783:-1 gene:PPA02157 transcript:PPA02157 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRDTEDCVLSRYNRFDRYESYRIAPNLEIDYYENICIGKEKLVNRDTEQGLESMGMREERTTLPPMDKYFQPKRRSIGSSPQFLHRVDDSPIIFDKRIIRPGAVTTHYFRGTSYPFTTTEIPSTTTTMRTTTTTTTRAPTTTTTPRPPPPPPPPSTSPPPLPSLPDPAALISESTMALKPHDCFSRRANGFEEATILNLSVSECLQRCIDETKFHCASVNYELTRQLCVLNGGSSSLNGMVVEAAMVDYYENGCPPKELTSTTSFIGESRYECFKSQQGAVLLDLSGELLTTASLEACMGECTREGRSSLCSAINWLPQSKTCMIFTPGYTSDVVPHPNASFHSNHCPIHVTAAKAAPTTDYDYQ >PPA02238 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:873641:877329:1 gene:PPA02238 transcript:PPA02238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sna-2 MEDNALETPTGISQFDMDDGGFVIPPENLDHTTDDELMEEARSNADEDASFHESSLISPVASSSTRESLRKFKIEGLNHPGEYTTHKQLQAMLFRCNNFNISFKPPTTSSNSKKGVKKGTVSIVWDSHDEVSDEATNRHIPKFTLSEEYEMRERTIYAVYLLETTSEEVLTSIFDQIERVQFMPFADHKKQAEIVMKTKEAAKGAKEDGDNFDLNDGENTSVMKVLFTPEYDEFVTILSRRLTCSSPPSSSSAAVNMSRSDSNASSLSISPVKKDHHKEDKKHVKPVPTPTPILRLPQPLPLPSDIPEDEPSIDEVAAAIELVVDEERINYAEINEKEELYGLADKASYKLGGVRDELLRDSLLSVMERARTKAVEDQSNWMKRHLENLIKLWKKEIASGIPFDRPSRVPLQARVINPLPKEKKRKRGGGASLRSQFGIGSILAVARVKFTTEEGELDIEETDDGNILIGDVPLSFDSWARFNKQPLKRAAPKEEKVSEAKDNRRLKRAKMEEEKKKRKEEEKAKKEKERPRKELEEGEMDSDDSEGDKKSKSDSSSSSTDTDDEGDSRRRRRFRRKNDRNKIKYSEIEIERENEKEEEKTRWSDDYK >PPA02218 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:774354:775492:1 gene:PPA02218 transcript:PPA02218 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSLSSASSSFGFSAARNGARQATSIQLTTRAADYLFELSNDSIVNGTTNYAEACFRSCTQDEIIKQCGCYYAGYNGVERERQRSNITLRLEYLQEDKEGDCFVGVENGSDYEEMSNNRD >PPA02174 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:390673:392072:-1 gene:PPA02174 transcript:PPA02174 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSIFGADESCVPNDEDLLSSTVLVGDEDHKRDEGECGNAAFIEEKENASTSSSKENYHRTPESSRSDNRLNNEPKRAVNPFERSVCADSLSRSIFSPGILAELSNLPKNTPKTATKGEFKWSIEHLAVLNPVDIPEEDIIRSRISP >PPA02193 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:507391:511023:-1 gene:PPA02193 transcript:PPA02193 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNDQIDKPPINKRTLNEQSSMEKLGSTVSSLDIKGTGGERQRKGQVQLTVVPKESTSQYPKSNVSTTASRMNTGGGKIIRIVPKSDLRHYPKKIEIPAQKQLGQDNSFKQSSSLLSTNSKTMLSSSNTPSTTPFTSSPISTSHFPKPFLPPKQQHPLSKPFSKTAAANVTTLITIAPKQKINLAQKKPRMTTNETPRSHVAQSLITKESETSKLTKSNSFHIVKIEKKEDNERTKTSGKLVNKELVDESWQKVQDERKKEKIKKMRTAPPEEEENQMEPVDDEIVYLKTEEKKRIRYNCPSLEFEHCRGFPSESSSENASTGDPNRKDVDACYNDWEESVVQFTIKSSAKEKELEKEMENTKQKTKGKSAKNKEAKRPFDPLIDRIIETREDGILRRNRPEYRFYLDEQPSPIEEFMTFYRSRSIDGIIKANEFHRENQRIIFARVNPNPNLPIVVSGGVDQKILVHNYLTGDTVNTHRVHFTYPTDAGWSHCGHYFIACGTDKLVTEYNFYDDHRKSTTDSYFQYFLPGMKTPIFNMLQFEEYDEFYDSSEVKCLRYCTSADSSNIQIHAQSKKGNFVFPV >PPA02208 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:741578:741906:-1 gene:PPA02208 transcript:PPA02208 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYREKPISTSKGEIERGFSNQSLIRCDINAVAGSTVAYQLNKCVKYDEQKLNGYKVTRRICACNDKDLCNDSLSLSLFSSFLILIAISLFNY >PPA02142 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:252101:252496:-1 gene:PPA02142 transcript:PPA02142 gene_biotype:protein_coding transcript_biotype:protein_coding MDILEERIKNREEVREIKIQGQTQKWAYRSEMVKKLDLDMDHRRIWIAFSLIIFLGFGSFVYVKSNVVLGRREEMEQREKMRKELNLHGADRKKISVVDN >PPA02112 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:22866:25854:-1 gene:PPA02112 transcript:PPA02112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pmp-5 MGKHRENTRDFHFDWRFFRSCYQLGGLVYPSWEWAALLTVITIVTAIGREVVNYFSGLNLGKFYGALVGGDEGAFWTVFVSSTLWYVALCGLIAIVQFLAWCLYLSFRSNSVRKLQNLYFQNGVFYKMNCVDDQGVDNPDQRITQDVEKACNILATKIIPVVFLCPFTIGWYTYRTFTIADWFGVVSIYVYFILGTVINRFLISPLAKWSARVEKAEGDFRYKHVSVRDHSEEAAFYKAASFEESEATRLFWHLFRQQRSQILVRLPVDFFQTFFNFFGGSLSYAVQVFPIFIFHYYDDVSPDDLPTIISNNSFVLIYLINSFTNLTDLATNIGEFAGYIQRITEFKEVAISHSRNLQRDLSSSDLLAVKVDEKGGKVNKGAEFNEDDAIVCLSGVSYAPPTEDEELVSELTISVAPSHRLLITGPSGVGKTSLLRCMAQLWPIRTGSLTLGEFPRGVIFLPQRPYFPVGQLSLKQQVVFPAHEDSIPINEHERIVRILASVGLGRLLVTAGDLIGKVDFEWQDQLSPGEQQRLSLARVLFHKPSLVILDEATASLSELAEKEVYDLLTQEKIGYLSTGHRSSLFQYHDQVLQIEEKGRVSIYPTDEIRY >PPA02241 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:890245:893125:1 gene:PPA02241 transcript:PPA02241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-snf-11 description:Transporter [Source:UniProtKB/TrEMBL;Acc:H3DXU6] MSCIGYAIGLGNVWRFPYLCYQNGGGAFFIPYIVALVFCGAPLFILETSWGQLLSVGGLGMFKICPIFKGVGIAAVVMAFWLNIYYIVVLTNCRSEYEKIPCDSNKTILSFFNAKTLTADHLLEYKKQFFVGAKANWSVCTAAQLNVTSPVKEFWNFEVLGISKGIEHPDGLRWDLAFFLFVAWIICYLCIFKGVKWTGKVVYFTASFPYIMLFCLLIRGLTLPGASLGLEFYLKPDFSKVFSSKVWVDAVTQVFFSYGLGLGALVALGSYNNFHNNVYKQALTVCFVNSGTSVFAGFVIFSFIGFMATQQEKSVEEVAQAGPGLLFLAYPSGILQLPYTQVWSCLFFSMVLFLGIDSQFCTMEGFFTAIIDEFPQLIRAKKYGRETFIAIICIISYFIGLSTVTRGGFYVFQLFDFYAASGWALLWLLFFECIAISWSVGINRWYDHMHSMIGYYPSSWWKFCWVFATPAVCMGVMIFGLVKYTPLRIDAYNYDYPFWGHVFGWFLSLSSMLCIPGYAIYIWIVTPGTYSEKYEKLFCPNIEIEKVSKNFDDDGDELDTIENV >PPA02239 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:879436:880448:-1 gene:PPA02239 transcript:PPA02239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cav-1 description:Caveolin [Source:UniProtKB/TrEMBL;Acc:H3DXU4] MSTEEKVEMEAVPLKTDTDEAKTETTETVIDKKEAPKKTWFFKSDKKKVVTEEDVEEGKEKTKKKCFWRCQKEKKETGDEEEQKQEMTIGIDMQMRDEKQINNHVNIQFDDVFAEPETFHSVDCIWRINHRIFESTRTFFYKVFGILSIPIVFIFAILFAFASAVNNFLVMPLAALFTIPLTGIAKTWTFLVSNIFDPVANSIGLCFSKINVRKYGINNAPTDLIQA >PPA02177 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:408918:412069:1 gene:PPA02177 transcript:PPA02177 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLWVKARGCVDLLTLHLLRSSLRGQSAVAEEPEKATTESAQEPLQVKFIVQLQVLDAPELQDDFYLNLVDWSSQNMLSVGLNQCVYLWSACNSQVIKLCDLANDSDSVTSVQWTDKGDFLAVGTNKGITQIWAVHANKMVHEMPGHSSRIGCLAWNGDIICSGSRDRQLIRLDGLKNWASELGASVCGLKWSPDKQYLASGGNDNQLLVWSLRRPEPCQTYTEHNAAVKALAWSPHHHGLLVSGGGTADRCLRFLNTLTGQPMQCIDTGSQVCNVAWSKHSPELVSTHGYSYNQVVIWKYPSLQPVTKLAGHQTRVLYLAMSPDGESIVTGAGDETLRFWHVFCKSGVPKTARSRLNLIQSLRFALMEEKTILAWIFRHFKIKSSGRRFEVRSGKLMKEKVKLQVRELH >PPA02148 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:268622:271866:1 gene:PPA02148 transcript:PPA02148 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLNRRTIPSHKNDEVESESRPQKRKALLNIPPRSTWDIVMKKMHMNKEVIDINDIIPEEEGKVFEWLVNLPDKIKKARNFLLNILQTRSPEDMCQCLDVDVLNWACSKFTAEHYNILDGAAFKMPVSAEMVERWWESEIEIVEKAKDRAEAHSTARNAASLLNNSYKDLALRMSLLISPRFQIEGLDRIKLSAYCKDSIGRPFVLAVNEGRVAALKMHITMEIVKSFCSHQIDNSEEQDSLRAHVDSLLQRVRLIIQRPTCTAIKKPSIAECGLRIAILSLGKDWNVMTHCYQNM >PPA02167 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:372263:373497:1 gene:PPA02167 transcript:PPA02167 gene_biotype:protein_coding transcript_biotype:protein_coding MMEMDGIDPPGRMRPVTHSSLNLPSNGGTIPHRRLPNAPPIETAAGAAMIMVNRTHQFDSDDDDNWSGDRDRHRPNRIMADYPYDHSRTSMASPVRLARNQAIAMVGMGPDVPEATYRPAPDGKSVRLPFAPRPVLRPADRLVSEALGLGRSIKQQQDWLLI >PPA02194 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:512279:513097:1 gene:PPA02194 transcript:PPA02194 gene_biotype:protein_coding transcript_biotype:protein_coding MESESEEEDKREMEDYGEHLRQNNLRLVTLAQCIAKNKELHRPACNELLNWCKDQRAFSLHFEKNLLDALQAAFSVCTQDGFDLQLVSDLINTCFHARRSLSKEGALRVSRWYEQLRRKRASRIAKFKRKVERERRIEEESNRINNE >PPA02130 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:104401:105854:-1 gene:PPA02130 transcript:PPA02130 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQLFLVALAISTASARYLVKRDNSYGDEPVVPAEVATEAPVAAVSAESDEGYAAPAEAVTEAPVAEEYAPAEVAQEVATEAPVVEASGYRLKREAQNAYGDEPVVPEEPATEAPAAEEPVVEEVAATEAAPAAAYDAPVEATTAAVVAEEYAPAEVAQEVATEAPAVEASGYRLKREAQNAYGDEPVVPEEPATEAPAAEEPVVEEVAATEAAPAAAYDAPVEATTAAVVAEEYAPAEVAQEVATEAPAVEASGYRLKREAQNAYGDEPVVPEEPATEAPAAEEPVVEEAAATKAAPAAAYDAPVEATTAAVVAEEYAPAEVAQEVATEAPAVEASGYRLKREAQNAYGDEPVVPEEPATEAPAAEEPVVEEAAATKAAPAAAYDAPVEATTAAVVAEDRH >PPA02125 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:76540:77876:-1 gene:PPA02125 transcript:PPA02125 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFLILILVYFVRSQETTEKPLTENPPEVSVTTVPPIGTTVPGGSTTVPSLGTTVPSVATTVNTTVTTTGTTPIPSSTGVVETERTTKKSQNGYGDEVVTPPTHASTTHKANGEKDTHVVPAKVANRHALFFSGYDKDRSLRARRAAQNGYGDEPITPAGNSYAEDDYEEGTTTTSTTTTPSTTKKAQNGYGDEAITPSKSDDAYAPQSEQEYAPVEPYAPPSPAVTDGGYKRIRRGSQNGYGDEAVTPADNGYGNGGNGGGQDEYAPATVSQGGYGEESVQKSGYNRRKRGNEYGDEQMTPSSKGKGSGYESEVEQPYGIQGQNEVTDVPQVTSGY >PPA02189 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:477186:479089:-1 gene:PPA02189 transcript:PPA02189 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSILERAKKARDEWNGEIVKMWVMHECVFMSFTGEMMQVLLDVLENQSGKEFDIYPFMKRCTLDVICDTAMGKDLDSLHQPDQPYVKAISKLMRLGMEASMRPHLWSRLGKWLTGWQKEHDESVKIAHDFTNEVIAERMDLLSRGEVDAHKKAFLDMLISEKERSNLSMEDIREEVDTFMFAGHDTTSATLGWTMWCLSHHPHIQQKAYEELKEIFGDDIDRDCTKDDISRMNYLDRCIKESMRIFSPVPFVIRHLGEDLQMGPYLLPRGSSLTIAPYIVHHNERIYPNPEIFDPDRFLAENSNSRHPYDYIPFSAGPRNCIGQKFAMYQLKIIVSSILRRFKLSSDR >PPA02216 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:770916:772004:-1 gene:PPA02216 transcript:PPA02216 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKESTPIILFPEKLKFQCNYVSPQCLVLAVLLAVVSSQCSGGIPDTERQSFLDAHNTLRSTISAGKYVANGKNMPAAKTPIANMTWDCEIEKSTQKVSDTCIFAHSKNRTNLGENIWTMWASYKLSVNGMAKNACNSWEVEFQKFGWADIKLTPSVFSSGIGHATQMAWARSTKLGCGISLCKDNQKVLVACQYRDA >PPA02246 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:907955:908894:-1 gene:PPA02246 transcript:PPA02246 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCNLDEELENEGMIREVVNRVQRLRKEAKLVSTDEATLYIEFPAKAGKVRALVEAETARLEAATGTPIHIGGLPDGKKASLTQETDVKEEKIKLHLVSAVAVGGNGVNVIDGKKKVNVKVETEDGRKITYNEFLYEVRSALDHWNGTMKLKLPNGEVMKYTTDIPSLVGSTLTVV >PPA02162 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:336534:341484:1 gene:PPA02162 transcript:PPA02162 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIDPDTLLEWLQAGFGDERDLQLMALEQLCMLLLMSDNIDRCFESCPPRSFLPALCKIFLDETAPDNVLEVTARAITYYLDVSNECTRRITQVDGAVKAICNRLSVADLSDRASKDLAEQCVKLLEHVCQRETLAVFHAGGLNAMLNLVTKHSANTHKDTQHSAMSVVTRLCGKMEPTDESIPNHTQALGELLGHDDPKVAECALRCFAALTDRFMRKQMDPVALSSHSHLGTNASTPANLTSIVLSLLSNLCRGSSVITEEVLTSDRLITGISSVLSAKEERAVTDGLRLADLLILLLCEGRQALPMSAISSVPDSSSTSSSSSEKTHRHLIDAIRQKDTGALIEAIETGPTED >PPA02135 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:114210:116033:-1 gene:PPA02135 transcript:PPA02135 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDLDIAVDNFDEISDQCCCSICSESFDSNKIIPRSLECGHTFCDECIYNETYFVNNSVNCFVCKRVTTVPEGKKLPINFLAISLAEKLIKSRADPKVICKSCDRKYSPTSVRICVKEGCDMHNQLLCLNCAIDNGHGGHVVKYDAKMEKIRDELRTKIATLCSDMEVKKKSVLEKTNQLSRMAKALEMRFSEVNVPTHIIGQLDSLASEPEANEYMEIVNDLAETLMNGCNTLAEVLDAALTTATQQFDDLFENEEIEDVKMEDQQNENAPPTN >PPA02141 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:247582:248614:-1 gene:PPA02141 transcript:PPA02141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rla-2 MRYVAAYMLAVLGGNASPKASDVESILGSVGIDADAEKLKLVMTRLAGKTVPELIAEGSKGLVSISGGGAAPAAAAAPAAAAPAAEEKSKKKEEVKEESDDDMGFGLFD >PPA02179 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:428870:431364:1 gene:PPA02179 transcript:PPA02179 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLQRPVQQQQRALDWRAITPYASWHNSYLSPTKVLIRPTDDDKRNTKKKTVSRTIQPGAEKVYERKKKTKTVEEKSVMMKSTVASNQIVTHKNISGNSVVGKTWAFDRPIAESKGSKEGNDRIPDPEDNKRITKGCDPEQLDNDQTANLKVFVEKILKTGVKALMDEYESMKSYELTPIVQKSFDANPDKNRYKGEKERMKRKLIFDLLDKVCIEGTRLRLQDSLNGDYIHANMVNNKPLLNKFIATQVSLKKYEKRLRREEKRLFLSKQGPLEKTIPDFWRMVVQENVGYIFMLCEFTELAKEKCAKYYPLTESEPMEHKGKQRITIKLEKTTEDEVFVYSRLIVDSPGKGKRRVHHIKWKNWPDHGVPSNAMPALRLLRHARLCQQPTVVHCSAGVGRTGTLIAMEWLLQKICTTPPPYDMKEMIKWTRSQRAHAVQTSSQYVYIAFVVIRLIAEKDRKILRLLNDFQNEMQTLTGVKMDVIGPSKPTRK >PPA02117 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:37806:41879:-1 gene:PPA02117 transcript:PPA02117 gene_biotype:protein_coding transcript_biotype:protein_coding MDITKFSDERQITANVSIHLMSYGPEIRISRIRLRSGDHNISILSMKNETNKKLYRILLKEPLKRAEYVLDLEYDASICETSDGGVNCYFEPTQNGTSTKATSFTTKFEPALARTVFQLTVRHSPILKVLSNTAVQWTKVDEGGMTITHFKQTPPMSSYLLALAAGEFVRLEMFTRRKIPLTIWTFPEDLSRASFAAQFAPKMFDQQEEELEVLYPLSKLDMVAARSFSVGAMENWGLVVFDSHTLLQQSEIDDSMAMTVDRHHHEYRIAKIITHELAHQWFGNLVTMRDWSELWLNEGFASFLVYEMLSARYPHLTEFEYFSRLAILFHKQSGVDRPALVRSLSRESQVEAAFHTTHLYTKGCVVVRMIRDLVSSFDFSAGIKRYIRNNAYGAVTRVDLFASLPVYADHGAENERLDEIIETWLLNEGMPEVTVSRKYDTDGIRVTQRLSRANRYRIFLYDEKSGVDPTTFSYTDNSYNSEEGSRVARSAVEQEKEFDDTLFEDLPEGYRRNNEKKRQRHRKKIRSGSKSRQSSSILIEKRNEDTRNKKRARHSPSDRWSIPFSYMFGSIKSTEGQVHFEVIRQFWLKNRSISFTDVELSPTAPLLANPDWAYPYRVNYDYANWKMLTKLLHDKHNEIPLKSRMQLIVDGEFFLSQSGLPHLYIYLLSYLESEESLGASLLGLDAVHRVISRLDRHLVDSQTDVELASLWLLDPHRLTRFYQLRCAANLSSCEKEEEVRAWFLRGHYSSDMHQRTTSLCHYLAVNGGGKEAQRIESELSKVSTDPTLLQLATCVRDNPQLAARAARIVVAQRNAAVYDSVLRSDLSQQHYNFEFRRALWSAIGSLPEGDRRFLFSVDEIVPSPKSISLLHSIRTAKELELASLSILTD >PPA02198 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:611260:612044:1 gene:PPA02198 transcript:PPA02198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-avr-14 MAGERILLPWFPIELLTELHAGEAIVTRVAEEEEAVEDGEETLREEEEVEDPDGVATLQADPEDQAGVAIAEI >PPA02211 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:746952:748597:-1 gene:PPA02211 transcript:PPA02211 gene_biotype:protein_coding transcript_biotype:protein_coding MYALRQEYHQRKRFVPVEQMLAIGKIHRRRKSKKVIDPNSISCQIEEMYDNKDVISPLYEIEKMEMAKDSITVRKENAPNIEERLFTRKNESINLLFRDLSIMPPDYQCELVVGQRMYVTDVLELYMSLLDHEWEFSVWNNLIGSFKIICISLRMNHATEIVETLAGMHVRAGDSIHPSLRRLVWACVVRQDNGFEEFKQMLNDEVRIRY >PPA02221 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:782357:784214:-1 gene:PPA02221 transcript:PPA02221 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFILVGAIALFSLFYYVFSYYRNVSRYPKGPFPLPLVGNMFMLKSRGLHDNIRELSLQYGPVCTLFMPVPMVVLADYEAVKDAFILKGGDFASRPDPVIDKGKFCENQGVINSNGESWRENRRQSISILRDFGMGKNLMEEQVKLSITEYLRCLSKIEDKTKVDMRWPIQLMVANIINETLFGYRYDYDNCDPLINYVEAFNKLQDYIRSNVDHALTTFDPVKEPECFTHAYATKLGSSPYLTLANRKEQLYATSADFFLAGQETTTTTLRWAMLLMAANQDKQNKIREEILRVVGSSRLPSMADKRDMPYTMAAVHEVQRRANILMMNVARKTVVDTEVMGFKIPADTFVDGDIHQIMAYDPIFENPMEFRPERYLLSDGKTLNKEVVDRTVPFSLGRRQCAGEGYQ >PPA02185 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:453661:455080:-1 gene:PPA02185 transcript:PPA02185 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNPSSSSSLPLLPDPIHEPPPAYEVDRIDDDKKEIKEEEKKNVDSFLRLSWYCARILFLSEFILFGSAGNMVYLVYAGAAPKSVPCYYDDGLTPNITDICKMSKEERLNLNCTLDPQYEFYSLNVQFQYFCEETSKVKATVSFQMAGVLFGALFWGFFADAKGRRLVRILLSSSASQ >PPA02230 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:816875:818285:-1 gene:PPA02230 transcript:PPA02230 gene_biotype:protein_coding transcript_biotype:protein_coding MARPMATVLITGATGGIGTDIASRLVAEGKNVYVTGRSQQKLNNLIDQLNPMKTRDDQIIGQFQFDIGWLTDIKREMPQICKVPFDTVIFNAGIMFPKTPRSANEDDLPWMEDTLKTNIVGHFLMARHLLAEQKQSIRFVCLSSVTAKFPLRWMRWKTSPSEFHHFFARSNYFTDGWTSYIDSKLAAIVMAQWLNKQDNKSAVAVHPGVATTANVCANNVINAALSPDDFTNTWICHDTVAIPRLSEVQKNSFMDFLHELTKDFISYP >PPA02136 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:116421:117520:-1 gene:PPA02136 transcript:PPA02136 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNIVVNDVDFKLYIFVFRYNVKLMTTMEKGADLGYSVAYRFQIRENISMLGESYDEDDPSNAVSFSASIVVISYLPYVEPKMMLSIRWFPRKKTILERVKFEKPESDVYFDQLTKDLHAPSPPIRPNQSKSI >PPA02192 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:504876:505276:-1 gene:PPA02192 transcript:PPA02192 gene_biotype:protein_coding transcript_biotype:protein_coding MAADRLLETVQFAKSKEESVECGSTTALLLSMAQTMRACMKDWPNMEDNSLAGVERTQALNELKRTLREVSQRLKERD >PPA02206 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:732333:732961:1 gene:PPA02206 transcript:PPA02206 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSLLFIVLPLQNLIGLVLNLIVLILICNLAEGALQYVKTCLFISTVHCILYCGMNHLLVPSEHSVTIT >PPA02228 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:806912:814546:1 gene:PPA02228 transcript:PPA02228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cogc-2 MAISSPKMFVPSGVEIDVSQLCFNKAHFTRSDFEVQRRRSDLKTIHSDLRLYLKSIQHSMVELINEDYAHFVNLSSNLVGLKTSIDSINTNIDTVWKDFNETAKDAVTQAEKIELRCTQLTATRDAQQKLSRQITAISSMKKLRSTLREASTPLTTLFLERLTSLLTDLVVNKEAIEEDSAAMKAYAKVMDNVGDRLNTEFLSSLQSDLSLLPLIFSLLSLCRLSDRLVSRIVSDVIDPSIKKEQNKTPLHSLNLVLESISKLRSDWSSKLGSHSKGPLMDFLDQSLLTFLLSYIDKSLSMHLTTSPSLFHSAFQSISIFIAEWPSQSASSPHLRAIRSRFQLQVYFLQVASAPWKKLQELADPSKFSLAEGEQEDEETRLNSQFFFQAAETIKGLWHEDRFLLPLAGKCWEQTTKIILLCVNYAKAMIDSVTSSDSSSPSFLSTLCSLQVDCTRMGAIVFDHALLSIWPRLRELGVDTSTFGQTLNAFIASMKKEAERVRSSMVDSLTRELDTMMNGVSQLPKQYRWTKKPSPTSASSYISSVVSRVDEAVKEAKEKGVEGAEEMGREAIERSAQGLIVKAQQTVNATGVSLSRLKRREGAAGSGEGETDEEKIRMQMGLDLSSILERIRGNEERTKEMEELLSKVQSTMTTWADIQRLATDLQRVQLANAAQKLSESNCIEVVTRLIERGVIDVVYTRDGSAYITRNHLCTMIVNEVSAAGGRLGVEAISANLNVHFDHVESSIPKINEEHGEYLYTNGELISREYVNQLHSQLRSLLSDCGLRTIAFLARHWDLASDTLLQLLTPLPQELGAVLDGDQIYTHSYMAAHKNGVRALFAATTKMTLLSTLITRSNLPSPRFHSLLDELIAEKEIAGRFSGSRHSPSALYVPNLHDRLVEAYVKNQIMQKEYIDLSMFRKLSLGEPKAALVQILGGVEKVKDLHILSTIVCTEAVLQRVKTILNDELSSKGVSDVFAVQTEIEIPFGVEDIQSIMKEMAKKDKKLTVEGDLIFSSSMISSALNEVKTSDRLNEKAREWVEKKLEKRGKEKKEEKESTPQPQGKKGNATAVVEDDDDWDDKKKGKGKKGGRGGGGEKGGTKGGGKPVNVTHKDKEREEERASMPHVSVDELEEWLVSVPEQLRAIVAEKIHYEVDSCLSLLTQQQLASREQEAVQSTKKAHGQMREKAIALYQRIRVFEEATHSELFEISLKSDLRSHLLKTLCSELSNDLLAFVSSSPSGITLTPKQRDDTISSLPSTSKNAFTALVNSLKEEPFEAFHEALNKVCSRSICDLPLNPIDKDTRDSIISSYTSLLKEEAASSVPAATLLSLILLQFTKQGVAVHASGKFVSQLLPCLKSKLDESSFDSMIDTQKLVIDSMRKKGAELEEINQSLNEKINGLREKYLKY >PPA02200 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:642579:643638:-1 gene:PPA02200 transcript:PPA02200 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLMFICENWETIGNYILYKYKAHHHILAAIKGLRNGLVYGARIRAPHALVMVFLFGEGTFIQKLRTIFKLTKTHAINLAKFVFGYKLVKGILEKSAGQAKQWHAAAAAAAVGYWVFGENNSVNMQVDHSCIEPIK >PPA02237 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:864568:873269:-1 gene:PPA02237 transcript:PPA02237 gene_biotype:protein_coding transcript_biotype:protein_coding MDALAKLKEELARKRKQAEELSIDVRGKKMIRRADILAKEEKEYMEKQALKKQKQKWMCQEEDDAAQASSSSGSKVDVTDDESLTRAEVIRRLRSRGQPIIIFGESETDARMRLIQLEYDQPEVNEGWNNELVSAMRDVDNELLKDVIEGGANDNKRKFDVQMNKAILEEWDTIEKSAIILGASDELQDVYRDCDTMNKFLKYLLAKWAQQLNDRDEDEKKSAAGRHDASIYKQTCTNIKVLQNSLDSRSINNDIRHHLIKIVRLLIIDRDYIQANNAYMEMAIGNAPWPVGVTRSGLHQRPGSAKAYVSNIAHVLNDETQRKYIQAFKRLMTRCQEYFPTDPSKCVDFSMSDEEVQTSEIEDVESDNSDNNSNESDKEEEEEGEVGEETDDVEMADPEEVEKKIEESRKALESNPTDYDAAMELLKWIRLSADLDELMKAREEIVAKFPLPIDQWLLWIADGKTLEYSQSEMESLFRRALSDSPSSATLWTEFCMWGCGAGTEIAETIYEDALTAVGLRVDEGGTIWESYTDFEEVLMASADEDKREKVLSSLFARCMRIPTNSLKSMRERYDEFAGDKADPSIISSFKSTLKQLVELEKWEKKLEDSNESIEVFHEYLEYEMDGGDPARIQSFYERILEKHSLDENVWLNYTNYLTEHIKIPATTLSVYRRAVRYCASSCALWQSLLLAMEKANIDESEISALWPAAKLNIGTADDGRALYRTYAFLLKRKLDVKGGEIEYAAVADVLDEGNEVLSEYFSRQWDPQGEYRRMQAFFHYNKMKNATKGRLIWDDILASGGGRLADRWIEAATLERIYGNVAHARTLYNKAVNSVADHPQQVFNAFIQFEREEGTMEQLEKALAKVNSQRGRRAEMPPPREKKEKGGGRGEDKKKPMPAKQQPHPPKGDGGERGKKREATGGEGRGNEKKMKIEEKIIDKDGFAMPSLPMGPKAGTNGKSTASSSTNEKKNTEKNGEDKKWTVFVSNLDFRSTEEQIREVLDGVVEVRLLHRGMSKLHKGYGYVDVENEDAFREALKQDRVLIKGRPMYVSECKAENEKRDASFKYATSLEKNKLFVKNVHFDATIEQINSVFSQFGPVKEVRVVTHKSGKAKGVAYVDMETDEDADKAVKSNDVVLLDRKLQVFLSNPPKKVGGGLGDMIQAPPPPGGRIGHSSKLDFVPRRLASKIEDTKKEESASSSTSVEKKSNDFFRSLFNNMSSSSGDYERNDDERALMAPFEYIRSMPGKQIRGQLAAAFNYWLKIDEEKLKKIGSIVEMLHNASLLIDDIEDGSVLRRGLPVTHSIFGAPRTINSANYVYFVALENCLKLEHPKAVEIFTHNLLELHRGQGKEIYWRDTVVAPTIDQYEQMVKQKTGGLFNLAVQLMQLFSTCTLEMQVLLDKMALYFQIRDDLLNLCSPDMAAQKTAAEDLTEGKFSYPIIVALEKRQLMNDDQVLNILRQRTKDVEVKMYCVELLRKRGAFKETRERLDELSEEIRVMTREMGGNDKICQVMDLLEKAVTEVKTE >PPA02235 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:845472:851491:1 gene:PPA02235 transcript:PPA02235 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIYSTVIISLLIVAASADYTDEFRIHEGASIGTILTASPELKRKLDRVSNCYGSLESSIQWIELKDNTNVLQTTESLNYVNLNTREQLQGLLTMLCPGNKVISLPFSVHITKMNRHSPTFKKDSLEINVPLGSPVGALLTQLEVTDHDAVIYNSQRTLSFKDTKSIGDSLFSIQNDGSINLKASIPASEAYKKIHMQVVAVDYGSPQRYKEANITIVPVTVSQVRSLRVNVASDKYQIFEWEAPEYGIPDKYRLVIRRGEHTLADEEVDAKANRAMTRVKIGKDWDVTYSVSAVSFYGETSSPQEPFKIIHGKLECVGDCTHGGLPLCFYGPLNTLQQYEDSEGRHCDCFPGYTGISCEKRESCASEKRVDTYGGLDWPETGVNASARLLCPYNDKSEEIWRPCEWNSLEGRAQWGKTKGHERCQTQTSILMHLGMHSTFAEKADQISSINTVSSYLAKLLNVPSFATSGPAHFDLKIAQHMTMVLDAVTRVNYTRIHERTKANVTEAKLHLLNRINTLSSRLPVPFQLASDREEKNNISFNVIHWLPATENFRQQVGESCYVQLPMIDDENVMRAVCMSNSSLVSTIDARNPLMIMNVDMPEHLVFSKITIGLKTFNNSENYTCVYYDEIERGWSNRGIRRISQRGGFVECETSHLSMFSILPESAFSSSSSMLRDLAVLLPTVTSFISIVCGVFLLFLSAIQRGPSIDYPLLVFLFLAFIIHALHLLTLLAPQLGDPFLQAPTLHLVYQFCVISVCALIMLLAQSIYSFIVQFK >PPA02243 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:896490:897860:1 gene:PPA02243 transcript:PPA02243 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIAARMAKDDADMDTKWWGGYPKTHFVERARASETYNNLVGDIWSKSKRMARSASLTNLTYIREKPLEHPIPRSPTVSSLAPSLALPQYFREAQRIVHTEKVYKPHYDNFMRDQSVAKWRNTLRECEKPYKPSPYLSSDVSSHIPYYSFQTKRIFYDEKLKSNKSYLRGSQKYLDNYVTARLHADDFANRFAYSAYEWRKPQDHSFNRHFMYNQGVEHPVATGIPHSFYDKLALRRMYKLTGRFYF >PPA02144 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:256394:259000:-1 gene:PPA02144 transcript:PPA02144 gene_biotype:protein_coding transcript_biotype:protein_coding MPWANKYMANISSCMTRNTMGSTAGGAEVAPAEEDYEVLRIVGERVKNGRTQYLVKWKDGSEPWSLDQYDEWKWDKDTDCPDLIAEFRERREQEHLLKKKKKRSVPDTSDEDDDQPKKAKKKKTIVVSDEESIPSVQSKSDRGSKERSISLTRRRSGRGNNSFEEDKSNLSGRNDQQDDGTELTKRENAPLFRNPSPVHKTPKMEIQSGEVDGNEKRRERGTSWLDEDDDDDDGDGDGMNKIDNNMGIMTMEGEESEPIMVSAKEEPTNNVKDVSLS >PPA02108 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:12198:13945:1 gene:PPA02108 transcript:PPA02108 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVDPVRDIGMPDRFTPYTFFLTVVLSLTWILAAIPAMVPAYVAPPASGGVNSSRIGDFYTVIDEFELSATTAEWTTMAYFLGSLLVGQIPCALSDKIGRKPVAFYSALFTGISGVIAALAPNYWIFLIGRFLQGAFFTVSYTLFLMLGH >PPA02214 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:759119:761761:1 gene:PPA02214 transcript:PPA02214 gene_biotype:protein_coding transcript_biotype:protein_coding MYSHPVDCLGATCPPIPRVYSIHAPLEYLLSIRDLSVLSIVPLLLIVHVPPFPRTAEYSDPQEEAIAVQLQKLNPTLVHALISLHPLSSIILFLFLLVIDSVNSTINVLQMGCSLVCLPAVAEGGIESEMNNITPPSSPGSTKIGICPSSNILRATDCTVDCESDEDCAGFHKCCQQGCARKCMPPSMTTLCLHKLLSYEDEANRFVLRSKVTTTTTNPLMKSTNAAGEIPYSDHSSILPLSLQPFLLLFRPFNAHPMDSSDCINVINEQSQSFSLEYPTNPSSSSQCWCVDPSTGREIMGTRGSSFGPLPNCEVPRICSVSCTSSSCPHGLVFDSNGCPKEGKCECIAPCKSHSCLIEDDMCVLKKIDCLDEGNCHPIPVCISSPCSSLLPEIDRSTGGVRQCEEDGDCSGRCINATISERDEQSQTRGVCCISPTDSSLAIKPSISSPTSLTPTFSPSFFTSPIIARPFCPSVGVISGMGSDCTSTCSNDLDCEGALRCCEVNSCSRRCVQPVRTTNCLNVRKSFDSLKKGGATVGLSTPQCDLITGQFASVQCSHTHCWCVDTLTGSETPGTRIRGMGNAVICAVPRSCATSCSPLSCPHSSLLLDASGCPLADCRCEHSCR >PPA02226 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:802740:803581:-1 gene:PPA02226 transcript:PPA02226 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRTPAPFSLLYYICLLIVNSISFCFDPLFSLCRRSGKMSVTVDSAVLLKTEPGSPEDECAEIIKLIDMKSESQSVDSRINKSVNIVVDREDERQGKSWKRMSDKEKMVDIIGFIQKSMRSVQKTMELDREESIEEEEKQEKKSVVKKQKNTNKSNGVGSFFGYWRRTRTGKMKMIFTQLESTPFMITGKGKKFYVLEDIKKREKKRKSLRVVKCIRSQLNSNA >PPA02133 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:110204:110888:-1 gene:PPA02133 transcript:PPA02133 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLLLLLSCGALAVTYPEYYYLTVGTFQHLCLGFNENTAYIENQCIIAYQMVEVLIP >PPA02106 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:8195:8519:-1 gene:PPA02106 transcript:PPA02106 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSNRLANVDRRDSRAEEMNTMNEGEGTAREDDRVDLEDIRDIVREDPVDLPVLADWNERDQV >PPA02182 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:446289:446858:1 gene:PPA02182 transcript:PPA02182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nbet-1 MDSDFDSGKSLLGATMKRLGIVSRAGGSRLQCYLILFALVVFFIIYSLSVYFHL >PPA02252 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:922051:922379:-1 gene:PPA02252 transcript:PPA02252 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLSFAVLLVLLSAAVAYVFNVESAPEDEDDSSTIRRPLRSLNMMDGPFGRKGSGRRLFPYYAGMGKGRR >PPA02158 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:317985:318808:1 gene:PPA02158 transcript:PPA02158 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFMLTITVMDSLPEPPYYAAAQLGIPQLSLPPCPSSNGSKNEEKEEDTYETPTLHVPSPDRFLHGDLDEIDPSRTLDIPSSNQIIETLREIRTRLRLL >PPA02205 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:729358:731741:1 gene:PPA02205 transcript:PPA02205 gene_biotype:protein_coding transcript_biotype:protein_coding MMQEGESPSPIKKEEIEEVNESVTESPLDALVRSQVESLAGSDTSDSKEDLIKDEPDFSSIFQNLGQKMDLDFSPLTPSSGPYDVSSFTVDTTFGLIECVKALPALWDPASGASQRTKKDTWSLVADKMSERGYTVDARLCCSKFRILRDSYMRIIRKSAAKGASASEKGKSWQFFEPLKFLGDGNGGAIPGEDRSSSSPLSETNDQIEVAQKSYNDILLSAVHMETAVLEEKERKKAEKEKADAAVIAAALAAVAASSSKAKEAPTSIVAPEIRPSQSIHPLVKPKSLSTAPIITKTVTPSNGNKQPSTVGGTLRIVDTWSSFGDHVADMLREMSRLEEDETWETRMAIEKMLVARNEQLREKAKKKE >PPA02209 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:742608:743044:1 gene:PPA02209 transcript:PPA02209 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVFNMMENYAGSLEEEVEARTRELVEEKKKSDVLLYRMLPRQVADKLKLGQSVEPEQYDAVTIFFSDVVKFTNLAAKCTPLQVVNLLNDLYSTFDGIIDEHDAYKV >PPA02118 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:57923:59530:1 gene:PPA02118 transcript:PPA02118 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPSLMEPLHQLHSSTYEVDKRQPLMYPYKMHYQNGPISEYRKEFPSKNDRDDSSWKQSQSSVNLDVLKSPACSSDSSEGYDNEKTMIERERTIITENEWAMRVMQSNQMNHNTLLKDKAHDKIRWYEGEMKKMGLALGMVTSTLAQVLSHHAVFNWTSCFYEPPVAPAVDPSTVDVPAVLHAARGALNNPGLVTGKVAHDVTLELLKSIADEGTRWRLGASPQLLGMALGIENVQHLQTTPLLPLKESQSFGKGRGRGRSGYPIREKGSDPSQGKNQPQNNQ >PPA02171 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:383434:385973:1 gene:PPA02171 transcript:PPA02171 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKDDKAEKKKKKEKKEDKDKRRKLNIGHAVRTVMGSYMIESVLGSGGFGDVYQVSPTKRPDRKYAMKTEYFDSEKRKLLNRLKVEMAVFGEIQKCSSPIDKSHFVNYIDKGKTEMFKFIIMEMVSYSLIDIRTTMMDGFTSNSTIIQIARQTLQSIEALHFIGYVHRDIKPHNFAIGRVPNDSKIYMLDFGIARKYCDSERRVRIPRKSVRFLGTVKYAARACHNEKEQCRRDDIEVWCYMILEYYNPTNLIWRKETNKVKIVNMKQKLMTKHNTSDMKLLIPKAFHRAIESIEQLTYTCAPDFPFLHKILDTICKDENIDETLPPDWVGRTMPMDKKKKKTTADEKLLNDDDSNDRAQWDARERKKREGAKKMKKLEEDLAKIYKELDEQKSPAEIRAEIRNKLRKAKEEERRKRAERKEEDDEDDGSDSEDESDSIDDPRRRSKMGSVMKTVKDEPSRQQKYENRKKRTGKRTSREDLDDENDRPSGNRKKGSRQRSSYGNGGSRMDNMESMKKKKQTRSRMDKPAESPPLKSVSNKIQKVNDYIVFKSANDMEKEADAKDKEAAERKAKGPDKEVR >PPA02250 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:919433:920471:-1 gene:PPA02250 transcript:PPA02250 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPLSLEDIARENRRRHDEEGGVVAEEDGGPLELEVRCLSTNQFHSSSILQQAIAAVHELATDVEAISVSEMLPRTRDLIFVNVKTQESQPFTLELTMKGWRVASSHSDCMYGDYTKLDLHVRYYMNARELLNVISPTHSSSFHQKVTDKLTKMVDTNA >PPA02120 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:61802:63241:-1 gene:PPA02120 transcript:PPA02120 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSDLPVPILRRENAFNYESYLFDADGAIEFIRSLLEKGKQVFIVTNNAIRSAKKALEKLENLGFMGLTEDNIVTPNTVLIDLLKRNPHFISKGIYIIGTDGLKDALEEELGVECFGVGPDPMPLNELFPQSIDVERKASSVIVSDDPHFSYMKMIKATNYLLDPNCGFFVTNEDANFHTRSYALPGTGCLTAALRTAALPRIPEVMGKPGDRMASFLIQRFGIDPSKTLMVGDRLDTDIKFGNVNGFDTCWVRTGVHNEEDVRKAKEGEDQTLVPKFTLHFEDII >PPA02187 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:462821:474965:1 gene:PPA02187 transcript:PPA02187 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKCSIGLECNLNKEGEAFCNCPWGQEFVIDENNEKSNITCKVSAIPNACESCKHFCHAASKCVNSLNKDQLWLFSCAPCDAELGYRGSTGISCQNIDECSEGTHNCDPQAKCIDMEPIEDQNLKYKCECPKGMRADPWGGTHCRKCINNNECCEQPDICGGNAECVDTIGSYNCICKEGYTKTANSENCTDTNECEPVNPCPKFSVCNNTVGSFECICIDGYIKENEKCIPDINVFCQHCDPATTTCELSQNKDSYSCVCKQNHHPVDKRSCLPDTFCDKAELNNCAPSPRANCIDRIDGSGFDCVCNEGYVGDGKKCDAKSICERLRPCVTVTNTRCVDDEKEPGEKYKCVCLEGHARQVKDQDNDKAPCWPPGPMVNNCTSCSADTETCVGVPGDSSGLLRTCVCKPGYIKGINGLCTDRDECSSLGGHNCDPQCAICVNKVPHLDGGKTFVCEVKKGWKGNGTIGTCEDEIECIHPDICASYGTGRICVNTIGSFKCICEEGSREIPGIEDCQSINYCTSANYTCNKFSSCVPLVKNYTCACLPFYKETAKDALGKPICDDIDECKEGINGTGQLACPDEKRTTCVNYQGGFKCICKAGYRTNQLGMCDPITYCAERLDNCDTGSTDCQDKEGGFDCICKHGYETIAESNSTCTNINECTSTVKPHKCHPNSHCIDLPGTYKCVCDEGYEPEADTHAMRPFCQHVDVCKKMIDTRKCGVCKPTDKPPYYTCVCLPGSINYNETFCITPSFCDTEASNSTSAVFPCPPNSVCKNEQCDCERNYDWIRVPEPLTLEGIKARKGCGPESWCNKYTCKAPSKCRDTAPGIGKCYCPEGYEDDPDIACVDIDECHNETIKCPPSSKCINFIGGYKCECDPGYSQISTNTQCIEKIPFFDCDCKKGYERNQTKGACTANHCSQSECVDINECKTGSHDCHDVAKCTNTPGSFICVCPEGYYGNGKICFDKDECDEGSDNCDRESQECVNEPGSFNCTCKEGYDWGDVGKCKDIVECDSPKLHNCTDNLKLKCVNTPGSFYCICKNGYVMAPNGTCFDDNECLKNNMCPNTKNDICKNTEGDFECHCADGFKKKDECAVTPKTCPCDEDIKECQVGPLIPGTNGSRKLPPCGSKARCIDKSPGFECKCADGFEGDAYVKGCTAIDLCKGVPCDKNTQTCKIVTGKPTCVCKDGFIPAGNKCIKNPCTVNNGGCGNSTACITDQKWGTAKCICPKDYILDENKNCIYDNVCKCEGAIPQCRSEVCPDKDLMMCRRVGTHAQCDCDKGYIFNKTEAKCEDIDECKDKPCPLGGNCTNTAGSFTCSCQRGQIFKEPECKDDTLCSNEQYCIHDPNAKCKQVEKDKSHCVCDPGYAGSGAPYDQLCQVIDPCEDKKRKMNLTNLNLCPNKNEHPEANGRICDCECNDGFRRSKNSPYACDDIDECTELISPCNGTFVCKNKKGSFECICDKYYKMNANKTACEQDDKCQPDSCNATTQLCDWKTGTCICKIGFRMVNGVSTSLGKTDLIESSQTCVDINECNENTYNCKKNSRCENTIGSYECPCLDGYEKKNDECVNINECTLSEPPCNLNMSKCMDLPGSYRCECFTEYKQNGPFDCIFNSTTNCNECNSNAHCTISMHGVANCTCNLGFDGDGIEECHPIDYCEQGLDNCDDRAECIVLTPGFSCKCRPPYEGDGANVCDLPDLCKRPYNDCPVEAECKGLNATDENGHWVNCTCKTGFKFNPKTRICEDVVECATCPKDCPCPDNSTCANTEGSFVCKCPQGFKYNAVTNKCDDVNECKEGLHQEKCIVGRGRCNNTEGSWNCKCEPGYVNKEGDDQTCDKEVFCNTKKDDCDRNTTECIDLSVGFKCKCLSGLVHIPGTNKKCEDINECKLGFHNCSRDGSEQCINTWRSFECNCTNGFSRDEEKKKCLPDNKCSETDAKKLDCSAYSICVMVPGNKTSEVVPKCICETGYYRDPKLDICVVVPPCQNDFDCPSHSRCQVIQAQNKTGDIGTFECICDPGYVKIGHQCVPLRPCEDNICGRGVCIDKLLPPFYECVCPIDAKQDNMTAPCKPLTCADNVCTTHADCVQRENGGIYCVCKEGYIGMGTISSPCKPFDPCLQYTPCSRFATGKPNGNVCECSCNVGYEGNGTWCKSIDVCQNVTLNDCDPKAKCVSIQGGHYCVCPEGTTGTGKKGDCNGINECLDPALNKCKPRTTTCVDMEIGYKCNCKEGYESSENPYECKDINECLNTTVCSNHTHTCVNTEPSYHCNCKEGYGHSDPSAKKKCENINECQRIPFPCNRNADCEDTIGSYTCTCKTGYTGDGYFNCTMINPCDLPLESKERPQCDAKSTVCKLLPDKPIAVCECKDGYEPATGTYTNCNEIDRCEDGSVIFDPETTSCVSSEGKANLTCKDLFTVATKEEGKNLTCVDRDECAEDPSYAETMKKITAGKNKGSWKEWMVPPKDNSSGYAICYYNALANLSFNTMKSFSPEFLMPFCVNTNAKTVSYQTQINPKIKGFECSCPPPVTRSSTTVLKKTGYSCPDPQCGPCDASLNYECVNLKCKCKEGKTERVRVINGRNTTACDDFECIIGGELPKVIIQKGMQRNINCVNDRFVSTPGWKLVRDNATGAVIDLVDIDECTELPDACCSAAAAATCKGDNCIKCTNSPGNFSCGNPYIVCNSYDRNTCMCQELGCTSTTSWYYACNGPTPLKLATDKRVCSRIIDIETNKFITFESMQSLFEYSAARKCDCCFLEQKPEDMTLNPFFNYYGPCLDAGKEDVVDCEGKDVKAKKSQAGPACPIRAKPGQGPQTKVGEPLGRYSPNPTLCELVITDKAILGANKEEQTIERYVVDIYE >PPA02132 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:107686:109130:-1 gene:PPA02132 transcript:PPA02132 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQREDYGEAKGKCEQATPFGEASKGSLFAARDEEHMKAVENRRVMNQSGAYIVANNGKGGNSAVPSSGKTASIGYMCAFKDTMGCPKDQTRFRDKCYKALSTPITFEEAVAQCKIGGPKGMLPKVEDDDLNQFLADFAAEKYKSDKGRKIWVNAVPPAGDEPWCYSEKAGECDGGEVTFFRWWFTTGGIKIAKGQNPVLNFERESCEEGVKFGYWTVESGSSKQLTVCEYATSGTESIAA >PPA02244 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:898230:898890:1 gene:PPA02244 transcript:PPA02244 gene_biotype:protein_coding transcript_biotype:protein_coding MCVGPKCAGCLFFMGAWGTIFMAILGGLFYNQSVGLFDDLPEMSFNDIASMDWPDRKQAIVDAYNQNAYNSWIAAGANLVVAVYGMLRICCLARA >PPA02248 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:911194:916181:-1 gene:PPA02248 transcript:PPA02248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-iars-1 MEGNTQDLSGGMSGLNTVPDIINFANEEAKVLEKWRTEKTFEKQLQESKDRPRYTFYDGPPFATGLPHYGHLLAGTIKDVITRWAAGDGYYVERRFGWDCHGLPVEFEVDKAIGIKGPADVYAMGIDKYNAECRSIVMRYSTEWEQAVERMGRWIDFKNDYKTLYPWFMESVWWAFSELVKKGLVYRGVKVMPFSTSCSTPLSNFEAGQNYKDVVDPAVHIGFRLDENPNRLMVAWTTTPWTLPSNLALAVHPELEYVVAKDKTSGIEYVVLECRLSELKNENVEIIEKVKGSAFVGKTYQPLFPYFANLKEERGAFRVLPGTFITTDQGTGVVHQAPYFGEVDFQCCLENGVITKDMKPICPVDETGKYTSEVPDYVGVYVKEADKAIMKRLKESGHLIRQGECKHSYPFCWRSDTPLLYKAVPSWFIRVESLVPRLLASNDQTYWVPQFVKEKRFGNWLRDARDWAVSRNRFWGTPINMWVSDDLEEMVCPGSIAELEKLSGQKITDIHRESVDHITIPSKTGRGMLKRVSEVFDCWFESGSMPYAQNHYPFENKKAFEDNFPADFIAEGIDQTRGWFYTLIVLSTALFNKPPFKNLICNGLVLASDGQKMSKRLKNYPDPMEVVNKYGADALRLYLINSPVVRGENLRFREEGVRDILKDVFLPWFNAYRFFVQNVQLYEHQTGEAFALTEQKLENVMDRWIESFTSSLVKFVRKEMSEYHLYAVVTPLTRFFDTLTNCYIRLNRRRIKGDEGETDRLHSLSALGLVLITVNRLMAPFTPFFCEHVWANLSKIAGSTSSSIHLERLPHPNADLIDEEVERRVSSMRETIDLVRVIRERNKRPIKTPLRTVVVINRNQQFLSDLESLSSYLLSETNVQKLVVSQEKEKYGITLKAEPNFKLLGARLKGDQKKVADYLKNKATEEELEKFLVDGQYRDIIDEENE >PPA02153 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:298250:299615:1 gene:PPA02153 transcript:PPA02153 gene_biotype:protein_coding transcript_biotype:protein_coding MNLYSVYQGIVQYNPADWSAMKALCSFMEDDKYDDKLDALRALQKGMNGGDDVATLSSYDADIKSMIDMKSFVDGHDPTAYTDVELVGILWTWQTCNEFGYFQTTDYGQGIFGSHLPINFFIIMCERVFGVGMDDIEKAISRANYQYGGRDRFNTTNVVLPNGDGDPWHALGILEQGNMDESVVPIVIPGTSHCMDQFAATANDPPELTQARKTILDNIKKWLAPTVVSTTVQPIKTTVGKTEQTSSVTETTIITTTNHLSLL >PPA02217 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:772419:773238:1 gene:PPA02217 transcript:PPA02217 gene_biotype:protein_coding transcript_biotype:protein_coding MNRECMVLTVILAVVSSQCSGGIPDTERQSFLDAHNTLRSTISAGKYVANGKNMPAAKTPIANMTWDCEIEKSAQKVSDTCIFAHSKNRTNLGENIWTMWASYKLSVNGMAKKACNSWEVEFQKFGWADIKLTPSVFSSGIGHATQMAWARSTKLGCGISLCKENQKVLVACQYRDAGNFINSNVYDPK >PPA02122 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:65854:67246:-1 gene:PPA02122 transcript:PPA02122 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGKEWGRISYYERIEEVGKPFKCCKRFPKVFVDPHVEERDETKLERFPLAAIDRLDRDFKTNKILRQPDMTFYFKNKAGGYIILGSDSTPPIFVQSPLYNAMMGEELDTIVRITKGHEMIIYDENFFNYLLHQAYQYGHKTLYEMKQMLLTRVSTTKGWGEAYQKSTILDALVWIEFSVSNGMKKLDTVVPIWTLFKMRIQ >PPA02115 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:29826:31063:1 gene:PPA02115 transcript:PPA02115 gene_biotype:protein_coding transcript_biotype:protein_coding MHAHIREDISECMERFNTDDDPDCFVHAYKKMAEDDPKELNDDQLVNVCLDLFLAGMETVSTTLRWAVLYLAKHQSVQSRIRDEIRLLCPSGSASMGDRIRLPFTSATIHEIQRTANMIPQNIAKRTLRNVENPEEFRPERFLSEDGNSIDKQTLDYLAPFGMGKRVCAGESLARCELFMLIYLQFQQYFFYHIRIYFE >PPA02219 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:776840:777820:-1 gene:PPA02219 transcript:PPA02219 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKPWLIDVFFIVYGISLVIGIVLCLSFLLALFRGRKILNKGVLYLTLLMSLNRLAVFVIPPLKTLFTSTFAELLQPTQQWNFELLKYENSGPAYIDLPWLHLQWFDHKRSAYSWFR >PPA02180 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:432255:436691:-1 gene:PPA02180 transcript:PPA02180 gene_biotype:protein_coding transcript_biotype:protein_coding MKFEQNENSEKSEEEIKEEENEETNDDDDEESDNLNGGNDEDSENLPEAVENKENRRLRRSVVYDGSSTQSSSSIRRRLSIPRSSRLHRSRFSRLCVSSSTGQIISIGQCRQDFIYCKSTRRPVVAACPVGDLFDAVESTCVPTEICGAERVKMDIPSPTPSSSSSSYIREGVRPTMPILTDSIVNDNEKKTIEDMNDCSSLSDGAYPISGCSSHYFVCSASVKHMYTCPSGLIYHKGSDGCEWPTNVVECTGGAASDTTSSPISIPTENEYSGHSTNRDILATTVPTTVSPMHVHFDCIKNGGFAIDCKGGFVMCSNGISSFMKCSPSLVFDDATQACQYPAHVGSCGLTSSSVLDVPSTFFPVYTPLTTSSSPAMAVETLVTTPVHKDACIGGSNSLEGATKVCATSFVICKEGEVAAVMNCPVGTFFNEKTKECDYREVACSGGWNGEAQGVESPVEEDVPTVSTVIESEEYNRRASRPYRPLMPLVVEKIEKIDEVKNEEVIVERPMVIPSNIEPAKDATCDWKGTAPSGLCSSQFITCSNGKPEVMLCSSGLVFSSSTSACEYPIFVSGCPQFKPSSPYTPSLPSTPSSVSDTIMDESSSSSSEYIEYTRHLIPSTTTVNPLLAPIENGEHTTAKFEATGDHCTFFADRPAFPLNYCSMTFAVCTAQGMAYRTNCSFGFLFDSVLRQCVPSEHCGQSYIKDIAAASPTSSSPHHTLGGWEKDSRCVGMKDGTLKAMDICSGQYIKCFKEEVLVQSCSGTGRVFSSLTGRCVMHVQMAECSSPKGSIPAITRPKNVSPSTPSSDALSSSSDSYSTTSLPIPSHRGSRIRGGLPVSSPSTQFDASTFCTTKHTDGLYRNPENCAGIVQCFGGEAFSYPSCAPGLFFNEVDSQCDYASNVPECASQTDPIALDDTSASCKGQPHGAHLADDSDCSIYYRCVWGSLERLTCPDGTVFNPRLSVCDYSSQVPHCLKQ >PPA02222 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:784496:788244:-1 gene:PPA02222 transcript:PPA02222 gene_biotype:protein_coding transcript_biotype:protein_coding MYDNTNIDTKNLPSHLRELSKEFGPIFTLFLPAPVVFLTDYEAVKDAFVNRGEDFAGRSPGILDGWRVIPNGGIVYSTGEAWRVGGRLSLVILRDFGMGKNIMEEQVKEAVQDYIKYLHGLNDKQRVDMRWPLQIMTSNIINNILFGFRYAFDDCQPLMNYTNNLYELMSKLTSVFRFIVIKFRWIKDVPIIGYYAVHQFVEILRRIDDFIRDNIDIALDGYNKEDEPECFAQAYYQKIGTNEHINRGQLHCIASDFFMAGQETTSTTLRWAMLLLAKDQKIQDKLRSEISSVVGSSFPSMADRLNMPYTSAVIHELQRWANIIATSVMHCTMVDTEVMGHFIPSGTVVDGDIHQVLAHDHLFVNPQEFNPDRYLNEDGTTLNKELIERTIAFSIGKRQCAGEALARVELFFGLVNTLQNFKILPSPDGQIDLTPKTHLIILPTDQFITLEPIMTLQ >PPA02155 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:306113:307041:1 gene:PPA02155 transcript:PPA02155 gene_biotype:protein_coding transcript_biotype:protein_coding MDELRPEETRIVQVNSDGRAEYRFTLVLGCVCTLELDDYPFDTQSCRTQFFVYQHKPETMRIVGQIRLSDDFLGNDVWEFRNVSTTKGPMYYDSPLYGPTLMNMIGIGIGVLTSMTLILTIVAHSVPKKKSISVLATFIIANIFVIAVAIVVVVINPSRIIVRLIRRCLPKEQSKPISIILETDQPPQRK >PPA02240 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:884094:884621:1 gene:PPA02240 transcript:PPA02240 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLVLFFIPLVFSQDEDLGSLEVSDISPTEFDRYFDQVLSRASDNELDAVNALQKAVTKCTKDKDQISCIVPEYASIVIKYGLEAEFKKTIVEAIKRKQVFKSDGSPRVNNVDI >PPA02131 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:106542:107342:-1 gene:PPA02131 transcript:PPA02131 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIFILLTVVTLSFALPTKRQAQNSYGDEPQTPAPTTYNAAVSAAPEYAPAQVAQEPATASAAVESSGYRHKRQAQNSYGDEPQTPAPSTYNAAVSSAPEYAPAEVSQEAVTATAAVESSGYRHKRQSQNSYGDEPQTPAPSTYNAAVSAAPEYAPAEVSQETATASAAVESSGYRHKRQAHNSYGDEPQTPAPATYNEVTTAATEYGPHQVPQEAAVAPAPVESSGYRQ >PPA02113 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:26173:27197:-1 gene:PPA02113 transcript:PPA02113 gene_biotype:protein_coding transcript_biotype:protein_coding MYFKLILISFLFGVSLAQLNIGGNADVRGKRQIGIGANVGLPTVDANLGVRGKRQIGIGADANLGIRGKRQIGVGADLGLGVRGKRQIGIGADANLGIRGKRQIGVGADLGLGVRGKRQIGIGADANLGIRGKRQIGVGADLGLGVRGKRQIGIGADANLGIRGKRQIGIGADANLGIRGKRQIGVGADLGLGVRGKRQIGIGADANIGVRGKRQLVGANVDLGILG >PPA02184 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:451525:452856:-1 gene:PPA02184 transcript:PPA02184 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIDGVSETKKEDMKKMIDEEHEKVLARERKSKNYNVTHLFRHADMAIATMTLSIGVLVTSMIGYGLMFNLETLSGSLFLNSIYLGLIRWALNITTGIMDFKIVWAGRKLFHTIGQGVVAMGLMMLAWTHYQGKSEYMVEVVRISTLLSAAFVSQASLNYHENVFISKGMLAMEYFPTVVRNSAMSFKTTFSRFGAVIAPQIFMLPLPWLPYAILALLAFADTIAFLVIMPETKGKPLAETMPEKKKKNLSQIPIEPQFSKV >PPA02138 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:239307:242165:-1 gene:PPA02138 transcript:PPA02138 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSIMSPMQCLLYVAINSNEEDHGPVYNSRQSVAVFFIAFIIVIAFFMMNIFVGFVIVTFQNEGEREYENCELDKNQRKCIEFALKAKPHRRYIPRNRFQYRVWWFVTSRAFEYVIFLIIVLNTLSLACKHYPSGESFDHVLDMLNLIFTGVFAFEAFFKIIALNPKNYFGDRWNAFDFIIVLGSFIDIIYGRVSPGQNFISINFFRLFRVMRLVKLLSRGEGIRTLLWTFMKSFQALPYVALLIVLLFFIYAVIGMQMFGRVALDDTTEIHRNNNFHTFPMAVLVLFRSATGEAWQLIMLSCSSREDVKCAKGSDDRRQEELTWKDASEIPMCGNDFAYPYFISFFMLCSFLVINLFVAVIMDNFDYLTRDWSILGPHHLEEFVRLWSEYDPDAKGRIKHLDVVTLLRKISPPLGFGKLCPHRLACKRLVSMNMPLNSDGTVCFNATLFALVRTNLKIYTEGNIDEANEQLRSAIRRIWKRTPIGILDEVVPPAGKEDDVTVGKFYATFLIQDYFRRFKKRKELEAKGIVGGNHSSTPHAMALQVRIEED >PPA02199 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:616094:616908:-1 gene:PPA02199 transcript:PPA02199 gene_biotype:protein_coding transcript_biotype:protein_coding MFIQMRTNYYRKGVKNVKPSKMASNYIQKVTDDDWPFTFERVSDVYYALCDDFRCQDELFPEENREMEEREEYINELVQFWENK >PPA02110 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:16825:18196:1 gene:PPA02110 transcript:PPA02110 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLPIAVERGKFGALSKRDFNSMVSMWRCVNCHFFPRQIEEKGAEKTLFVLKCGHFICKRCREERRKKRSDFNCQLHLLPNGNEICDTITDLAYPIPVAMHKCVGCSQSGDFHVMFVDLKLISPISSLVISFTCAVNAQSFKRMENGQYALLCVYCARVPSNIHGSHLILPFDQHAIRSQITVLYDEDKSISHRSIWSMTMVSKEMGDRLLAGYLTCHCGFEYSSKKGDIKPVMLGCNHIVCSACAQPK >PPA02147 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:267522:268412:1 gene:PPA02147 transcript:PPA02147 gene_biotype:protein_coding transcript_biotype:protein_coding MMDDDVPVMIMDEVDDEAPALRRSSRNRKPPSFFSKELPSKTQQRNTRGRSMSREKSVVNVTPRNTRAKSVAVAKTTTTLAKSIPPPATVPKTRSKSIPPANNVPKAAARSRSKSVAKTVPVTSLPNTLVMAEPKTEPISPIMAVPTTSAKVVSKTPIKNMPKSVAKTPKSTPRTARSKTHLEMMGSDKQKW >PPA02212 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:750562:751396:-1 gene:PPA02212 transcript:PPA02212 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLVFASILVSVSAQTCLTTSEIGPCQSNACPVAGATCIIATQACCAAGGVITTTSTTCVDLLNPSTGVSDCSSRAYLCNNSVYYTLMTTQCPRTCGRCSTTTTTTTTCVDLLNPSTGVSDCPSRAYLCNNSVYYTLMTTQCPRTCGRTCTGKK >PPA02172 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:387490:388259:-1 gene:PPA02172 transcript:PPA02172 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSFKLPSSSSSILQPSPLTRPVADRMLRTAQFQRVRSFSTQTTLTIPPSEDIDLIAILGARFEFDEKADREARISEGIEEEEEERDLSASNLSLRRRLFLEDEEEEEEEDDEGEGEAERSALAIERTVPQKRIEF >PPA02127 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:98387:100187:1 gene:PPA02127 transcript:PPA02127 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIGICLVISSAFASHHLHKRSNGYGDELIVPEETHVEVQAEPKQQYAEEEVSVVDAAPRSNAYGDELVVPVEQVKKTVNAGVKPTYGEEEVSVVNSDPYEDVQTHPTLNALPVQESGYRHKRNARLIRANEYGDEQIVPEVMVEDKPARSDYTETSAIFAQVEPYEPASAAVEHVQRSGYRSKRSNAYGDEQIIPEVTVVDTPAARSDYAETAPIVAEVQQYEPVPAESAVVEQVERSGYRVKRNAYGDELIVPTTVLQTAPVAPVSELAAPVVDQSYVGQVVPARPAISPVHTVVHTAPEQIVLSKQSVYGDEAVVPAQVPIIPVHHLRYAEVPLTYGSLFSTRPYPNAPMVVSSGYRTAKRARFVKRRLH >PPA02233 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:829706:830997:-1 gene:PPA02233 transcript:PPA02233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lgc-22 MSGRMVMSWNDTGVAWDKDRWGLSWLNFYWVQIWSPQVIQINGASTGGGSVTSKVLAANSTGQIYLWADFTFTSPFQFEYGEYPNDYQQICYKFDDKRLFAVHFTVAPEVKNKEREELTGIHVSGWIVDDLSVNSE >PPA02166 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:356755:357809:1 gene:PPA02166 transcript:PPA02166 gene_biotype:protein_coding transcript_biotype:protein_coding MHLARYKELRENGTMATNMTESELKKELEILCEMDDTIYANWSTILFLIQFIAFSILLLYLIFSSLFLRLINYIERHFYKMTARQQDAFIKKWYRYLIFKKHLPLVHHRYKEIQFLHRNCLLCGGRRDAFRCRVTTITTDNQFIVKVQGEGENRIIDVTGPINGMERGMIPMAAAKRLGIPHTPPLA >PPA02203 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:649325:653390:-1 gene:PPA02203 transcript:PPA02203 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRTAHSRSQHHEIAEEHIRILAEGPVPSDPLGSEDEEDLRNLSVSSSPAEPFIRGKEVRHEIRGSKRFSTTTKFAEAHRRLNSLSDASPLSHSPVRHRIDSPVFVRSHTVRFATSVNRTPLHVAAARKRLEEGYVYTVPAEMKRGGVIRRSLGILSKTFRRKSLRKSIIRRLRGWKNGKGGNVVNATMEETAEVEEGPRLIAAARILIIDSTANVELTSFLRSLGEISSDCLSHIRVITKEERRDLPLLTEEYEGLTLISIVFNDVSDPNSLVLFDIYEGRREMSSKSELPMWTVHASQRVDTPPRGRNGSTSSGGLPTQYPSKGGIYPFYSRASLRPEVGGCSH >PPA02168 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:374931:377103:-1 gene:PPA02168 transcript:PPA02168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gpa-18 MGSGKTTLCRMLAKSANAQLCPARFYKHTIENNLLEICKEIYRIACAHNIIGSQQFEGDMTILMNFRHKEDLHKNAVEAMKRIIKSNLYSEIVKDKKRILDLPYNAPYFMTNYERILDSRFYPTDADLTIIYSQTVGVNFQSIDVGVTSFILLFNKRDLLEEIGAGFSFAGLGAGVCPFIFFQNNQ >PPA02164 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:346931:347921:1 gene:PPA02164 transcript:PPA02164 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIKEASEKGEPLTFKYISDFDENGIIYWLGTNAKSESEWTNPASVGVVVATSSDAPRQPFGRPEDILSRDPNALNCHTGDDKNGFFSIDMGAVIKVSNYTLRHSRGYSRSALRNWLFQGSNDNKTWDVLSYHKNDTALTEPGSTATFPIDAGKGAYRYFRISQNGENSSGSTYYLSLSGFEMYGTVLEAVEKEIRCDNEKGEKKSRLPISSTPLSSPFPPLGSLGHPLHASAPSKLPHPGQLRL >PPA02224 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:793716:795348:1 gene:PPA02224 transcript:PPA02224 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSPTSLAREKEEIYDGSLGGILNGSIFSAADGGFLLSPFPNRLNSFTPSAPGSFVEPSRQDSVEETRITFFTVFSLETIVVLIICHLVFLSIGVATNKFRDKRLNSKAPEHLKEREIQLFIRTFSEAVKEMRSGMRTLLAATDDTYSKMQYEFLLGPNYSSDGLIVTDGLFNFLKILCDPPPGKKLMGIIIPYTSVDGKHAGNLKELSSINPYFYLFAKNLTGKRKAIETVNQVVLRLFSREQIMNIWTIRYMSSVRSLYYTIEEKKTFTYAAISVGRLGVVFTSKKQSYLLFVLGLGWEREKEV >PPA02190 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:494754:495944:-1 gene:PPA02190 transcript:PPA02190 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDGTSFSSFLLHSSLHFLTNTSHYSSTDKRPFLIFIERGRDLSTGMVIALSVVGNGYLYDKRNLVRKVDELKRIF >PPA02156 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:307987:309467:1 gene:PPA02156 transcript:PPA02156 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFRHLVLGATISSGVAIIGSLLVAFSLVNEINVFRDDVLSDLSHFKHMADEAWEKMVVSQPVGSSRRDDTLSRFTRQAYSAGGAAVAGGGGGGSQCNCGASASNCPAGPPGPPGTPGEKGQDGQAGSPGQAGMSGMAMMAMQMTGGACIKCPPGPPGPAGPPGPAGGPGNDGAPGAPAAGGGQGAPGPAGAPGDAGADGAPGGPGAPGPAGAPGTRMMSQPGPAGPPGPAGGPGPAGNPGSGSGPGPAGPAGPPGPPGNPGGPGQPGSAGANGNDGEPGGDGAYCPCPARSGASGAVAAGGGAAGGAYPAPGAPPTEAYSGKK >PPA02116 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:35118:37228:1 gene:PPA02116 transcript:PPA02116 gene_biotype:protein_coding transcript_biotype:protein_coding MNAASAWKVGLDDDQNKENVFNQKPELGKITGPLFIAIHFIALVFIFILLERRVFSKKSKQTTANTVTMSTYQMSDSMVLRVGEFYAGKGISFGVRSHECFGVLGVNGAGKTTTFEVLTGNSFPDEGSATVGGVDCSTPAGKGDDYRLMQHQMIGYCPQTDALIEDLSGRESLIILAALHGYENPRKVADIVITCVGMKEHANRPSKRYRDEVEALVSNLIIMKEGLIVVEGTTQLIKNQFGEHYNFNLTIENVSHVGMATLEDAFMLAASAKPDVQTAVAAVSSSVRRIRSESAKEETEGTEGKTTGTDGRGTEGTEGGTEGGIEGGGRETDWIDGGTEGIDGSSSAKAHEA >PPA02163 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:342530:346033:1 gene:PPA02163 transcript:PPA02163 gene_biotype:protein_coding transcript_biotype:protein_coding MLLARGGNPDLRDEDGKTALDKARERNEEDYIAVAAILETPSVYMSAGGTGDASEAKKETEGKNESGGGDSESISMDKTKVVRLLKQLIPVFCEIHQKTTSPTSKRSSLSLLRRAVQHWTKIEMQLEEEGVDLNTLKFEEEIETRLSDAILDLIVNVLEREEDYDAREQSLMVIGALLTKETSRLAWIDELIRLGLFEKVEAMITELESPKEEKDDCPSTLPPAPPVSALSIEERSERSVSTTPSLALSDTTEGSIQTAEERERDRASMLKVGMGMEEDAGTPPPTLEGVVPPSTAINTVTPIGNPPESGDASVELAAATAYRWKEWRIVKTTESFFVWADPLSIEFPASGPIAIRSLCDNQLRSFSSTGVPEVCQAKDAFLTRFRRAIGSVPTGIRHAKSICVVPTASKKHKMPGWEIFSPKASELHFKSLKWPNLQIRLNDDQAGFTLDFGHGKTTINSECLLEAEFHTGWTTYSNNARCNRLRSGMQAKRVQDLALDIWNKYLKEAKTRPREALVQLQAASHVVLEAVREATRDLGSMTPQPRIEKVKELVSALELIREAITDERRLSTFEVCSSGLVPALTNLLTFVAEQPKAYPSLIFKETFRSRDTVTAMAHRMVRVFEANEKFPMYLYDNPGGSAIGLQLLSKKLKLKLSLPKGVDGDESTLLNRSGRNIRCEPLCSVGQLKHFLERMVIKQWFDR >PPA02175 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:399111:399496:-1 gene:PPA02175 transcript:PPA02175 gene_biotype:protein_coding transcript_biotype:protein_coding MFEEYEEELNEDYIDELMIRLDQQIESLVENDDMKSGLDEEELMLGRPVGVCGRDRRRVDEDIEEKKKKMRMKKEKDGEERAENGKVGLKKEKREKMTR >PPA02197 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:607839:609078:1 gene:PPA02197 transcript:PPA02197 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVSEVKDGNIYRDIDLVRVPVLVLPEEIVDMIVVMIEEIIDMMIEGMIEDMEEEEEIEMEGIRMEEEEEVEETETDMEEEEEAEANTGLTMMALVLVWILPMRDDDRGYGGRGGGGYGGGGGRDGGNDCIERADQFSKEKRLNRTPSRSPMRQRPKSEDQEIVKPLFNTVEIGKGLPPSGGAPGGGGYGGGGGGYGGGGRGGYSGGGRGGYGGDRGGRGGVTMLSNLIHE >PPA02223 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:790515:792154:1 gene:PPA02223 transcript:PPA02223 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNYVDPDRFQRIYDPSILNIQANDDPFMKENGYILAERGTESNSWKSLSGSGSGYGMWFNCTDLFDETGKVPVQVKRSPLFHISWGSGTFHRNMAMNGFQKLLKQERYSKKKDFDATSS >PPA02139 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:243054:243711:1 gene:PPA02139 transcript:PPA02139 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQFSRYSELEGMFDGRAVIRRLERTERFDLQESHSISDDETGMLMQSDEQFDQFSDDEIANMEVFH >PPA02229 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:814611:816134:-1 gene:PPA02229 transcript:PPA02229 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGKKVYFTGNDERELEGLVERFNGRSPKYMCESATIDMTNLPDICKLWILCIPFHTVIVIPNSHDVESAYSEDINDIDMLPPLFLTTTVGPYLLIRKLIEQARARSDQNAEEIRFIFIANVNEFNTLLHWRKQGFDNFLKQDERELIGTKVTQNDLWKCIHLGLAMLSEYLHDKIKDHSRTAVP >PPA02160 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:324799:325813:-1 gene:PPA02160 transcript:PPA02160 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPSFFIFSLLILLLSGEDCIDRSLYCTPIECDTRPIYAKEFCRRTCKSCNRIITRSAPIEAIKHTKNNETKAKNGTEEAAFITPPLFGEIVSDSVQSSQSSSHSTDLNTHSVSPSSPSPLPNQRRNHRPQSTSLAVGPFSPSQPQPFFPEPLAQPLRGSIDTYGDELEYQRRQSGGYPYTPSSFPQSPSPISLPSIPPSASSTQSRLSVERYRQQLSNQYAPKKNTAIPSRREERTEKRSEIMKDERREKRSLQFLLLIFYLKWRDHDKIQDGRIE >PPA02220 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:778131:780360:-1 gene:PPA02220 transcript:PPA02220 gene_biotype:protein_coding transcript_biotype:protein_coding MALSIIIVVTSLFALFYYIFSYYQNVARYPKGPFPLPLVGNMFMLKSRGLHDNIRELSLQYGPVFTLFIPVPMVVIADYEGVKEAFIVKGDDFIARPDQVVDKRFLFCENQGVINSNGESWRENRRQSISILRDFGMGKNLMEEQVKLSITEYLRCLSKIEDKTKVDMRWPIQLMVANIINETLFGYRYDYDNCDPLINYVEAFNKLQGYIRSNVDHALATFDPEKEPECFAHAYAKKLETSPYLTKEQLYATSADFFLAGQETTTTTLRWAMLLMAANQDKQDKIREEILRVVGSSRLPSMADKRDMPYTMAAVHEVQRRANILMMNVARKTVVDTEVMGFKIPADTFVDGDIHQIMAYDPIFENPMEFRPERYLLSDGKTLNKEVVDRTVPFSLGRRQCAGEGLARVELFLGLATTLQHYRISPSSEAPIDLTPTMNVIFLPKEQKLRLDPVNAA >PPA02188 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:476036:476931:1 gene:PPA02188 transcript:PPA02188 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTRYTIFPDFHKYFLGLKTAKEAASSTDPTSIRLYYQIPIDGSLPAHLPLFLVYQSSKGKIFHFPIIEEGGRWRVKYGESKMVSYPSIHSLLLHHIHYAIVSPTEGELESFEVYGTWNN >PPA02181 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:438199:444929:-1 gene:PPA02181 transcript:PPA02181 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVIQIILGLTIILAILGTLGVGIASLITVLNVQTQQAAQMSTGPPPSTTVNPIPAPVPIQANDPRFSSYKGMSDLLQTWMNRTVDPCVDFYAFTCGAGKPGQGMSFDISDNTITDTMVNQLRQPEKYFDNDPLPVRQMKWFFDSCLTGATNDEKATRSKRIFTDLKTANKDFGFPALYPKDPKPVVDADLLASFLGSSLGTAGTTTLADVGVDTDWRDPHNSKGGYSLLVDQPATMFASTFYSKLYDADTVSSMVLTTLNQGAALLGITGLDAKQLAQDAKDIAQFDYDLATKYSTDDTTRRQYARSYNPYSVDGLQKLAPFINWKTFFNKGLAPVQKTVDGSFRSIVMEVDKLALLSVDIASGAIPPRTVNNYLSLLALNQNYLPTLPTTKRNAAHLDEFRRQKHPINRKIRHVPKSDPMEIMSDYTQKESSCQNSATNYLMWANTRLYVDANYPSPKDKQTVRDQTNSIIRSILVAFRAQIDILDWMSPASKKGAYQKIDNLVVNIAFPDWGLVTTKDQKYLEQIDQLKAFQLYEAFSPLVNGVPADRTDFSGPSAITNAWYQPEVNSITFPGGILHAPFYDFNYPAAINYGGLGVIAGHELTHGFDDEGVQWEGTGILNSWMDDNSTVSFTKMAKCVVDEYSNFCPLNAPLPCVDGDQTQGENIADNGGIQAAYKAFKAYEALNGPDPLLPGDLSLFNSDQLFFIGFAQVWCQSPPDDIDLLAQILVDPHSPSQYRVLGTVQNIPAFQKAFNCPAGSPYAPIDHCNVWTSEPTSGAPLNAKGEPIVPDNEVNIAPVERISPQDMDKYSAYQNALVTLRDSSNLTIDPCDDFYHYTCGNFPGHSTTFYDLDQENNKVINTKITSDDYQATIKASAALGKLKTLYDSCKKEAQHSTIAETDYLQSKVLNFRKYINQDVPVIGGTGTFDVSATDYGNVLGYLSFQLGIDTLVSPLVDTNWMDPQAANPTTTNGNQLFIDQATTYHVRAFYEDGNWEKQKPAYKSQVKTILEAYVKQDTTAALPADYDKMIDDALDLEKKIAITYSGTDAERRNYLRQWNPLKKNQMPPTVDWDAYFTFAPQVVQDWVTKDNKDIIMNEQEYTSKMFTYLGNQNDKDVINYLFIRLLLANSGVIPCYNEQCVSVMRELSVKNVPEHTGKGRIPSKRHPLPSFAPLNEEDADGVGCADEISVLPDAQGRVYIDARFPTDDDRKTIRDKTAGVMTNIVDAMKGMILQLDWMHQDAKDKAVKKASSIQVNVAFPDFILDNAKLDAKYADLVFANDDSYYAMLDKVTVYSINEQFKMLTAKKADRTDFLGQTATVNAWYAPELNSITFPAGILQQPFFDVNYPAGLNYGGLGVVAGHELTHGFDDEGVQWDFDGSLKSWMDKDSQDGFDNMAKCVIDEYSQFCPLPEDRSPHCTDGTRTQGENIADNGGIHSAWRAYQAHIELDGPDALFMDRVFGEYTENQMYFLNFAQVWCMQKEYLTESFVSGRLMTDPHSLGINICTRVSLLRLGSH >PPA02137 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:238535:238856:1 gene:PPA02137 transcript:PPA02137 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGNRPKVGKREMDAITLKNMNLTDKNLIKYSDDCHCATIPCMIGWAKI >PPA02191 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:501116:501390:-1 gene:PPA02191 transcript:PPA02191 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPKTHRCCSKVTSAKGVSLQCAKDCPAKKPSCGPEGKSAGNADASWCFCKNYKDKHCQP >PPA02151 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:296551:296911:1 gene:PPA02151 transcript:PPA02151 gene_biotype:protein_coding transcript_biotype:protein_coding MCIELFGIDADQIRKNVDATNGYYGGRDYFAEEVTVLISNSAVSLKHATIRQESR >PPA02170 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:381058:381736:1 gene:PPA02170 transcript:PPA02170 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEELSEIELKSMDETNEEKRLDYSSSSLSSMYNDVTPPLSPISLSPPLSPFTQAIQANVLAERVMHYVIENSLRLYQSLRREEEAKREYRRPTCLPIALLNEVEEEDEDEENEEEEAGAEQEKEEDGPQESKKMKKEVMSDEDWEMI >PPA02178 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:413885:427797:1 gene:PPA02178 transcript:PPA02178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-let-363 MELSEMKEGIEAARDRREFLKKTKKLIGKQAKEDRQKCEKELSEIDRKLGMVKEEVSILTAKLPSRNGDVNENEKGRGKKEESIGMSTTTLTETTETETPIPEGRIMKKMNTIHEEQSIHFHYSDTSVELLPRPNDLPISSPSQLSIYTDECEHKSSCECTCHDDQICSCETSNCTERNTPSNVFKTLMQVSKTYAAELVERCLDQSLEWAEEDLSEKSEQRRLASAILLRELALFTSTSFFLRANAFFRTIFKPNVRMAAANALHAALGVTSQREAKQKSEWYKKCFNEALNTLIETGDGLGKDERCHCMLLVFNELLRIADAQTEKARICALGHANDRSREEITVGGSPLDFLSAERFLTTVESRTAKALVSENIKVIYDKVMEARYCRQHDCVLTLIEVLPRLASWLTVTQLASCVEILLPHMHRSSQAQISAGLFVLQQPKALKKYVPQMMNVFKDALSTTKKKKTPIDLPRDTKLFVLLTYIVRAFGKDVTHEVKDVLPLLLTTPLSKAMKETLREIYNQIEEVKTEVLDGLLEQLSIILMNEPLPPKTAAPTMRPVPANIIVAKEKVPLCILALETLGEFQFQRHSLHYFMQYVADGYLVCHSATLRFAAVKSCTAMLLPFIVSYEKSGREQRVWVLALVHSVLKCLCSVAVVDSDPEVRMCVVTELSKGDICLLSHLAQPEILQLLRLCLRDEKLEMQEQTVNLLGKIGQINPALVLPRLRRVLAETIIQLSTSGDGRLEQHSARLIALMSHQSPKFMVPYMGQVLEGLLPKIRFEQKFSDVTVQVLHAISELAMVGGAEMVRSIPLLFPMLIQFITDSSSLARREAGLRALGHLSASTAYVVDPYRDHKNLLEILLSLMKNEMSQSMRRLTMKVLGTLGALDPYAHKVFTGAIVSGRFGLSAALSLPTVRQSDDPRMNIIQWFNYEKCTLLEFYPHITIANLLMMLENETYSNHYAEITQDLLSILKTLGKRCQVFVPQVVPKMLETTRKSKKDSKNFFLKQLTDLLMQVKGGSKPYLPQIFEIIRESWNAEESHHASVIGVIEAIGKCHPHSFSPYTHEVIPYILEAMRSDKAMDRKLTDNCLSCIVCLSGSLTQYLHLMISPILTVVNDGSAPTKLREKALECIYEIGVQENLSDYTPHIMQGWMKAIHSKPLEKSALKLLKIMTQQKWKHLVLFHRNIECSLKGNELRSDEYNQYIWERDQMERRAAEDERLRREGKMPPVRMPDDTPDDEIPINQTIANRANQSSAGPSHTEGGTESTRRVVADKEESKKQAVSVKAIEAAISVEANSTKEDWSAWLTKLRLVFIRFSSSSAIRAVYSMSEQHSQLAKDIFVASFMSVWTEITANLKHALQTCDNQDVKQTILNLAEFMDHSEKVSSISYRTPFHINRSIKGPLPIPIVDLGKSAQDVKAYAKALRYKELEIRNIGVNNITLDQAHAIITFANKLNLQEEAAGMIKCVEMQERDVSTLMRSRWYEKLNDWDKALSLVAECRVERSVGGGNEAKYKESELDEHEVKCLEALGKWSELKKKTGSMDTRRDQKLSVMGARSNWAMGDWTTMEKHTRDVNVNTQDGSYLRAVLAVKKMEYGKAEEYIEKAIQYRMRPERRARIALLWSRRLQGNRENVYEWNKLLMLRNLVLSPSEMHPLRVKFASLCRRMGKRSMCNAELKLLLGLPPTAELHSATPPPDRPQLVLALCKQLWNENGQMNGKRAAVSTLEGLSRHLDRLPQSAHSMETKRLVAKTFLKLGEWTEAEDGGSSALRPTMERRSTMSARDAVNIMAAKASAVALVNNVMSTTRLTPVSEDSRETAKIIKFYTKATEYDPKWHKGWHRLATAYFNALSREKEREKNNMIAQGVPVNFTSPLHHLQSPQITPFATEAVKAFTRALQLAEGSRLEDTLRLLALWFEYGDRDEVYAQLEECARTLPLNMWLEEYAKDLTTARDYCRAFERTGDATELTRAWEIYYTTFKKIATQLRGMNSFDLPFISPILQSAKSLSVAVPGTFDPSLELVTISEFGPQMTVIMSKQRPRKLSMRGSDGKEYMFLLKGHEDPRQDERVMQFFGLVNTLLLHNGATNRRNLTIQRYSIVALSQNSGLIGWVPDCDTLHGLVKDYREKKKKVQLFEAALASSDGQDLGQILWLKSPNSEVWFERRTNYTRSIACMSMVGHILGLGDRHPSNLMLDRLTGKIVHIDFGDCFEVAQTREKYPEKIPFRLTRMLVQAMEITGIEGNFRLTSERVLQVLRSKKDSLVAVLEAFVYDPLINWRLLDVNKRNPADRELNNENQQINMKKTIVMAEVPEDVMNSVLDRIKLKISGREFLVDEVLTIEDHVDRLVKEATSHINLAQCYIGWCPFW >PPA02109 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:14931:16074:1 gene:PPA02109 transcript:PPA02109 gene_biotype:protein_coding transcript_biotype:protein_coding MDESPSRRSTYSGSSTSSEQQRSMKELTMEAFKDKKTLVYLLISIYMWITDFLVYNGLSLTSTGIKIGNPHWNYVMSGLVELPAAFVLPLLMDTIGRRATVILSHAETALALLALGFIPLENEILYMIVWLAAKFGTASSFIALYIYGSEIFHIKYRNICLGICCTLGNLGAMAAPYTAHLGVSSQTAVYSIYAALSLVSSGLDIFLPETMHLHSHRPIGVTQSPPIEA >PPA02140 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:244332:246883:-1 gene:PPA02140 transcript:PPA02140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-egl-19 MLLKMYSLGFTSYTRSQFNRFDCFVVISSIIEFVLVYLELMKPLGVSVLRSARLLRIFKVTKYWASLRNLVASLLNSLRSIMSLLLLLFLFIVIFALLGMQVFGGKFNFNPQAPKPRANFDTFIQSLLTVFQILTGEDWNAVMYNGIESFGGVGSMGMLVCIYYIVLFICGNYILLNVFLAIAVDNLADADSLTNAEKEEEAHEMDEEEEMDEGLYDEDGMEKEERELDEELADDMESARPRRMSEVPAVNAAKPIPKASSLFVLSHTNPFRVFCNKIINHAYFTNAVLVCILVSSAMLAAEDPLNSESDRNQVLNYFDYFFTSVFTVEISLKVVVYGLILHKGAFCRNAFNLLDILVVAVSLVSFLLKSNAISVVKILRVLRVLRPLRAINRAKGLKHVVQCVIVAVKTIGNIMLVTFMLQFMFAIIGVQLFKGTFYACNDQSKVTERDCRLVILELNGSE >PPA02105 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:1753:5918:-1 gene:PPA02105 transcript:PPA02105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-30 MRNEESDGQEGDEDDRPETSSGGSTMAKSERERLASALQGSAVRNAAELVGVGDVLAFGRRTSPAERRRRNEARAAANALDPMGWQASKSTLKERISFMYCNDILADIYFIVGKEESKQRIPAHKFVLSIGSVVFDAMFNGGLAPNGEAANSNAKKYAVPALETACVDFLKQSLGADNAFMLLTQARLFDEQQLAQLCLDLIDKHTPEAFAAEGFTDVDIATLSAVLTRDTLRIREMPLFQAVCRWAEAECERKGERIIGETLREALGGALSLIRFPLMTPEEFANGPAQSGILSDTECKSLFLNFHAHPKPIIPFSDRPRCAIDGPEMVISRFQRIDARWGYNGMPDRIKFTVDRKIYVTGFGLHGSVNGPYEYACTIQILHCGTGKVLAHHDTSFTCDGSTATFKVSFREPVEIMNGVTYIASACIKGGDSHYGTKGLRRVVHPSGSPTFQFTYAAGNNNGTSVEDGQIPEIYFYTKAN >PPA02143 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:254468:255576:-1 gene:PPA02143 transcript:PPA02143 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKNEERREHGDNEDNESIESEDVPDDDSKDESSDEIEQDQHNRRETGDMVWEEEEDISGSETDEFGGGEIVSHRLVKFRGGQPHLNKSQLVAESAVQEFDKEGLQEYRRQFKIQQEKLRVERQARKYKDEVSRRQIEAAALLYKWGPPQKGRSLGPLAPPP >PPA02201 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:644455:646690:1 gene:PPA02201 transcript:PPA02201 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVDYGSDSGSDDSGDEGLPSGVLVQNPVKGITAPIDSTKPHKSVTSSLDDVGFFGDEADEDGEKGSKLSLPAARTTQRPSEDIEEDEIEDIAKPKEWEKKLADKARRKLEKKALKKTEKEKKREEKRLKKEGKTEQKEELKKKRGPVKIDAFGGLSKTSAEVEEEKSEDKSISASMSSSSSSLKLFSMLPAPKTASRLAPSSKTVSLMIPPSLRTKTVDESTEVVVKQPVKSLVCGVDSSDDEGGDNDFFGLSSTQSNIPTIITHVPGVPLLPSYGLSDEAGPVRPDPIDTSYGYEGVEDEEVDEGPSSSAQMKKISDEAAQNMIFKYDYAPFGHDRRGFNEADIIDVSVDRAIGPNVQENLLKNLNRNNMARASMPSLPTANAPNDKNAKRKHQITYLANIAVAREGELQQKWADGKAAKRMARQNMNYWMNK >PPA02129 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:101322:103049:-1 gene:PPA02129 transcript:PPA02129 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFILFALAAAVYAGGQNGYGDEPVVPAEVATEAPVAEVAPTESAPAEYAAPVEAVTEAAAEYAPAEVAQEVATEAPAVEASGYRFKRGAQNGYGDEPVVPAEVATEAPVAEEPVAEEPAATEAAPAEYSAPAEVATEAPVVEEPVAEVAQEVATEAPAVEASGYRFKRGAQNGYGDEPVVPAEVATEAPVAEEPVAEEPAATEAAPAEYSAPAEVATEAPVVEEPVAEVAQEVATEAPAVEASGYRFKRGAQNGYGDEPVVPAEVATEAPVVEEPVAEEPAATEAAPAEYAAPAEVATEAPVVEEPVAEVAQEVATEAPAVEASGYRFKRGAQNGYGDEPVVPAEVATEATVAEEPVAEEPAAPVEAVTEAAAEYAPAEVAQEVATEAPAVEASGYRFKRGAQNGYGDEPVVPAEVATEAPIAEEPVAEEPAATEAAPAEYAAPAEVATEAPVVEEPVAEVAQEVATEAPAVEASGYRF >PPA02176 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:403335:403774:1 gene:PPA02176 transcript:PPA02176 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVENGDPDWLHGFKLDDRTEKLYSFPATCVALMRNGEQPMKIVQNVFVADQKLRLYRDQIISFHSS >PPA02227 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:804021:806149:-1 gene:PPA02227 transcript:PPA02227 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLKEQLFSTYLFDDKNKGDLEVHILAHMNENLSDVFNCRLQSLKERDIAIVAVHAFYRSNKLNDEWSKCTFPLFTGLLVCYILRLMRRIFEGMHEWGLVELYKRSQDDFEDHVLELLDHAYRRNERKTRKALKINYHALIERQHSHSSACSVLSLLDLAMMAKCETFYFFYIFLYATVLLTRGKNEFQFSQNFFSIFYKEIVLFLWQLSFIGDMVYSSFTVGYKKFRASNPADSYHNFFNIAWMCLVLISTVLHEFKILPWMWGTLVVLWKFFFHVSFIFSSVRIMRVFAADSFFGAIVVMMKKMVYTLWSFLIVFLLFWSTYAIAVVSLLGTSYNENTRVEYLFQWSIRDIW >PPA02231 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:820767:822285:-1 gene:PPA02231 transcript:PPA02231 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIYAAIIVKTNRLARVFSPSSAQRPRFITPKAQVAITGVIVSVQLVGSLLWLATASHLMVSLSYNMVLILACTVYAFKTRKIPENFNETRLIGFTMYSTSILWLAFVPIYFATQNNFFIQMTSLAMCISMSGTVALMCFFGPKVYIVLFQPEKNVRTRQSAVGRLVNQQMRFIREEVIMERSRSERPRRIIAKVEKISQATIEECEGNEKYGEGTKGESRRD >PPA02207 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:738128:739923:1 gene:PPA02207 transcript:PPA02207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gcy-6 MDRIKAENLLTNVNINFVINYDDCTETKAAGLGVQMIMENNVSVVIGPNCNLPAVSFGAIANFYDIPFFPWGLATSRALEDQDRFWTVATLNAGSYALGVALHETMKHFGWTDFAFIYSTVGDSDKCSVLKEDIEKAVSDFNDDIQISFFYEFPEYAIIFSVCLSDDMGIKRDFALSLVDAGMMNDEYVYLFVDPRTRGFVTQEDGVIMDVWIDRYGRKDGRDDEAKAAFQRIFILTDVGLFMGNQLIPDGVNYTSFGKEVIRRIVDPPFNCTTGCAASRYQIPAVYAGQLHDAVYLYALALNRTLKDTPEKYRDGKTIMYNAFGTFNGWSGQVTMNVNGTRSPTFFLFSLDRNGETITQGNVFVDGTYATLTQSYKTEADLWWNRAGNARPLSEPICGYKGDNCPLTW >PPA02236 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:852373:860707:1 gene:PPA02236 transcript:PPA02236 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSLLLSSLCVNSLIASSLSYLLDISTVRYLQMNGDELSTRTIETKSRAVLSHVDRRPEEPDCSALLQSPHNRSDDMSCSSFGSTPSPPPLMLDSPNGFSMTASLFERAPMNDKYANDQKETERGAMHCGSKILDLRATRRRSDFVTVSLIKHENEENLSPKVVLKPPRRSEPQPQLFQTFVQPRKKAKDNVKAKAFHPSRPKYAMMVEKLNRKWKKNKYTKNPEDKQDQSIVDLKIDVEVATRSSEGCDHWDRLTREMGEREQYELDYIVCLSSRKLGEPLSDQLLIKWNGFPLPTWESKKTVSAGIEMEMSKRRKDDLDFVRCSHFDTSNMKQELESEDMLKMRQRAIYFNILRSIEWRWNYVNNRSGQPLMYIEDWTDEPQDYESLLKFDFDNYLSNSKGVDKILEQHNRQLDHKKCSGDRCATCMVQSKQGKEHSHCCGMKYSVGVDEDGNVKWENTPGDLANEIEVNIECIPECACGPKCKNKLLSNGRQSVLCIFREPGKGWGVRTVQELPICTFVSEYTGEMCMESLPESDPKWIYDFQLHFDVRDVNGKKVHNPLVISAANKVRPL >PPA02215 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:762455:766637:1 gene:PPA02215 transcript:PPA02215 gene_biotype:protein_coding transcript_biotype:protein_coding MNWKYFLAVLDSSLLTNSVLNSLLTSVKSSSVCPHGDTLKEEKEELRCTPHDGSCPSTHYCLVSKRSAGVCCPDKNFVCNQHVDEGSCSKNTNRFHFNPLLRECRPFVYGGCDGNLNNFESEFQCQRFCHGVGPIEVMSASMHDDDVTNGPEQSFDLRFSLSGPKIDDKERVTRALRSYLKDHFLLDRSDVKDLSIREEDNSIRLLVQSHDAVIKADRIAKEISSGKVSFSDGFSSYRADPHSFSSKQLAMDRPKSVGTPNGSTLLYWGIMFISILVAILVFMSIICAYSCCRRSESVIPRRFRGSPSTDVSSAGSDRGRDTGTQERPTHQYFSFKMGLGFSSSETHKVGVMSRLKEDVKLYRLVDMHGGGDLLPWMRYAERSGDHSIVDSYIDVKVRNYLCNQGKGKLVTITELVKLRNKERNAMLGAFSRKKGKGKSGPNVLDDFNQEGQNMGDLKKALKLLDGGGKSGKGESKYREIGWKLDERGLSPLHQAIINQDVSLVAWLLKRGADIHQRCYGAYFCADDQKLSRSRVHKCCVQFDVNMNAQDTNGNTVLHMCVIHENLEMLRLAIELGASLKVKNKQKLSPLTLAAKLAKNRMFTELLEHEAMTQWEYSKASKTFYPLNGIDTINQDNGDIDDSTGDKRSN >PPA02107 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:10320:10677:-1 gene:PPA02107 transcript:PPA02107 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRLAVVVLFSVVLSLAFSQMTFTDNWQKRGGEVKKSAPVFLTSAHGAGSKMCSSSSIVSMTRELTFLLDTAARVANQLSLCTDQV >PPA02134 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:111362:113790:1 gene:PPA02134 transcript:PPA02134 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPFNCCCRAKSNRSELYQAAPDEEETGGGNGKISTGMEMNLTQGTANAPPKYSWDVRRAEEGDSSRFRVQNIDGGFVLKNDINGQQLRAVIAVLDVTGSVMVDDCLDCTLIVGPCNGRYMRKINERSKYDQNEVKELHQLFHDEIKREIDRKKEIETQPIIESSANIRFYPLNLFYPNLHDHLTTIGMSAFGSPPRSIHDYTPTPGMRNYSIIDDELRMDLASSRELRASGISIEHNDSVFIQKEYIDNADNLVNVMLLSVQRNGESHTQFYDRCYRACSEAHQKGMKVVNFSDVSEALTDEELGEILKEEVAADLRSKMIVLEVMVPPPEISKYMEGPMGQEFNKVPLKYQTILRDRFFS >PPA02114 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:28928:29788:1 gene:PPA02114 transcript:PPA02114 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQFTSFIIPTLHCRYGDIFTVWTPRPMVVIMEYEHIREALIKNGDAFLGRNHGFPECTTMVIPNGGITFAEGESWARQRKFVKEREKRGMDEFHCRASVAILKQFGMGGGFMENQVRQATEAFVSHLESLSDLNHVDFRWPIQIFVANVINKMLFNYEYDYEGSCKRLMRIADLFTYLVEDARSNPLVLFSMQYEWLEQVPIIGWRAVGKYRDIIAKV >PPA02173 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:388797:390447:1 gene:PPA02173 transcript:PPA02173 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLVQLDAGLKIDDNWKIEKKLGEGAFGAVYRCREVKNLDNIYALKVEGKDEKIQLLKMEVLVLNELAKNGGRHFCKIVGKGCYRNFNYVVMTFVGMSLADLRLLAPTKKFSYGTAMSVGIQCLEALEDLHGIGYLHRDVKPGNYTIGRAAVNELRKVYVLDFGMARKFIKDDGKTMRSPRDNAGFRGTVKYAPIACHDNKDQGRCGDAESWLYMTVELTRGNLPWRNFTDMRQVGAMKKATRTNPIVQKQLYGGCPTEYIDVMKKIDEGDFFSEPDYAWIYKCLKNAMKKLTAPEFPYDWEAFLMNQYQQDQKNEKAGIKPKEEKKKKADDEEEEKPDKKKEKEKEKEEEEVESIQ >PPA02149 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:293091:293749:-1 gene:PPA02149 transcript:PPA02149 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTDCAAFGAAVICGNIDTTTGFGCCQVTTTTTTTTVATTTVNATCVDLLNPLTGVSDCPFKSYLCSNTNYTAVMIQQCPRTCGFCGTTTNTTTTCVDLTNAATGISECSTLRVYCNNTIYQPLMRIQCPATCGFCTSG >PPA02234 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:834894:835573:1 gene:PPA02234 transcript:PPA02234 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKEIGLHHIPHSSEYRHSLQSYVGPKIIVLVDDADELTRDELISTLVPSPYLSWVIAVRKNVSKWIQKSYSSVVVHSMPSLDPLEAKVIVSQYLDFHTALTEGMAIPERYSRAAEAIFPPASDEKEEEVFWSAAKCKVVASLARNGASLKRMAALSKHAVTS >PPA02152 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:296939:298150:1 gene:PPA02152 transcript:PPA02152 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVQQLILENMQRWVDPLFPVPDSVEITDNIRAKRSESPSKHRVNFEKWNKLTGGRRNVLLSSSVSEMPKWYYNYKFGSENGPNFLLIGWEGPENIKYVQNENLAWMTYAKEVGANLFILEHRYYGESKLGTNDLQFLTSSQMLYDVATFIRTQQVKFNRTGPWITFGGSYPGALAAWSRQWFPELIIGSVASSGPVQAKNNYYEYMEVVEDVVRRTSQKCYDGTAEAFDRLRVLSQNPDGRMIIQDKFK >PPA02124 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:71578:73038:-1 gene:PPA02124 transcript:PPA02124 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKIIQKKEEKESGYEEDFADAFEFIQEDVQRAGRSNTHLLTSCTTTRSPILIDGMKFEFISSATYLGGRISLPLDHSDEIEDRIRLGWFAWSRLSSLLTSRLLPMKTRTRLFESCVTSTVLYGSEVWALRASDKERLSVTQRKMERKMLGISLKDRWTNERVRDCTKLRDWIREGLKRKARWALKIRQMDMEQCSRATTVAEEVETYEDAQSYFDPTSVSSPVVSPSMRGSLLPLSPDTNFAMHKMRQIK >PPA02195 pep:known supercontig:P_pacificus-5.0:Ppa_Contig109:598402:601612:-1 gene:PPA02195 transcript:PPA02195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Large subunit ribosomal protein 29 [Source:UniProtKB/TrEMBL;Acc:A6YMP2] MAKSKNHTSHNQNRKDHRNGIHKPTKQRYMSMKGVDPKFLKNLRFAKKHNKNHMREDVVHRKLTADGEGVGDERRVMSVVNTIRTIQQGKATVQDAVKALKLLDMLELNAEKQRVITEMCAEQVHEYDRLAAEIEEQMSSSAASMESAKRELISARQLAERRNNMIAFNIIVENLRQLIDEDESEISGINGEEDDKEKKREKESSPVASVLPHKIIVVDSLCFGGGGGGGCGCGKRRKREVVYPLDASVDPTCSYPQLRPVMERNMAFSASESRIALSRTLESDGDKFLVVCNEEGETTNRSMPLISTHYGMEYCTARRNGHKCIAFSLMNLWS >PPA02145 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig109:260455:262295:1 gene:PPA02145 transcript:PPA02145 gene_biotype:protein_coding transcript_biotype:protein_coding MNESDEGEKADNAQLAVKVRNDQRNSEDRMEEPQLLETGDDNDCLDMLSSSSEASKKEMHWKDKLDEYKNENKMMKKHWNEVLRVIADISRQCCDVLPPSISQRVNRLPLDELLQIGIKCDGDSDDVP >PPA02254 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1093:3260:5291:-1 gene:PPA02254 transcript:PPA02254 gene_biotype:protein_coding transcript_biotype:protein_coding AEAEVRVAQAEFDKQTEITKLLLEGIQTAHNNQLKCIRDFVEAQLSFYAQAHQSLADLQRDLSGSSDGPLLLRRRTVVNDERDEYRSIDSHSTTVSPPPTPESYHDDSYDRTAEYGNYRTLSFRGATVTTTVVDVMKEQNGEKKKSLTGIYSALSDGGTKQARVIMDYDAVLRDELSVRLNEVIIVYRLPGMDEDFVMAERAGVRGRIPIEYIEIVYV >PPA02255 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1094:1185:2475:-1 gene:PPA02255 transcript:PPA02255 gene_biotype:protein_coding transcript_biotype:protein_coding EHTGNAACFLAETDLDSSSLFERHSDDRMTLDKCYEYMMANPKYQVYVVAGNVCYVGETAILKTSANAPNDCDNDCAGNSRQKCGTTDHAWQFTYSYTNETAQCDVTPKPCNQAKNQGHCVEQNIEIRVIVSSFHAVREARETASVVCVLQAGQAVIVTQVRKKILQFEFEIREFVSSVLALYHRIKRRVTEFQIVPATGLNLTVFPANDPCSSLYCKNDGKCVPTADKSDAYCLCTRGYSASDCSGKPKEW >PPA02257 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1097:63:539:-1 gene:PPA02257 transcript:PPA02257 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVEDHSKTQRLHRIFALFSHNNENRKSSPSREEKKEDKKERRKRSRTTVVTSRVPLHPPSLSHSPLSIRCDETVKQGALLHQ >PPA02310 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:233131:233722:-1 gene:PPA02310 transcript:PPA02310 gene_biotype:protein_coding transcript_biotype:protein_coding MESASCGSDPPLMRWSSVYGEAPDRRSEQERESDHLAVAEKFVAQRIRLKQHYG >PPA02578 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1446629:1448919:-1 gene:PPA02578 transcript:PPA02578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tbc-8 MASDSSSEVLSRVEWTDRMRDHPTNGSTSSSRPPLSVSIFLLFHPHSSSIPPQVKRQGSSLVSMERQSTSRDYVFSLHANYRSTLLYGKNNVGLEDSSGGDSTKGYLSLHKNFSADLTIRWTPNQLMTSSSQPNSATSKDEGKFQWKQAITIEMNQVIYIHLHQKQEESSSIITFVTCEGGQSPPLHFPSGQHSLVFLTALESGLTPLYRLDPPLSQDQCKEKALPRLRRRTSATNSSSDYVFRIVRLTSSAPPPPPTEDTQSDDFAPSVPPSPSNGFFSLPSSPAMLSSVDDLVNTHVGQACRSMQQQIMARAFFGWLSYVRHLRTVREHLMGLIHNKKITPEEDLPPVDEAFWSECTSKRTPELWDECIRRVYWAGIGSGKEEEDKALRRQIWPYLLGVFEWTENPEPKTTLFTEKYRDDVEEWRVLEAEVRRRDEEAFNAARARKGATPIREMSITSDVFDEDNEEKKDPKDQEWEDLIDKFGANLHRIEKDVERCDRALRFFSNAQNLESLRRVVCTYVRRNVDGDGYVQGMCDIAAPLLVIFQDGQLPVNQ >PPA02391 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:590384:593745:1 gene:PPA02391 transcript:PPA02391 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSVDKTPPIAYYCPDDIFVNHPQSIQLRWNEPIFVDDDEFVSVSSNYNSGDFFTWGGHHNVYEATDSSGNVGFCEFDIYVAPNKCEDPQPPAKGNRVNGIDLGILLYSNFLNVEMSGSQYRFALSNFCECEDCQYWIEECMEYNDDNVTTEVTPGHYYDTATEQVFECPINTYQNESSQTSCNECPDGKITMTPGATRIEDCYDNCSPGSFYDYSHPNAGCLPCPVGSYTSRYGSLYCTYCEDGMTTHSRNSTNASDCYWKCELGEEMDGDTNKCVPCSQGFYKDSYEARQCTKCPDGTTTKITGAINKASCTVLDCPINMYANTTADVDPINFNLASYCVVCEMGTKRSKSDGNCTACPDGVDVNDYLLRTCNLMDECKKNLGCADTAKKCEKNAHTGKMMCVVEEEPMTRVESDSSFYIEIIIIAVSVTAAVAAIGVAGVLMIRYWRRQRKAQIEEDISDFSQRRLTEDLMPIAVPDAEPRDNNGSTSPTSHQSSSSSHGSHEKKKNNKQHKEKQEIHFVIPPIHFDVLTTFKSTAKSTNADLPIIPSHLARATPRPIISPHVESQITINCVVLLKYVT >PPA02632 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1716003:1717843:1 gene:PPA02632 transcript:PPA02632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lips-3 MREERTRLDDLSLFFVVNHEYFIHNERLTGLFQDWLISNGYESDNFERSDVGPNGSFGGKQKNSDKIVNQPVIFIHGNGDTALYAQAPLATGWSRSIQYFLEQNYTQAEIYSTTWGDAWGSGSILDTYSTMHTCSNLIHLRRFIEAVMKYTKAPKVDIIAHSLGVPIARRVIKGGTLIGTDGNCTLGPPLGSRVDTFLGIAGPNYGLCVCQMAQTFPSWCNALDGLYPGYTCQDQVLCAYTSSSCKQENYSAFLEKLNNDKSREADHIFAMWSDVDEVLLFRGMTWGKPTSRIPNMNGRWISDRNSHTAMKDLTELRQYEAVVHHSI >PPA02572 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1417704:1420583:1 gene:PPA02572 transcript:PPA02572 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFGITEMVRRAAKKAASPSSVIYPEGCEPIDPDTNNSTLVLALKGLYERLSEDETTTEDNFESLAIHLVSCDLINKPADNALEFLAKSIGRIRCESEALYTKYHSLLEMVASSCILQQNLKQLDPDTDDGARVIATLLKSASLVVEVRKATERVQEENNEQEIERKSGVRTMIMNICRGVFEDNADHIHSVALDVIFSHLISPEKVNNPEGYHFMRKLCENGAMYIHNRISSIFVDACHTQDDPKLDSNVFKLVGKRKAAVYELLSELSEVLPDIRNDLLCLLSMKLASSEMEVRLMALKTASEVAKNRPDLPESCPSLWNRFIDRWKDSSPLVRKEIAERCKDLLYSNHDMRSTIWDFLDRLVTDQDEEVRMAAIETVCQTARKKLEAVNEKLIESCTERLRDKKVEVRKVCLKHLLAVYKDVVKREESTKSDRGSVAIIAQKVMLMYRTNPNVMTEEK >PPA02431 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:713818:716622:1 gene:PPA02431 transcript:PPA02431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gpa-17 MGNNCCTESHAPDNYNTYNSNASKRDKSKGGSVVATQPGSDPAEIYRFFMVGMGSAGKSTVIKQLQKLCHDRPNIYKMYDEEWKPISHEFASHEKERYRRIIRRNVFDALSILEFYVQSWSLRPSSSSDADVIADMAGNLTASEAKLERHIMHALLRLIKDPAIQAALNRRSEMIGTQQIADGTIPFLNEEKMAEDYRFSVRGMRIQIHDIGGQKVERGKLLSYITNWVSADRSGYRNFILYVVSMAEYNIPHPDHQEITLLDESLMMMQNILELQTIQNCGFMIFFNKDDVYREKLRQCSTMPALRDDTLKWLGSYMKENDKATLQKGAVLSHDSMQKAIAKKFTDAIKNVQTKRYKGIYRKFTCAVDTKMMNALFDAIEGDLVNHMIDTASWMM >PPA02349 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:405882:406718:1 gene:PPA02349 transcript:PPA02349 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTRFPFDNVTCSLTFESFNYNTDEVEMSWSTVGVAKMREKIELADYELTDISNKRNKETYPAGFWHELTMSFHFKRRAGWYILQAYLPTYLTITICELFLDSYFI >PPA02459 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:892134:892506:1 gene:PPA02459 transcript:PPA02459 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLRSVRKEYDTRWIEDHPKDVKRAIESSEGAERPKRFQTCDGEGFWEKVGYIFLSLFVKLNC >PPA02437 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:759418:760865:1 gene:PPA02437 transcript:PPA02437 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLVTTLRGRAIQWEKRTVYESDPFFSHRSGSYEPDESGFFDGMLGEMQKGTLDIALQDFSYRKARMDELYYTLPVEEWEENLYESQEIFFEPAIIVVPFSMSFTAILVALLIAMSVTEVSVRAVISKLRGKIEFLEELFNGDPRGDWKKYRAIADMEEKQNVLCHNPNAIFFGRLYSVTHNDPDTPYKHQCAFKMYDCNMKFDMAKFEQE >PPA02470 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:933283:934525:1 gene:PPA02470 transcript:PPA02470 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSNVSLGQNEGVLYGCEEEDVAKLEVGDAAKMKWEEEEYDVTCILIDTQKKCERTVTKLVKGTMHLADLDIPPSLLDDHCRMCLKPFDGKLAVLIHQLEHTGKGEGKRGMGMKRKKRKKKTRKVRMKDLRQNGEYQEWKRGDEQWTWFNERSKPFNFLRNCAIQAEFRLPSLLEKFFL >PPA02475 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:947311:947705:1 gene:PPA02475 transcript:PPA02475 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEHLGLSYISMMMAFEQRCTNGGKANLTKGFCDCPPFFTGSRCEMVNCANGGINLPDANGCDCGLRYDGEFCENK >PPA02377 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:536086:536653:-1 gene:PPA02377 transcript:PPA02377 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPDQVQFIVPGGTFVLVPTGTNSILDENDGNPGDGMVTVQLTCNGDGTGWTVSRPMLPQPATVKEIYCTDTMDAGMPPG >PPA02307 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:223711:226060:1 gene:PPA02307 transcript:PPA02307 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVYPPHPLHGPFLPLQVRIRDRIPFPNKPHTLPPTYKKWVMVDLIYTIEWIKTFAFFNQLEEKEKLQLVKNVTQMVTILAAAFYSYEVRRSDVTVMPDGQILIEGDLPKEATIERANNFEIIKRFKSIQMDKKEYVLLKAIMACDPDHDCFCLESRRALQSQRELFSRSLMSYVLARRGPTKGPPAFTQMLSILTWQQRVVQKYKSPHSDRLVRRSLRLRPTRNWPSVGVRPTMVLPFFLATMNVLSLATVGRLTLFDQAVMKIKADVIGLCEVRRKEEGAIDLTSSSGTLYHTGRFGNRSAGCGFFVSRRMKPKVVRFLTISPRIALLDCRLPNNVLLRLVQCYAPCSNHSDDQYDAFLSELESVFRQVVPGQRKFRKVYRVIMGDLNARVGKALPGDTAIGKFGYGDRNDRGEKIIEWSGPHSAWKGDR >PPA02636 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1744302:1748831:-1 gene:PPA02636 transcript:PPA02636 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGGGGRGRGKGKWESYRDDDDSQSGSNSRDGGDEPTAVPKAASENLETKVTYSNTDEFGAKDYRNEMPLKADFTHRPLWVAPDGHIFLESFSPVYKHARDFLIAIAEPVCRPEHIHEYQLTAYSLYAAVSVGLQTNDIIEYLERLSKSALPEGIKQFITMCTLSYGKVKLVLKHNRYFVESRASDVIQKLLKDPVIQKCIVEEKVTEEPQQTTVVEKITFPGQEKDKEGDEKDDAVPDDIGELYGRIEGEEEDDAEAIRSLQLLTFEIKQETIEVVQKRCIELEYPLLAEYDFRNDTLNPNLGIDLKPSTTLRPYQEKSLRKMFGNSRARSGVIVLPCGAGKTLVGVTAATTVNKRCLCLATSNVSVEQWKAQFKLWSTIQEKQIIRFTREARDAVPQGADAKKPCVCISTYSMVAYSGKRTFAAEEAMKFIESQEWGLVLLDEVHTIPAKMFRRVLTIVQAHCKLGLTATLVREDDKITDLNFLIGPKIYEANWMELQKAGHIAKVQCAEVWCPMTAEFYSYYLRAQIARRLLLSVMNPNKFRICQFLIRFHEKRNDKIIVFSDNVFALKKYAIEMNKPFLYGETGQTERMKILQNFQYNPRVNTIFVSKVADTSFDLPEANVLIQISAHGGSRRQEAQRLGRILRAKKHSSDAFNAFFYSLVSQDTVEMGYSRKRQRFLVNQGYAYKVVNKLPGMEKEDLKLGTKESQLQLLQQVLAASDADADEEDVKGEDMDGTVKNYREW >PPA02330 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:336666:337900:-1 gene:PPA02330 transcript:PPA02330 gene_biotype:protein_coding transcript_biotype:protein_coding MRYQLETTALRNGTLHLSYGAFNYPFFYKPSTGTKKPIGFLTDVWKYFTQDLEYHQYSYEHSSSVCCDGILLPVQEGLTLTTAGAYTATVARSKLFRKSAATYYTAFNFYEADRSAEEQSSELVFFTVFSLPALFLLIFAHILASFLHFLIKSTREINDGIGVKRKPLVDSFRFASQAFFSLGVTLTVFYHAAGFKGNTVMYSNPTQTGGSYFSSLR >PPA02314 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:279420:281193:1 gene:PPA02314 transcript:PPA02314 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSETDRHVIWSGVVIFNIIGLFGNFNVIYAHYRLPVLRTKYGILLTMLVSAHTICLVYEFIAIIYDILAETIIRFVYMDYAEIQLCQPPSSLPSVVTKIWYFVGLVFNAITAIAYVAAFIIIYCKVFSALTVYSSTFYGLHFKRRIAREIKTNFPPVDHTSLPYSTYTRPEKFDKMENVKLLEDIAARLAKRRMKKDAQKATIRTGSSIQFTKRGQRFIGENGRPMIRVTFRK >PPA02436 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:753531:753795:-1 gene:PPA02436 transcript:PPA02436 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHYTECPDTYKKVCSSSRPGECNPKCFKIPKNAADALLPPLDLCIAPKDWLCDPTFKKCVCQ >PPA02347 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:397895:399742:-1 gene:PPA02347 transcript:PPA02347 gene_biotype:protein_coding transcript_biotype:protein_coding MNFADNSTTDNSLMKHSEVESLDVALFIHPWDMHNWDGRLGKYWLPWLVGMPSETAQAICCVLMGGVLERFPRLRLCFAHGGGAYPMIRGRVAHGFNVRPDLCATDCKTNPSLLDGRLWTDSLVHDPEALRLLLSVVGKVVEEYPDFSNADRDSLLWKNAVKLFSLDEGKLHSTTF >PPA02263 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:11703:12899:-1 gene:PPA02263 transcript:PPA02263 gene_biotype:protein_coding transcript_biotype:protein_coding MDYIRSTIDRDRNHPSIFMWSLANEPSASIKEANPYFAPLVKLAKSLDPTRPITGGLLDVICFNRYYGWYSNIGQLYRIEHDIYNEISMWNKKFSRPIIISEYGAEAIPGMHKDPPFAFTEEYQVEVLKEHHKAFDRLKKEKKLAGEMVWVFADFMTVQDTTRVVGNHKGLFTRQREPKMSAHLIRKRYSSNTSRHRDEL >PPA02340 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:377384:377885:1 gene:PPA02340 transcript:PPA02340 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSSVRSIVLWYFSNAETDEQVAQWKELSLQVFEQTKIIHPDQRVRVDIFGDVIANHEMYV >PPA02540 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1282085:1282487:1 gene:PPA02540 transcript:PPA02540 gene_biotype:protein_coding transcript_biotype:protein_coding MPPENMKGGEWHNAFTYVQFDTKDTEKDLRLALSSIEDGINVPSIVPLKIDVDWKWTLDEGDCNHFSIRMSAMSSLEHIQKEEVASFCRQFDKDHFVSCDHL >PPA02568 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1397920:1403745:-1 gene:PPA02568 transcript:PPA02568 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNKKRNSTIDEIWQDLETGLGHIYKKEPMIPTRYMQLYTYVYDFCTAVSVHVGASVSQPPQRNLIRVNNKKSNNLQNETDFVGSELYNKLSAFIRAHIETILSHCKTLNGEDLLRYFVTQWDQFRFCSKIEHRIRLGWLAWSKLSHLLSSRLLPMKTRRRLFEICITSTVLYGSEVWALRSSDKERLSITQRKMERKMLGVTLRGRWRNERVREITKLRDWNREALSRKARWALKVRSMHMEHWSCATTVVDGIFAYLNRHWIKRELENGNTNIHVIYTLALVTWKHILRDHMANNITAGVLDLIEQERQGVAITSSLIKGVVDCFVELGIDEMVDSTSPETAKVVNPKLRVYKKMFEEKFIIATENFYTNEAATFLQSNSITEYMKRVERRLNEEKDRCEMYLNRSSLTPLARKCEEVLISKQLELFQNEFCSLLENHRDEDLGRMYMLCERVEGGLDELRKALEEHIRKQGLNALEKVKDQAHNDPKCFVQTLLEVHNRYSNLVSGAFQNESGFVQALDKAATIFINKNCITHAEGSNAVSSSKCPDMLARYCDSILRKSAKNSEDTEMEEQLKSSGKSSHPSYWTAMVIFKYIEDKDVFQRFYTKKFAHRLVGSLSASDEAEQSMIGKLKQMCGFEYTSKMQRMFTDTGLSRETTERFRDKCNNSNKNLACDFNVMVLGANSWPSLGTSVAINLPFKLSTCVSEFSAFYSEIHQGRKLTWIFSHSKGEVVSQAFSKRYSFVAMTPQMAILLLFNDTTEMNGAAMLEALQIKKEHLFPQLASLVKGEILKVKEGDVANLDETVKIELNMAYANKKMKVDLTKFVVRSEVKQEAVEVHQHVEENRKMVIQIFFFENF >PPA02334 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:358407:359578:1 gene:PPA02334 transcript:PPA02334 gene_biotype:protein_coding transcript_biotype:protein_coding MLESLPQLTSFHLDLPEAIAISMDKQNFTYPRAQKWMTEHRAFSVQASIAYVIIVFTLKKWMGTEREAFKINGPLKLWNAFIGGVSIFCAVGMTKDFFSTLFNKGVNASLCSTGLDLFTGETGYYVWIYHMIRIFEFGDTVFIFADHYNVVAQFDP >PPA02619 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1661455:1666523:-1 gene:PPA02619 transcript:PPA02619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-oxi-1 MDSETASENERRIDKEEMEGQCTYVGKELYVLLSREEMQKEFGEFDDLEKSGKKMKKNQEILRLAPVFLQVAVFPQHLQILACLVRHMILSMDSSTKETNFASILTSKSGLQAGNRLITNMFRMMPTAMHSVTARKVSEQKAWQAMIHWMIVFSGCGGWSLVRNASQVHPVLNSLCNKMSSPLMTPPNYRMLTECLFRVINDAKPLLSSEAVNALFTILLRPLKGTESISDDSILLAADTLFTCPAVLVHVNDTSREMAKQTTFLTRVIPLLNKIGLTGGNHSKTLNLMGNLVHLSYLKIDKDLIWEWAELLGAFIERCSEVTASHSRGHVHWHPVFGSCSLLLDAGTEASLRHVMKQLRMMWSKRLIGNLFEEESEEGNGGGEKNEGETNGLTKLWKKFTLGGETTKIMDSSKLPISRILDDEELYEQGIPLSCSELVAVARFCNLFCFRAIWNGVVEGAIEDDSRTLFASIHGLCMLLYGRNSRRPFTADPKFWIPGMSHVVPIKDRLLLFRKYITNDKSSTEGRPRLITVDRSMIVEDGFKQLSRLSSIELRSTIRVKFVNQQGLDEAGIDQDGVFKEFLELTLKAVFNPNLSLFSFTSAGQLHPSTMSYMQENHLDLFRFVGKMLAKAVYEGIVVDVQLAPVMLATLLGEKSLCAFDELAQFDPELYRSLSYVKKGSEDEVNDMGLTFSTDENCMGKLKTIDIVPCGRAIQVTHENRINYVHRMAHYRVFTQTKEQCKAFVEGFQKVANPSWLFLFAPHELQYLISGQSSDIDLADLRKHVQYYGGFHSGHRLIKWLWQILENDFSAEERRLFLKFVTSCSRGPLLGFGSLEPPFSIRCVEVSDDQDTGDTLGSVVRGFLALKKGQSTSRLPTASTCFNLLKLPNYNKKSVLLEKLRYAIHSETGFELS >PPA02447 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:841042:842784:1 gene:PPA02447 transcript:PPA02447 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPHRRVPVFMITRDPQPGSKRLERPFLKAFRSCRAIMTTTLQPYLDAVRVTLEAALCLEQFSSQVVERHNKPEVEVRTSKELLMTPVVIARNKQERVLIEPSVNSVRVSLAVKQSDEIEKILTHKFTRFMCQRADNFIVLRRKPIEGYDISFLITASHTQLMFKHKLVDFLIHFMQEIDKEISDMKLSLNARARISAEEFLKRFN >PPA02382 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:553807:557485:1 gene:PPA02382 transcript:PPA02382 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTLTGEYPSTWDEPEVKDWKNTAAGQQQCAYLQFGGAQFHDITGLSKALRVTKICFAIYGPPAEDADTLEKAYTAEQRKFGTTIYEKILEVYKKAPEPKFKLGFLFIFCKEGKSTYQVPLFRLMWKETETTISSRYIDTGCRVYESANDWKEKNRLPMLKYCYPSRLFYTYKGSDSMKFDADKDVSVEYGMSPACDLVNRIVGVADVVVTVVATTVGVVSLFTPAGFISAPILLGTGITGGVYGAGRAIHRLADKASHGEAMTDLESVMLYLSILAAPLHMLSGLATARLAAGAATGRIFSQTQRVLATVLLLTTLGVDSFSFIINFANMIDKFRKDQLTPLDVLQFSVSTLFFGNTLMQPKTAWGVIQRAQQQRITTIAEHMTDDQAKSAFKTYLDDNKGDGGIKDTSKIVRNLNKMEDPGAFFKTVEGTVKIGGRKGKTVLLTTSEGQTNRADANRVVRDAKIKMNEYSVSGTPKLQKPGKLRECLGGDYKDHKHLGELNEQQMRRMKHVFGASAEYNKDLVSFATKLADKMGICQDPDAFMSLVEVVAAHKKDANFNFVDSAVNSFHADVVSDLAKVRNIAGPKGLRFADPFKALYHYRKHGTEFMEMCSPKFYLGELPSYILRNGQLTDVCKVTVIAANGTTELFTQKTYFLRDDSMMVVIEKPGCNTISTIYKRPGEWTNRFRVTNLPPPEVSLGRLAFVAGVDSINMTLHANNRWHTDLEHCKNDPNYENYKIMLSMLVADFANDLDPESD >PPA02616 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1655624:1657585:-1 gene:PPA02616 transcript:PPA02616 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVQDGEPISDISGTRNPSRQNYRDLEDDETPEQRLERQKNALLTMISVRELKALLGEMEGRIPTATIKDELIPPPKRAQLRGVRRNPARVQAGINTTKRRNAALKAQQQQQALPQRITTFCFERLEIGDFKLTAPDERCAVVPGTNWIKVDADQRLIIYQFIIARSDSQTPYNVTVHVPFSSIAAIFCGFPEIIVKGLLSDYGPSLQNSSYLGGIAPISMSSSVVPHLTYSAPMMDVGAGGTQLENRQKEGEIKLTHMHPMQE >PPA02563 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1380897:1381177:-1 gene:PPA02563 transcript:PPA02563 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVVKELENMTGLKQQERNKEDKGDKTTFINAPAGPTGYPSEYGASAIGSDSVDKEKTVGYVISIR >PPA02550 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1314182:1316041:1 gene:PPA02550 transcript:PPA02550 gene_biotype:protein_coding transcript_biotype:protein_coding MEADLHNVIKKITILKDIHKQYIMCQLFRAIRYLHSGNVLHRDLKPSNVLLDADCRVKLADFGLARSLSSLEDYPEGQNMPELTEYVATRWYRSPEILLAAKRYTKGVDMWSLGCILGEMLLGRALFPGTSTINQIERIMNSIVRPSRADIDSIGSHYAASVLDKMPSRARKPLEQLVSTHDNNAMHLMQNLLLFAPTRRLTVEECLIHPYVIQFHNPIDEPALSSDVALPLPDHIQLSIDDYREKLYQLIQEKRANTRKLTHDRITTSLQPRASTANQEKRGSEWTEGRRKSSAHDRAAPIAQAECSDTDYDTARNSAQGHGYEMNGGGYVNMAEMRARDRAQSADPRRMNGSASVTHNNNNNIEGSRRHKERRRSSEKPRMFSALRGSRLGSSSSTTNQRLRNVKL >PPA02385 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:564841:565363:-1 gene:PPA02385 transcript:PPA02385 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLDHAQDQAQGEISDKHPLNKTITCHGACYFYIQKNDLIGGSFTNWVASAYVQQPEPHRHSIEDEDFRKFDASKRTREGRCEQFNCSIGECKVILILI >PPA02338 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:371458:372386:-1 gene:PPA02338 transcript:PPA02338 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFLLFVLSSSLASPTNLTELYHVPEWEKDVVAGDTFVELINGVNRTCNWVGTAPVCSGECPNTHDEIERRRDRTIPKSWCCRRDPYFGWPCYFGSKKAKCCLKI >PPA02517 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1159649:1160910:1 gene:PPA02517 transcript:PPA02517 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRVGILGNGHLGKFLHTELSALSSDFTVVRVWNRSVVEGEDVLPLDNLDGQHLSDIDLVVEVAHPLLVKKFAPLILKHSDLFIGSPTCLADQELLDSVKKLAVEGKRKAMPAGAFWGGNDIQKMADKGTLNALQVSMSKHPDSFKLESPLKEINEKAKLETEKETILYEGPVRALCSLAPNNVNTMAGASIAAHNLGFDGVTARLVADPKLRHWHIVGVVATGPDGFEVETIRKNPAKPGAVTGQLTYFSFLASIKEGITKPVGGLHIC >PPA02290 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:150075:154334:-1 gene:PPA02290 transcript:PPA02290 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHPNSRRPSETHPNHSRMVISLREQASCSLAIVAVFLAGLTNAYGVEYTEGLTEDDFEMGAKLTNDEVFGHHIPVEEVNSFKSDIRGPYANRRRHKRNGMAKWEDSVRDLSSLLESRESASGKSSQSVVASGSLFILSDGVRFLLIPSSLPTTQQDRNPHPSRTGRRVMGSYLSLKDGFSSLEDSSTKKTPAHARLRIRPTVGLNLRATPSTVLSGTKGAGIFLQPLRLTTRRRAFDSFHVLPERQIIYLLERSMERWDRDSFIDIIWQNIDKGALDQFGKVDLSKTSYYGQSYDYKSILHYDSLAFSKNGFPTMLPKARASTIGNAKDFSEVDLAKINRMYNCPAPKSLTAPFSSRAHSSQLYSPSLKAHESYYPRPSSSSHQQQLSSSAFSDPLYSSKSSAAASLLASAGLSTAPNHRCADRITVCWWTADRCRRKRFIFWDELVHW >PPA02445 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:834314:835577:1 gene:PPA02445 transcript:PPA02445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rde-4 MMDRYKDFHIHAKTKEEALKILEQIKPPEDDPSGESLAPAQPVNWVGRLTEFCAQNKLPAADYSFDEHGPPNQRTYTACASVGGMKGNAEAKKKKDAKGLAAQKLMQLLEPQVDSLRKGTDRMAGNGGELGVDDDEEPIQGLLGLAGVDVSKAYLEQEPQKALVDVLKDTTRFQSNYTVSYRDLLQPSTRGRSQTLLTIQYSKALPAPIVHPDGSITPGKVDKDAPSSHNYVFCGDGTDSDSARDEAARAALVHLLPFKGPLNPAPPSSAPTQ >PPA02299 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:210160:211462:-1 gene:PPA02299 transcript:PPA02299 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLEEFLSGNGTLTCKEGEIFMCLDGIGTSVDSDHLHCHEELKRWKTSFETLNITQKIGCIKKMIPSPPDGDCPFFVNNECAHLDGFSVAGNVSVCPHGTNATGTVPSIILLVLLIREPGFLEVGFMNGSLCKNKNFTYNDNIHDDVYFIYDYNDDRSNDDHVDNNCCADHYNIDDYSTDNHDFDCFNFHAENRDHDNNSWNINKIGNGTVYGDR >PPA02388 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:572608:577318:-1 gene:PPA02388 transcript:PPA02388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tax-4 MTESARERNAGEGPGTNAVASRSSPLAANGGASNGGIDENHPEDERNGICSKLFCRRSNRVGDSYLPSNESGEQPSGTAAPMQTISEPAPEAPRIDKYILDKSSNLYYRWIVIVTLAFVYNLIFNIARTVFFDDLHFGYASIAWMFFDILTDGVYIADIVMKTRTGFLEQGLLVRDSRRIREQYMRSVEFRWDVLSMIPADYIFNFVRKKSSYPNVFRVCCVIIGINDTLVRRYVYSFYWSTLTLTTIGEVPGPVQNCEFVFVTCDLMCGVLIFATIVGNVGSMISNMSAARAEFQNKMDGVKQYMALRKVSPNLEDRVIKWFDYLWSNKQSLNDESVLKVLPDKLQAEIAMHVHFETLRKVRIFQDCESGLLAELVLKLQLQVFSPGDYICRKGDIGREMYIVKRGKLQVVSSEAEDATVFATLQEGSVFGELSILNIRGSKNGNRRTANVRSVGYTDLFVLNKNDLWIALKEYPDARRMLLLKGRELLRKDNLLDDDAPDEQASPEEIVDDLLQSINVLQTRVARLMAEKTSTEAKLNTRIEILERELVKYKKKRAAKQSEPRFARSHTLAADFDPHDLITPDRPGTSYMEPTDPHSKKNN >PPA02533 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1252119:1255064:-1 gene:PPA02533 transcript:PPA02533 gene_biotype:protein_coding transcript_biotype:protein_coding MISSEASTSDNPSTEKTEEFDMYGPAYLFSFYRYAEMYNWSEDCIQRFKIMEKDRQTYGPEVCRAFKRLILYYESMEQAINEYDERTAAEEKELDGEPIDTPADSNESPNSHIVPAEVNENIDKRANGEAMESNGVEEGEENYELMEEIVEEEEVTESMYEPVSSSSVVERGDNEIEREEDRDEGRMERAASSSHHDQPRNSFLSNGPSLRVPKMEPPENPFISPHTPPPIRPIRQATPPLVIKREPIYPSTALSNGVHSHSNGHNLSASLEMEKRMETADRLNALVNSFAFIPPSVQSPPTFSSPHSSSHSHSPSLSMIGQPSTSYSIQSRGIDDIPRYLSIDEFNNECNRIANEVQSHKKKKWKE >PPA02543 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1285911:1289639:1 gene:PPA02543 transcript:PPA02543 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQIQDIKDFLLKALQKGRQVRHHQEEQGERQVQAIGMFAQGQMKLPKAPDRPLVPYMRYSRKMWAKVRAENPDAQLWDISKIIGSMWKDVSEMEKHTYNQEYEIEKMEYEKAMKNFHNSNSYQQYQQQQKGGKAPQKMSRGRMDVGGVVIQPIEDDADNNELSARRVSAIRFDRNHRLITELLSTNIVNDTRTIVAQSRIELLKKQADSLIMHQKKLEKELTDMGEKFNEKKRGLETSSEEFADNLKKVCDEKVVVEPTKYEEMVEEWRGKLADAYDDYKTKVEDMEKKLASEREKMAEKTPVLYNLTIGEDEEKEKKKINVEKKKEVAKVEVKKENGSKEEEKMEVDEKINEDGIVAKKEEESTA >PPA02611 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1633687:1634374:1 gene:PPA02611 transcript:PPA02611 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSCPTWVANGFCTDDQYSTSFKRKNCCQSCANEIDPPPVTTCAALFNSLKSAGNLKPSTPSAAPSTILATVTRAYVKIGCTLTLTITNSTAGTTVVTSLTGNDTYEAVPYRGNVKAKWNRRKID >PPA02401 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:615003:624370:-1 gene:PPA02401 transcript:PPA02401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cnk-1 MKHRHYVHDLAAKVEGWSSEQIAAWIAGVCPNINTSLIDIHTGKHLMILDSDDLTSAGIKAFGKRKTLIQALGLLKYFCYEAPRENLETLCSGLSAACEQTRREMLSVQAQRQFATKRAQIVAILNKILSAVSYMVEHAKKLIFWLDRSPFVDIQEYVELRQRVLAYTKEIADFVNDKNPSNVFGRANYIAEKIGELGEICDWVCTGCDDPLIVSTGYLVETKITRTDANVPWGIDLQSSYLGVHVVSEVRVDTPADGGKIDAGDELVMVDQHTVIGWDLKSVAATLAAPSLQLVLLVRKRPLDSPSIMPKGANAPKKTVRPLKLAPGSLRPTVESTEESKKEEQLQMLQSPVGKTPEKSSATGTLNRRRSSTFVQNLIGMALMRTKRRASLGTMTTTKEEPASLSRPTHLTYDLLSVDTQGPHVVQRTRTMRHQANGYTRSFIDNKVVRDNNDEEDQLTYNVPCPKEFSEITVAAPNELKQLGVASDGAKVTDPEWCAPIQYTLRPYRAVQDSGMSSASHESGHATWDEASIVAQSPSAWSVRSSGSPLCVVSDSDLLDTGRTFEGWIRRRKTAEELRDAATNKWPKCWMRLVGSHLYLSENQNTKKPSFIFCLSECTVSSAPTLKTSKKFVFAVSRANFHFYFSCYSQSDLKSWVQKISAARHLACERAECLLRGAVSMPGTAISAATTPRAGTAPPPPGALLSPGAPGAPAVTLDAPTPCTPPDTPLSGNP >PPA02462 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:900449:902882:-1 gene:PPA02462 transcript:PPA02462 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPGVLLFVHKNRAQMLVKNAVRLSACTEKQVAVDGVCLDKVTIGALCKHESQCPTGSVCKGGVCDCPEGTHNINGVCEKGCPEDQISFDGKCVPKVAIGSDCLVTEQCQGGSHCGEESGSCECPEGHEEDDGVCIKKASRQATACPIPGQKPYFAPNSRQVRYCTPARAVCPRGFSCQFSQVAQRNICCGGEETTAPVKSRPSGKKVAKGTDSGKDVCDVGKPLLMAGEPRQCTSSPCPSGYKCSFSKKASGYFCCSKDATKTDGCPASTTALLFPATGTPVQCSASNACPNGYDCVKSTTNKRMQCCSAAKEEEENEEEEKEEVEEEKPKRREGPCPGGKVQVLRIIGEKIVKKCEDKCPPHQIAVRGVCRDIHRDAPVPVPMD >PPA02478 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:966865:968034:1 gene:PPA02478 transcript:PPA02478 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKLCYEKNNELENLEVVAKHSSGGSRKSSSAGLVPQLNRLRIQQCYKTAKPSMGELIMKRASASRPDFRGFLYNLEEDQLNQLSESTYVLITDAVENIERSEKVLAHATRYGESFVALCPLGFRPDLFSVLADAAIAECVRLDGGAHKRFVINVVHLFLNMLQQSYDNNPSRIPILIREDPVVDAVRTNDLRYPLTTVSIH >PPA02629 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1707382:1708184:1 gene:PPA02629 transcript:PPA02629 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTTKAVERKKKNAEAKKKALKAAQEGKEKEDKAYRDKEFGDLVTPQVKMDDGTTKDVKYLNRDEGRALVKELCEHKTEFCLMGAVEMTKDYILPAFWKKDAKWPKRAIYKRKCKK >PPA02433 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:724368:730437:1 gene:PPA02433 transcript:PPA02433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mat-3 MEASQKQAEAPFVGKEIVSDLHWLIRECDDRLIVDGTKWASEILCYLPAKWIDEAKSCKKFKIRSESISTRLSTVNRFSILARNLLVAKEFHRAEFYFEKARNMDSEYTFMYYWTRYLKAINEDLENEIESIERKAADLDDEEQLFLLYRDIQREDTNSFDCFMHYLKGLLQRSLHLREEAKRSFVASIQKDIRFWPSWNELATLVEDTDEVVSSISMASDTWMSGMFEMIALPRFHLFPSAVSKGDQLASRGLGTQPALLIANAICYNQMYEHDLAIDRFQQAHELDPFRVEEMNLFSDSLYIRMDRVRLASLAHSFFRTHKYSWETCCIVANYYSIRGEHEQSIRFLHRALRINPHSSASTWTLIGHEFMELKNNSAACLAYRKAIEKDPSDHRGWYGLGQLYDILKMHQYALYYYQKAHTCKPQDSRMLVALAEVYQRLSRNVDAEKCLIKAYKVGDVEGTALLHLAKHYEQLQLRENAACVYTKYIEEYKESTSTDINAPASLLFLSRYHADVADYDLASEYAQRCLEFEQVRHEAGSILRSIQQKQKLRRTSHRRSSPRGTAPTVTPRRLDLTNQTIAEDNHAEELMAVSDGEDDMASVELLKLVDPSLYYSKFIEGGVFPDGRAIDSFKAFSFKRGVVGGVCAGSALVRQGGVTVVCSLSASLGPISTEPMMTVEWEGASDENDTKHVESQL >PPA02593 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1516887:1519229:1 gene:PPA02593 transcript:PPA02593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ccdc-47 MRLLWLLSLALLIGVAFTTADDFNEFAEFEDDEPAVVGVKKEEETTTPTPTVTITKNAPKNKDTEFDVEEDFGLENPDVDDEVIDMSIPDSKDVPELNTDENIKAQPLKFADVPAHFRSNWGSYQVEAVVIFLLLVYLTNYLAGRAANQSIAFSWVDNHRDRLEEQFAVVGDDGLSETPSNGQLNRETDNLFSMWCSGRVGVSGCMVHIRTIKRQDLVSRIWGIFNKNYDRVTLTFDVDEGEMDSFVVAAGQRKSVTRLHKELLDLSQYTTEKKMAPGPSLPPSFSLFSESSEVASCLLDNAVLTLAKKYEDNIEYIHISDQYSGPKPPDGETLTRLPETRRVAVFSFILHNQSAEYDREAEDEMIQMAFYCVDKMRRFRLSREAKQKGDKRRQTAQELFQKSNHQARQEAAQARREEKTRERKQKLLEEEDPDKQRRLELLEYKKELKAKQPKMKQMKMK >PPA02465 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:919531:921703:-1 gene:PPA02465 transcript:PPA02465 gene_biotype:protein_coding transcript_biotype:protein_coding MQMISRELPRLRAILGAIQCIVWSESSEPFESHYTAPSEEFTRPALHNIEDSLQYLDLEQLAVSITLLGGWETAKLAVEAHFQLKFPSLPRSFGFPSAEELAPLPCVENLVENEAVRVLEESLAQMKMEKRESSTSSECESGTSYLKKDGRAKWWKTIRQRDEKKRARSRKTQKKELDSNGVLAASLEEFSRLSSTVSSSQNKSRSGSRNERSIKKLAPIGSKCVWEEKGKYPVFSFVPFILIIFFSSSDELEWTPFGGSAMTKNHEVKRGNTAKKEKDTRVFSTVSTAVKSSIWSGQKYSENTSTLECSSTTRCVMPLSSSWEAIAKIGLPFTLCSIDHSLFTHPLLILPSSFTSLPMPCCTSFRRRSLTTTTTTVVQPDGTYRSCFHSCLISSAMLDRLLPYR >PPA02508 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1129670:1131374:1 gene:PPA02508 transcript:PPA02508 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRPDSDDEDSYYPRSGGSSSWGGGGSKKDALNRQLGEFKKDRFVSGSSQSQQEFYGKQQIKEGDEETGGGKKLSEDERNKIGAKILKAEIKGDKEAVARLKRKLEGGGGSDDERPSSSRTKEVVMMKRDRAGNVMPARRKERDEDRHDTSSHSRVKREYGKDQDLNAMMKEEKTGTAEDQLRMFERALVSSSKIKRNDDDESIDDIAIMQKGKRKHEEKDRKREEKKMKKR >PPA02269 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:33465:34867:-1 gene:PPA02269 transcript:PPA02269 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVTDCGEEEIGVAIAAPPREGQANETLIEFMMQTLGVRRSEIDFDKGARSRTKVVVVSSDRVSRADVVERLKNAVGKCILLTLFF >PPA02554 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1345583:1349499:1 gene:PPA02554 transcript:PPA02554 gene_biotype:protein_coding transcript_biotype:protein_coding MYKFMSAEEMAVPAGIPVEQSISEIDAEIRMEDIRQSPAARLKMEHFHAAIKKNLMDKKLNYEAEHRKEILEGLKHMKRRLPADSGTSLIKKLENLAAIGAQIYYFGDEFPNDNEITQRINDNEWDELRMMIHSMLKAIPSSATKEMKHKLSRYRLDLERRLVGQWKNGRTATITQINKSSVGWPSPGTSIRPLRVFIYGEPGIEFEMKEKGVKVINPEKGEIPYGELVIVEDNKENFFSFDESKFSFPFVVKLELSSRWLLSSYTVAKLEDVAAKPASILQKTNLIRLMANCQKKDGDIELEGKELGRDIRFSLNGEDNETDVIIDSLLIENPENLETILLILHQQAVFNSSWESIVASCSIKPPPSSHSIQFEMSTLGCNWNIVFAGNGTVYNVRLSPSAKQHGLSRSVSLLL >PPA02381 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:551482:552468:1 gene:PPA02381 transcript:PPA02381 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFYCDYCDTFLTHDSPSKWMETQSQKLVDATASAFLRDRAMASTGHLPQMQPPMVGMRMGPPMGMHPAMGRGIH >PPA02262 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:9292:11115:-1 gene:PPA02262 transcript:PPA02262 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPVEPGKREYILSTMFDKSIGKIKHFVIVHSGERFILHSKSFRSIPELVKYFASTKKSLTGQMEVVIRRAIARSEWQLEHEEVQSTKKLGEGAFGEVHKGILKLRRCNKKIDVAIKLAKLDAMTKDQIQGFMKEARLMRDFDHPNVVRLYGVAATQEPLMLVMELASDGALDSYLKKHACTVQTKLTMILQAAWGIEYLHERPIIHRDLASRNCLYGDGKVKISDFGLSREGRAYVMHKKCRLPVRWLAPETLRQLQYSTKTDVWSYGRQRTIYNWLDYRISGIMCWEILNDGKEPYPGMTVAEVNVKVREGYRMPIDFLPGLDGDVINLISHRCWSEDKESRPEITVVARELERVTHTPRPESRDAIFGRYTITEGGQNSTDNGQNATYADASLF >PPA02525 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1205453:1207879:-1 gene:PPA02525 transcript:PPA02525 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYEEEERRRTKRNEGLVFSFDDDTIDRADSTEIFEGTRRNEIRSFSVDDYGQERVDSLTNRGENRNKTYSFSLDDSIEVRSNPFEKRDEERRNHRKDKRERKRSNDKNKESSSQHGGSSRTFSCLDDDDDVVAISPPRKEKSTVQGFRPLKISAAHRALHARITSPRESRSADTITSSPHASSSQHNEFNYISTTQFTPKLDEMNSLVKMINEYSNDEKHRIILVLHLEFENDDLELPRQLRNIDPFAVFIMKHKGETNLDEHMNCCDKVRKMGRNERAIIVYLTPNITPTLMKNNQLEKMGFKI >PPA02301 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:212708:213878:1 gene:PPA02301 transcript:PPA02301 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFEERPSESREASPMDIAIIPAPQPIETMIDRLIEDMLYLETSHQKLRRSQYNPSFPPPQSVEWCLLGPSRMGIDFGARDACPQPTASLANAFRAYGGAIALSHRLPFSMWYVASADIQAYTIEWLKTLSFFPTLRECEKFLLARNVTQAVAYLTAAFDSYERTHSDVTIYPDGTMLTQGQHLKESTVEHNKWFGIISRLKAIGMDKREYVLLKAIMACDPCPDIYCRS >PPA02328 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:329440:330425:-1 gene:PPA02328 transcript:PPA02328 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFSASLLCFALFSGSTAQFFAAQSPFFGRYYATAGRAILAPVAPMLAPPVLAAPAFAPPPLVAAAPAFAPPPVVAPAPAFVPPPPVVAAAPAPVLAAAPAFVPPVVAAAPLIRPAFAPPVVAPVPAFAPAPILAAPAPVAYAPAFAPFASPAYFIGSNKGKKAE >PPA02602 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1564006:1573911:-1 gene:PPA02602 transcript:PPA02602 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mup-4 MLINECSKPSTHSCHKNARCIDQTEGYTCECHNGFSDVSPDRVNKPGRLCQRTADECSQRQTYKVDCDENASCVDTPDGFTCVCQPGYTDISTSISKNPGRKCVPVVNECTDGSADCSSDAVCMDRADGYECRCRPGFVDASPQVDKYPGRVCNRPHDPAYYGYNAAPTPQCRDGSSCAANEECKGGECRCVLGAQKEANGVCKVFSHCERGGNECDHNAICSNTYNGVSCTCKAGFKDISPDPVNIPGRKCSALVNECADDTADCSPLSDCTDLPDGYMCRCKAGTTDVSSRYGLKPGRRCSEGSNACSDKSLNSCDDNADCVQLPDGYTCKCFSNYVDVSSNAMLPPGRSPSLFLLTHPLLFSPAQPTDLVFLVDGSGSIGSYVFQNEVLRFLSEFTELFDISPQKTRVSVVQYSDQIRHEFSLGDYANSRNVHDAIRNIEYDNVTIPSNNARMQNIQMFAVGVTNHVLDTELEQIGGDKSRTFHVNAFEDLNTRLRSLIQKEACPHDTPTPYTGPCDPSTHNGCDRSLNQVCIVKDGRFSCGCPKGFEIHPVTQVCGGDICNPEISSSCPYPEKTPFGNWRCSCAEEPHPFTDKQKDACDPSNPSSCGPNSICERSPSGEAVCKCNAGYVLESKSDKCLPPGSCDPNSPDACDLRKREKCLPTREGIFTCQCEMNYRRHPVTEICLIDECAAGTHDCDVNAVCTDTDESYLCSCKTGFIDKSPDTRFKPGRVCSAQRNECMDGSHNCSMNAICIDLAEGFLCRCKDDFVDVSPNPSNFGGVECRPLINECASKEANTCHENALCIDTRDSYKCQCKEGFVDRDELINPGRNCQKLNSLCDTGKNTCSKNARCIERGASDYECVCLAGFLDTDPSRQGRHCVERICLDPSKHDCHAAATCTEVDGTEKYQCACRDGYIDKNPSKPGRECKELVNECFDSSLNDCDPLATCIDMDDGYTCSCPLGTKDISTDKARPGRHCFALVNECHNPHLNNCSRFADCFDKEDGYDCKCKTEYHDETPSTPGINCKFILNECLSPNLNDCSKHAECIDTPEGYNCKCKHPYADQRPDKPGRLCTFDECASSSTNDCHADAVCLDTDDGFVCTCNDGFYDESTDPTKAGRKCKALEINKPMAEPTTIDPNRFPCGKNMCRKDLGEVCVGGSKCACRPGEGRASPMDKCEAVVEVPIVVRINEKDNEHLSFSSDYGNPNSAHYVEVLDGFVKGVDETLKKTEISPKYISSDINYITNPKVENSTWNDGLLINGTILLKQDEDVCKVFKDFADQAQRQGGRIGNLQLADDFTLLDPCKTYTQLGEPCGNSFCRAELGEECIAGRLCGCPKGMKRSGPDAICRVVESWSLPLVVIRDGANKLNYSPNLGNPSDSIHKDLASRFEDGIAESYNKTILKPNFVSAELNDLSMPSRLNGSWKDGVLFNFTANFVRGAVAEPKTVWSELIEYITRRNNYEVGTSKLFISPNQMNPFSACYKADCHPNAICTEDGRGAYTCACPSDFRDMNPTKPGRDCLSIRGTNECEKPELNECSADARCIDLEYLYKCECIAPFVNAATSGQIPGSVCALDYCSDVNFCPANSTCRNFEEQAQCQCNPGFVDVRKAPRIAEAKLGDAICLRTTDVDECALGLHNCSAAAICTDKKIGYDCRCPEGYTDGNPNEPGRVCAALLCGMCNGHGDCIHDALTHNVTCACVEGWTGEFCEVAPSKLPLILMLILALLFLLLTLLCCLLACTRCRCFGGRASSGASGSGQEILGSDYYTIPRAKLKGAYGDAGAFDDGASMSSGGSMEEVERRITTDVTTREIRTTTYTDEQGNTHVTQEHFVTDGPMAAAMARSAASSGHALEQEVDQFAMTSSDHYAHAIAGGASTSAVHNAAFDSDDDENRGDATFDRTTRVMTLARALREDEMR >PPA02370 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:502173:504629:1 gene:PPA02370 transcript:PPA02370 gene_biotype:protein_coding transcript_biotype:protein_coding MATFIVESVLHSAHIRDARSIVNHAGFFGQATKEISMCLTSLLVPIIFNYWALVLGTFLLCIFTASFLVINNFLYFTVNILAGLAFSLLFTGISTYQMQFSTKETLARNSARVSAIAGISLLIGASLYMVISSTDSSSAQHEQADSYRYYSEGETRFMYGALTASLLLSFVLHCFLPDREVKNSVTSNSPHEKMTIRQQANYPQLTAYYAYAMFFGTTACEIADFRNTLVIFICLLTVPNWATAHPTHAGSIIEPNLFCVVVVSILLGLVDSTLAAVNTVYCSRVMPGRASHTYAVGRFYIGTSAAIVFFCSPTLSMIHHSMIQLTFIIMSIFAFIGTANRIDRLEKSIEIPETSSMGEAEHSFTTINNESR >PPA02364 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:480667:481689:1 gene:PPA02364 transcript:PPA02364 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGAILLWLAAAVYGYTIVRTTGNRSTGIDGMQLPLFTVQLDAGETLTDAQKVEAYQAWYKATTDYLTSTGNDSQAAVRDAAFCYSGPALYPPATDTLPPTPTCDDFIMVYNNTVNETTDFLNQLKNATLLDKLVMKTSIGIDEQWPIRYSPAATGGETASTAGILSW >PPA02298 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:207287:207688:-1 gene:PPA02298 transcript:PPA02298 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKNPAQYSIGRIRAFYARKIKFLQQTLHDKLTQIIDEFPKMEGIRARRGSDCEGTN >PPA02528 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1238786:1239181:1 gene:PPA02528 transcript:PPA02528 gene_biotype:protein_coding transcript_biotype:protein_coding MKYEETRFLCQKGVMRKREDKRISLQGLNGFAGESGHPGAPGPDGLEGPVGPAGMVGAEGDFGDVGPKGLRGDRGPPGIVMASFIHRWILAI >PPA02586 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1483120:1484439:1 gene:PPA02586 transcript:PPA02586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pxl-1 MWHPEHYLCCECGEELGHRNFFERSGKAYCEDDYHKLFSPRCAACNGAIRDRCVTALGKQFHIEHFTCDECGREFGDDGFHEKV >PPA02367 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:492871:494019:-1 gene:PPA02367 transcript:PPA02367 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTYDEEFIKAVKLINESSVLLFTSTQDKLSIVREAVLPVFLLILSSDAALPKRRPRLPTCLERLGLDVEEIRLAICIILSSLGNRVPSLSFASSLLPIYKLWLLRHGVAFGCIEKAQKCLVQVDSLLTIHVDRVSHLLSKPIKEMLDGIVIPSVPTDPFMTLPAV >PPA02501 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1106605:1107078:1 gene:PPA02501 transcript:PPA02501 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIGSLAYRFVTRRFSTLLIASTAGAFTLNYTLNKATDLYWDTVNKGKQWKEVKLTIQ >PPA02273 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:55234:60388:-1 gene:PPA02273 transcript:PPA02273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-182 MEEPNVVSNSSTSQASRPRRNRQPKKPKTPADTVENTPESRGDMQQQQQQPAADDARVHQSNREFRGRGRGGRGAASERGGRGRGGRGGGQRQPSFAPHQQFGNEFPQEINGMMHYGRPPPPMMNQMPPPMSESHFESMVDRVNALTVQWEGGQRGGRGAGGGGRGRGENRGGRGGRGGGGPRRYDHQVDYDGRSTSSYASYDQQPMQQGGRREEMQQMQQRNDRRRNSPQRNRRQPRRPQPVTEEDSSKSLRERLTDQLVQNTYECMICCQKIGPKQSIWSCGGCFHMFHMTSACIIEWARTSAADDGSWKCPYCSMSNVRVTKWTYSCFCGKITHPQYNFGDTPHSCGDLCGGKRGPGCPHACTELCHPGPCPECPAIANKNCDCGRESKSVRCGTLASYKCESVCDKVLGCGMHSCKETCHTGGCPPCRETVERECHCGRSSRSTPCSSDDVSFSCAAPCEGNYDCGKHPCQLKCHSGPCGPCPLDPSRVAHCPCGKQKLSLLMGGDRRKACTDAVPTCQQICDKVLSCGAAARRHRCRVPCHEGPCPPCPNNSSLACTCGGTKQSLPCEQFLQMTGGGAEEFHCEKRCKRIRSCGLHKCGEKCCTIEEHLCTQLWIL >PPA02374 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:523075:526800:-1 gene:PPA02374 transcript:PPA02374 gene_biotype:protein_coding transcript_biotype:protein_coding MERHDTSRFYEDSDGPSLSSKTEDEESLKFGFGCISFVQQLAVNALFPVGLSTLERQFSLTSTHTGIISSWYDFAVLLVVFPICHFGRTAHKGRWIGLGGLVMAAGSLVCALPHFIIDPYDPSHEHLKNSTDVGQCDALLDPLRQAEASQCPAVPSKLDVFANYNNKYFLLFLLGQSLHGFGSTPLFSIGTAYIDENVSQTASPLYLGVSGQWIGLLSLSQSQWLEKSPVPLVPDRTVGGVARRLNPAVGRKRSLACAGVFRVLESSKLETIHGVISSFGPVIGLFSGGFLLRFYVDFDRVENVPLDSMDPRWIGAWWVGFVGAGITMLFASLPILGFARELPEAKAHRLKDVNQANAASQEAAKKLENEEHSLGATIKIVWAMLHNQTFIILMIIGIAESCATLSLTFFKEIPS >PPA02416 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:674854:676504:-1 gene:PPA02416 transcript:PPA02416 gene_biotype:protein_coding transcript_biotype:protein_coding MISPHYHDPSVFHRFIAYCSDQAHSSVEKGVMLSGVKLRKIKSVIDPNLGKFTVTGEALEMAIKEDRARGLIPFVFVASMGSTNTCGVDFLTEIGPICNREGIWLHVDAAYAGAFLLCEEYRYMREGVEMVDSFNFNAHKAMHVNFDCSPMWFKDGRRATKYFSVEELYLKHTEDHETPEYWHLQTALGSRFRSLKLWFTLRSLGVKIIQEHLRWLNDRAMLFASLIESDDDFELFVPQHLELGGNAATEALLSAVNVDNRIHIVPASVDGTFFLRLAVCTTRTTDEDIRFSFSILKELAQPILKAL >PPA02580 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1456538:1458327:1 gene:PPA02580 transcript:PPA02580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sbds-1 MSGRGNIKTPTNQKLLTNVAVVRLKKCGNRFEIACYKNKVVNWRNKTEKDIDEVLQSHSVFSNVSKGQLAKKEDLKAAFGTEDALQCCLAILEKGDLQVSDKERQAASDSAFKEVSQMLASMVVNPETKRPIPPSVIDKALHEIHFALRPGRNAKQQALEVLPRLKETMNIERAKMRVRVSVTGKNIDARLKPLFTTIEVDNYDEAGLELVGLLEPGQFRGLEEMVKKETKGEGRVEILSLKDVNEGELEIA >PPA02321 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:298296:300669:1 gene:PPA02321 transcript:PPA02321 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMAEDDVRSREEEHAKAIEEWSDIELKYKDGPPRPDQEEESSKMGGAANGVTHNDDVVVEEIFRNDLWRRIFDMNYDNARADAKKILLAAKGPDSGAYSSYPFSGLLFLRRCVCRPTCDDVETVKSDRLRGQRELLVALGNTPFSEASIEHWHLLCDFYRKAAAAATNTPGLSPPCPRTGSHWQTVGFQGTDPSTDLRGVGILGLLQLHYLVTEWGLPDDQLTTILQLSQDPQQNFPFAVVGLNFTSLIVTKLRSGELNGLANVNNSFLETINGIYRGCYIAFYRDWKSTAKSIIDFQYALSKIEKALTRDPSALFNYKWVDFPPDSPRDSLPPVLQKQNGESQGVTAQ >PPA02505 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1115777:1116562:-1 gene:PPA02505 transcript:PPA02505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acs-19 MSYPIGGYGKVAEAAVVAAPHDIKGSFPYAFVTLNQGERLTPSLVAELKQLARTKIGPIAVPDIIQQAPGLPKTRSGKVTRRILRKIAEGFDNGLGDTTTLIDESVITQLIAGRPSNAVG >PPA02427 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:703221:703542:-1 gene:PPA02427 transcript:PPA02427 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIHDTCLSKWVAMANNPTTCEICKESYAKSGSVYRPLKEWSRPEIETTDLLSLCVLCGMIYSVYYIGMLFQERHWN >PPA02421 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:686524:687019:1 gene:PPA02421 transcript:PPA02421 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSTVVFLLAASLINAALTPEEEKCVPPLSAKLETETDAALKGIIGTGLGKYTEGKVEETKAIVKEMNAEQLARFDSYYLVDECALFRAMFGFSK >PPA02635 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1741352:1743165:-1 gene:PPA02635 transcript:PPA02635 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSPVDRDDEFLLAPKSPSNNQIEKKRMEIMKRRLIETHDEVFLPRSPSSIKKEPERMEIVKRRMVDSQREMDRWPMARRDFKVEDPDGADANSIRKTANTNVVVPSVPSTSTSILFRCPLSAMGKCNEMWSTKEECYYHVQLCHHDFALPFACKECTLSFAYKHELREHNEIRHSPPPPIRSSLYLHKLYFPKNVICPHCSFSSQSARLFLTHHSAEHPSCALPHISCNDINCRSRFKFLTISQVIYHYGAS >PPA02412 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:658068:659140:-1 gene:PPA02412 transcript:PPA02412 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSTYIIYVFLCREAQNRCGEEDKKLIPTFFNTSMTNLRDEIAYNLGYGEVVRTNNDDLLKKISNEMWFRIFSKKKKLGLAIISNCYTPSKREYYLKYARITLRRNYSIDYKRT >PPA02522 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1187752:1190253:1 gene:PPA02522 transcript:PPA02522 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acy-1 MLFFTVMSAQYQRFYMPTSFLCTFLICVLTLVVLSDVTNPLMSPVGTFVTSIQSDKLITQVVLLIYTVIPLPLYLCIVISAVYSTLFELLSISRDMFIHLPYVRLALHIGVHMLGVHLFILTQVRQRKTFLKIAQSLMARKDLELETQFKDHMIQSVMPKKVADELLKDANELRRPSTSLDSTCRTSNATQTLNPDDPPDRLPPSVPNVRKFRPFTMNLMTDVSILFADICGFTKMSSNKSADELVNLLNDLFGRFDNLCRSCDMEKISTLGDCYYCVAGCPEPSPDHAMRCVEMGLQMVIAIRQFDIDRGQDVNMRVGIHTGKVMCGMVGTRRFKFDVFSNDVNLANEMESTGIAGRVHISESTVGFLDGAYILEDGPPHKGMKTYFIKSRANETNANQNNDDSGEQIFSFQTNP >PPA02454 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:877803:879457:1 gene:PPA02454 transcript:PPA02454 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSPSTDAQRTARHALSMIARARAPAFIASLSMEVARYNSAAQHQTIQSVPNGPLLKSRHEVLRIIEELSTTNYTDVVDYMLPLGDLLVHCLDATLLKHRSLNDIFPPMSKFSMVSYCASSRRIAFGGKNGTVVVHDLRATRTQNLHAHSCPVSACAFSEDGKMLATYGGDEGKIHLWQTTQSFLGMGQSSLKCVKTQPAPVLERTGGEVAKARIRYNCKRL >PPA02405 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:639590:641686:1 gene:PPA02405 transcript:PPA02405 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKNKCLDLSSSPYVRPFDVDQRLFTTPVELTAKSGEKFNIDAVFQDTLPGGSTRGTVVAMHGSPGSHKDFKYITPILEEKGLRVVGVNFGGFGISSDSRHLRQTNVERSEFVESILGRLNLNENVLFLAHSRGCETALRLSVRNQDKCIGMALINPAGFSVHRAIRPLAGSVKMIRRCIEQYPRFHPVMEKFAFHFYQRVLRLRLSHGRVAFAAIKSMSMSDLWRQKELVEEINKNDRIRSLICYSGKDHLIEASISREFTAAFEKRLPLTLRKNDSEEELTDQIVNAFADHQQRITVEFSDDNHFAQKKRAKLIAASVDSMFTAVENS >PPA02343 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:392944:393469:1 gene:PPA02343 transcript:PPA02343 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSPLPLLLLMLPLPVFRVTMCIALCRGFVQQAVCSGCLRVATNTEEVLEHLQTCKKPLTLERRR >PPA02558 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1367671:1368213:1 gene:PPA02558 transcript:PPA02558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-grsp-3 MNWNSPFGEGAPRGGYSDQGRGGWNQRGGGGGGWNSGGGGGWNGGERGGGWNNGGNGGFGRGGGGWRGGDRGGGGWRGNDRGGGGWRGDRGGGGGGWRGDRGGGRGFHRGGGSGGLPKSGGEAFNARDYVIPQMTTNPWERLEREHQWKKQNE >PPA02285 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:136376:137255:-1 gene:PPA02285 transcript:PPA02285 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIITVDRTSAASSTVDGLREINATFVHAEIADSTDIDAKPPHYTVADLMRKMGHKHIEFLKIDIEGAEVTVLPHFLNSNSVCQIMVEIHKVEDTPKLLRTIANAGFLLMKYEINPHGAAVGNCMAKYEASLLASFLKA >PPA02398 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:611068:611933:1 gene:PPA02398 transcript:PPA02398 gene_biotype:protein_coding transcript_biotype:protein_coding MVADDHSTDPEALFPRHRLNPRLEYMPVFRDTNRVDLRPFPDIEYNTPEAENVMAHLMGVAQITLIIVLGITWYHARFYHREQQVILYRRFAFGENEQKEKRSRSSRSRGNTSGGSKDTTDEDKDWLTTSWDWFCKTVTFKKKGTQPDGTTTRTWLSYFQRSTSKTTKNG >PPA02424 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:695146:696645:1 gene:PPA02424 transcript:PPA02424 gene_biotype:protein_coding transcript_biotype:protein_coding MRVITIIYTAYGSISLILYLIVFIIINRLGTILSGPFSKLILLHSIVNLGAFWYQIGFCSILLIICTVLNGFSIMKLRKLGESKEIRETERSFFFVALCTFVAECANLFMLTGKQISQSYGYMNLWLAFNVGSPYVTDVCSLGLPYYLLLVKNSPSSSLCTLTAQLPSITEADTGLAKVLVNVTTDQSDSACAKSSVLRKIDQSLLCCLVDLNFLQTRQAEDRLD >PPA02625 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1687139:1688677:-1 gene:PPA02625 transcript:PPA02625 gene_biotype:protein_coding transcript_biotype:protein_coding MASAHLWSKTALQTAAALFGSGAIVYSVSNNAVMKADVDKETLNKIDNAYKKLQGDSKCKSLLKKHLTKDVVDKCKGKKTKLGATLYDCISSGVENLDAGVGVYAPDAEAYKTFAPLFDKIIEDYHGFSPKQKQPATDLGEGKTKEFPPLDPEGKYIKSTRIRCGRSLKGYPFNPLLKQDDYLAMEGKVKEAFAGFKEKDLKGSYYPLDGMTKETQKKLIADHFLFKEGDRHLQAANACNFWPKGRGIFHNNDKTFLIWVNEEDHMRIISMQEGSDVGAVLDRLIRGVKGIESRVPFSRDDRLGWLTFCPTNLGSTVRASVHIKLPKMAARKDFNDICEKLNLQVRGIHGEHSESEGGVFDISNKARLGLSEYQAVKQMYDGVKKLIEMEKKEK >PPA02621 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1668705:1670259:1 gene:PPA02621 transcript:PPA02621 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFHLAILGCGELSFEKYAMKDLPSRGINHIVSVDIDEEPLSCGLKYMSRYLDHNEEYTLKRNYFPIHHEVFKGDIMTPTPVLSNVDIVISTEVIEHMPLEKATELLKCVLEKIKPQKFILSTPNFEYNVAFNKQTSFRHDDHHFEFTRAEFKEWLNDNVHPPYSYNIVYVGQLDGYQELEGATQFAEITRDDGVSGTVITENEGKYEKVADYIYRASYYRLFEAIVVGSFKEFLNHYPFDKQQLTSVGNLKFWRIPLSRIVQYRARPTIEIEEEGAMLMITRLFSLVSYNSVDPETDRPAISISATTDKNEVIRTVSKTFG >PPA02510 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1139860:1142097:1 gene:PPA02510 transcript:PPA02510 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHSLLEKSLEDCALCIESRKLNKQCIVAVGLTTYLCTAPWEGLDDEHLLIIPQAHCASSLQLDENVYDEMRLWRRGLVAMWKAAGRDCVFMEMAQDVGANKHMFIECVPLEAELGDVAPVYFKASKAINECEGEYTDNKKLIELRNGYAHVIENREAFPPTFATEILAGMMDLPPNRWRKRKELQFEDMKKRTTDVKEKWEDFDWTKRIKRDE >PPA02392 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:594341:595156:1 gene:PPA02392 transcript:PPA02392 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLSELRGTRINGDASILDDLDYLEIQVRANALCFSMLDHRGKEKGDWQRKCLQVSVNGGQLEWKEISKFPYSEKVESFPFSPHTFKVKDDTLLVRGLHQSNDDNPIKKIRLPCATEDVHKVFFKCNLMF >PPA02606 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1601281:1602616:-1 gene:PPA02606 transcript:PPA02606 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIPLLLAALAAAAHADVFSCGGFVKSDVPIDFSKIKVKLLTPEGHLRHEESVNAANGYYMIPVYTKGSYSIRVSAPEGWFFEPSTFDFKLDGATDKCSLEEDINFALSAFSIDGAVLSQNGQGPTGLTLELLKDGSAIASTTTSEGGNYRFQAPPGKYTVSTEKGADVCISHASSHVEVKSSPVKVEPPLKISGYPVSIKVSSPNGSLKDAEIALFSSVDPKLPSCRSVIASDKAVEGSKFVCILGNTDSKGEVHVACIAPGSYHIKPVSEGLTFSQVIRYT >PPA02359 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:461138:461364:-1 gene:PPA02359 transcript:PPA02359 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPVKDEETQSMATAAMLWPPLPTTAAAAAAAFPPFVICRSASETRKFHVSGPTVEWDE >PPA02327 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:326617:327460:1 gene:PPA02327 transcript:PPA02327 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFSASLLCFALFSGSTAQFFAAQSPFFGRYYATAGRAILAPAAPMLAPPVLAAPAFAPPPLVAAAPAFAPPPVVAAAPAFVPPVVAAAPLIRPAFAPPVVAPVPAFAPAPILAAPAPVAYAPAFAPFASPAYFIGSNKGKKTE >PPA02456 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:883868:886122:-1 gene:PPA02456 transcript:PPA02456 gene_biotype:protein_coding transcript_biotype:protein_coding MTALTMPYYGAHHVSLLPALILTQAVGTEETLTGKGSGMEDEIPHDVVPNPKIDAVELMKHQTEVVRNAIDLFFMQLSDFEKEVEGSGESISNDGSGETIDSDGSGEEMERSGESSGEEPIEGSGISPADTPAAAPADTPAAAPADTPAAAPADTPAAAPADTPATAPIDTPAAAPTDTPAASPADTPAAAPADTPAAAPADTPAAAPADTPAAAPADTPAAAPADTHAAAPADTPAAAPADTPAAAPADTPAASPADTPAAAPADTPAAAPADTPAASPADTPAAAPADTPAAAPADTPAASPADTPAAAPADTPAAAPADTTATIPATTSASSSIVASLLLLPTLAIFH >PPA02536 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1272221:1272612:-1 gene:PPA02536 transcript:PPA02536 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLNTKEDELFDYISTGKIDEAKKLISSGEVSVDCEDKEGMTPLSSAAFKGLDDFAKFLIAAGADVNTKAHKHGYTPLMFAALAGE >PPA02482 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:984454:987054:-1 gene:PPA02482 transcript:PPA02482 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVVKELENMTGLKQQERNKEDKGDKTTFTNAPAGPTGDPSEYGASAVGSDSVDKGKTSSQYDSTPPVGGATAPSMAEASGDEDFAMDDAMGQNTTTEEGPISSLESGYLVNKFDRPLPVKEDLVVFVDGGRTKIGYVSKVGFKDDRQAALSDCRAGTYFNVDDIPSLKPSQVAGCEAITIERCFSVGETVMAFKNKHEGTKQACNRCHSMTMIGQIIAVRTTADVLVLPEKSTILKDVPIENNYKMLEMMNYNSSHNYVLYKDWIGDITEMDNEVVCLYYKQRVRILETSKQESYFRRKGDHRRSNKSYIPGETVYVELHHLVGNMLNGKGGEAPSGLKRKANKSYYSGAQKMRLVVEKVNIHVTIKLKN >PPA02502 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1107272:1107823:-1 gene:PPA02502 transcript:PPA02502 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDAAMAIKPILIVSIGLEAVICLIVVILCIFLFLAIKKSNVEIQKASDELPKVLDSLAYAELPMPVICAMTTYFKIDIKDVVKAYLKDAGERRKIVLDAFQMDKVTKNFLETYESKFVAHGYIGEQLLKGLGC >PPA02355 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:423923:441423:-1 gene:PPA02355 transcript:PPA02355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cyk-3 MGNRIYSAGGGSEPPISLAQARAHLSNDEFVRLQNAFGRLKKSQLNLDDFSRHVLGGANVPDDAKKTLFRFFSNGSDGISFDNLICALVGISRSEYAQEQFIENHTEFATWGLRPPLLTIPINDAYINFYEVMSYVTHLSVSEVQDLERVFLRYSTGGVMLVQKEWEAAAAGGCFPQMFTSRLLRVFDDNCDGEVDFREMVCGVSAMCRGPLPSRFTFLASIWDEDGDGHLNETELAKMYEEMKVPPSDRSVAASGHGGKSAAPVDVAQWAITHSNYVKELYTWAQEIGHICFGLRPETPAIELEVVRGFVHRMDRMGASLPTECNILSAVWYREWETRLTRAAAAPPMEKCEQPPPIDNSPLLANVDPFRAAAKLSCISPENACLRRDLTNAAPQTMVVSVIHQFRGVAYTTDFFIIDFCALKLLKEWLAIPRVLWSALVRWHGLMRPSDEIVRRRLPHGRCPPEAVAEYRVVEGGEADDLEMHPVYVRFDRRPRFSTTGSVNGASGGETREPNPWAYAQSCSCMSLHVLKQQPLQSSPCQISRFGRISDLLHYCSKELKVQEQDLRLWLLTSASNASLPSSPSTLSVASAVSSSTSPAAAAAGGGTNTSPAPSLSNGGNNSPSSASPSGAPALLDDPRARVYDVTASGGDGEPIRMLLEQRDRSTGVWPEEARFARSGASIAQSSLRGPAVGLINYGNFCYRNAAVQCLARVEPLTRKLIEKETELKQALARRPSSNRKDYKAALQYIQLVREMRTTGKKNISPVSFNDAIRETRAFDGALQHDCEEFVSFMLQQLHELLKEPPVEQQQPLPSKTSGEKKKEKEEEEDDEDVEDAEAAAKAWRNHIAKDSSLITTLFSGQLRSELVCKECSKRSACFDPFSALPVPIGFENVELFEFVIVLRDGSRPIKHGLRLPRDATTRALADYAHERTGVAASRFRLYRLSHQNKKVFAIVPLSEPLGVATHSTLLYLMEGDDQSISAVHRRMQHNQEPYLLGAASGHLVMLFGVPIMVKSEVAGPVTGRKLYDDVWRQLKRFISTPPPSFASRALDPCEDISAGFPFSLCLVDESLEWCATCPPLRFCRGCALRPDDSSPFPVATSSSSPFATPNGIPPGAILAVDWQPIALYLKYNHSRSEAYSEDPSISAARDAHIRPSSLDNCLRKFAAYETLDDLMQCKRCKKKTKWDKHMDIWKLPRYLIVQLKRFEYIREEGRKAKCKRVIDFPLSSFDASPYVKGGRGETERREEHQVYDCIALANHYGDLDSGHFIAYARSGEEREDGEQGWLLLNDCTVREVSESDIDKKGAYLLFYERRRRHSMVGGGGDSEDDVDEMTETARAKQMAEMAEAEAKAAAEAEKAAAAASADKKKTTKK >PPA02584 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1466383:1468134:-1 gene:PPA02584 transcript:PPA02584 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPSAETFGTPSKTPNSSIPVAADASDDKTIFELPIVSVQTAKPQHKKEHLQWRDDKETHRAQSKKRSPSTVSRKRKSGVNSDEDHDSKKSKDSKEEIEDESNVKSDVERRQKKKEYSDEKKKKEDEDRKKKEDEDKKRENDKKKAEEEERERKKKEERKIEEQKKAEELKKADELKRKEEEKKKEEERKKEEQKKEEKKREEERKKEEERKKEEDAIVLEAKKAEEDRMKRDQEKKKKEDEEIKKKEEQKKKDEEKKAKEVKELSGKSKTSKKERKPDSKEIELPKEVKKEVVESSPSLNPFSFIIKIFIDGFLAILSLFTIVSPPSPPKETQTGKSTEKGSDSTIPSTTQSQTTTGSTSTTQ >PPA02396 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:607753:609393:1 gene:PPA02396 transcript:PPA02396 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAIVTVSDSATLGIRDDTTGPLLKQLALDEFQAEVETALVPDEKLLIESALTDLCDRNDVIITTGGTGLAPRDVTPEATLAVIDKRCGGIETALHVYGLQKTPMAALSRLVVGVRFKTLIVNLPGSKGGVKDGWEVLRPLLPHAVKLIRNEDDGSFHAKMACCLNASSSAPPTKEDFQQID >PPA02487 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1050138:1050670:-1 gene:PPA02487 transcript:PPA02487 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLPLVPSSSDVPHAATAASPAARLLSTLRDREEERARRLGGNAAASRSFPERATGYCGRRERDRQAGWIVAVASTRLRVHADTSSQAVAILDEVLARKGVPCK >PPA02624 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1683620:1685738:1 gene:PPA02624 transcript:PPA02624 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGVFIVGAKRTAFGTFGGKLKNHSATDLGVVASKAALAEAGLKAEQVDHTIFGNVVASSKDGIYLTRHIGLKTGVPVEAGALTVNRLCGSGFQAVANAAQQIKLGESKIVIAGGAENMSMVPFAVRNVRFGTALGSKYEFEDMLWESLSDPFAKLAMGQTAEKLGAQYKVTRAEADAFALRSQSLWKEANEKGIYKREIAPMTVKGRKGEETFEVDEHPRPTTTVESLAKLKPVFQKDGLVNAGNASGISDGAAALVVAGEEAVKANNLKPLARVVAYAAVGCDPTIMGIGPAPAIRSVLKETGLKLEDIDIFEVNEAFAPQALAVQRDLGIPIEKLNVNGGAIALGHPLGASGARITTHLTHELQRTQKKYAIGSACIGGGQGIAVLLERC >PPA02309 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:230791:231916:-1 gene:PPA02309 transcript:PPA02309 gene_biotype:protein_coding transcript_biotype:protein_coding MAENVLQTIDKGARSDEAGRPAAGLREQGDDGGGARLRIARLEETRSDGGIGRELLGEIAYNEEKSATARVDHSIDSAPFEATPPQTLRPRPHLVA >PPA02302 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:213929:214275:1 gene:PPA02302 transcript:PPA02302 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKYREKYAISLMSYVMASRGPDKGPYAYSQMLSLINWQKAAIAKVKNTYFLLSALKIFTSSHTQFLDEVNSF >PPA02612 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1636277:1636912:-1 gene:PPA02612 transcript:PPA02612 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRLTLLAPLLIVFVNGQCTTGRTDHFRCSIWVATGFCNQTFYSDAQKQQYCGTACALCPTTTCGAVYRGTTVTVQNVQAAADAVVAIPAGTGPLTRVFLLPTCSMALFTDAAGTTAAVGSPVGPGTGTFITLTGATTTAVSMRCTCGGAGK >PPA02608 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1613254:1614063:1 gene:PPA02608 transcript:PPA02608 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRFGTVINLIPGFRLKTSPRLNVYHIAIDDDERVDLAPFWTTVFKAIDEERKKRGKLLLLCGMGISRSATFAIGYLMCIEKLTLHDAYKHVQHRRSVVCPNIGFFRQMIELEEKMFSRRTVNLIEPMKGIIVADVVWNELYDEMMEGMSEEERILLKRAKK >PPA02448 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:843489:844875:1 gene:PPA02448 transcript:PPA02448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-arx-6 MRLLLVAVCLWATVSADGLYSVEGTVVYPRGDSSLPANTRILLNYGKYVGFPREDGTFSVNGVAPGSYIVQVENVDYVFEPVRVDITASGKMRTRKIITMQPGAVHQLPYPLKLQARESTKYFRKREEWRVTDVLFSPMVLMIALPLGIMLILPKLTANDPELQKEMENMQLPKMDMPDMSDMMANFFGPKTTGTKKITGGKTGNAGQKKKN >PPA02442 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:819695:825052:1 gene:PPA02442 transcript:PPA02442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-glr-2 MWIGWLLLLLLAAAADAAAKIPLRAFIDNNTKIGTTEAVHAMLHLAQFTVNSRPDEVDVLLGAALIPMSPEAEQLDKSTIFWNLRTSVCDHLRSGFLMMLAGSRTFTYSVYHSIANAMRVPFIDWEVSNTRPGDRFEPANKSGPFPPPFTYSVYPPVEHLLLDYIAYKGWEEVVYVHDGDNADRTLLALYTLLDQRQFGESRMELKVEPIRAPEDEMMFKSFLSSFHRSRSETKKFEMNYTLMADGTIIMETPSPKPHNIIVDLEGADRIGAFLKALEESVLVTRYFHYVFANFLIEDSDLHGLRHMLINVTLFKIFDPNAEPFKKRKKLYEDTFRAGKPTTMEQIPPVAAFAHDALLVTHAAAKLVAEEYGVGMFKNLWSPSDGSSEKNAFQMFNRGYPGVYCNPRMDRNNHGRPFETLEYGEVLAKSISSVVLDSVNETLTGRIEFDPVTGLRRNFSATVVELHQSANHANSILEALAAVQKARFVRPERYVYKVKPFVMLKRETENKTLVGNDRYEGYCIDLLNKLAENISGFQYEIFVSHGNKYGRKQEDGSWDGMIGYLLNETADMAVAPLTINQERERVVDFSKPFMTTGISIMIQKPEKQEFSIFSFMQPLGRTIWILTMCSYVGVSLTIFIVSTFSPYEQKQTLINPTSNEYAVTNDFSMYNSLWFTLAAFMQQGTDILPRAPSGRMASACWWFFTLIIVSSYTANLAAFLTLEKMTPPIESVEDLARQTNILYGTIKDGSTRNFFEDSAVPLYKQMYDFMKRTSQRQTQTNEQNIFVDTYDHGIERVRKGKFDLGPVVPVDTHGD >PPA02516 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1157871:1159437:1 gene:PPA02516 transcript:PPA02516 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVIDQYFNGNFHFAPPEDDGQLLEEALRDLHLIIGERLKLKEGVRCLDIGCGIGGVIKDLSPTGADLTGVTIAGNEVEMGNADFARLGISSHCKLIEGDAHNMPLPEGCMDSAYAVYSLKYFPKIDKILEEVSRVLKPGGKFLIYDLIKTEEFDVNNEEHTEVIEGLEYACGMPSLHSRSEMIEEAEKHGLTLCHEEDLSVTHKRPFHYCFSHSPFFMWLVKSPIVDSLIKIGQVLRILPEGFSAFNRIFLSGTVQKIVDGGEMGILSGSQIFVFEKEL >PPA02289 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:146554:147348:1 gene:PPA02289 transcript:PPA02289 gene_biotype:protein_coding transcript_biotype:protein_coding MYEIKLEHMPLPSSVSIALAYGSRHTTPSPYRGGQYPTQSYTRHPTARPVYVSIPTARPVGGYQPYGTNNGKTL >PPA02549 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1311078:1311667:-1 gene:PPA02549 transcript:PPA02549 gene_biotype:protein_coding transcript_biotype:protein_coding MTADESSSMETTTTKDESKNIEVNGTVEEEDSLKTSLQSLAVSLSGPSTKEQVHASNAVQRAIKKATDLPEGVFKGISRLIVSSITIRSLQRDSLKSLHILLSSLDSSNHDFLLKHFSESIAALKLHPAPS >PPA02617 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1658075:1658443:-1 gene:PPA02617 transcript:PPA02617 gene_biotype:protein_coding transcript_biotype:protein_coding MNIHFIYSTMNSKIILLCLVAVLFCSQASAQYIGYAGAYSPYYGYPAAYGYTGYGWGYSGYASWTR >PPA02604 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1584018:1584660:-1 gene:PPA02604 transcript:PPA02604 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGVELLVDIDVILPPSTARPPLRITVLRDGHSQVAMQTIPAPVGIFTVRSIPRDGSSYIVRVEADRTSSSSVSFTPIETPFVSDSPVQVINVTLHPSRSTTEVEISKSSIIAFVLFGLLALFILNPQEWMERFRNVYSSMQRRASQSSSPQSVNKKRK >PPA02490 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1058810:1059358:1 gene:PPA02490 transcript:PPA02490 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEYNLPQRSLLPYWRNISDENSLKLGSSLGEIQNTPEKFSVSVDVSHFKPDEVNVNLSGNELTIEGNHEEKNDRHGTIQRSFVRKFILPEDSNLESLRSSLSDNGHLTIEAPKKTQAVTQSRTIPITRG >PPA02524 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1197608:1199374:-1 gene:PPA02524 transcript:PPA02524 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPTVIFGILAGFSLVLADYCPQSINIQIQACVLPVGEYAKMLNSNQTVRNALDGVSSRSSEISPFSLPNMGSKVFHDLCKLVNKFNDCVKELRRTCPRHVTIGLIDSSYGYLCHDGYHTFLDSAECLMELDRKPEVKTCHDQTLKDIERANGNSEMGLNEKVDKMCSALNFFSGCVKHPIRNECGVAAWQVIHRVLKDTTKTLMPGCVFGRSTSTTPPAIIENGEIKSHHLPHHSSDHSESRHSEIRSHGTRAGRRKTTTTTEATTTGRDFVVGVRFSLIEK >PPA02333 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:352798:354703:1 gene:PPA02333 transcript:PPA02333 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFVLLLMAATAIASQEISTVGQKLPATSMSREDYWEAHDRLKEHIQRIIERTGNSYRHKSGYHVHTNLRKFAVLKVDQIGARVRTKATIAYEFTNPNLVWYAAEFGNQAEIEFDLTPKQLQAPTIRGCISIRSEETLRISRVTSDGQVRIVVDITSEHPCKMNFDWMPFDSQNCTTCLVLDSLISNDSPTFDLVFDEGFHTVYLPYDFDPIEMEEEYRKIGRHGYWSHLINEPREWNVSTNVTPFELQFTVTRNPAFYNWALVYIILFISALVVYLQLNCDSKPLWLVLGILAMFGAIHATGENRFMQRNMGILLMTSVGFLIVFTFVAIGISENRQKKEKGGFKPLD >PPA02515 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1151654:1154948:1 gene:PPA02515 transcript:PPA02515 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLSKENRAKSKNGSNKEQINSGRTGVSKSGKFTDGILGVFGKAKNQGDFVVRWNHSGPPPLGNFKAGTSVKAIVFQKEISVVTKRVTVKAPNMVKVEKMNKGKKEEPTFISAMYLEYVGDGKPKTLVAEHVCKAYNVDRKTLEGRWFFGRCSPLDCTVWLCNRSYLFAGSYLVCQPSFLFPATPCEWPRFMLVVRLVAGSIEWFHQGWLIDELNNAMERRGFEQFKRRDKENDFLPTPPNDPPPVIAYIIIQRTKEGRYYIEDAEKSFYLLPDLLNHHCTNPITFNDGKQTITLKETRPPLAVLEDGKPIENEDSTFRCPPPPPLPVPIDDYPGYDALADLVRVPNVRLGNTHMRISKRPLATSVDEHSPTGEAKRAMLWSSNRWHQVSLRFLSKDFLIMDAITDDLLLVDKTSRARNQALSELNRLKLNLNGFEYVAQIVAGDLSDKKFSAWMAYEFVPGMPLDELLEMRREDRDAIAMRQRYEIMGQIASGMRFIEQNGLCHRHLTSRNVIVMLESFAHLRVKITDYMVPYSFLNKANARHVNMVKLAEKTNAFLWWSPESVSNDRIFDIKADVWSFGCVCFEIRPSFKVLNQFFHDLLFNSKLDPKQVIEKAFSGGDAIITTELTTY >PPA02569 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1404641:1409320:-1 gene:PPA02569 transcript:PPA02569 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKAIVVSPSLTEYSVLAFRLFVALGDEKEATNRAKQLVKTESDDPYALLSMVICSLMAGNIRDAQSHLSFVKEAHAKIKKSPLFHFLDAVCARYSSNGSFDSFLAASKTAIEEQFATVQGVAYGIDGIFTLDVDFLVGIVYQMMDFAPISPVKGNDPILKEVERVLTSINEYCPGLPDVNYLLARVKWLLGQTEIAERLVEELARGTDATAEVFLLKAQIKIDKGRMDEAESALDTGLSQSFAVRDSPLFHLIKVKVQKKRNDHERAVEALRKVIGGETKNVGLNLLARREKDEGHRISLYLELIDSLQTLGRTREADTVMSEALNRWKGTEQEEQLIIMNAQLHVGKGDADAALAILSTVKTNQSNYQAARMKMAEIYLEEKKDKTMFTKMFKELMMNDTTPATYALLGDAYMSVQNPKQAIQVYEKALKANPKDHALAEKIGEAYVQCHLYTKAVNYYEAAVKSGRDSTMRTKLANLLYKMGNYEKCERVLMDPLNKETNPTEVTSHAYVQYYLLLAKVHHEKGQFEKVNEDLTAVITNIDKNNIEAILMAADIKYSRNELAEAMIRFDKVLEQLPNNYHALSRFIELSWREGTIVNADNRLRNAMINNPRATVDPGYSGNMNNALQCFNRARKDLEWGEKAIYNIVEVLLNPENDIVGVSNNEDDSEESTNSTTAARFLGELRADNAPDSKYALHTTMIMVNLRYALHTTMIMMASGEKQKVQEALEKFLMMALEKDPGGDKVRNVGAVLGAARAYVALKLVPKAKQLLKRVMDFPWTLTDAEHLEMCWLLLAEIYTNQTKPEQAKVLIMKVIHVNKSSIKAYELMAYLFEKDGKWTDAVYNYEKAWGFGRERNPVIGYKLAFNMLKAKKNFDCIIVCQKVLSQFPTYPKIRKELMEKARMNIRT >PPA02358 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:455088:457747:-1 gene:PPA02358 transcript:PPA02358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pal-1 MFAAAAAGGGGGPTVDFSMGGFYPAQRGSHHPYDPYPTTSMAGGGGQQGNAAAAAAAATMPYPYSMMAYNPDYWPKKGSSTTPVSTSSPNSLTSLSLRVAAAASVSKPDRPMNLPYKTGPGTNNVRVRTQDKYRMVYSDYIRLELEKEFHMNQFINADRKADLATKLNLTERQIKIWFQNR >PPA02497 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1095563:1098302:-1 gene:PPA02497 transcript:PPA02497 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIVIAAATAAAVYYFLFLKNKKVCAPSTTPPPIPPPSDGVTTARMITTDGTAPASDPSPAASAPVAPLIPAPTKQESTAPSSDPPAPTPGPGSVPSAQSPVKKSSVQESTAPSSDPPVITEQPKPVQETRSEPPPVPVATPETAVPIAPPEVAPSTPEVIPPPPETVVTPPPPSETVTPPPITESAPTPESTAAPPAEPPTAAAAPAAPETPVKSDVPPPSTFTPSITPVKEEAGSMTPPPVVPAATAAAAAPVVPPPSDPPVTATAISPSKAAAVPQPPSEKSQKEQKSQKEQKSKKEQKSRKSSKESKEKPLASSKEKSKKSGGKKTPGKKTPGKKTPVKKSPNKSSGKSGEKGPGNNSVYYTREMNDLKKVIAISSGS >PPA02466 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:921893:922442:-1 gene:PPA02466 transcript:PPA02466 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYTPLFISNDWSYGEFFDRILNNPLTPLPDWLIAREHIGTVFFRLHSVFIPFRPIHPLPNRDESQIALERRSHFTTIGFFHAVCDAVDANFSSYSDDPSVCSCGS >PPA02620 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1668393:1668693:1 gene:PPA02620 transcript:PPA02620 gene_biotype:protein_coding transcript_biotype:protein_coding MNKYSVWDTTLDLRDILRKYVEIENRQKASPVTLELPEPPEKPPRVDGVRAAEILRSLSSTAT >PPA02551 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1321793:1325105:1 gene:PPA02551 transcript:PPA02551 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHRRRDEGNRWNQEGGGGGRRPMFLRPNDEADRPRTGPLVLEEREGPSGSGGAEGGAPIYNNTYANLNIQQQRMRLPVFKNRNHILYLCEKYRSVIIVGETGCGKSTQVPQFLLEAGWAKDGRMIGVTQPRRVAAVTLATRVAEERDCILGTDVGYVVRFDDCTDPETKIKFMTDGILIRELMSDPLLSKYSVIMIDEAHERTANTDILLGLLRKIVSIRNDLVIIVSSATLDAEKFRDFFEMSESDNAEEQTSTIMSVEGRTHPVQIFYTKTGIPDYVKGTVDAIVDIHKREPVGDILAFLTGMDEVEEVCKSLRDEVKGLRNVDKLWIVPLYGGLQHREQMKAFDSTPHATRKVVVATNIAETSVTIPGVAYVIDCGFVKLRALDRRCSSEVLMKVAVSQAAADQRAGRAGRIRPGKAYRLYTEEQFGKLRPNTVPEIQRCHMGPMILQLKALGIHNMHKFHYISRPPASSLISALELLNAIEAIDDSSSLTIPLGLQLCELPLPPMHAKCLMSSPDFGCSEEMATILAMLQIQEVFVTPPRERHKAEVIKRKFAVEEGDHLTYLNVYAAFKQNGESSKWCAANYINYRGLQRAVSVRGQLMRMLRKFELPIVSCRGLIGETEKIRRCLVKGFFSQAAYYHYDGKYHTVTGEIPFNAFKGSVIMYKKDYPKWIIYSEVMQESIRDISVIDSEWLYELAPNYYTYGTDGEVARSERESHLDVDATANLN >PPA02594 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1519848:1521168:-1 gene:PPA02594 transcript:PPA02594 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPCFGSSDAARELTEEEAATVANQVPAPAHKVFRLEKEARKNWDKFYHRNKNNFFKDRNWSHTDLKEACSHVDFEKPLTYLEAGCGVGNMLFPLAEVYPNWKWQAFDFSANAVRLLEERAKEIGLEVSTSELDLSNELSEPLFPVQADLATLIFVVSAIHPDKHDIVARNLYQLIEPGGSLLIRDYGMNDYAMIRFGREAKLGDRFYARQDGTRAFYFTLEELVEPYEKAGFKCVRKEFLHRRTINREKGVDVPRIFVQAVLTKE >PPA02402 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:624716:633385:1 gene:PPA02402 transcript:PPA02402 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNAGDIFKSLSGGKVDVETEAFVKLCDRSFNARLRVRGNILCVQAISEAVEPLIFILVKHQVLKAEDNHPDFPHGFVIQFKNETLTVYLKDKEERERWMVKVATASHDMAQAELDEIAHRLFAASTAENGVDHKEEKTAEGKPGSSSFEDFFLTNPCTIQHKFSITQKAGVPARLITYSETIAESKLSIALPVEFVKLYKQWTTEMIQQIESFERRCPAQDESSRQELCRLLRENSETLEQTWEFLSTYSGPSFRKSIEKRRVAFAPVPTNLHYQRFGPIGGEERHVLTAGVAAALPLRFQSGGLPKLRSSLSLHPAYTDAIFSAKRSELFEIKKMIGALSYKLENEWPNDKECDRLDKLCVDLQAGVKQVYARLRDVETGLAGVERHVDSLMDIGHAPDTLRNQLDNMDAMLVSLQTKIAVIDSLMESPSELSSFSLNTRESMIGVLDALLSLCTSLIDAQQLGRLRSLARSADTAVYVHIILRADFVFSQASTIAATAVMSRLQRGWPMNGDKAADLLLLVWSWISLYGDERGMIEDATEAWRSISSRLLIRLVRCPSSVSRTVTPLVAGSRHCVIVSLPLPQDFFDALPPALRDPAHYIRVCTSFWSLGVNHEATIGYQFGGVAAETAINQTALSDLQSYASSRSPLSAAAQEALAELQVVVRADPSRKNMLLYEWAMAAGTELDGELVVSCKSGKDRTAMGVTLEQGRLMRQASGLNATQAAEVVDALRKNGARREVCRKNIDKAAYSFSAFQMHFLPKSFRPPSGTFAHVVNCSERVAYGL >PPA02504 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1113561:1114133:1 gene:PPA02504 transcript:PPA02504 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVPVAHNWTADTWDWPLQSADGVVRVHNTKDKFEVGLDVHFFTPNEIEVKVSGHELLIHCRHEVRNDEFGTVSREIHRSYKLPSDVDAASLKSNLTNRGVLVITANKTA >PPA02435 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:736677:757346:1 gene:PPA02435 transcript:PPA02435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-emb-30 MPSIRMRMGASMNDEEEEVPDEPTGSGPGTAERKARAEEKQRAALANKRAILKEEKNNEIADPSPAKKKEKKDKSNEDEDEEKDGKKKFDPMKIVAQAGTRKLVDLTTPGFIPLELDSPQLESFNSDSLNNSLSQLSPGLQLGNLSIRSGLDAVLGAAFTILKNEKKQGKISTRKKKEQSLNGPYRRGKSVMGNSMLTNVLSKVDDLVLLERSYSAPELVLMSSPAYPLETVYKKAINSISTSRDENERVGVKDTMNINQALAWLACAGRCSIASLIPAGEYYPDAEEYLSLRLVVPRLPLLSTATIGLSIEEWTARQVERCLHMMSMADHSSLLIDDRCILLYAIGMLYIDDKANERMREAAHLAVKNVLSTCAEPDNLLEPLFNLTPLIVRRPDEASKWINMFKESGEAAPELLLCLGASHFVFVCERGECTPDSFSLATPSATFSSYIFVMTAMLIDVFGVYDMLNPDQPDDSIVLTGLRTLIRRIEIKRVGRGGRRTSEEIIRILEEKERIKEEKEEERRDQKMEKAQLRKERREKEGSEGILMVDEDDEEEEMEGDEDRSHRERMVELEDEEEEEDENSEKGSRSGGEFTDGEMSERGVEKKGEEKEKKAEGNGGTLFTEEDFMELEVGASKEDADLGETVPEHLDWNIHGVPPYPGYGLQRNANPSHLVLLVMPGPRKSSGSGGSRKSTSSPKKKPKMMTSGDENEMIDGSSCSESGSPPSGARRATRSSGGADKTPQSTSLEVKIIKSPQLLHARGASPSGKEYYHSYNMDLMHNKKVYRAPFKVHLMSWNPRMDLLALVSDKGEVCVKRPGWKTTWKLNVNTEERVVHEFPVKNKERKGSPSCLAWSPDGMAVAEVAESTDERVQAAVERAFELETSLLPRSLYQTVLTVITEDMKIIVLLGGVLCVAKIDFCDFMMKKYGVAVLAIADAVIDHKNDHELMVVYSGGAGTVPVRTGTSYGSYKSKRHVGRPGDEILPSSLERHNQMIGIELSVLKLLSDRLWMLAARYGVSSDLMHEWVEQKMGADGALALREFYEKHLVELVENLRSSMLTASSALSNQMSRWEDEAKLLFEEVVDPVQLLLGGEVPTSLSYRTLLPSPDKGRKEESEPFKVDGTVFSFLNRKSMQQLCTVLRQMVTVAEINGYEIAQLSRWLSLLGPILKASKKQVNIVRTCRKFYVPELMRYIVSTFVDEEKRSTFEELLFKLEGMAANEEEIEDEMSTLSLALPSTMEGDAWYDQAKEKKPLPYDVLTRDRYFYRKSMIDKRQKYRDQQQADLDARIRYIQLGMNCPAKRKDPIKAAAQAQRQAAAEAGPALNTRSHRASMAAAVPTGPESGYKRRESRMTPFTANPIAVQNKLRQLAKSYVASKGQYPTMKRTEGQETFEIDKVSHYFRAGAALPESVQRMLKKDDASPFLPLSLHDAMCVCVKSVADVYSSIAVSVRNPFNVRWCNELLSTERDVSLEEGRLDRWGNALTATVWNSDGIWCRTLFSTKDDTNEAVRQKLQWMWKIQKNGERNLSVRPASLRVLTPISSPRPTVQHRQAMADCTPTMETGQQMGGGMQALTIQMDEDNCITYPDHGEPIEIKKVFPMKSGTTLAIVVFESEQGKRQRLVRLNPYMDYRVFVHEDILRTEIYEQWELCRHREMGAALSEGGTRVTWFELREK >PPA02415 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:669527:672963:-1 gene:PPA02415 transcript:PPA02415 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDAFRVHGKEMVEFVANHWETIRSDRMPMPDVKPGYIKDLLPTEAPQYPEEWKTIFGDLEKVVMSTNTNWHHPHFFAYFPTACSYPSIMADILSGGLSSIGFTWKSGPAMTELEMATLDWLVSALDLPEHFKNSHSGPGCGIIQSTASDATLVAIMAARARAVENVKREPSPFLNWVTQSEVGQVVGSTIKKILARVTQNSEEEKDDGIIVPHFHDPTVFEKFIAYCSDQAHSSVEKDVMLCGVKLRKLKSVLEPKLNNYTVTAQVLEKAIKEDRARGLIPFVFVASMGSTNTCGVDFLTEVGPVCNREGIWLHVDAAYAGSYLLCDEYRYMSEGVEMADSFNYNAHKAMLVNFDCSPMWFKDGRQATRYFTVDPLYLKHEHDATDYRHLQIALGRRFRSLKIWFTLRSLGIKAIQAHLRGLNERAVLFASLIESDGDFDLFCPHHLGLVCFKLVKGGNAATEALLAAVNVDNRIHIVPASVHGTFFLRLAVCTPNTNDDDIRFAFSVLKELAQPILKNMNAFRIVL >PPA02484 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1025046:1025581:1 gene:PPA02484 transcript:PPA02484 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAASALTVSAVPGIQWSIITLDADLRIRLMRFAPATMATLIHEAKNALRSYRTTEWLNSDTLEIVLHESLDLLDRSRLARLSLRISGTDCCVFIGGAKDDEVNR >PPA02601 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1557784:1563328:1 gene:PPA02601 transcript:PPA02601 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWVMALVASSVTFAMRVDPERIAARLRIEAEEEADRAMQNGRSRRQLATPKEISIQVTAPLFSSRLFEHGKNAGDNQLPQQLDGIKKIQLKNPLQFYGESHDHVYILANGGIGFEQASKNYKPNVFPGNLRLIAPFWNRNDVRERGTVWYREVLGGRVLERGQSEIRYQYDKTVKVLSAILVTWENMQPLGAAPLVTESTNTFQAAVFITDQGAFANFIYSNIGWTQGAEAGFNRGNGKTHYALPTSGTGNIMYLEEYGNTGIPGEWMFELGKVAVIRCKAGIKGDTCDAECASGEWGEDCAKCCHCSGSDQTCNPLTGECKAGCGACWSGIGCATRVESCGGMGGNRTCAVNALSFTDTDRCGEILQRCQCLEGYHGDGYKQCDDVDECSRPGVCHENAVCTNTPGKYFCQCKEGFTGDGVKSCQSSFLFSSSNHQSLPSGKASKISYRLRSPQVWFGQEVDQLTITSTGLIGLDGSSSDLHDPSTFGVAPFYSPKIDTSRGGRVTVAEVTDSDVLTRVTRMIRDAIKDPSFISSGALIVTSTNVSTTESSPSRNTYQSVIVTGTNSLHEPRSYCLFLFDRLEWSEGAESVVQSGDSTTSIALPGSGTEGVLQLKQLSNVGQPGLWMYQIGECDSFEYPNESFFTDTPSISGCPLDDHLPPFCDELAPRNVQPAPRRPTPSVVEFAPMNIVPQIIGNPGQLSPVQPKLTTQKTTTTTSTTTPSPPSPSVPLSTSTSLPHETKTPSRQLPVFQSTPHKPLVSLSDEDIENIPPDAFEMTLPPFVTVIPEIFTPTQPNGEKQREKELPHFQKSPSPTSTTSSTPFSSSTEKTTTTITEKVVEVPKEKKLPAPVQIDFNDEETIEKMDLAVEEEGLPLMEDDHIEEEETKPIFVFTTTLKPTPPPPKPRHPSIVTGGKKAASPSSTETPLFHSDAEASASKLAILIPAAIVVAWIVILLVIACVVLIKKQSAARRLRSSYGPSYAFRGATSYGLNRPVYTADSSYEDHLEKAARLSSEMTAYNQTTALNGSTSSNGERTDRANQSRTAIPYTNPAYSGTQQRYTYTGRY >PPA02357 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:450285:453296:1 gene:PPA02357 transcript:PPA02357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-46 MIYSIIPIIIPLLLPYYLDIAEASKTLATPPPPVAPPAPPAPFAQAPAPQSVPLPPGPTNQQFVPYYPNQQAGSPVVTAPQPVPPPAAARPVQPTYSQHLQQTPQPVQPMGPQQPHRQPYPQQQFQNGPNPVFQTQFVPVRQPAPAPGQIFRQQPIPVQQRVQRPQPTQLRPIQPLPHHFENQIQIDAADPTPNGKEHWFKDRYDVSMCHGQLASIERKFRNKFPPNLQRSGKDAQLASVISERLFECEKKQRAGHWEKVENLIHKIQLSKSEEGECRQGMIQERISCVNLLSFACQFIDPSYTFRLVPARITVNEARQAEAGAEKCRKVVKLVKKRIETKRQ >PPA02453 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:873843:877504:1 gene:PPA02453 transcript:PPA02453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rbc-2 MRKEHGKTCIFMPSAELTEVKAWSLAARWSYASSLNTVHLLATIGMLNTLMALRGASWTRSKRTTTSMIKKDKEGGERENDKQSWSVAIAFHLVLLPNALKQRGKLYSPPRLELLARKWQDSCFEVRQAAQAILVRELSRIESSGRRRLIESWAPFLPPMIEGPNSLFFYPKAPAPGHNSQQSSIIQTPTPPPIPPRSKKEGSAAPVLPSPATRVPDREDGETWGSREIRRNQATAVVVLAVVGGEFGDELAKADLTRVTALSLLELLVCPHSKALPIQSPLRRAAIDLLGRGFTQWEPHLEIAKVLLGLLSLASQTSFKDSG >PPA02335 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:360628:361176:1 gene:PPA02335 transcript:PPA02335 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHMRYAIYINSVVHGFMYTYYLLRAMKVPVPGIFAQSITAFQIVQFLIIIYALVHTSIPCESSLDVLLFGWLVNGSYLVLFTLFYMNKYSRKEKKMKKVE >PPA02406 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:642151:642620:1 gene:PPA02406 transcript:PPA02406 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIHLEYEGGYYPYSYEDEKGNLRGIFKELWDIVAQEKGLTLNITKLYIDFPDRADEFHLN >PPA02363 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:477703:479984:-1 gene:PPA02363 transcript:PPA02363 gene_biotype:protein_coding transcript_biotype:protein_coding MHWFFLVARIAEVSFYTVALLSLPLLIATIHRARVVHPLMRLFLTLFVVFLGASALLNTVVAVLSIIKESPDRLRSLVVQLDVKHIPSLCQILACNCTVLAWLAFTFCSLERFASTRNPDRYDRRYRTREATVIAIPISVILIMLQYTRKTDIVFVAEMGALFSVNLLLLFTHMCTDSRLTSLPQTITKKFQVRQNIRVHQGFLRLSKHIFCWGTGALLVLIWAIIVERTSTDASFYESIYFMSIAAVFLLIIYLLLTEPVLQPALRSFMLSRWILRTSSGTLPVTRISDTTLAVTTQDTWRAKSPKRGILLSKNISIIETI >PPA02428 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:704829:705940:1 gene:PPA02428 transcript:PPA02428 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAVSLILFATFALVVLAQIEEGTVDYYNGLSDADFDAIVQEKFEALSSPEALDFESKVESEFDRCKEDSGCTQMGIIGLVSETQQNAILYKEVAIVLSALGRNKLRNADGSRRLNDGSVEYYNSLTNAAFDAIVQEKFEALSPEALDFESKVEAEFDRCIGDSGCTQMGIIGLVSETQQNAILYKEVAIVLSALGRNKLRSQFRFTSTDNNTK >PPA02600 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1546854:1548043:1 gene:PPA02600 transcript:PPA02600 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPRHDLFQTDFLVTLTIFKKGCTLDDCKVSFSSRQITVRTGEDVIFVGELGGEVKKDEITVKVTPSKVEVGLPKEVAGRWNNLIEKKEEAETSTVVRPDGGKKNWDKIEKEAVKEEEEEETTGDAAVHKMFKKIYGDANDDVKKAMMKSYQESGGTVLSTNWAEIGSKKTEVKAPDSMEYKKFEQ >PPA02418 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:678753:682862:1 gene:PPA02418 transcript:PPA02418 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSLFLTCGAAFAIYYFLLPDPEKVLQVYSQPGYWLKFRLMRFAIAHKQSKTAKETVKVDDLMNTEFGGGGIRSIKDLESKHTFPADKKSPAEAVFFDASNKEGYYFTLGTAQRKDNIINLFFILRVPGKGTFMAPEMLTNTNVQSTTSSSEWATKSGWKVKCIEPMKKWTISYNGKIVRSTGKRVFTAVGPEAEDILTGAEQIPATIEFTWTNFGDHFDFDKNISPTSIAHSLAKEPWSRELFEKLKDSHQSHYEQFGFLEGSLTIGGEKIDYVRMTSMRDHTITSYRNWSDLRRYIMMIYHLDDGTCIHTSLISMPETVFTQLEFGYVVTPDGKNYAVDRIHLNLADVGEVKGEFPQYFNYTFEAGPLKYDVKVNIIDTVSFKIGEKLETYVDENMATFETRDGLKGRGFIEAEIDDGKDYGIIQDGGKVQFSGLWLFKKTCRCYSEASDKVKDEPDADLKDKITSTLAAIKGVSDNVKSLTDAQKQRIVSHYFTGTCEPLKNFFQ >PPA02390 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:584287:584886:1 gene:PPA02390 transcript:PPA02390 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGFYSVHNSVSFLALWAIPKLYKSVVVSRDRYENEQFVTGDEIHMEDGAQTIMIYAFSDQNIDVKVSSIDPNYNPLPSINGYAELFYIHNDCPVGWTELYPGHCYLQSQSVPLGFLFG >PPA02266 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:18266:20155:1 gene:PPA02266 transcript:PPA02266 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSDYQIHSFESSPLAQGVRPHHESLAQCVPLRIPFTGQFISSIQYKRRDDDRKSRSPSRSRSRSRSRDSRGSADEYVRPRTPPVRGHRTRSRSRSRDRRRSRSPLFSKRPPRGVGERTASVWHKRDAPDPSRCLGVFNLSMYTTEKDLREMFNEFGEIEKIDLIYDHPTGRSRGFGFIYFERLDDASAARDKLNGIEEMKSEEKGGRMDLQLDGRKIRIDYSVTKRAHSPTPGNYQGFLPGGRGGGRGGFGGYGGRGGGRGGYSGDRYGDRGGGGYDRGYGGGHGGGRSRRSPSPRYNRRSRSRSYERDRYESRY >PPA02529 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1239902:1246762:1 gene:PPA02529 transcript:PPA02529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-emb-9 MPGMPGAPGPSGHGGSAGRPGLRGPPGDGGVNSPGRKGVAGEPGRPGIPGTPGQDGYPGLKGAKGDTGPLGPMGYRGPPGEKGRMGARTAGVKGEKGMQGPPGPPGSAGTISAGSKLPDTEVVRGPAGDLGPKGEKGRVGPSGMPGLPGLDGTPGVSGLRGDKGDPGDAGQRGKRGKDGLPGPHGDKGSLGEGGVNGHPGIPGSKGEAGEPGYDGRQGEEGDCGPEGPFGEGKGEPGPRGNMGLDGVPGPKGLTGKDGMPGSVGYRGPPGPPGFPGANGLPGLPGISQKGDRGQDGHPGLAGMPGFPGEDGDCGYEGEPGWPGEDITGPPGNEGIPGRDGRPGLPGTVGDPGYPGEKGMPGQATVPMGPPGLPGGPGEPGPNGRFGIDGQPGAPGLPGPRGEDCGFCPDGLPGQVGEPGNAGSDGFPGYPGPNGDQGEGGDCGEPGKPGVPGPDGMPGLPGLPGLPGTPGNKGEQGEIIGPMESPDGLPGMKGERGLNGFPGVSGKPGQDGLPGLPGPDGYPGLLGEKGLSGIPGKQGRDGNLGIPGSPGPMGDSIPGAPGAPGVRGERGQDGLPGLNGPIGPPGPDAPRVQPIMNPPGRDGLPGVPGIPGEKGADGLPGLPGGNGQDGMPGRNGERGMDGLPGFPGLHGEPGMRGLQGNVGLNGIDGDCGEPGHDGLPGLPGMNGLPGEPGFGYPGAAGMPGPNGERGDDGLNGQPGLPGRDGLPGTPGYPGEPGQNGLDGMPGLPGLKGEFGLSGIPGKHGRVGNPGANGRDGIPGAPGLQGDAGLNGEEGDCGESGHDGLSGLPGAPGQPGNPGYNGEDGLIGFPGLNGAPGDNGLPGAPGMNGLPGDNGFDGTPGHPGENGAVGFPGAPGNDGAPGFTGERGEDGLPGFRGQPGDSGAFAHPGMPGDEGLRGLDGPIGPPGREGEPGECGEPGDNGQGYPGQPGLPGLPGDMGLDGFDGSPGLPGYPGITGVPGSPGERGLPGVPGKKGRDGQPGLSGMLGERGQDGLSGLPGGPGFDGPQGPIGEKGYPGEAGPNGFPGLPGTPGAPGFKGEAGTPGFPGAPGSPGLPGPHGDSGVDGMPGRPGEVGYAGRPGLPGLKGDRGMDGAPGFDGQNGTPGYPGEPGMAGAPGANGADIYGPPGPDGEPGFPGRQGEPGLEGDCGEEGVDGMPGMPGLPGIHGEPGVPGHPGERGLVGIDGKRGHDGQNGAPGADGLPGAPGQPGAPGDNGYAGAPGPDGTPGYPGERVLRHRNVLQGTPGFEGRAGLRGDDGVPGTPGTPGYTGERGMDGLPGADGRPGAPGRQGPAGPPGQPSMNLEKHPQGEPGLPGIPGEKGYPGLPGDNGRPGQLGNNGLPGPPGTPGLPGLPGIPGTKGEHGGAGPFGLAGRSGPAGQPGTPGFPGPPGAWSPSRGFTFTKHSQTTNIPQCPNGATPLWTGYSLLYVQGNGKSSGQDLGQPGSCLPKFNTMPFMFCNINRFSRVLMTMGILIFSYRRHVGSRRRDV >PPA02628 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1704458:1705111:-1 gene:PPA02628 transcript:PPA02628 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSGLIDEYRQQFSQIDQDSSGFVSQEELQSLLIQMGHTEGSVKEKFREMDVDGDRKVSFDEFIGLMERLALGRDACELEEVFKLADDEGMGFIGYDALKSILVDIIGKSLFVSVFSHHSIKGSEERVSRGLRALRVNEDEHIDCEQFIEIANSI >PPA02387 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:568800:570617:-1 gene:PPA02387 transcript:PPA02387 gene_biotype:protein_coding transcript_biotype:protein_coding MCTFWAVLNRLGIPFDVIKTSKGRKIDSAKVKCEKGKYEFIDNTVSRWRTAYTLEEGETFSGYCHVEDLVISKPSWYKDRDYPHPHTAATEAYCATPPDELPYYRTNCVRRNPLCHGKSCTIVKDDELYTYNVNCTNPNHKFQDTTPPIEYTKWLESQEAAKKEKEEEEQKGKETTNNNAMASKDNSTAANGQTINVFSIALAFVFPILIVH >PPA02306 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:222363:223566:1 gene:PPA02306 transcript:PPA02306 gene_biotype:protein_coding transcript_biotype:protein_coding MHQFDIVADDSPSTSESIDGSEDGTGPVMKKAESPKICAVCGDKASGLNYEVPSCYGCKSFFRRTLQNQKRFECSNGGQCKAALSKAARLHCRSCRFDRCVELGMNPLAVNAVKDKVECKYDRIIEEMIHLDEAHQRLRRSQYNPYPNTSIDVEWCLIGPSRMGIDFGIMRLNRIIDKQ >PPA02495 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1079636:1081267:1 gene:PPA02495 transcript:PPA02495 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCTSILNFVLLGSSLFTTISPETDPTYELPKVVDATTELCEPKTLSSANRLSIDSKYSNSLDLFVCPGMAMAARHVFVDVHLSLNLTDPATYTLYQGNNCSVVEEAYEADHRLFGLLKSVSLWRSMQLDPFSRTIIGISTTERYSIGLVVFAGCTGGALLSALFLVFIVYRVVPKKTIGIPILLGGWSAAAWMAVHAWNNFSSIMKQYERYVALYFVTAMLISFAYCYKQGPPTNG >PPA02322 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:301678:302316:-1 gene:PPA02322 transcript:PPA02322 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-snr-7 MSKTHPPELKKFMDKEMELKLNGNRRISGVLRGFDPFMNMVIEDAIEILKTGEQNRLGMVVIRVIGLCLHYASNRQGG >PPA02587 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1491165:1492418:1 gene:PPA02587 transcript:PPA02587 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTGLHKDGYRWHCADDRFFFCVKKDVVNEVDLAMPSPFFGVDILSKKKEYNPSLYKAFE >PPA02354 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:417721:422572:1 gene:PPA02354 transcript:PPA02354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-arx-5 MLGNVNSLLALASDPTYEAKVTGPLQLQQELGWRSSLGVLKANILFLFDEAKERKSASPFLVLIIEDCFVQLGDDNSLGKEFTLEIKFKTTGNNYIFAAENFKALEKWVTVLTITPMDYIRITKQSFLEQIDSLDEAYHSKLEAQLQTGNMGLLPLRTNFKGPAARVNEEDIIDEALMYFKPNIFFREFEIKSPADRTLIYLTFYITECLRKLQRSPNKMAAQKDLAALALSHQLPIPGEPAFPLNSMYRAPANKGDEETMRAYLQQLRQELGARLCEVVFPDPAAKASKWWMCFARRRFMDKGLVGQGKSRTMSAIDINNVADEAISPSKTAEAVKDASPAKRKAEEIVEEVEVKKARVDEPAEVAEEKEAPEAEATEEKTSEAVATEEKEEAKEEAVEKPEAEEAKEADTTTPESASDKERDQARKVISSAFAKKEIENAEDKTKSVEEKIFGAKGSDKTEFLRFAYSVAFNIVAAKDFASALEDAEKEINIDDISEPKISKKVNGFFKSDDAEFDFDALTVSSQ >PPA02559 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1369149:1373930:-1 gene:PPA02559 transcript:PPA02559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cdtl-7 MSQLSRVRAIRVKKTKENGREEEGDKRWLSSSRRDRRNSSRSPGPSSAKKDKKRRVERTPPVRSSSRRSRSRSRSRRRDRRSRSRSGGRDRSKRDKKSKRDKKDKRMSTKRGRSRSRSPRKSSRRSKRSRRDDTSDSDNELDMISSQSLLGAISKTHSEVVRNTLKKKNKKSSRRRSSTSSSSPDSPMNRELSFTSTVCAVPPPVAFHQFQYAVGIPPQPPPPPPMLSSLIPPPPVPPPTFCESLTLSHTMNIIRSAVPPPPPIPPPLPIPPFPNLSVPPPPITAPPPPQSMMVPPPPLPSSITPTPPPPAPPPVAAPIQRGGSIANIAPLPAIVRRPARPVVIDRSRHAPRSQLDWGSGCVDKYDIVYQVGEGTYGQVYKAKDSTTDTLVALKKVRLEHEREGFPITAVREIKILRELNHKNIVKLLDIVTDKQTASDMRHDKGSFYLVFEYVDHDLMGLLESKLIEFTDIQIASLFKQILQGLEYCHKIKFLHRDIKCSNILLNNKGEIKLADFGLARKQLGGEGERPYTNRVITLWYRPPELLLGEEHYGPAVDVWSAGCILGELYTKRPLFMGNQEAAQLNIISQVCGTPCPEVWPEVEQLPLYSTLNPRRRFPRNFDAYKGALPPQPFELLQKLLVLDPKNRLSCTDALAHDWLRGVDTTTVNINLPAHQDCHELWSKQAKKSRQQNGASHQSTQSTQSGTTGGSSTQSNGRNTMDGERLAVQLERGTDRQASEWLKEKQEMGMYTSVILDNNRSAKMQIVELIRRGTPSNSLPPSNSNQYHGSAIPPPSAPPRFATTHSYR >PPA02633 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1718917:1720264:-1 gene:PPA02633 transcript:PPA02633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tlk-1 MRELLFERERERQRERVETQRKKERGEEGMMNEEIDYSEVWKAYDLEENRYVACKIHHVNKEWKEEKKANYVKHAMREKDIHRTLVHDRIVKLYDLFTIDNHSFCTVLEYCGGNDLDFYLKQNKQISEKEARSIIMQVTSALMYLAERKPPIIHYDLKPANILLEHGTASGAIKITDFGLSKVMENSDDVDSIELTSQFAGTYWYLPPETFVIGHSPPKISSKVDVWSVGVILYQCIYGKRPFGHEQTQQKILEEKTIIKAKAPDFPMKPLVSNTAKEFILRCLQYLKDDRADVFELATHDFLKFGLRSEKKLAPASPASRMGKLESMEE >PPA02489 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1057552:1058247:-1 gene:PPA02489 transcript:PPA02489 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSFFDSSFYPIREEPMVLFIDDLPHKRRCQSTRVPQIVQNQKKEVKRTVHEPFKISLHIGNFKPEDIKISLSGRILTVEGSQSTTTDSSSFSSSFKRMITLNDRIDLSTIYSKITVDGTLEIGANPRPIDRQIEITVEKAIDQPKEGDIPAPNDDQPILEAVGPDMECDQ >PPA02570 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1412213:1413025:-1 gene:PPA02570 transcript:PPA02570 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSQRRSEGLRLLEGAKEGPCALGALYALRVAHGRTAKTDKESQRMVETEIAKTLKDATPEALISAIEVLILSGTTNGVKPLLDRAMAATGGSNAQVPNLIGWFEVSSGGNQNTAQKAFEKAAGMGYPDGYLGRVQILEKRRATPEMRIVAKVL >PPA02284 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:129859:130334:-1 gene:PPA02284 transcript:PPA02284 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMGHKHIEFLKIDIEGAEVTVLPHFLNSNSVCQIMVEIHKVEDTPKLLRTIANAGFLLMKYEINPHGAAVGNCMAKYEASLLASFLKA >PPA02467 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:923357:924856:-1 gene:PPA02467 transcript:PPA02467 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMPTNVKTIMEFDVDECIKEESHEIPLKAQFNEEKNVENWTLIMVQVIEERTYTRTDDGAEKMKFIEMYGNKEKRFWNKMLRCILSIRAAAYCSTDRRNYTKSKYRNVVCLTNKHYAIVTSTIGGIEVCSIAEIDDPNDGESIEEKTFARCKKEGETNNSRKNETF >PPA02520 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1177349:1177828:-1 gene:PPA02520 transcript:PPA02520 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSVLSVHCRWLEDSAPTQPTPGDVITTLQRIRDFTLPNFVGCEHTKACFNDLLTSTGFDSDFFPSYDEYQSTLDGNYGSGDALSNFCAFVTLT >PPA02395 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:600358:603657:-1 gene:PPA02395 transcript:PPA02395 gene_biotype:protein_coding transcript_biotype:protein_coding MQAASLATWLWGSIRGTQGPVDTPAAKFASPLNSSPIVNRDNGACSDRRIASMARFLESVEKKGPVGVLREFKKVDRFFDTTASHRAFNANMAKNRYSDVPCVDDSRIRLRLGATQHGDYIHANRGPTQHTVHDFWRMVFQERASSIVMLCRPVEEGKSKCTIYWPENVGEVCRLPTLTVTNEGKDAEDEFNTITFRVELNPKYDAASDCFGGEECRPLTVKLLRWIEWPDRSIPDQKSCLVPLRILDRVRGGTTIVHCSAGIGRTGSHDLPPSIGQGLDPSLPKGLGLRVDPCLELLHKCVSVLELLSSTEVREGAETVVVARGQIRGVRWVREPFHLQLVHFLLGDFRMMRARVVHEHEDFALAQEFGRDPDRHLFQLGSEEVSLDGDAGREDLPVDGTEDGEEETEEFLLSVKFRLWSLLGFLIDVHPLKFPLRIIVGDPLLIHGDDVADPIEIGSTLSCREVMRNHLGELRCLPSIMQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAAYGGIPSPFDPGENNEAKRSGSLEQCS >PPA02463 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:909163:916051:-1 gene:PPA02463 transcript:PPA02463 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLAPLLLITGAALALPATPIFCRVGDDCSACAIRQAGGKCHKQDTVIEAMYCDGNNQTDVLENYFLACDLDKKEYVKRRCAGSDLFIDGKCRAGHVQYRRQGIAGSGRVGDFCSFNTDCLTGMFCSTGTCTCLSNFVAIQGYCYLKKNPGESGCQYAEQCSAVWPESRCEKSRCECPEDVNGIPYVQAKTRDGVVCILHSGEDADPVPKCPLPEYDDDLLTMPVSQLRNPAMTDPDDEEIPLGEHINPLQFCSSQSTDYQSFVANGGGACVYGTEPFNSGSGVYIADIFDCIPATQSMANVKTAMEGVYDIHENTDGICCPNRAYTCIQPKREADSGAAAPAGVRPRWWYNAVTGTCEQFMWDPWDETEMQSPNNFKTREHCESYCRDTCKRGSPQYVVGATQNDDEPVGNCQTASSCTSNYECNSVGSMQITPLQLCCPTVASICSAAGGRPVDILRSANFDAGHTMKRTFAMAFSTASRYYYDAEQGRCIAFTYNGGLGNFNNFKSAADCELFCAKLQCPYGTPLKIGAANQRCSSNTDCPSTHECQSDHNVCCPRPQAICSQPLRLGDCKQSVRRYWYNAVTRACELFDYTGCQGNDNNFETLLECQNTCENIIPEPQCPQGDAYKDYQGNYYVCSNSGTGNVCPVNYECYFDGYVWGCCPTKAYTCTLSAHKGITCGSGSSYRYFYNSQTQECESYQYNGCDGNSNNFATRDDCEGYCGVGGCPNGGTPERNEFGQLMVCSSSNTCPTTHECTSVTSGSSVVSRCCPSRGFICSLPPQQGSSCSSSAAARYYFNIVTKECTQFTYNGCSGNLNNFATMEQCNNFCLSAACSPGDVAYVNPNTQLPYECNAALSNSCPANFGCTFDQLSGNSVCCGATNMDVCPDGEKAYVNAADMSVRECLINVEGSCPNNYLCRFHAQKNRYYCCASISGDLCPSGKALYREPSSKSPIRCTISASNQCPNGYSCQSDVPGAFQGYCCSASPVCPNKAEFYLEESNQMPRSCTVGAFITCPNGYTCQSTATEFTTGFCCKGETASVSDGCPPNEFVYMKEGAIAACDPFNPPNAPCPTG >PPA02422 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:689883:690392:1 gene:PPA02422 transcript:PPA02422 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIIVAASLLVVALAMTPEEEACAAPLMKQLAAEKDVEVKKFVTKCFKMMNAGKKEEVKALVKKFDHDKLVKFMDDYMQGPCEIFRSEFENL >PPA02509 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1133723:1135365:-1 gene:PPA02509 transcript:PPA02509 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPRAPAFYDVTYDDSECSSGLRADAIPDYVYFGTMVATFSVDEHDECLRKCLEKPKCKSVNFFFPLASQDKSFCELLSETQHDNPRLMRPFRRAIHYENIRCKELEDIIEEEKAPLPSTTTQSSKPSSTPATASGEKKTVGIPRPGYGGSKQDIPTLMKKLAAKLTDFKKDQFTNFRA >PPA02637 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1751792:1752549:-1 gene:PPA02637 transcript:PPA02637 gene_biotype:protein_coding transcript_biotype:protein_coding MKITRRVVDIRNTLRWILVVVCVVENGQTWNRTLSSDDYYARYREGRMRRTRKNSTGNSTDSESSDESLENLAIRDEVAEDLPTSFEGFQSGTESELDLSDEDEEDDEVDVIGDVVDSGDETEREEEDEDGSDLSEGEIDYESDVESDEEIRVD >PPA02537 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1274235:1276325:1 gene:PPA02537 transcript:PPA02537 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVIIWRKSRDENPCEGILCDSDKSCLADNSTCTTECLCTDEFKIPNKDGVCVNPCEPSPCQNKQPCVLNVTFPSKFICDCNPDYDGEFCQNIHNYCLDAQPADCPLGAFECVFKGVGKYDCGCASGHTLDERTNMCTKVTQRLGVTLIFSDTLYMEAYNVEGNPNRTTALKAIGDAMTDLYGSLLISITYDNFTQGSLVAHYSMDMRMPPNGDSKQNVYDLMSLTSIYQFPKYVTNCETNNAAKKTCFDTLGKPHLPQNGTVNEDLRCEDVFCPEGTQCVPIDNSETSVRCTCKTGYKLIKTVKEDTGRLNDVCEDIDQCTVDPPCKDGQLCINSPGSFECVGNPCDKNPCQGNAVCKAVDHYAYDCQCDWIYIASDCGTPWPLILVIVSSVLLALLIIAIIGNCILFTRSKKGSSIDFTIRSIRKYFR >PPA02296 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:187511:189722:1 gene:PPA02296 transcript:PPA02296 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMGEEKDDERIVKEVVETIVGRVTNHKVMSALFSRMENMGEEKDDERIKWNGETLSIHDKRCVSRLASAPNTLTQMNVSFRGDSCCTKTTKGMEPSFD >PPA02419 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:684290:685132:1 gene:PPA02419 transcript:PPA02419 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFLSLFVCAYLLAEVDALLSNKDKIRCFGEAMALMDKEPDKVLKKKIEQTLETITDIGLEVLGMTDEQIDRIVNYYFTGQCSDMKSFFVQET >PPA02276 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:71095:72881:1 gene:PPA02276 transcript:PPA02276 gene_biotype:protein_coding transcript_biotype:protein_coding MRVWEASLVFYFCCFLGAEILRWIVRTFVPKPPSSLTVQLLNEFIGTLQICTPMFDVGTILETYGLFGVFVEITVIELANCYMIRDCVASPCPIVTSCYRKRKILRRALYVLAVQITAAFLSSRLARLFFSIGIHPIHLEVASNYECSTDLSVALMTGAAIEGVAVLLGKCVETVASDRYADSWLQYLVNSSFAGFICALGINFTGLYGNPIVASACTFNCLGVSHLGHLAVYWASPIIAWYISEFVLGVDDDFDEIEAEEPKKEVEKRETKKEIKTGKKDD >PPA02400 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:613104:613500:1 gene:PPA02400 transcript:PPA02400 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHPQLQEREAEGGPHSARKRHSLPTAKRSVYTKRQVKPSDLGWRDCPRGTSNPKCERPR >PPA02423 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:690826:697846:-1 gene:PPA02423 transcript:PPA02423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-alh-12 MSFFVPSDVSGGLYFLDGNRVKGEGEATFPVYEPRSGAVVAQCPIASPAVVDSAVRSAAAAFPEWGTKTELERAQVLHKAAGIIRDNLEEIARAEVRCNGKPIYEARVDIASSADTLDYMAGIAPAVLQGDSMDLPGGPLTRFAYTRREPLGVVGCIGAWNYPFQTCMWKVAPALAAGNAVVYKPSPFAPASPVLLGEILTAAGLPAGVYQVIQGEGETGQALCEHPLVRKLSFTGSVATGQKVQQACAAKNIKPVTLELGGKSALVIFDDSEVKSAVAASMLANFLNQGQVCTNATRVFVQRGILEEFTNALVKEANEKLVVGDPQNEDTRVGANINEGHLNRILGFVESAKAEGGTVLRGGVRVQPAGVENGFYLDPAIITGLNDESNAVRNEIFGACCLILPFDSEEEVIRRVNNTTFGLAAGVFSKNLARCHRIAAQLEAGTVFCNSYNDTEVNVPFGGFKNSGHGRENCPDTLKAYTQTKAIYVNVQDSLEHCF >PPA02293 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:169975:171477:-1 gene:PPA02293 transcript:PPA02293 gene_biotype:protein_coding transcript_biotype:protein_coding MYDFALGTFKRVLEEVQQGVGIVLPESFKEFTDAPKNPRPKGWALRSTKKTGRYDPLVRKLVDDLIEQYFSNGKKVRPDEAEKRMRERKDILAAQRMTFDQIRNRITTLLSPKKEHQSKKNSIISLEFAVTKFVTIRPGVRHEASPFKNCPLHVTFRDGLRDKTSHDRDDP >PPA02491 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1059797:1060787:-1 gene:PPA02491 transcript:PPA02491 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMGEETLEKYADIVCCSIAACIEGISDLTLPDGQNFLPVPTLERYFVLFEIDQGGEESYQIHRFHVDNCNEELKIHSLLDVINPHAWVTHGIDRQYIPPSTEHMERIKYADLKKKGYFNRKKIESCLTLTETRDLLAEFEQCAMQAFHRNPAANGKDFSDEELEKRTTGKTGPFTFYHRKITVPGNMWQIGEIRERFLFATGKLPYDLDAAIMPAM >PPA02481 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:979811:983922:-1 gene:PPA02481 transcript:PPA02481 gene_biotype:protein_coding transcript_biotype:protein_coding MILIDVSANPRVSSADRMVFTLKDEVRKMTKKEHSQWITDEYKGWFVNPKRMPKSKAEGESSQLNPVMEEEEEMKSTKDEDEEEMEVDEQATGDNADDEDEEEKPAPTRSSNRLKRGRKQGVRGREIREPRKKRGAPSAVAPAAQPEKKKKDGNWVKGVFIGEILLSRTICDVEWMDGTISKDVHGASLVPIDPDLDQQDHLPGNIVTKKHEDLSVVPDEVFGIILRVNPDERVATVRWFKLLDARRVDGGAEQMEDEEVTLFDIMMHPWYRRQFPGYLGVGMSKADKMAHPDLRQTCLKILTNLQNGKQLVEYMDKSTEELWPMEIMALPLHEHEEASEVDFNLADETTDGWQAMDESVASSTAATAGTAASTAVSVAGGAGSSNGGTIDEPANTPSTSRASTRTTRSSAKKKKKNEEEEIEELVPKSLPMFEKGTLTMLDGEVIVSHKYLNETINPIRPWMKAVMREHAHLKEHLPKEIHLWAWEKRLDLLTLVIFGPSGTPFELTPFHFDIHIPDNFPAAPPKVHYYAWSQEQLNPNLYQVGKVCVSLLGTWDGAGAEKWTPTSNLLQVFISIQGLILNAEPYFNEAGYEERKNVPEHEANSKRYNETATINSLEYMWRIYDRPPEHIKSVVRQGVDSRIAEFKKRVLSWADGKKQPEFPVHSSKGFKLALKTSMNKVVSILNQHQNSA >PPA02353 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:414794:417518:-1 gene:PPA02353 transcript:PPA02353 gene_biotype:protein_coding transcript_biotype:protein_coding MCQTSDGELYFYKNKGDSDSGYTSCDVDIAKAQLVNSINTTGWGLLDVEIASDKVPEWLQGYAAGFVEGRTTRDLIALQIYNTAEEYCDGAEDYCNRLAIFLLQNLQYMREQIEKNPKDIYWRHVNVSINQFAGMIDGYHGKINQKLSDNDLVIHPLYLIQLAGDIEDLEVKLGKPKHLRRTWIGSGHCSALVKVDDKNTNLLFSHVTWSSYSSMLRLQKRYRFKLHHTPGNTYTFSSYPGSIPSVDDFMLTSSKLAIFETTISNYNKKIMNHTTPSTLLCWVRSQLATRLSSSAEEWAVTFSRHNSGTYNNQWVIVDYKKFRPGAHKLQRGLIHVLEQLPGYVQHADMTAHLNKKRFWPSYNMPYFPDIYEDSQTAALADKYGDWFTYDKTPRALIFARDEKSVVDVDSMRRLMRSNNYMQDPLSRCDCNPPYSAENAIACRSDLNPANGTYPFEALAFRDHGATDVKSTMTSSQITDINLIETLSFDAVAGPTYDPTPIFSWKTTPFKDSVRHAGQPDEFRFGPLKHIWDQRSLYA >PPA02555 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1350061:1350520:1 gene:PPA02555 transcript:PPA02555 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGVDDEWMEQVEYMVIIHILPLQWEEFEWVFRLQQSSSSIGNSGIFDFPDESRGSSPSSVNDFSPGYGGTTQYQG >PPA02548 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1307443:1309011:-1 gene:PPA02548 transcript:PPA02548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gcn-1 MRNEDVIVMNHLTEKIIGESNESPSSLLLRSLIISSTWKCHSVRSIAHSTIKNLSEKRPDSFPAAFAKELFETVENGWMDQMVRKTRSSEDALVSGSLLITLSSLILTPHSHYESLAIPALLLSSLPRIAEEDGSVWLRWFHQLGKMKEAKEWISSETTVSSLLTTVFGCADRSVRDDAVAMLVSVGSEEIKKALWTHVEKAIEQIDHEDYIDIPERSVQVWRCPEGTLFNKDVLDFDETAGVKNAKRENKAYSYKDQMAELQLMKELAEKRRKEGKMTPKQKEMMDKELAKEKAIRDDLSIRSIRKENTNDILIIYSEQFYLIHSYINA >PPA02409 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:649132:650922:1 gene:PPA02409 transcript:PPA02409 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNLQEPMLSGTSRWYQSLHSIDWKEPRLVLAPDDRRWCKKDGTELMELLKLEGKEYCNTDIRFSKNERDRFIEKLRKEEEKTWESNETAIDNTAIVQRLLDSADEGNCSLETIDDYCRKDCLKITMLNDSLECDSQLWLKGDTDQWWKVDGGRCRTKDEWTIIRNSRRHNYSQNSPVLQCSTHVPACQYDIDLAFTILLVYSGIMTVVIIALYAVLYRALDKRVAPIANEMPPSIYSCESTETKSQKTVKTKRSVKTKREEKAPMVEPLKTVFDDGNYENLTIHK >PPA02605 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1596248:1599693:-1 gene:PPA02605 transcript:PPA02605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nra-4 MERREKRREFMPAVSVINVVDERVSTSFSVGGFTANGRVKVGEKPLIGVDVLINGKSVGKTDSTGSFSVSGLKDGKVSVTARAPHTTFKTHDSLHLTTTKRTIPDIVVEEFEVCGKVERSSEGGYEALKLVSEAKPSDIKSIRPDSKGEFCKFVPPGKYSIGPVATESSLAPSNTVVDVSSAPDTTLQFTHFKTDAEVKFTCIGPCDSISLSLISSRTGKSVSTAKGVDHFIFKDVSPGKYMVRLPEGSRACWEKEEVVLEVGQKKPIPAQFVQSGFTALLSLSHPATIDWQHVDQKAAKGSIEGKRGLNTLCLPAAGGYKMQISSCMVFDKPSLSLTVPSDIRLESYAVSAIVGGKINGAIPGTKIAVKSKAGDREITVDASGSFSFQEPLTSASQKLVLTPLSSTHLFTPFSHSFIFDGECEQKIVQFESAKGIFIEGSVVPIVEGVEIEAVNKNDKSVLKAKTDNNGKYRIGPIRRAEDMEITATKDGYAFAEGGKHGDLKSIKLSQLTLTFEDKATGEKLDEVMLYVRGTGEKKTSHHRVEKDGQIKLTALAPGTLIRAVVQEYELDPSTITVNTKEGEHDEKTIRGTRNAYTAFGVVREMSGAPLREVRVEALSEQCDQHQLVAMTKDDGSFRIRGLKPGCSYKIGVHGVEGSPAPHCFPSSFNVKMTDRDVKGLEMVRMMHEGDPPTDTVYDTSGFVMQAIVTWEYLSE >PPA02277 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:75241:97650:-1 gene:PPA02277 transcript:PPA02277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ten-1 MMADQGTALLHPTNKRRSSRVMVGGTPLPSTTIPPLSGSLKKRPPSRRSESSLKDHCRPTPTVVCLFFCLLLAIGLIVVLLLRVPPKSDVETEAHTGYPKTHLTMHSLPPRLRLGETIFVELVNNEDTELHIPSPMRVTLNASIFNPASKMAIMGKFAARPTTADHDFLWKLSSGEPAELSYHMPMDNAMIGSRARRNVEIEEEQERNRHWLVFEKFMLEGTWYLQFVNDNKDRPEPLTFIFNSPSSSSPDSFSCEGGCGRKGECERGVCVCVSGYTGKNCQTPLCPIQCSGKGSTVNGKCVCDPGFKPDCPHPTCNGNGVCSQGTCYCRADYEGSGCERRRVEKIEKEKGREEKKRKEKDDEEETNVKISMREEASSPSTTVFPSSTGSIDLFSSTSSESCSNHGRLVEGLCECERGFEGQECERAKCSPACLNGECKEGKCECLPGWKGTACGRRECPEGCEEHGKCADDGKCTCNKGWNGANCYQEGCGNGCGGRGECRLKSSGDWACHCEWPFTGRDCEVAREAECGDGIDNDGDGLTDCDDPDCCDSCSGKQQCTTVPSPKESIRTSKGGNEAGFMRYLRFTSRDMSSTLMYVTKPFDQSLVSSIRGRLVYRHRLTGATLPLRGARVSQVGAQLNGFTLTRNDTEEGDGIFDIIVNGGRAVELQFLRTPFSQLRKSFFILPNQIVHVGDVFMDESDKSPFSSSSCSLDRIPPMPEITSTAESNDGPILDRSITDPLPYPRIVADSRTLADQLPVRGTSLNLVYDSSRVSGAGLSMRLTEDTVDATLRLVHVHVEIAGKRQETTLTAKRMLRYSWTWNGLDAYGQKMERRIMASVRIGYEWDGCKEISWVDRRIPLESSNAREGEMGRGWTIDRHHRFDVKNELLDRGDGARVQLADRSKWEATTVIGTGEKRTGPCTTDAECNGAARDMRLANPTAVATGLDGSIYVVDHELIRKISPDGLTRTVMSMKSVPSSGEIGTPQLAVDPRDGSLHVVIPVRYQIIRVATKGGPLNAEKRTAVLQAGMNEQQVIAGTGDQCIDDNCGDEGEAREARLKNPKAIAFDSQGRLYVADDNRVRVIDAFDPKATRRIRTIGDRSSYKASGPLPCPLAALSSPLVERPLKTLRMQWPTSISIDQSTDTVYVVDASTVVYAIRRDQFTIVRGVPPSCSSSHSSSNSSAKPTQVAATPDGGIYLLEHHDRGEGKQILFIPYAGHRGEVFAGESSTLATTNNNGSPSSPSSSLPRSRLRVSDVVSMAVDSSKGGGIVMADASEGVIRRVGPKRLQLDPTTKLYRLADPELNEVYFFSPTGSHHSTVDLLTGRTLANFTYDGEESSARLSSIIGSTEKVKITRDEESMTIDSGRGIETRLSITNGEMKKVTCEGYAPISFVYSKEGLMVERGVEGRGRVFLQYSTLGDRRLKEMVIEGGEKVEVEEKQGMKGDYISIRAKRGASIDLDHRFFLEDQSSIRMDQDSTPSFRLIPLGWSGAHLSQSSLGRSDLFDASSIGGGADTVANALIGARPEDTVDGVITVRKTSLRAVEGRPALSSRLEWSTSSTRSKTTKAITHVSRSTKINGAPLLSIRFDHDSSSDTYSGPQSEQLLKIRYNEKGQMISIGAEVDVLVESERRLPMVENDEKLWEIRRVVNGFEKNEEEWAGNSSGRAIRRVWSTNESEGVREWTAEYGERWPTSITAYSGQEYEIEYTEEGEMVEVSRGNEKSSFDRLALLDGGELRKRIWQWAEQPFSVIVDRGKSSSDFSSPLNLGLSIDEGRLSIREWRSADGERHAVVEIDERGRVRRMRNGEESITVRYERGGDGRVSQIRSSSVLESFAWQSELPIQYKIATRPTKTDQWQESTFDLHYDDLLRVTKIEATVNGHPKESLDLVFDQRTTHLKTIGGFSLLMQPANTTVEKGKLVINRLASATRRPFKTIVKVGEIMAEVKIIRDAFDRPTVTEWIVKNGGEERKWQSSVEYDTVGRLVKMTRGEQEERRWKLSYDNLGRLSTIGNESIEWEENGVMERRGSEEYESDGNGWIERIGPLTLDFDSLGRLIGVQSKKTKRNWNIDYDGYGRVSLLRNGSTLYNLFYALPERRELLTHFTRKDGSTPSRLFHLLYTPHSSLPFVMREGEKEWALIYDEMDQLAMIVGEKGVEREIIYSPFGDIISDSNPSLFVPSSSFKGGIPLPDTGLIILRDGDNSRPWDARAGRFLSFPPSSLLPSTRDLLNDPSIALNPMAMKDSDLPWAAADIPDDFSTWLRLVDAPTTLLNRLDTVATQSIDSEFVTSRRLAKLDGRLTWLKGEQSVRSKRLHSDDGQLEWSSDEDSWAGLMQIVVDERNRTESLHFSSDLSTDERLYLHRLLSESTIVERTEKRLKDDGGQELHVTHREDHLPADVQSSSHTHFSIHRGQDSIEVKRGTARIVVHYGKNAYSSTSDRLRDEARRKWDASVWKAEKRKVESGRKSSRNWTPTERKQLIEKGTVSGYSIRIKDNTVFFWGTSSAAAAGIAAMIMPGDIMGASGMFSRFFSAQFRPYMDCQAPNDFMGDK >PPA02351 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:408981:409748:-1 gene:PPA02351 transcript:PPA02351 gene_biotype:protein_coding transcript_biotype:protein_coding MKWKEGETTQTAGGLKAKPEHTEEIARWLNDDLIAECAKAPDRLVPLGTLPLNDISRSVQWDLEGDTRTIK >PPA02542 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1284086:1285594:-1 gene:PPA02542 transcript:PPA02542 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTCGCKGIRFCAACEHTDRVQKLKFEEKEHEGFSCFIWDGKRNVAIASPQLNPDSSIQEIQNASTSIVGDEDFMEIDGLMLINDFINKEEEDYLMERIDRVEWALSQSGRRKQDYGPQVNFKHKKVKTTKFLGMPDYADMLLDKMSNYDEKKLAEYIPFEMCNLEYEESRKSAIEMHQDDMWIWGNRLISINLINGSVMTMSNEKEKQLLFVWMPHLSLLCMADTSRYSWKHGVLPHHIKGRRIALTMREAAPAFQPGGDLYEKYGKGLIDISRIRVPLGGKNQ >PPA02596 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1534729:1535268:-1 gene:PPA02596 transcript:PPA02596 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGGMTTGEEKGSDDSPIELKGEVPEKKLIMIGPITIEPAKKKQEEKKVTVIDDEVVQKKNEKTEEKIEMKKEQKVPMLIDHEVDPNKEIKIEVEESMDEPVKEIIKTEVMKESTRSEDEELLSVLGEKEIKWDEGVGRMCDYDYQITQRSKINPFSAE >PPA02573 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1420823:1425728:1 gene:PPA02573 transcript:PPA02573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-evl-14 MLQRLVNGRTFLSRMMDCILVKSIEDGSQEKSPEEKRKITEACIEKLVRFFPHPQKAEIALRKFTNLLCVDNEGVRQMEIILKRETTVVEASSAVKQLLSRVGNAKDEINKEQEEMIRSLLSRLAPLLIDHTSLLELTRYMRDIIDGEFIGKEEASKILNRAISVYKIIGEMYPSHLNQREIIDIILTKFLENQNDFVVKCGLQCIHAMLTKEAGVNGVNIRGERWFERMKNALIVQAVMAWKDHLLEKIMEIYPLEIARKILVPDPLTYNGGETIGVNAADYMTLEEMPVSPSMKNTLAAIKLSIRVLPLFPQGDHSTSLTHKTINVLSVCAAERGMVADDITEADAAWLTALSGGGLVRLMSDRRFSKNPLFFKPSLMNALAQVATIEATYDKGVTLLKAFADVRKGENSKVYAPVPLLKRISAGKIQHTFHQLKISSTMLKEETKKEIKGKGRGRRKTVKKEEESDEEMEEDDDEDVKMPSTSRKGQKVMETLEEEDEDMEMDLEREIEEESVKKMRTSLKGKPPVNRRLTSMRELESIDLSPIGGGGTSRRGLAASTPIVKNGMEGGGGRGEDGQTTPKKRGRGGRPTVSPVKLPSKTPPKSPSKSPVKSQPKGSQNGTRKRSSKTIEKIEEEEEEEEEKEEEMPLPAKRGRGRGSVKKENGKEKGEPIKRNSNSTRGRKKKEEEVEEKEENEEEKEEEMEEEQQPPPKRGGRVPSVKKSVVPPVLI >PPA02485 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1031015:1037541:-1 gene:PPA02485 transcript:PPA02485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ina-1 MREHSSPVLSHPPEIRSEYEDVSAYDKRPDQISSSRAAYYLGKNNQLFGASVASQGKHNGTAIVCAPLMTFSNESAYVFGSCYHLKSDLSFLDQIVSCESEGLETRGRHNEYSACLQGHSSYIDDDYLVTGLVGARKWTGGVYARTQPKNLFDTSDVKHTMFDDKNEERLLSTTVFRSHDYVGYSVKMGRFGFAHEDSERQSIVSGATRYGQHGAVVVLPFQKKVKSKRLLMTEDQFVLNGTQLGSGFGYAIEVIDLNNDGFDDLLVSAPFERYTDEEGVFGGTVRVYYAKGASRDPSDLRSSSVFDEPIVLRKSDLLSQFGISIAKLGNLDGDLGGFQDFAVGAPFANEGAGVVYIYHGAANRETFSIKPVQTISASSLSLSGLRAFGFSLSGAVDVDSNGYPDLAVGVALSDTVAIFRSRPVIRLKAEHLTDSEYIEIDRKSGCPSKSQTCFKLKLSIEVEHSESTKLINLNENVFTCTLEVLEFEKGKVPRRALIAPSDKISHTWACGRNAHKGKVEYDHTVFIPSDEASSDWLNPLKLKFSAKLLDERKPEIVREGRSLPDLQQYPMLDRLHSSHEFTVPFNTRCGSDQKCQTDLSMKAVLDGISQQDNIYVLNVGEKEHLDVDFVVENKGEKAYGAALWLSYDPEELELPTLERRGADKKKTGALILETHGENMTHVRLGNPMNQETTLQFTIRFKLVRGRSQSVGRPIIFEAKVNSSSEETHPEDNEWRAQLRIIKKAELELTGASDPPLIRFGGDVKGESAMELEEDIGTMVRHNYTLHNKGRWTVRNVTAKFQWPYQVASGRRVGKWALYLLDVPTVTTHQTDGTVDIKPCTVEVPAEDYVNPVESIRRNTRFSTMMVQPRSADSSPSSAASSQDPLAARFAFGSPSSDRVKPETRKEGDFDVQVTTISCGSHSARCFDVICHLDYIDANSAPVIDFRARIWNSTFVEDYFDVEYVELMSYGSIEIDINQGLDDDPSNNQIAVKTLAYPDKPSIDDARPIPWWVYAAAALAGLLILLVLVFLLWKCGFFKRKEFGPTLQRAQLHHERELWSQS >PPA02386 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:566220:566551:1 gene:PPA02386 transcript:PPA02386 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKNTPQRDQLRKNEDKEENLVKPDGTKTLKTLLQVVKKHGEKVPEIGKYIKMVATVTKMEIMSELKT >PPA02348 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:403482:404762:1 gene:PPA02348 transcript:PPA02348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lgc-46 MTGKWTSMEDYDRLLIPRHSQIKQAERSIKSECANDSYILDTILKGYNRHKIPGGKVEVEVEVWVQEITTISDITSDFQLDIYISEMWLDPALDYAGLNPCKYNLSLNSILLEKLWTPNSCFINSKTAEIHNSPFPNVFLLIYANGSEARRGKGTRVRETEIVH >PPA02305 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:222127:222324:1 gene:PPA02305 transcript:PPA02305 gene_biotype:protein_coding transcript_biotype:protein_coding MYATWPQNPSSVEVVDDVYPYIWNEHYQHVVVTTDANFFRGLWDSILRERAH >PPA02500 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1104967:1106119:-1 gene:PPA02500 transcript:PPA02500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpl-21 MVNSKGIRRGTRYMFARDFRKHGVEHLSTYYRTYRRGDIVDIKANGAFQKGMPFKVYHGRTGRVFNVTKHAVGVIVNKRVRTRVIPKRINVRIEHIKPSTCRADFLNRVKNNDRVKHAAKESGERVPQALLKRQPKAPATAHLVKTKNNKPELLAPLRFEIIA >PPA02373 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:515762:521223:-1 gene:PPA02373 transcript:PPA02373 gene_biotype:protein_coding transcript_biotype:protein_coding MPKILETILSVSPAVGSYMASVVIFAAATGLLFGGWIIRHFKMQVGGMFKFTIICEIASLLFLCNFLISCPPQQFAGINIGYDLQPLKSVNLSSTCNAECACAKEWNPVCDAEKGVMFFSACSAGCKKQIQENGSLSVKWEDCTCLGYGEADYDATQSLNSEYCKTDCGYNLVFFMVLLFFAVVATFAAAIPQQQMMLRVVPFDQRTIAIGVNWTFLRLFGFIPGGILFGWIIDKSCLHWGEKCGIATNCLVYDPRKQAIIILALAIVCKLVSTLACIFGYITYTPTDSDQAASMATVDSHGPLSLMVNDDRLPEEHHRETRSPEMLRYRVVACISVIVDILFSLLHVINVPLANAASHLYFIKDYRAFVFGIIFRPLIKVAGNLDRTTVAPDQRPSVVL >PPA02494 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1077405:1079038:-1 gene:PPA02494 transcript:PPA02494 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rab-30 MEDYKYLFKVVLVGNAGVGKTCLVRKFTQGIFPPGQSATIGVDFMIKTVNVDDDKIKLQIWDTAGQERFRSITQSYYRSAHAIVLVYDVACQPSFDCLPEWLSEIETYANRRVLKILVGNKVDKDEEREIPERIGRDFSETSALDATNVDALFEQVATRLTHDMKAADQRSILIIQNGQECNGHCHSN >PPA02281 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:123064:124632:-1 gene:PPA02281 transcript:PPA02281 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIQYEAMRSIFTSMVQEKYNDHIKIRNENIIVEKGVENYFVSGEEIVTKETTNDARNNELDSSFDRNSREYTTFLELKRTTFIEFFESSVKFENSIMRT >PPA02523 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1191080:1195299:1 gene:PPA02523 transcript:PPA02523 gene_biotype:protein_coding transcript_biotype:protein_coding MKVADRAKSSHSTTLLPKEPNWVSLIEDRKSASLQALTSYPTAAPLNRDGRTSANGSSANSCKGSRSSGLQDGISDLASVGGIDTAISLHHNAGSMYETDNKEFDERLAQIISNCDRSQFDRGFWVKQESLNPWTLNFNEKEVENEYRRHFAESRDGFSQHGGTTNVNAAMRNHHKVSPTPSSKHSGLRYSGVFIDLLVASLLFVLVSVVSILGVTFKLSTTVPLVIFVFVSMCIVLGALLLIGLPLLSRRNLMPCLNLWLPRHVTGLVLLFLPVGVALFIAPFCLTAECPFALPSVRLLFSYVSIIALFGHCNFSQLVAWPKTFQCIFTGLIHIILLAACQFHIDELTPPSAECNATLPVMQLPLLTPGAMQQSGRAAALTAKYLHPMYNNASFTAFLAEIVLDVVLSVLLVGFLNYQFEAAFRLSFYGDVQSRRDTERMQIVRDQADWLLNNVIPPHAVESLKTDTKYSENHHNTAVLFASITNWNDMYEENFEGGREFLRVLNEVIGDFDELLERAEFNQIEKIKTIGACYMAASGLNPEKRRACADPKEHIYQLIEFALALQHALSVFNEDLLNFDFVCKLGLNIGPVTAGVIGTTKLYYDIWGDTVNIASRMYSTGVCNKIQVSQHTRDILSDRYDFEYRDHIEVKGIDAGMDTSIAESNDENKNATSF >PPA02326 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:325388:325680:1 gene:PPA02326 transcript:PPA02326 gene_biotype:protein_coding transcript_biotype:protein_coding MVANDAISKIRAGTEKTAKKEKAVDSSSSAQEPEDTSSQTQGGTARACSSYH >PPA02318 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:289822:291298:-1 gene:PPA02318 transcript:PPA02318 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVATLNDSQLEVLWSAVACGRPLPADCTIDLKMAATVSSLMASAHKSGRTEAVEKSKEINNELRARLVPFINEKLKGTKKEVEKPDGKVTVIAGNEHVKRSLHVMTEITVDGERIEAGLKEFGRLRYELARALKASDEYNIIFDESQFEN >PPA02474 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:940966:942637:-1 gene:PPA02474 transcript:PPA02474 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFPLAPGQFLHDVTGYEMTLDRFGKLLCTSSVYRLFYSYTTIISRDGPRCTTSFTKNVPLNRYLDVPCLEENRVILQASNPDYIHANFVDGFRKTKRYICAQSPLQSTIDRFWDMVWQEKAVVIVAMCVPNYYFPLKSGEKSTISSFTVIHQGTTKVRGVYDATVLQVTKGSESRRIVHFEYFDWPRKCTPRQPSEVLALLADVRYNHKLLSKQGEKDKWLKAADTTPIVVHCSTGAGRSASLIALDILCEKMDKSYSSGNCMIDVADTVTRLRTQRAMAVQKPEEFVFLNLVSLEYAIRKKYFSSEEVAKLHMSNYYLFPEPETGDAPEN >PPA02379 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:541239:548630:-1 gene:PPA02379 transcript:PPA02379 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPTVISNEDEGEIRAICGCAGLSRYGILALSFVFLAVLQSSVIAYNATFVSMMNKATSPLYEDYLEMRRNGTEEEEIYIDWESPTFSISDRRFSFDTMQKSLSFAGTYIGGVAGTQPIGAVVRQFGAHKAFILPEKLINRLRMKRTRSPLQTMFIIGLLASLLVFITPIVVAWSFPAFVVLRFVSGLALSNLFPVAGIIVSDWASVQEKGLFIAVLSGHVELSPLITMPLSAVVAECVSWPLVFYIHGAMGLVCSALWLIYYRDRPERHPFVGNDEFRRITSGKAAKKGNGEEPPFRRIFRSVVIWAVWAAVIGNFIVAQFSITFAPMYFSYVLDYSPTLAGAITPIPLVIVLLIKLFTGLVSDRITFISEVMKFRLFNTVALLGSGLFFILVGMIHPTGGIMDAILIMIPIALLGFHAGGFPKAAVVVSQQHSPFVMSIVQMLAMVSLLVGSFIVPAFTPQNTFDQWRSVFMLYAALLVLSNTIFVIFVKAEPAKWTRTVEPVDLESALTSPPSSSESSRRCSTVGHLELNDVVVQSPTDYRTHCSTLHSLLLLSLLSLSSAALKVLVYNPKFAHSHVNYLGRVADLLVENGFTVTSLMPNIIPQLRNGTMKSNVVLIPAPEIVIEAYKKITEGKVDYFTSNFMNPLLIYGMGSVFATVFTAQCAATLESGVVEKMKEEQFDVYIVETYDPCGMMLAHLIQPRSVILQSTTFVWQQQLDEIGIPRALSYNPISDRLSDGSSIVTFRESNGPARREVDKLFKARFGPDYPSIREQTSRVTWVFTNSEPLYDFAVPTLAKVVAVPGLGAREPNPLDENWSRVLEKRKKAVIISFGTIANLTAMQPPFKQSFGELFNAFPDIMFIFKYDSLEDEFANELRKLDNVELTKWMPQNDLLADPRVVLFITHCGMGSVQELTLRGKPGVFIPLFGDQMRNALMLEHTGNGVKISKTDVGVPEKFIAAVKEALENERYARRAEEIKAMLNGKPYSSKELLLKHVQFAGTYGTAAVMRPRSHDMNWIEYHNADVWLFVASSLFLSAILLIFLLISIIITNHTPQ >PPA02439 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:767688:779031:1 gene:PPA02439 transcript:PPA02439 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPNRRADGASYAAELNRKRALNAAEPDQDENGGNVEKIAFGAAGGYDTDVYGSVRGGNKGAFDDSIGTGEEEDDIDTDAVSQEVKTQKKGSYSAPQKFIAEAQKTADEVDPFEDTRRKTIAERQSNYHARARQRQISPDRIDPYIDQTPDLRNRDYATVMKEQMLSEERKKVDREIADKAKSGELKDSGSAPDKKKGRWDEEEVKPDTLGVSSTPSGGRDASRPKQRLGFTAMAAEAATPVVARWDETPSHVAVGDATPSTVRHGDATPGAATPRRNRWDETPKGERRDDGGMTPAWGMETPAGGRGQDDIKIEDTPSASKRRSRWDLTPSATPAGSATPSGAGGATPVNFTPQHPGSMTPGGMTPGGSTPVGNLAMAMKTPAVPMVPMTPEQAHVWRMEKEIDDKNRPLTDEELDALFPPGYKVLPPPAGYIPLRTPGRKLLATPTPMGGAVGFTMQGTPERSEAASKMFGGLLESQPKDKDLPPLKPEDMQYFDKLLMDVDESQLSKEEIQEREIMGYLLKIKNGAPPQRKSGLRKITENARRFGAGPLFNQILPLLMSPSLEDQERHLLVKVIDRVLYKLDDLVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLAKAAGLATMISTMRPDIDNVDEYVRNTTARAFAVVASALGIPALLPFLKAVCKSKKSWQARHTGIKIVQQMAILMGCAVLPHLKSLVEIVEGGLEDDQQKVRTITALCLSALAEAAAPYGIEAFDSVLKPLWKGIRMHRGKGLAAFLKAIGFLIPLMDAEYASYYTREVMLILIREFVSPDEEMKKIVLKVVKQCCATDGVEASYIRDEILGHFFKAFWNQRMAMDRRNYRQLVDTTVEIATKVGSVEIIARIVDDLKDENENYRKMVMETIENIITLQGTSDIDSRLEEQLIDGILYAFQEQTQEDVVMLDGFGTVCMGLGRRTKPYLPQICGTILWRLNNKAAKVRQQAADLIARIAPVMHTCEEEKLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGAIADRGSEFVSAREWMRICFELLELLKAHKKSIRRAAINTFGYIAKAIGPHDVLATLLNNLKVQERQLRVCTTVAIAIVSETCAPFTVLPAIMNEYRVPEMNVQNGVLKALSFMFEYIGEMAKDYIYAERDPVHRQIAIDAVAHLSLGVYGFGCEDALVHLLNHVWPNMLENSPHIIQRFVFACEGMRVSLGPLKVLQYCLQGLWHPARKVREPVWKVYNNLILGSQDALVAGYPRIENTERNTK >PPA02562 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1378069:1378564:1 gene:PPA02562 transcript:PPA02562 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVFLRNRLMVRAVLVLSYDLVLWEKKNDGGMTPLDLATKELREDIAIFQSDKDTRGAGCDWKRNTQLVEEKMDMDDVSNEHVLLSLDGGGMKGLLELQVDKKD >PPA02268 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:29727:32873:-1 gene:PPA02268 transcript:PPA02268 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPVVDTPSINTTVQPRREESTNRTVTGIDVNAARPTGGRSHQMAKPKVEDTPVKKKTKRTKQRTLEETVDEGDDAQPSMLIEIPTNLLAKTSRSMMGRPVSEECSRQAPLHKAEALIETNQAFIDNTNRKGWQAIGQDFLREHKEVPGVNAMTRYTITFNVPPDTDFYDANKVEIPGVEPKFIIASAPTLEPESRENFWRMVYDSNVTNIYYLENQPECTDEPFIPWNANDGKDYGKMFVSNKKVTTTRRDAQAVLEVLPEGCSNSIIVRFAQSICWPDKVTIESEPRKSVLHLVRLLKDEKGQVLVICKSGLAKSCMFVMVHSLITLLNAKALRDPVDVLLKLRADRWGAIQNEQQYLMVYQALLDYIQVKTIAVKNCEVVKKKLFEHCKLYRKAEQNKDEESS >PPA02432 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:716684:723812:-1 gene:PPA02432 transcript:PPA02432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-apb-1 MTDSKYFTTTKKGEIFELKTELNSDKKEKKREAVKKVIASMTVGKDVSALFPDVINCMQTDNVELKKLVYLYLMNYAKSQPDMAIMAVNTFVKDCEDPNPLIRALAVRTMGCIRVEKITEYLCEPLRKCMKDEDPYVRKTAAVCVAKLFDMNPVLVEEQGFVELLNDLLSDANPMVVANAVAALSEINEAKPLIEVNSQMVNKLLTALNECTEWGQVFILDALSGYTPRDEREAQNICERISPRLAHANAAVVLSTVKVLMKLIEMLPADSEFIASLTKKLAPPMVTLLSAEPEIQYVALRNINLIVQKRPDILKQEMKVFFVKYNDPIYVKMEKLDVMIRLAQQSNIAQVLSELKEYATEVDVDFVRKSVRAIGRCAIKVEQSAERCVATLLELIQTKVNYVVQEAVVVIKDIFRKYPNRYESIISTLCENLDTLDEPEARASMIWIIGEYAERIDNADELLESFVDGFHDENTQYTICVSLASLEAIGMGWERRMRANDDPLEVQLQLLTSVVKLFLKRPTDTQQLVQRVLSLATQDSDNPDLRDRGYIYWRLLSADPAAAKQVVLAEKPLISEETDLLEPSLLDQLVCHIGSLASVYHKPPSSFVDNTKAPLRQLAPNAAALTTNGSSSGDMDSAPGLIGVPAPAGGVPSQDTLIADLLQLDMNPMGGAAAAATAAPIAAPGGGLDDLLGLGGSLPSYGAAPAAPMVDPLAAWGVAPAAAPAPAGGLNDLFGLGGGIASMGGGIGGVQGYQTPKAVWLEAASHKGLQLEGAVVRKGGAIYMEMSITNKAMQPLSDFAIQFNKNSFGLVPAQPLHIPAPVFPNQTTQVSLRLETNGPVQKMEPLANVQWVDGK >PPA02313 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:273461:275936:1 gene:PPA02313 transcript:PPA02313 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pes-4 MKALPNSVPKPPITLRLIVPATQCGSIIGKGGSKIKEIRDATGASIQVASEMLPQSTERAVTLSGTAEAIVLCMGEVCTILIEAPPKGATLSYRPKPSFNPMLIASSAAATAQQLAMQQQQQHAALAAAAMLQQGGGGAAAAAAAQAAQGQQLELARAQQAMALQAAGVHPSIAAALQQHQQQQQQVAALQYGGLMALDEKTALEMMQSQAIAYHQQQQAAAVAAAGNPYAAAHQAMMAYGGSPIIKTSGAGATSSGAGGPVPSSKAGSGSSSATTCLKPEKVVT >PPA02579 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1454248:1455918:1 gene:PPA02579 transcript:PPA02579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-266 MTSIRRGSSTSSNSSQEIRILQNDDDDIPPPSTSQPAIVIRGIGQLTMFGLNSRFDTEFPSVLTGKVAPEELMATLGRVNALLKRKRRKRKMRWRSNEHKEELETLGMEKEEEDWPLDRLNLPIVYLNTSF >PPA02535 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1260132:1263633:-1 gene:PPA02535 transcript:PPA02535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-prmt-2 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3DYN7] MSVPARRQGLVPIAEDVEMTRTKETAQCMIFNFDDAQSIIFDETAIARMEITEEGRVDSFLAWWDLDMDRSDGGNTLTMAPDWKDADSRWRDHWMQAIYYPPKRVRVEKGQTIPILSGHDEFSVWFAVDDGNSCLTSLDRPYCSCDFHARLSRTMIYRMNELLEDRKLTDAIEKEVSLHPSEVVVIGEGSMLGLHPSVRSEAKRVTILETTPWMRKILHKYIKYYQLKNVQVVADIAEIPKVPSLILGEPFFLSSVLPWENLPFWYEVEKILSKFDDYSIPVMPNKCCIRALPMKFRDLHHTAGRVGTVNGFDLSKFDDLSEKARSAVDEIVEDYSLWEYVGEESEGWKDEEEGRAGTELLQINLEERPSGNSLKNEKMIQVTGEMNGIALWADWKFGDYWMTTGRKRKTSLLWSVGHKQGVYFIPPTQLNEKKIHVMLPAGGGASTHQLINSSEKKIAFKVKTSNNDLYRIKPVFGFVEPGGCSAIDITRLSGAPKEDKLVIQYVETTNDAKDASVPFKTATPACITLPMSPVKEREGTMAIDRIMRRSRGC >PPA02595 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1523004:1530407:-1 gene:PPA02595 transcript:PPA02595 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKIQHQRLPEASYPRPLKDDGGTGHRPRGRSNSLSSLTYAHFDNFEDRLWTGSETGRVVSYTSAACQRHTAFVQAGPIRAIETFEGGVLSLTPNLLRAQTRSGLPLFTYSSDKMTSMLCMHQPTEGMGLVYLGGESPNLIHFDVESQRELRMATLTQQKDVCVVRTNGKSVLTADSDGNIGVRDINSLENCRMLKCHGGPIVDFDVAGNQLITCGASFRAGSMHGDPYVKIYDLRMFRHLPPIPLSFSPLISRFLPSYTMGKIILVSQMCQVHLHDLNDNSGMPLVIETGGIPLTAFAFSPSRQVMAFGDAAGNTHLYADREDAVLNENAVQSEYADMMAVHPLSFGIDDVSHSLASIPLPFSYDDSYLSDWPEEYCEYAYRKARPLPEYTGVRSHHTVQYATNPRAGTPLARFHLCPYDLEKREVKEDWSSRDSDGVQTEEEVEGLVAVPRFYRPCVWKQAIKGGDEVRREKEEWRKISPLQTPSLLRFNRTSRVPIEYNNGANFANPLIQSNNLMRSLRASSFCALSSSIAPAEKVQHLLQYIFEDATKHLSPADLSHLTSMTSFALTTNTRCIRCSSVKSEGTDLPFLKISYPNGDPSFCSLLEKSMNGRKSKQAECTECDTTTRIEETLRVRCLPPLLFFDANPTHSNYASFWKNMLTKCERRPKYANPPQEAEKSEKVCRWGDDCRNRSSCKYAHGSAIEWEEECKRWMEEGAGSWSHYVPRRFYARISGGIAALQEHEPDSNDTTESNAYDLVAVVCVVSDDATAPSWKYVVAECMEEWSAEGTPESDASKTWLIINGIAICRVSQDEALHFDHRWKLPLVMVYSKRKSLVMTATESRMSIPLEVFARDSSLTGNDDLVRERRLLDLPKKGDIVGIDAEFISMSKDGSKKCVGRVSVVDASGEQIIMDDYIVGIDGEIVHDYLTQYSGIMEHDLCRIRSTKHLTTLKASYVKLLHLIERGVIFVGHALFNDFSMLNIHVPNDQVRDTVQLFRLPQQRLISLQFLAFHLLDLKIQESTHDSVEDARVSLQLFRKWQQLQEAGTLDSTIRWLYEEGKVANWRLSTGSIGSNSLPITSSRESLPTTPTKGGTPVKEDSPMSKSAAAKAELEAKALTVVPEYMVEQNRPYSAIDVYNNLRQEYGKTLVVKALEHGVSIGVLKEKLLSKQKIFYANQDRLPVADEATLALLDKSIAERSETYASLSAKYKIVHTELASLRSEETTTRLEEMVEESKAEILRLKDRVQLLEVARASTGVDAETEARDMLAKEMGLQKAAVKRKRMACDIIDAIREGSNMPKKQLFDSIGLEIAEGEKV >PPA02623 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1681189:1682904:1 gene:PPA02623 transcript:PPA02623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nud-1 MSDHERYDAVLLSLAQQMAGGVPELFDVLFGFLSRKTDFYTGGGVDQARSMVLGAFEKHGAAAFKQEEQKKREREAQAKRLEEARKLAEKKREEEEKAKEPGPSIVEVTDEEAAEFERKQKEKEAEEKKKDDKKEPSSDDEDEKEKKEAEGKITPNSGNGADMEKYCWTQTLNDVEVKIPMRVTFPLKSKDLIVEVNKKHLKVGIRGQPPIVDGPLRAEIKTDTSNWIIEDKKSVVITFEKLNNMEWWSYLVEGDPEINTQKVQPENSKLSDLDGETRQMVEKMMYDQRQKEMGLPTSEEKKKQDILKKFMTQHPEMDFSQAKFN >PPA02332 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:346310:349379:1 gene:PPA02332 transcript:PPA02332 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLPCFFLLLAVSFITAANLTCPNPPSNKPLALANIATAAGQIPGQIIYVFLQARLFGCEVEQAQMALNLTGQFVTEVIGWTKKIDPTQIPSDAGDVLNIIAQFTTNVLLKWPACWGAEALRVIQALIDSITCDVKFVRYGVDRGNPLVDSLRFASQAFFSLGVTLTVFYHAAGFKGNTVMYSNPVQTSFTELVKGLHDGSRLLMTKSAKTFTGDQNHKLVAMMEPNAVYSMSLVKKPCELNNYLNLPFDLSQVIFIATANDLSTIDGPLSDRLEIIEMSGYSTPEKIRIAGKHVTPSQLETHGVCPDYIRLPPEGLQHLVSRPIPTGWFADLSVSAQHGIGHPWAYGQPWFYRSSWQP >PPA02576 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1434751:1437028:-1 gene:PPA02576 transcript:PPA02576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tbc-10 MSSDEAYANASVIYSNGPELSRNTQKVLVGGHPLKKTTMIWLKNYDQQINMVFTLRKFRQYHTIECGIDVGKEFLPYCVRQPGDAKVIDEIERDLDRQFPQHELFARSGKYAEGGKEDLLNLLKAYTVLHPEEGYCQGQETVQLDGDILMQILKEKNKTCIKVIFRVALVILQGTFGTNAQCKKYNDLSAILTRLRNLPSELVEEEALMKKVIDLRLDDGEMERLHFRALKLKETQPLKLVM >PPA02272 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:43570:44178:-1 gene:PPA02272 transcript:PPA02272 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFPAPPPPPPSSETSETETGLKPVGAIPKALRRRGPPPRQLETTKDPLTVANSFAALCDEYGSTTEPMNTEPKVPEGEPAMVPPQPAEDWWGED >PPA02449 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:850717:851748:-1 gene:PPA02449 transcript:PPA02449 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCSDSALFPRPTSTTVDHWDRELNCCCLWSRLVVVSATSFCPIEEQLLYKGISPHVGRHCYRQWLLGRGTFEYNIRKCVLTVLY >PPA02613 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1638271:1640149:-1 gene:PPA02613 transcript:PPA02613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lec-4 MQQNISLPYTSKLGAPFIAGQSLNITGTTNNDTKRFEVNLLAGGTEIGAAQAYMHCSVRFDEGKLVFNSYTDGTWAKEERQSLPFHKGDTFNLRMRVLEEGYEVRCNGEKVHVFKHRKPYGEVEYFQVKGECTLTNVHWGGKFYEIPWETGFANGSLKSGQRIFMYGAPTGDRFNVDLIARNGDILFHFNPRMPEGKVVRNSWKNKVWGSEEREGPFPFKKDCGFDLTIVNEPFSIQLIVNGEQIGTWAHRTENPAEDYIGMRVQGNHLHKCISLKQQWL >PPA02265 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:16814:17567:1 gene:PPA02265 transcript:PPA02265 gene_biotype:protein_coding transcript_biotype:protein_coding MFAMESWGSIGEDELFCRKVGSETHLLDDLVERSLNGSPASDQLQLDEVNALPNADSPASSVENIQVIPCEGAAAATKERRSAPKILKKKSSSSVKKEATFKNLKKVTFEIEKMVANEAYEGSDRDDARARLAIRLGATPQPGKSLNYKQLKEDRAQEKADKALMAVNGNLVNAMSLMKSKNKKSGVKKGKITKKDRD >PPA02609 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1614768:1617865:1 gene:PPA02609 transcript:PPA02609 gene_biotype:protein_coding transcript_biotype:protein_coding MLITQKPEYARKIEEDRLSPFSNDEVLLNLADKKLSNAVVYTAYTYYNFAIVLNSHIERALACESPRLQPAVQRLCELSSLVGKAADIRVTDEEQKSLSAFTKALLARRAADLILVHHATSSLNTKSTPEWKVCVSALSRLALYWLAACKHTTPATSALVALEALEANSIRKYLAIDEQRVERLLQNPECAERLGDACGAACPSATSSPSRSGLSFTPTLISFVPLGGFERCIQEAADMEAPPPLSEEKYGKCIGALSGTLENSMVLRRLVNVMRIDSVMSSGSFIDSLFSSLPAYPHEASFKVLFNTEISRFDIKCYLLFLTGWSRVCLSSPLSSITGASRLLSPAPLPASILTQHQITLWNALVLRAQKRFTGATEHALSVQGVKKVTRLMTAPPVPLMGTLFYTIREDSTGEYVTVFYARKIIETICCSDPSADRTVFRSPRGGIDFDTDDETRLLNDASIFISRWEMRKGDWIAAERTLLMCDEDEKIVEQLIEVYSAWMKALVVIGDLNVIGEIEKKLNNMKVKLAKLSPSRSDKENNEGRTKDNLTCMKENGKSRSPAISIEGDDLNRSCASSEYASAPSTPFKNGTIFGAETPTFHTPGGRPSLWPGAIQRNLFIQATQNASSTPKSSRSSAVIESREEEVQTDMDDTRAVCIIVQSQSDV >PPA02316 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:284112:285632:1 gene:PPA02316 transcript:PPA02316 gene_biotype:protein_coding transcript_biotype:protein_coding MPITDFDRHIIYSGIVFFNVVGLFGNFNVLYAHYRLTKLRTKYAMLVTSHTFCLLYELVGLVYDVFGAPIIRHLAGLVFTVVTIFSLFFISLINYLDFAGHSKDVLEKAQAYMVFSSLTVYSSTYYESNCKKIDSDIHQQRI >PPA02356 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:442063:445202:1 gene:PPA02356 transcript:PPA02356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-arl-6 MGFFSTLSSIFGVGKKSCNIVVVGLDNAGKSTILNALRSEDTRVSQVVPTVGMTVTTFSGTGVNFSAFDMSGQGKYRNLWDAYYAKAEGIMFVVDSTDRLRLSVARDELWMILDHKDCKKVPLLILSNKSDRPDSLPVGEVSNLLGLDLMRNRPWTIQQTSAINGNGLPHSLEWLSKNIKEYMNGEKDGSAQRFLFTAEFVSEGHPDKMCDIISDTVLDAHLAQDPNAKVACETVTKTGMVLLAGEITSKAVVDYQSLVRNAVKKIGFDVQVSYAIGVAKPLSITVISYNTSPLSELELLSIVNDNFDHLPGMLMMDPIYEQIARNGHFGHEAFPWEKPKELKIKPELLAKLKARDHVRFWFA >PPA02627 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1703143:1704128:-1 gene:PPA02627 transcript:PPA02627 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLSSPLASSLPPLLPLRFSSSLPHLGTYVHLMILSILFILQLSSITSSTKSSCDGRRSAYCSRLNQRQQPIRKDEGPSGNGEIIPWDGGGTEQERRTNDRRKSVSAGEDLENALPSGSLPMGSMSSGSTLVGEVCRENVDCVQGALCEEGRCNCMLSHVQIDAYCWKRMNPEESGCTYDAQCEAVSPGSSVPVVSSQSVAAVVIDLLQRREKDLSVIHKVIK >PPA02477 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:955291:956686:1 gene:PPA02477 transcript:PPA02477 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTNWLVLQQEPHRFLDQVEFLVDHLEFFLLTLILIFLPASCDTMRDIHIPQQGLPPPSSLPPTARATPFREDSYHPPHKLSLGSSESTDSNAPPEYSFCAPPAGSLFPSTSPLPIDGATAADTAALSVPFPAGNEIITNGFHEDEEMECD >PPA02607 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1603742:1610391:1 gene:PPA02607 transcript:PPA02607 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESALLSALEGCKPSPPPNRRAGLLVAGVAGGIGLAVSLAAIPFLTPALRKVCIPYVPATPNQLANVVRALGRTGLTTKELGPLIDLGSGDGRVRMKFGEQLSSHLTPEWRKQYINYESLKNMLYECMLEVPSGEDEVKREEFIAQMDERFFAECERELTSINLFFSQKIAEAQGKYHELENELAQFKVVYGKGEGDDNGGEALRKRRFGRRDGAQKEQTKTAQQLKLAFSEFYLSLVLKHDKLTGNEKGLEWRINKVEKSSFFLNRDIEKLISEVETAVINELEGGNRQAGMKRLKVPPLAEKQSAGTTFSLGLFIGCTIVLLVAIVLTWLAPKTDYNNPKWVAVRLFRGPFLAFLMIFMCGLNMYGWAQAGVNHVLIFEVLAHLYAHLLNIPPFLPPLILILICAILIFNPLAKPDNLFHRNSRFWLLRHCFNCFTAPFHFVTFTDFWLGDQMNSLVTSFQDLQYMLCFYATEIDYGWDNGLIMNVRTINATSGVQMPWTYVDLSTGKDMCMSASGIRSFVGILPALVRFLQCLRRYRDTRRAHPHLTNAGKYSTTFLVVSMGAANKYYERMEPNATSPFFYIWIASYIISFTYTFLWDVFMDWGLIDPRSPKDAPFLREEMIYGNKLYYYGAIVQDFILRLSWVLNVSLGEAWTLDADLLMCITAPLEVFRRFIWNYLRLENEHVNNCGQFRAVRDISVKPIRKWGVANVHCIQGDLDALIAKMDQMDGVTHRGLDLVERVKKQKKTAKHTRQLLKKTRLNKVMECAKQGIASSGVELNSILVAFSKWKGLTSRSSLSAPVSFRRKDIFKTDVVSYKTAVIFGAESLMGDLVPKLSEMKGGSRLLACRFPLPENGDWQMMEQIGEGIDAVTGVLLVNRFFLRYPTAPLWAVFGLCSSAMVFPTAHGFYKWSTLSKEQFYTYCQERNALSEERQKFGSNLWFPFMGKKFDERPVESSTVSN >PPA02308 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:229489:230591:1 gene:PPA02308 transcript:PPA02308 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPKSFITEFPSPMSCAPVSPIPRISTEAKEHIERVLKMGEDSVNRGDRVTHCCISCRIEKPRQRVAATACGHAVCRECSHESKTCPECDTPTTFVSLIGDEDRRRECVICLVDQPYQRVFFTACGHSICTCCVLQLDEDNFRDEQPVDCPFCRCQSTVVVLVEEVIEAANLPAIQPEPTISQKKSSSRKRSRTNRHDSNTSNKRQRRA >PPA02638 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1752931:1758696:-1 gene:PPA02638 transcript:PPA02638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ida-1 MKCASPVDNLFGQCFAPEGRLPPPTVLGDLNEVQLELLRLELTRLAEQGNDWADTRSQCVLAYFKLSMAYDLQYDPEFCQARDPTNIWALIQLIEMGLKEEPELVENNQDEDVIVPVLIEDGDEGDDEELQKRLAETDALAAQDIDDLSDNQVEEIINELNSPLPDIEQEAIATLPLPDLSTADAAVVSAYLQDIINQKRPDLSQLSDEQLNVLIASILELKAEYEAKDSADGTEEKVEEKKDGVSPGEVEEPIAVDEKSEQNMLKKDVEKVGDVRTGLNPDVHNIVKGRRSDISRVVGNRVYLKVNIKEEEQLLPLIEFLQNTIALPNNLIFDDFNFDDGQLSLRISRAPQEIIEELDELKEDTNEKASQPEEIHPKDKRIDTVEGVAQAVYKRRKDIAQFSGAEVAETGIGIGEESVPVANNDQEWFVVPLLFVSIFTITSLVGVMAVHIHKRRRGNYAANIRQLADAVDGKSDTVYQELCRQRMSQDPIQSKTSSTSSWVEDEKAAIDISTGHVLLNFLQSSLSEPAKIDSQWETIKDYRDKTKTTATAERNASGNRAVMPYDETLVPITIDEQSTSAEEYLNASLVYDDDPRQAAFIACQTPLGTQFAAFWRAVWQQGVNLIVNLSTLEESRQDSYWPERGSECHAEFEIHLVSEHIWSDDYLVRSFYLKNLKDGQTRTITQFHFVSWKQNEAPSTPKSLLEFRRKVNKSYRGRCSPLLVHSTEGAGRAGVYCAVDIICQRIQRGVKEIDVAASVEHLRDQRPSMVKTADQYKFIYACVAQEVSALLKIGRDQENS >PPA02581 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1459212:1460471:1 gene:PPA02581 transcript:PPA02581 gene_biotype:protein_coding transcript_biotype:protein_coding MRFCPYAQRVVIYLAKKNIPVEVVNVNPDKPPNWYLAKIPKGQIPALEYNGLTIWESNVFPASNVLPKDPYLKAHQKILLERLSSVSDSLFSFFAATTPQETAEVDQKLHEALRNAENLLTDKFFGGPVVGYADIMLWPFVERLEMVTLSPFSSFRYFPGQHYPKFGAYMARMRNEPEIKFGMRSLAQHKAYVDSFDAGNPNYDYGIYQKH >PPA02425 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:698988:701385:-1 gene:PPA02425 transcript:PPA02425 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLISRVKWENDVVKDEGGVGKTSICFNAIDRHFIANDGKHDPIVTWEGNFWECTEDVHDYAEFSIETLECVTRKIARALRERITGSGRLLVLLPNVIQLPLTVLAAERLGVVPVVVNPISISHERLCETLEAVKPTVIVTLDGFFQGKTLFATKMQLDEAIKESKISSIVEILVVGHVPMTEGRDSEWSKVLSAMDKDVDKKMSLVWKGLPDTVIEYPQWKDKPLLASVSMRKVLECADKLADKLPPGPSLIVSTHNDDIFTLVALIAAMIAGKKVVFFEGALDYPDPARISFMIKKYEVETLVIDSLSYLDKDYTSMVSVPSLSTVITKEIEKTPTVFSSARHLTLAGWAKG >PPA02399 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:612056:614202:-1 gene:PPA02399 transcript:PPA02399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pad-2 MSLWCLLVTLIVWVGSRTEAGEDKTDTVSTLDTDRYSLPQDARYVLYDVNRGEGFNLRRDVHMRIAIAVKKLREKGVNAILVLPPWGGLYHWRDQKTVVPSPVIDRIVYLQQFSEGWNGEYVMKSEKRPCLDGDQFYEKEDGLCRMIFDLFRKGWFYGKEDVRARDLECVSFQGDADTFSDLLAKNYSTL >PPA02618 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1659726:1660722:-1 gene:PPA02618 transcript:PPA02618 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSLLLSISALIAVVNGQCGAADNARCTTWVQGGFCASTFYTLDYRKSTCGSVCNLCPTTAAAACVGTTENANCGSWKTNGFCTNPGYTDAMKRQYCCRTCFTPVTTTPNPTTTCGVVYEGDTVVVNSAPTTAAQALNYQASVTKVFVKTGCTLKLYTDPFGGTAASGSPFSGSDTFVTLSGDATASISYECTCP >PPA02325 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:324177:325016:-1 gene:PPA02325 transcript:PPA02325 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIAVLTRNRTTAPDIVEPDHNALLKKMCDDPILVTMLERYTVLGPTMVDRPCQFRRIPVPIDSQILNNFDRTVMQNFMFSKVYTDRRTVERINLVLLKFFDQDLSPSLGSIILCDSSWLVVFNCRILV >PPA02582 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1461291:1461827:1 gene:PPA02582 transcript:PPA02582 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLMRAATLNELELLPQKQFKLPQPLSPKRVPSDVENIEETSFVWDWPFASDRIAQGAYTKDKFIVCLPFNKGGTGEKNGTTKKFERIAESNFSWSLGLSRDAELNSTCFWRLELEVQRAYNSIVLIASRYDY >PPA02362 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:470121:476907:1 gene:PPA02362 transcript:PPA02362 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEEVRERFPLHFAVWNRDLEGLVTILNDRTDVQIDSVDPRGRTPLMLAVTMDQEEIAKELLKRGANADAQNKDMWSVSHEAIATGNGLLAKEVIMHRDYQRSLRVAADMKQHLDKLKESPDFYAEMSWEFASWVPFVSKLCPSDTYKIWKRGSSVRIDTTLVGFEGHSWQRGSQSFIFRLEDEVNPQLILIDHEAGSATIQSLVADETLGYFEPTEDATFLRMTSPISTTYIDIEKIGFERSKGGILSWIGASEKKEKVAGYDCKVFNASNVELVTKTRSEHLSEADRARFKQDENSNPLSSILNMVRTETGGVEGTAEHVGEPDLIEYFDEEATMKRDIGRQRNVMRKSNSFKATLWLAEQYPLCLQEQVAPIVNLMSSSSAHFSRLNTFMRMQLPAGFPVKIEIPLFHVVSAKITFGRINEPGQFVTPLPAGATVPSGADSTDGVPLLAADGASPAVEIDPRVFDIPDGYRTLDDRSSSMLWWPDEGGSDGGATTGAPARRGASRYSAQQQEDMLLQLAIEQSLLENAAPSGGRRDEVEGGIAAEGSQAPRVPRRTAVTFADEDQMLELAIRRSLEEHQGGPSTSSALDDIPDLAPSPEASPSWARRDLTAGEYLRVAEREGWEEERDRRPVRSVHEEEMELALKMSLEAAEEDEKRRRERRERGVSPEEDDDEVMRRILELSMTEK >PPA02457 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:886422:887362:-1 gene:PPA02457 transcript:PPA02457 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLVGVVSAGALVATLACLFSCSVLVGNISKLQDDINGGMSEFREVSEGAWSRIIALHLNPTGSSKAVPTFSTLLGRNKRQADAQCNCGPQSRGCPAGPPGAPGQPGERGPDGKDGEPGRQGANGIALAATFDIPGGCVKCPPGPPGPRGPPGPVGPAGPLGRPGYKGPAGNPGAPGDRGESGPQGAPGNDGRPGSAGHPGRDGVTYTPGPEGRPGNDGRPGPLGAPGKQGEPGPDGQPGKPGEQGRPGRPGRAGQPGGPGEKGADGAPGSDAEYCPCPSRNAYFKA >PPA02451 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:859985:860571:1 gene:PPA02451 transcript:PPA02451 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALSLTVTDTGSAIVKFVLRSSVNEEDEKREELEEYQGRFLIVAGSIKICVMKEILMTTADDEDDDISFVLCFERFNEDGSIPNDPIAKCHLKHSIIDMRRRTNDFEKLTVLYS >PPA02267 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:22687:28911:1 gene:PPA02267 transcript:PPA02267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pat-4 MSLSTHYHAHKPNVPIIMEDVFGWVREGNAFQVRVWLDDHEHDLNVGDDHAFSLLHWAAKAGHVTIAEMLLSRGARVNATNMGDDTALHLASAHGHRMIVVKLLMRKADVHATNEHGMTPLHYACFNGYEQIAEDLIESGALVGVCNRKGLTPLDVCQPQCVQTIAEVAREHGQDPNAKAAFKDQTFKGTKSRTRDATLSRYTGVDVGSLNLITKIAESHSGELWRGKWQGNDIVARIISVADVTPRISRDFQTEAPALRIFAHDNICPVLAFANQPPNLVVISQFMPFGSLYNVLHEQSSVVIDHSQALRFALNIARGMSYLHSLDPLVLRYFLSSKHIVVDEELTAKISMADTKFSFQEIGRAYSPAWMSPEALQRSPDQLNIRAADMWSYGVLLWELNTREVPFADLSPMECGMKIALEGLRVPIPPGIAQSMGRLLNICLNEDAGRRPNFDQIIPILEKMSS >PPA02565 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1385622:1389489:1 gene:PPA02565 transcript:PPA02565 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGGNKKKKPAARKDKNKVLQKVNPFDLKFTKSKHNVLGKNKNQAVGAPMKSRKRALDSREKTLALEYKSMNKSNKLMDKRLGEKDRTLTEEEKAAKRFTEERLSSMKKKDIFNLNDDAEEETLTHGGRALTAIEKFDRVIESDDEDEGMTSEMAASIQFGGGDLDKGKPKDRKDLIAEMVSKSKQIKLEKSNAKDEQMQLTENLDGRLKALMMKGSLIQQKKEDLKKKIRDDYDDILTSLKFTNDKVTAPTNAKKTEAEEAEDEKKRLEKLEDDRKMRMTTKTSSTHFSADDDSVKRKSERPRKENNGFELIFDEEGKQINKKVDKNEKRRLTFDEESDCDDEELEDEEEDLEELMESGEDEDEEEEEVEEPPKKKSKKKEDTKKKNGKIVLADLPFTFSAPNKYADFMKLIDSMETQCIPTLVERFIPEAVSFLRGCLCLAVEGETRVPSTVFPVSFPHSKMLVVDKPSSGSITPIVPEEVFTEHAKKGLVDTPLNRIRVLKVVIVLLRKFMLIYLNHPTTFSIIFKPLSSLLSRIPLSHLPSDLKEDIVDLISSMDSNCKEHEKIVHVSRKKGEQNMLMMVEPAWDDDFDPENKFKNRQNAPDAMSKKMSKMIKKEKRGAIKEIRKDNTFIAHKKAQSNAALDRDRKRKTKRLMASLQSQEGEHRRMEVKKKWQKR >PPA02552 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1325416:1327729:-1 gene:PPA02552 transcript:PPA02552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ced-4 MLGEAENRVLRRLFIDYGEDIDTKGLVTFLEIKGVITEEKADSISCNDKLSNREKQNHLIRTLIRQSSEGLQPLVKFFEQNSVNQRHISEWLSGQINEIQNGGNGRKEETILSEMKESRVPSVKKEVKREEMVAKVKEALSSFNNDDAFFVVLHGLPVIWMMDHHENSKDLTRFMSDLYVYLMDSTELSGKESPPIDKASIIHLTKLVGESLIDHPNTLIVVDGVMTRETVSWMDHLNVRVLATTRNALLFEDVSQPMKMIKVDGLEELEVAEILKGGREEEVDRETVKKVRELSEGLPHVVKQLHKLAAGKKKELNYYISRIDRSGILSLPNANSYDKETLSATLENHYSMMLPELKEPLNSLVVFPPTVFVPIEILSAVVPVDVVDNEDVIHELSIVMERLAKLGWVEVKEEETEKERGEVYRVPRIELMRTG >PPA02472 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:936857:938067:1 gene:PPA02472 transcript:PPA02472 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEGTEFTLNKYGFKKILEVIKKGVPSAKLHLNREVKKIDYSSEKIVTLTTTKEKFDCSSVIVTVSLGYLKKHSATLFTPHIPPPSSTMGPASSTVFGRGLTFDVTPWSRKAVQFWFSGPAIEAIGEMSDEKLIDEVTEHLKKTMKNVTVPRAKKVVRHTWYRDPLVLGSYSYLTPNAVALKEPNLKLAQPIMGKHGRLLVQFAGEATHPTIYQTTIGAFLSGRREAERLVREKGIKWNN >PPA02366 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:489125:492491:1 gene:PPA02366 transcript:PPA02366 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGVASVWEDADYARVRNLCDGLDGWEEVYKKGSRAIYMQTIGTSSVTMFKARISWPDVSAQQLFDTLHDGDYRGKWDKHMTKSVDIGIINPNNDIGSVTPIRPRDFVLQRSWLDAGKEKLLCSHSVCHDDYPPMAGHIRGTTFLSGYLIRENGKGCDLTYVTHTDPGGKIPKWLINRVSKVMAPKVLDKLYKAALGYPEWKAKNRPNWKPWRYPDQLVDAPRISLDKCEPRDYVQEVMDESGSEEENENESD >PPA02566 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1389553:1390740:-1 gene:PPA02566 transcript:PPA02566 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGEKFAMKKIKLVEEREMAKVKWEIDMHLKFGSHANVVPLICDARPEELEFCLFFPFYSNGSYSPIQLPYKRRIDRQTRTLPNPKLVFDLFLAIFYRTQVLSWMEGISSALSMIHSQSFAHRDIKPHNILLTSDRKPMLTDFGSSVLMPIHIGDARESQLRRDEAAEMSSMPYRAPELFTCEIDSDVTVAVDIWSLACLFYSFCYFISPFDSVYEKGNSIALAVQSPNMIQYPTEAPYDDEVVDLIKGMFSVEFVMQFFVVITET >PPA02292 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:165852:168058:1 gene:PPA02292 transcript:PPA02292 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPQEIRVRCRACRFDRCVEAGMNPLGINAVTDPAANKLIQQILKKRGTRTKDACGNKPSSSTNQALLPRSPSQPVECTIDQTLRELLFREAAHQKLRRSRFNPNPHDTSLTMSWVLQEPSRMGIEYGLQDIPIPYPVHPLHVPYIPIEIRLRDRIKFAKPQPNAKFPLNYKKWIMTDLLYSIEWLKTFSVFQKLTESEKRLHVKAVSRMVALFTAAFWSHDERRSEVTVMPDGIILVQGELPREAKMDRAFHFEIIHRIRQLRMDKQEYVLLKGIMACECVHDGFSHLSRMMMQCQRERFTNALFRYLITRRGMEEVLFF >PPA02498 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1099131:1099554:1 gene:PPA02498 transcript:PPA02498 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHRLEARARKTGVSSEALVDLRKAIPFTRDVERVIGDDVDGCWGVGRCLGTGQRKVLDLTKYFEATPE >PPA02585 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1477171:1479704:1 gene:PPA02585 transcript:PPA02585 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSAKEEFEENVKILLNRVVANIDYVEEMERLSEEFGRDLVEWRTKGFRADLLCVLADAVCKECLHLDSAVHRAHTTTHAFSQLGSLIFSSVRNGFYMEIRKKRRTSNSFSSGGSSSSRRDKKKGDDGTSKDIGTSIIDTEVSEWWSH >PPA02610 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1623239:1625404:1 gene:PPA02610 transcript:PPA02610 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLGMDKLEKDHEEERQEEKRRKENEEKGRKEIEDEIRTMNEKMTERRMNLSTPKREKTPARSVQTGLSMHRPLGATPTVDELPSISLAPSLPRLAPQQQLLQFYLQQQPGMVATGPVPSNMAQPSQVQQLLGGVSGPASVQSPGIQRMGEMGVNGGWPAPRPLFPHNGLTMQTRAEEQPKTESQSLGGKPKSAFEMAFEKQKMEKKEEEKEEKGIHKGCICCANEEKQKGMNGKGFGIAMRYVMTLWACQGLSI >PPA02434 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:736031:736452:1 gene:PPA02434 transcript:PPA02434 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLADPPADARRLCTSQCTIVIGKKKDQASSMLALRSAGSCSDDLLRRMVGIAEKRQESIVSALEKKE >PPA02414 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:663823:665348:-1 gene:PPA02414 transcript:PPA02414 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRTIEELLFLEIAHQRLRRSKLNPNPHDPSFKLQCVLECPSRLGYDFGDAPAAGELILFPARHIPIEIRIRDRIPYPSWARKPDAPPYMKPWLTVDLFYTIEWIKTFEFFSELSYQEKRILVRAVTRKVTLLTAAFFSYDTMKSDVTVMPDGVVLTRRDIPKEALQDRDHNFGLIDKIRNLEMDKTEYVLLKAMIACDPTHEDLSSTARTGLQEQRDRFSKSMMSYLLAKRGLVDGPAAYVEILALIAWLSKIIKRGKENCLLLSALGLKGPDIPVLRL >PPA02383 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:557698:562962:-1 gene:PPA02383 transcript:PPA02383 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMKKPIENTTPPQFLEVKENDVIIVPTNDDEIQMGQRELEYPISDASTEDEIDREEEFTPDQAQIEAVLKRYGLQRDGTLAKYDQIFDKAEEAANFTLIEGKNFVQSEVTCVVLFDWFGGLTYLDCNVIARDEVQLLATEHPIYEVPVANRAQNIFEQAKMNFPFIKRKREKEETTAVELTCQYLRDDREWSLVRVDTHRSGREQIGLIPSAYVLPKSLYNAHMKLFDDPGWFLGDQTFKAASDYLYHPYQPKPAREGAFVIFSPPAMNLDPLDHKCFLMLILIKKSSEELWKILGAEQSAQHDSARDYAFQSDRAILDDHIAMGLRYLRCPLIEKVLPITRDPSGAYIFLEHRYETLFDLVWDGATNPNADFKLAYDALDPKLRPSFIGAAVEAPPVSGRDPHRLPMRMEQMSVVYNHRSLPGDVLGYATKTTLDPKSGACQALMKPTGGLSDGAMKKLKEHKFKEKKEVEGGKKKKDDVDVQLKMHRNLFIDDRNLRVDKDKPLGKGEFGIVFAGKLSIQMSAEFVEIGGERVKRSRSNEKLSRENLKMKENRARAGLEGAAPEQPPSGGGEKIIRPETHDLLKGDSTKEQFDSSEVEVAVKCIPCKVKNRAAWMNEVAALQETLHPNVVRFYGCSINFEPQKVALITELVKGGSLDKILQKITAETALTSNDCADLLGQIARARISNQFHNDEVICSGMSYLHCLEPSIVHGDLAARNILLTPHPIDQSKLIAKVTDFGLSKMMWPSECATYDDQKTLPIAWEPPEVHRGRELSVHTDVWMFGVLAIEFFVSANGGTPFGPNAVKVPYMYKEGYRHERPRHLRCPEFVWSAIVKCWEYDPTMRITFKELSEYFPRFYMDGEQDHLRSLFKRYYGL >PPA02429 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:710065:710725:1 gene:PPA02429 transcript:PPA02429 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRINLFLVVLPAVPLMGMFIFTTNNIENLAILGDIDNFPCQQLEHVSTLMTLVQIVSVTTFFWLLSLALDPIFNVTSDSKISSLLSLYGLPGGVRRSRSTASHLSHRSFTAFTATAAPRVILPAATV >PPA02561 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1376961:1377439:1 gene:PPA02561 transcript:PPA02561 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSSPPSNKAEPVSPPEPQRVVSPASQSVSLGGRTVTGAGQAGFAVKAIYDYTAADKDEISFIEGDIIVNCAKVDDGWMTGTVQRTLQWGMLPANYVEPYKQPTGLHRIH >PPA02630 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1710098:1713540:1 gene:PPA02630 transcript:PPA02630 gene_biotype:protein_coding transcript_biotype:protein_coding MIWSEICAADCEGSSGLIDRLVKKSAEIPMTYPDMFISTSKDGTSLTKLYLAHVIGGVDTDLDGAITFARSLRMGFKLNEETTNETMRKWRVNFNQQVDTESVTNVNLAVDKWSYTDFVYSTISTLRQSYSWLLISAVILIIFCIVSVFGRNAYQAKPLIGFSMALVLIAVCTATASIHLMSIGIVFIYSFHLSWAKFSAAAMHPGEKLAFLMAHDLPGPVLTAVGIALSSLAAGLFTPISLIRDSFLLLSSGLLLLIPLTVLLISVAVYLSGKRESQGIKWYELCRTGDNQFCAAFLVHPNFRYPIVILCSVYLFVAVFGCMNANIDLKEEYFLPSTSTPSKYMQHFRGLFGRTEEYLELSFDRSIDYWDDHNRHAIISDLLEGPVNDQYASRAVSWLTEFTRFEKSSIYDISHETFVPVVNLVFLPSDQFKRFSNRSIKNVP >PPA02394 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:599515:600137:-1 gene:PPA02394 transcript:PPA02394 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRNTNKNGIRSLIAIEMAMQRLKTGRYVAIDDIVRELRCLRSHAIQTDTQYLYIHKVLVEAALQLGAGNLSKSPRIRASPACMVPPSKDSLTLPSSARNAGNSFLKKCKSKSELF >PPA02278 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:103434:104491:-1 gene:PPA02278 transcript:PPA02278 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPPPDWRPPRPPSAGDPMLPHRTMSHRYEDEEENYEDPETFARKAFSPFDSPQHRTPGNSYEISASQQRRSMMRDSTLQSTLSDEDVYLNQPPSLIQKNEAGTYFIPSGTREISKL >PPA02369 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:497706:500427:1 gene:PPA02369 transcript:PPA02369 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSTYQHVCTVLVGVATLFRFIGYDMATFIVESLVHSAHLRDPTAIIDHAGYYGQAVKEISSCLSTFIVPIVLNYIKPKWALVIGSALFAFYIGCFFYINNFLYFFANIVMGIAFTLNYTSFSTYQMQFSTRKTLARNSALVWGIASLSLIFGGGLYIYVTSTHADPSGAVDEAAVGQKYRYYSEEETRTLCGFLFAACIASLVMHATFPSREVANSVASENPHEKLTLKEQVGAIASVLVNPYILMFIPRFLNHGLFFSFYMNIYPTSFQYSTILARRHPMLTAYYAFAMCAGTTVCGLIISPLNRRFHDFGLRPLYYITIGVQLTTYVIAITNSNVIPPFLALTVPNWSTARPTSDGAIVEPHLIWVCVIAFLLGFGDATNTASNTIISALTFNLAVKQVEKREEETRNEKRSMYA >PPA02574 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1428243:1431995:1 gene:PPA02574 transcript:PPA02574 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRSLLPLGKDDFSVVTEVVTHKLNHIPIFKGDFSSLPPKVQRFVAEKAELMNPAGIYICDGSQKEADDIIDKLVERGVLTPLKAYENNYLCRTDPRDVARVESKTFMVTKEKYDSVCRVPEGVKGIMGQWMSEEQFGVELDARFPGCMNGRPMYVIPFSMGPIGGPLSKIGIELTDSSYVVLCMRTMTRMGTKVLDSLGDNDFVRCIHSVGLPRPVRQKVINHWPCNPEKVLIAHRPKEREIWSFGSGYGGNSLLGKKCFALRIACNIGRDEGWMAEHMLIMGITNPEGKERFVAAAFPSACGKTNLAMLEPSVPGWKVRVVGDDIAWMKFNKNDGRLYAINPEAGFFGVAPGTSHKTNPMAMESFQKNSIFTNVAETADGEYFWEGLEKELKEKRGYNDEELKHLEITNWLGERWHIGDAGKSAHPNSRFTAPAGQCPIIHPKWEAPEGVPIDAIIFGGRRPEGVPLVYESFSWEHGVLIGSLVKSEATAAAEYTGKQVMHDPMAMRPFMGYNFGRYLQHWLDLGKAPHKAPKIFHVNWFRETSDHKFLWPGFGDNIRVLDWILKRVDGVEDIAEKTAIGFIPKRGSVDLSELPRINWNELMSIPKHYWEEDVVESRQFLQAQVGPDMPKVLYDQLDQLEKRVKEIAVCL >PPA02546 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1294451:1298483:1 gene:PPA02546 transcript:PPA02546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mig-22 MSGARTGLPLLGGILLGLFISYSLFAPPDETPLVCPVARAPEFIDSSEFWTVNQEKTPQTPPADTKQGSQVARARFAATELGIRERILVLVWAETGRLAAALNGTLAKHVSRVVALADSVRLDVEMSLLPSLVPFKSNSLHAHTHILNAVFNYTLQENYDWFLIMKESTYINPFALQEMINKMSWSEKVILGVPDSSNPGRCVLDAGILLSNPTMQMLIQQRHVCNNMLAGSDEQGMEMCIHAATNVSCRTEHQGREYRFWRVSGTESPHEEIQRWSMETPSLNSSLSVGSILSTADASALHDHFIRVEVDKVEAEILKMEEEIEGMEEDLPDGPSWPIGTKPFAHAPNRYQVPVWEFFTATEIFKNEPNQNVRPITGSDKEDIDEIIESAKRKAEEEEGDRRVEFVRVSGGYRQFDAARGMDYMVDLVYRDVNNEETIERRIHLARIIERTTLVNTVPYVKEDADLTIVVPIAEEVEVLPGRRLLARQARLCTSPTEENRHTRVVVAVSARVEHKSLIFIQNDLEELKKRCKRSSLEASLLSVSSDNPSSLHAAEALDEAIDHFGPQSMFLLLSPYADIQKELLDRARINTIKKFQVFLPIPFIEYHPTISGMDLKDGEKPDDESTRQRALGTLKDAAPPQRTKGLMVQKEHGRFDPLDFSVVCIYGSDYMEVRPRLMNGKRIDVGSAFLNMPPGVHILRAIEPALRLRYHRRECDPDLEEDDLLRCTQSRRENLAAKDQLARLVFNKE >PPA02530 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1247743:1248367:1 gene:PPA02530 transcript:PPA02530 gene_biotype:protein_coding transcript_biotype:protein_coding MTPMMNPVTGTAIRPYISRCAVCEVPTQIIAVHSQDTNIPECPTGWNGMWTGYSFVMHTAAGGEGTELFPSLSATVVERAITTPPTTASGWPSSERCAAAWR >PPA02506 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1117244:1122513:-1 gene:PPA02506 transcript:PPA02506 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVRVKFSLDKEVEEELDVFLPPAPLLAGAHVSGLPSYHDLYRSSINDSDRFWATVAAELYFEQGSTKGLEWNFDVRKGAPFTRFMSGARTNVAYNCLERNIKRGLGDKVAFLFEGNDPRDASKITYNQLLEKISELAIAMLACARIGAIHSVVFAGFSAESLAARIVDAKAKLLVTSDGVFRGTKPIALKPIVDKAAELAAADGCLLPKVIVVEHLKRVSLPDGGKAPQFGPSCSHERDEEWEAAMESVKGVDSRVEWMDAEDSLFILYTSGSTGKPKGILHTTAGYMTYAYQTTKMTFDVHHETDVYWCTADCGWITGHSYVLYGPLMNGLTSVFFEGLPSYPDASRLWQVTEKYKVTKMYTSPTAVRALMAVGDHYVTKYDRRSLQVIGTVGEPINPSAWRWLHKVVGEGRAAIVDTYWQTETGGHVIAPLPGATPLKPGSATFPCFGVEAVLVDSEGRLIEGPGEGNLCFARAWPGMLRGVWGDTDRFAKTYFSSFPGFYFTGDGAKRDEEGYIWVTGRVDDLMNVSGHLLSTAEIESALAAHEKQMEPP >PPA02588 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1495439:1497181:1 gene:PPA02588 transcript:PPA02588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hip-1 MEAQLGLLKQFLACVKANPSMMNSKELAFFKEFIESYGGTVPADPEPKTTKAEEPKKEEKKEEEDEKMEEPDSDVYPMGDADKEMGDDYGEKFSSERDAAMGAMGEGEYEKAIEHFNNAIQINPQSSMIFAKRAQCLLQMKKPAAAIRDASRAIELNADSANGYKWRGKGHRLLGHWVEAYTDFATALKIDYDDSVNEWMKEVESNAKHLKEYNRAVERQREEKELKERRERIKKAQEERAKAAKEQEERDEAMGGGMGGMGGMGGMGEFFAKMMGDPELKESMQDPEVASAVMEIMQDPSALMKYMGNHKVMSAFSKLKGMFGGMGDDEGHEHGEEGGCCGGHDTTPSECNETKSTPSSVPHTAPMPDLD >PPA02450 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:858584:863801:-1 gene:PPA02450 transcript:PPA02450 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSSNAGLTLVSAGSFVHLLDVDGRILSSVDVLPISKAVPLSKSSDGTERKEQLKFHDDFQLLTTSFANDSGLVAVGTSAKTVVIFDLKRESLVERRTFRIPKTPTALVFDRADAFIIVADRAGVVRKYSVDVTAKENKEHIDEDDTDETDFEGDFMCSAISMMLDVKFSPCGKYLLVTDRDEKMRVHRYPQTFVLHQMCMAHKDYVNTVCTATVGERQLAFTAGGDGVIIAWDYMEGVEVGRSEPFGAGSVTRLVVLLNNSSSVVELTVTDGEKIEFKEVKKIEIEGRVMDITVPKEGQVAFVSSTGLGVVTLSDSSIVWSDVSEEHKKTLGEVKDPIPDMTKKVAFDNMNEYLQRKQKKLEGRNKKRKNGNESISEPPLKRVEEDNEDTVEETESHFNRMRKVQSTKSGIVHSTYDVKQSESWEVKCERTNRLFHTYSVKFDRFAAGGGITGKWIGVADMNFRRTEAAGCVHNGFFYVIGGGDFETYEENEYAHCERYYPQKDSWSMIAPMSQERRGCSAISFNGRIYVAGGHYKGGDYVTLRTVEAYDPNTDQWERLADLTIQRQKPTLIVSDDCRTT >PPA02513 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1148740:1149299:-1 gene:PPA02513 transcript:PPA02513 gene_biotype:protein_coding transcript_biotype:protein_coding MEELIDRMQLEPDLHRDLIFESLPMIFVNGRYFGNDATLMQQNESRSLSGTLRDFHGRTQSSIIFRVDQTVLLVEELDTPSVSSVEEVSSFHYH >PPA02403 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:633481:635892:-1 gene:PPA02403 transcript:PPA02403 gene_biotype:protein_coding transcript_biotype:protein_coding MWQDREIRFDVDTRMLRLIPGEFTLDRIENVEDTKGNNGDRGIFRITNLRLIWHAQAMPRINLSIGLNTVAGIQSKKASSKIKGETESMYVTAKAPQTRFEFVFTSLGTAQAVDLSNEEYYEVKDRIRADATRLFSTTQSINRAYETTRLYRYIFYINLHQTNQFKSSGIRTSSFSELKMRGPIVDEDGRLRILPGEQQVDKIDGVWNLSSEQGNLGVMICTNLRVVWFATMSVGYNVSIPYMQLANCRVRDSKFGLALVIDTTPQSGEYILGFRIDPEEKLKNTCRSINSLSKAASLKPFFGISAYFSDGLVSSEPRGPVFNEDLGLAVEQLRPGFSLAQLWEVHVE >PPA02443 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:827505:828354:1 gene:PPA02443 transcript:PPA02443 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGFNLNTIGYGIATKLGNPLRSTLNLAVLYLQEKGELKKLEDKWWYDRGQCDVAGNVVLSMVTALVEFLFRKRLEQRDNEKNRSNARKNLRRDLLSVDERVSMRPIPPRRSRSEESSHSLGSHSNPGIPRYF >PPA02339 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:374256:374767:1 gene:PPA02339 transcript:PPA02339 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVSAQSHTMKRKRDYLEIISEKTGEVLADHPWKFITGSVIVSILLTIGLVRIRLETDIRESFSPHDSDTARETASYLEFYNLTRFPQRTFMHFSATDGGDMLREECLNEVERSVAST >PPA02264 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:13950:14635:-1 gene:PPA02264 transcript:PPA02264 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPSAFNDLEDLSLRDHVGWVWYQRSEFIPARMSKGRTYIRLDSVNYYAKVYVNTILAGTHTGGHLPFELDITQFIVFGQKNRITVAINNTLSHHTLPQGDVKATGYQDHIYQRGFMDFFSYSGLLRPVRLLFLPKTHISSQSITADAKG >PPA02303 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:214621:215239:1 gene:PPA02303 transcript:PPA02303 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAFLLLVSIPVSISIKCYIGEGAGDNNQGFYLTLPCGDDNAYCYKLDLYMAGLHTVTKSCGYSDLCPSKGCHSTTGGTECCCKGDLCNPAASNSSLVSAMTAAAAVWLRL >PPA02460 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:895220:895530:-1 gene:PPA02460 transcript:PPA02460 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEEIRHLDQYKKKFISVEVVSHEVVNQLLSKSHVEVRDKIGINFDAYV >PPA02407 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:643303:643976:1 gene:PPA02407 transcript:PPA02407 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLNAQRPGVVYILENQRLLERLCDDHTTAAVLNKNNLLEMGEVRPGCELVTLTVSSQTMVEWNAYNINVYNFGKPTPSYYMYALVADRKLIHYVNQVILRMYDQDRFENFWTFRHLRSLRNLPTNDTQVLDYKPMNFLRFCV >PPA02261 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:4133:8915:1 gene:PPA02261 transcript:PPA02261 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEQGEDRVEAFRQMVLKYAGIETETGQAVATLEQILCMTPRGRYDIKVYPNHLSLHGKTYDYKIPTKSITRLFLVPHKDGRHMYFVISLNPPIRQGQTRYHYLVIEFVKDEHVDFELGMTQEQLNELYDKKLDREQSGNTYEIVSRIFRALVNMRITVPGNFVGHSGTPAISCAHKQASGFLYPLEKGLLYIHKPPMYVRFDEISNIHFARSDVSTRSFDFELELKSGSTLVFNSVEKEEYNKLFDFINNKGLRIRNAKKTEKRETDRYAGSDDEHDAYAETVKAEGKEKGGDSDDSSEDDSDYDLDKDLEKRRKLKEPDEFSGSEPDEEWDSDAGSESGSGKGSDDAGSGSGSGSEDEDEEDEERPKKKKKSEPKERKEKKSKDDGEKKTRKKKEKKDPNAPKRGMSAFMLWMGEARAGLKKEGDSIGDVAKKAGELWKTITGDEKKKWEKKAAEDKERYEREMKEYKKNGGSAAAGGSGGASTSGGGGGAAKKKTERSPTKNAKSKEFVDSSDSGEDSDDKPLKPKKDATKDESDSSPTDSD >PPA02352 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:410267:412050:1 gene:PPA02352 transcript:PPA02352 gene_biotype:protein_coding transcript_biotype:protein_coding MIWTGLSLLCLLILPLAALIYCSAGKVASAGRDKAPSDKSASGTCKSKVSKPPSDTAPPPPAAAVDPNDNRTKWAATTVETSPTTVSKEFVEQLKSYAAPSRTHEAFDANIDKNRYNDIPCYDQDRIVLKTTPDYINANYMKAPDGVTYIATQGPLTETRCDFWQMIVQEEVNVILQLCKFIEGSESTENCAEYWPSGEKETTTVLDKFTIKKIDKSEEIAPGTVRTKLEVKGKTTTRTVQHIFCDAWPDQLAPNDPATIIKIWKYVKANRAGGPVVVHCSAGVGRTATFIGRTARDMNLTPRSPQSAVFLETFRKQVDRAMEKKEKTERSNALTRVMADEEKRRRVESISFLIHN >PPA02471 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:935434:936602:1 gene:PPA02471 transcript:PPA02471 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCNLQKLVQNTLGQKTEKFRVCIIGAGFAGLSAASDLEKAGVNYIIIEGAGRVGGRVYPLEYEDGFLQYGATYINGEKNPIYEIAKANDLVDLKATNAADDYVPIYTEKEVIQGPSKQVFSCDVREFSAFTESFVSKYRALSKNGHSKETFNQAFDEDYAVFLDVLKS >PPA02590 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1509113:1510124:-1 gene:PPA02590 transcript:PPA02590 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSNNRSLNRYHHDEIVYENYEDDYDLPLRMQIQHQGELKEKESGKFRRSRSADGIIVDEDGEEEEVYEEISDVEDTEYETGVVEDPSRNPYRHSNNTHKSPTRLAAARCIACNQCYTEKYRLFRWPRNEGIRKIWCDLLHIDFDNIRSSLDSAFLCASHFSSTDFICSSDFTQIHWSSQAKPRPPSECLDPYQWEKL >PPA02532 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1251063:1251895:-1 gene:PPA02532 transcript:PPA02532 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISRKAKELGVRNAEKRKRNDSSSVDENESEKKKRMKERREERGRREGKERREERERRESSEEAGTSREKRNDKDNEKVEKQWCAFCADHRFHTAINCSKYKTWNERRTRMNILKLCIHCLDKFDPISCKRKEHQISCTNGKE >PPA02260 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:2714:3783:1 gene:PPA02260 transcript:PPA02260 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFLEFNDVFVEEMGVLAQGSVKLSDSSVAFRNESTGKVLTAPAGELHAVEWARLATTPGLRLIFEAPDDEHRGMQRTNLRIGGFKEKDQDRISKFIESNWNKRVKDREHALKGWNYGDARIKGPNLEFSVDGKLAFEVPLQNVNKCVAAKNEATLEFQDNDDAPVALTEMR >PPA02545 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1291306:1293291:-1 gene:PPA02545 transcript:PPA02545 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-arx-3 MNKTGPVQHWNLGIGPINCHAWNKDRSQVAVCASSHEVHILEWKNGEWVTIHVLNEHDLPVTGIDWAPETNKIVTCSQDKNAFVWTFENKTWKPELVVVRINRAATCVRWSPLENKFAVGSGDKLVAICYYEKENNWWVSKHIKKPIRSTVTCIDWHPNNVLLAVGACDFKNRVFSAFIKEVDEKVAPNPWGTKMPFGQLMSEFASKGWVHQTLFSPSGCRLAWVSHDSSLTLVDANDTKEAKGHDCSPVLYAVANGDFKQVCKLDVQSAQQSTTSSAREMFQSIDKRATSAKVDTQLKSLHQNTIMQILAHSGAPNNVVKVTTCAVDGLIAVWDLKETIEFCRNANAQVAH >PPA02376 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:535147:535773:-1 gene:PPA02376 transcript:PPA02376 gene_biotype:protein_coding transcript_biotype:protein_coding MFALFTLLLLLLGSSLSLYTSCSFDAVLITEGAKLNVEMSSTADMHQYFSMYRYMRKVMNDPEF >PPA02455 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:880630:883483:1 gene:PPA02455 transcript:PPA02455 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLCPCVQTGQSQCQQFDRRYQAHTLEDAMASFNDLTLDRTSIPPSTVPEDEYCETEDCQNCRLFLQGKLKQNTIFTHVVLIVPIQIGLMEETPLEAFVKTDLTNKTCDRYRFSTPEGQAEEQGDIGPFSSEESGSDELEMDEPKEEEKDKKKKKKNQRHKRQSVTPPVTDAGVIGQRYTISCTKRGASTDASGRVSLCSSCWVWRKLPAEYVPPYVNELICDTSDTGCLSGYATCSVGTHTIDVVKNEALTAGSYCECKPNVSYQF >PPA02521 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1179141:1182452:1 gene:PPA02521 transcript:PPA02521 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPPVISFIQHHLSFPTELFTNNMTSEKYLYFAGPKGELYKTWVQNLSCQGNPTPGVEYLDGFGTLIDNNGQMNVVKYSMLPPSVSFNDFQDGKSYPSTQKTPSIIDANIHAIDPYHNEPTQLHLASFTKTSKAVNNLQEDISKMMESITCFEGFTDQMLRLAIEIAYEDKVSLPRKGTYVRHLYGRLREMFGDHFTSVVIPQWEIAICRVALDLNKHSPSSSMVELLHLINIIWECPCGSFPIAKNVAIGVLADMAHVNAKTSVQQWVQDEVIPHLKKSCIECEHIPSILAYFWKVRDQLLKN >PPA02319 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:291431:292548:1 gene:PPA02319 transcript:PPA02319 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSTIALILLSIDNSMATVPSSALVLYTTDTAPLARFRETTRRVTVGSDPQVTVTLEQSWDEYGVAGVLWDSATVLVDYLLKSESAVDLNGKSILELGSGLGLPSIALSLLSSPSRIVASEQPLGLPLLQRNVEVNRANVETLVLDWADPSSSSISSTHFDVILGADLVYKEEAFEPLINTIETLAAPDTVIYFASRIRYPKDRKFYRNLAARGFRVNRLLYDKETDVYVYKMQRE >PPA02259 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:189:2229:1 gene:PPA02259 transcript:PPA02259 gene_biotype:protein_coding transcript_biotype:protein_coding AQPLTDKEQEEREELLTQGLAHWSRRDFTQFIKANEKWGRHDMENIAREVEGKTPEEVMDYASLFWERVDDLADNEKILAQIEKGEARIQRRHSVKKALDAKIAKYKAPFHQLRIAYGTNKGKTYTEEEDRFLVCELHKLGFDRDTVYDELRHSVRMAPQFRFDWFIKSRTGMELQRRCNTLISLIEKEMADVIVEKPAPTPATAKGKKENGKAPAATPATGKGAAKRGSTATGRSAKKTK >PPA02344 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:393895:394889:-1 gene:PPA02344 transcript:PPA02344 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDVTEFDQIQEDEELRKVKKHRFTALVICFIVTGILLSAAIGIDHWGTDSAGNKRSILSVRTDFGQYTSGYSAMPEWRQWFFTITMMAIVAPPVLTFLIYVFTIVWAKNVKIFGLFLAYTQVIEICLLWTMIGLWCSLGDRDDFHFGPSFYITIATLVWTVIQMPSIPFER >PPA02468 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:926716:927174:-1 gene:PPA02468 transcript:PPA02468 gene_biotype:protein_coding transcript_biotype:protein_coding MILGNIQRLKINYDNTEDCFKLVNRVFIDEENAEEIDLSDEIDSSFTDLADVLKSILKSTESGHSYYSITKSRSDKKIKIDHLSDPFDIRKCLGEFQELLKNSSQ >PPA02372 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:511033:515546:1 gene:PPA02372 transcript:PPA02372 gene_biotype:protein_coding transcript_biotype:protein_coding MTENRSRTVVRTKEVVRENTPSLTDEERMLQKKYDHLRAKFDRWQYLKSTDKENPETKKLQAELMVEHDRVVALLSTLYPADSSGASSASSQRSSPQAPRNGHNGQMRSPSANKETERRTEAPRLEEKTERKKREAPVPNPPSPAPSSSGLSSYSASSSLSSPNSGSKYTRDLNDNLIRRPPKESETTERRKKSSTGETREDRVQKTNGTTSRPKSLLIPAPDYDTTPDYRTTSTLNQSEFFGPSIPYYNNRARTTSDPKYEQRRKESTSSYGSSTLSRRDQPRSQLEWEMAAQIRAEEELKRTRERQQHIRDSTPSSQRSSDSSSYKTMSSGKSNGQSEARTVVQEAGRLSLDTTKQAKRMSRESPDVVYGERSFTLPKKSSLPAPPPLSNERVERIQEKTPRLQARDEPRVPSITRPSALPPTPPVVVKTVTTHEVIRPTPIKASPAPPPPTPTATPSAPSQSVMRDVPVKLVGPAKDRPVQSYNSPIAPQSQPQSVHRSVATLPVKRVVEQKPQPMMMAKEEFSTATLKKVGPPREQSAVALGRVVDTPVKTPSSSIPPAPPLPSILPTVSSPSTAGPIAPPPPPPPVSSIASPRTAITAEALRGVQLKPAKERPVHQPSVSSPTTPQSQADVKDQLMAAIRGGVTLRKVSSPAKVQ >PPA02541 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1282917:1284001:1 gene:PPA02541 transcript:PPA02541 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMEKRKSEDNGTHEENERQEELYDEIVRTPARYVNALLRVERQSEKSGEERLKEKERLMKEDERNRRNQAARVITRNLRKYCIRRSIEKYGKRKVGLKRRLELIGILDERLAKSVDMRRKSIKEIERRRAERDDVLETLVKMGAIRDHKIGIYKREMAMVADLPPPGKSSEEVLNMLRTMRNSLRESIAEINHRKEMKKIENMVLGL >PPA02280 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:121124:121831:-1 gene:PPA02280 transcript:PPA02280 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEQHTGEQLDLEGLKRCDVWAFGIVLWEMVTCRKPFSGIQDHAIPLVIGAKDEKSHPVLPNECSCEYLINLLRRCWCSKAIERPSSYSIATVFMRNLIFDFEDVFNHGDQWKEECERWTRASEE >PPA02556 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1353827:1355802:-1 gene:PPA02556 transcript:PPA02556 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQYLPELGISVLNSLKWSSMMDDLFLGDDGLQCPNAIGAAKLNQLKVNFSSLSKSIGRPYQFVQKLTGSRDDESGCELSEELERVMGAVRERVKTRMEMALSLTEMEKGRLEQLMEREGMDMVYGVTLKKVQTIDDEEFIAKVPHSTCELLKSNELDPFVHLSFTFITSIGEIRVLCALWSDFPSSSVLTSFSSEKDGEGEVMSEENTQVFKDGQTFLREDWTPDDKKDAMTCLVKELMGIVAKMGGKEEKMELFSFLLNEETKMGSAESKVNTEAIQKEITSNPVMVYSKRGCGFCTKAKNLLNEYKVEYKVSELDEVQRMKPDEYQTYVNGLVYTSRMTSVPQIFICGKFIGGFTELERLVEAKKLFERIEECTGENGKMWN >PPA02473 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:938656:940764:1 gene:PPA02473 transcript:PPA02473 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWLGLLALTHFAMGQDSPVCIIGAGIAGLKASSDLEKAQIPYIIVEGSSRIGGRVYPVQFEDGYLQAGATYINGDNNPIYTIAKDNGLLNITATHEGDDYVPIHTQNEVIQGRDLREFTDFADGLEAKYEKFAENGRDEETLAAAFEKEYQKFLGKNNRSDRRSRFDSLARMYLTDEENEWAATMSNFALENYATFDDGSEDGTEFALNKIGFKGILDAIAANIPQSKIKFNTIVNNIDYSSGYLKRHSNSLFTPSLPQRKTMAINALGFGNNQKVFFVYDRPWLEKVQYRTMGPSTSPIFGRGLTFDVTPWSRKTVQFWFSGPAVESIGGMSDEQLMGEITTHLKLTLKNITVPTPERVVRHMWYSDSLILGSYSWHTPASVALGDANKMLADPIMGTNGRPLVQFAGEATDSTIYQTTIGAFLSGQREAKRLTKK >PPA02603 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1579442:1581900:1 gene:PPA02603 transcript:PPA02603 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cgh-1 MEVATNGEDQAWKQNLNLPPKDKRFKTAIAIIVTCTEDVTETKGIDFEDFCLGRDLLMGIFEKGWEKPSPIQEASIGIAMSGQDILARAKNGTGKTGAYCIPVIEKIDPNKKKIQAMIIVPTRELALQTSQICVELSKHIKLKVMVTTGGTDLRDDIMRLNGTVHLVIATPGRILDLMEKGVANVEECNVLVLDEADKLLSQDFQGVLDRLIAFMPKQRQIMLYSATFPLTVSEFMKKHMRKPYEINLMEELTLLGVTQYYAYVQEKQKVHCLNTLFRKLQINQSIIFCNSTQRVELLAKKITELGYSCYYIHSKMAQNHRNRVFHDFRQGNCRNLVCSDLLTRGIDIQAVNVVINFDFPRNAETYLHRIGRSGRFGHLGIAINLITYEDRHTLRRIENELRTHIEPIPKAVDPKLYVADFQLVEDGLAAPAQQTKKTEETA >PPA02283 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:128489:129138:-1 gene:PPA02283 transcript:PPA02283 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQRVAQRESTDSEKGYKNWKPELVKSMDILDVDPDLVWQKFGTFGRYQILNLAMVSAPSLIYSALMMKYTVLDRCTVQNIDSGQNHTCLELIGNATRFDYGKNTQDYTITTQVS >PPA02329 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:331090:334887:-1 gene:PPA02329 transcript:PPA02329 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPNAVYSMSLVKKPCELNKISIPKPWKYLENFDVEIMQTYMMSWNYTKRGTGEAVNQVLLKLYPQDLIETFWTNRYLLSMRNKPSLKPPSTVLDDTFMPMSYLNLPFDLSQVIFIATANDLSTIDGPLSDRLEIIEMSGYSTPEKIRIAEKHVIPSQLETHGVCPDYIRLPPEGLQHLVSGYTREAGVRQLARQIASLCRHAALSIAEAVNTNCEADCLPDFSLPIVYDREKIGDVLGPATFGDRSTDLVDRLRAGFRPGMVFGLAWTPYGGELMLIEGVAATTKSRDGKGRVIMTGKLGEVLKESVDVARSWINANAERLGVDSLLGKDVHVHLPAGAVGKDGPSAGCALVTSLFSLASRRLVRSDTALTGEISLTGLVLPVGGIKEKVLGAHRAGIRRLLLPEANRSDAMQIEQSIKDELELHFVRDLDEVLQKMMTPSSFVLSKL >PPA02599 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1544757:1546311:1 gene:PPA02599 transcript:PPA02599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:H3DYV1] MGDHYGFSLTTFSPSGKLMQIEYALNAVKNGQPSVGLKASDGVVLATENPSSPLYEEQAKIEKISKHIGCVYSGMGPDARLLVKRARKIAITYEDMYGEEISTTQLVTRLAAVMQEYTQSGGVRPFGVSLLIAGWDVEPGKPLLFQCDPSGAYFAWKATALGKNDTNAKTFLEKRFSETLELDDGVHTSLLTLRECFDVGMTEDNVENVLMGDCDD >PPA02411 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:653822:654684:-1 gene:PPA02411 transcript:PPA02411 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSKFQQFNDITGNLPTCNCAHTEVVSNSLLHEATYSRYRCSRCRRHGVEAVKTILSIMDLGMG >PPA02336 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:364217:367174:1 gene:PPA02336 transcript:PPA02336 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFQNAITWAFTCEQNNPFTRELPGPNSIPATSAATMGLLLAAAAAAVIYLVYYIFDFYRWVAKYPRGPTPLPFVGNLLSFDSKSLHLHFNSLSREFGLVFTVFIPVPMVVITGHEAIKEAFVIKGDSFAHRPNYPFDDQMAFCENGGVISSNGDSWRENRRQAISILRDFGMGKGLMEEKVKLSILEYLRYLDQIKDKGAVDMRWPVQLMVANIINETLFGYRYEYDKCKPLIDYVEAFNKTL >PPA02519 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1169990:1176877:-1 gene:PPA02519 transcript:PPA02519 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRTSDDAYRFNADLDLRKIMCDNQQALADQCMNKMETSKNSLVVENDADVSCEVVAADLSAVISKADANGCPDSVNSVFCQINSKKKEIETVGACDGKIAKCPTTFHACDKMQSCFDEFYQGVEKAGVKNPLPNYPDYAKNMKNKFENKNGIDQMCRLQTSLHACLIRTTDKNCPLNAASFRSMYNMNYEQAYDYSTDFELRKQQCMNVDGIKNEGACLTVAKPLLNVCQPYIPHNLTLGQSCTDLRLAMKCNNFAVRASSCPAETQKMYCLTQEIIYQQGALGFCDGWMPDCDDLILTPADKPGSKVNKKEVVEAVTILETPPMVISGIVGYIDTPNGPRPFKTVFAEQLSEDFRRRMIKNCAQTPRFYLWVQKLLKHRNKKAHIMEVQLNGGSIADKVERAKERLEKQVLIDQVFAQDEMVDTIGVTRGKGFKGVTSRWHTKKLPRKTRKGLCKTTKAPIVQSSTSVAVPDTTPTPTDGDILAILQKIKDFSPPDLGSCEHTKACFNDLLTSTGFDSDFFPSYDEYQSTLDANYGSGDALSNFCGTFDVVSHCFNQEIDSCRTPTVFASLFNITSDDAHRFTADLDLRRIMCDNQEALADPCMNKMSTFKSSLPVEIGADEVTCEVVAADFSAVISNSDANGCSDAVNSAFCLINSKKKEIEAAGACDGKMPKCQTAFHACDRMKSCFDDFYSGVDKAGVKTPLPNYADYS >PPA02312 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:270666:271235:1 gene:PPA02312 transcript:PPA02312 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSFAAQQVYAIQQHQLLAAAAAAAQQAAMLQGAYQTSSTSPTSNGSNQENNPTGKSPGVDEGETSTSDGTPNLNQILTIRLLMQGKVR >PPA02634 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1720736:1723893:-1 gene:PPA02634 transcript:PPA02634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tlk-1 MGVCTPGSSSTPSDVAIDTSTGYSTATAAGGQSYVNHYSNPYHSYLGGHLGQQASTSSALHSQSLPMSIPPPPSGGGYMRIHPHSQASSMQQQPQMEMQQAQSMEQQMYNGGGGGEMNPPLQGESSGEVPLDRIVFAAPSGGANEYSNLSSAGSISDREQPETPGRASNSRPAAEKKSVRKRRKGDDTPRAEKKITDFIRSSPKRSRVGSNASSFANGGGGGDGMMGGAMDESNHNHWPSSTVHLTASSPSRITPTPHSSSDSNSSPPTSRDGFCLPSRMDEETQTDLPDSRVDAEKMNEEISKRDRAIEELRRQNAELEKTINDKGTRLDACKSTIKRLLIEQNILERKALREKSTNDNPRIGCYKLQRMGDVFRETWVDGYASEELEKRMQRIVDERAEISNASASLKKRKPVSKETKSSRSLTAQMNALAENKSSLSDASRGGISSMTSSVGASTPGCSSSTNDDGFTRPELPQPPLTLMEYQEQEEIYRLRKDHLKKEEAEILIEREKLERERQLHIREYKRSNNERDSRYKDHILLNNRYVLLSLLGKGGFRWEFN >PPA02527 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1212346:1214472:1 gene:PPA02527 transcript:PPA02527 gene_biotype:protein_coding transcript_biotype:protein_coding MQSANSSSEVSLPEYSSQSEDDLPCHAPAWMQCGLFPPKRFPIYKRTADTSEMDRRVDSWKFDCEGLIAVYKNWNAIIKETIKESQSERTINKDRFTLLQKKHECLRMAAIRSTTRMEKYHQNG >PPA02592 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1513740:1515992:-1 gene:PPA02592 transcript:PPA02592 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSKISTEKGKVLLLYENSCTVIHEEAGCADEVDLEKTPPGLDCASHLGKNSSGRGSDFVMYFSARGDTELIGRRCCEKKEQMKMFGYKDDPLEATIIENMTKVEEPQEAVRKSGAIGTFNDVLRAIFDIQYVLNPKKYDIIWTQSLPKMKRDAQMRGLKAPSEVPPPRILPIPERNGLPFYEMKRNQTSRTAIFAEVIKHLTISYKFPKTGKDKFAQDLPVLQLKGNQIVIGDIRGRYVDLFRFFNSFGWPPQRSYLFLGGIIENEEDQSIECMALLAALKTTMPQYINIIRGVGETVPYVPGRRFPGVKGEVVGNALARLCNSLPVAAE >PPA02331 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:340377:345022:-1 gene:PPA02331 transcript:PPA02331 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGMELPVLLITQGVLFPEAKIKVPIRSKNNLAMLDRFVLNRSIASKTLILVAYRTESEKKVYDVGTVAMIEQVSCFTSSTFTQYTLHIVGVSRAKILDYALPVSRVKQIYSSGEVTEEKELKLYEVVQEFARSLSRDESTTLSNAMRHMIPERHVDEVVDLVASFIPRLPYEKQLEFLATVDVPTRVEAVVEWCAKHLESNPARPVRTSSPPKGITFHDLRENGKRRGRMIHNDNEEQQNPIERLAAKLEAANLPDDVRERIFEDLNRVKSSGGNGQESSMLLSYLEFVADLPWSTTTKDDVDIKKARQLLDDSHEGMENVKKRVLEYIAVRSLRDDGTGKAMAGPILCFAGPPGVGKTSVARAIAQSLGRKFERISLGGIRDEADIRGHRRTYVGAMPGRLLQAIRRSKTRNPVILLDEVDKLFAGVHGSPSAALLEVLDPEQNGAFMDHIVILALVKRTRNSFQYSLIAIISQFHSLLHPSFPLPYFSMMGRIVDFFSSIVDIFSSIDLSPSFQWNFESVSFVEALFLVQLLYCLLLFIYHNRKTIIQDFYNEKAEFTETREGHICPSSDIIFSLTNEDAEKVWVTGSFVGWSTLIEMRRDPRRPNEWRVRLTLPYGHHQFRFIVDGFWFIRDGIALECDDKGELCHYVHLPIDERRRHFERLHQSKKKAKWNMEKGEFIEAKDIFMYR >PPA02410 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:651019:652869:-1 gene:PPA02410 transcript:PPA02410 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLFILFLVLVSIANGEVDSDEVIDKFESIQEREQPKTVNISVLIHRIDNIGTPRDSVTLHMVLLQEWEDSRRKFKGSENIAVESANLNTSNWTPLLYFPSVLKTDPFTVYKTISPNRKVETRQAIQVTIPCRHNAWRFPSDEYSCQLRIESQHRDNVEVKIASPFKVSRIEHSSRADSTTKNEGKNMKHLIVDIGVKREAGSYYWFSSIQPSIFLLVLTWTSLFLNREKFILLRISLALVSLFAVQALNFVVNSEGRSSGGQGNVVDFWMQLSSLLIFIILIENVIIAILSTRKRKETEEGVYFTDRSKHSDVEKLPEAMDYLAKVFFPLVATLH >PPA02315 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:282230:283720:1 gene:PPA02315 transcript:PPA02315 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIPGVIYGGVVLTIGIFYADSEEIKLCQPPSSLPFSVRKVWYILALIFNAITTISYVAAFTIIYCKYREHHFDRQFDNSHIERKAMKSLSVLIIVFLLERLLLIFLMNVLEAAGFSVEVIERAQAYMAFSAMACYSSTFYVCFARSSEYRKIFWSQIVDTVGCCGVKLKEDRFGSSSIDELRRTTKHIESMSHEDQNDHEECISFHHNWQYECDLH >PPA02452 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:864657:871096:1 gene:PPA02452 transcript:PPA02452 gene_biotype:protein_coding transcript_biotype:protein_coding MTADRGESSPPPPSTLIVPLVMWASKPPPLKITCLQFLGQGEHILSGSDSGVIVLWRYLVRKLKIHSICAPDGITPRLMMTGHCASITALCPASLSHKSSRFISASSDGEVALWDWQDGRCIDAAESSYLHRRMIPYLNETPTQKRTIIICSGEYNDLVVLDPSDLTVIFYFNSRVEPDWVSSFLFVNRSGKVDSCVGLTMAGMIKLWRMVDLDRKTMDTPAYEDESKRLALNQVRDTSYDTVNESLMVVVCEHTWHVIDLDDLSVIVTGVSEGNLVSGKVIDVDKVAIAGNDGTLRLYQLPVTQLRGPQAEERFGPIASRVNVLEGNKMDRGRGGGAVEFARLDAAPKELYHEWLSSCSWAFLALPGGEYIAARAERATEEHEDGGGLLLWRLPRYDQDFMRKLASMQQQLPLKYKGTHENSLAKVWEQLQYEDEDNFPNLGDEHVTASLYVPSQGRMLMGMRDGFIVTRYICELISSRFLSEGGSQWRNSTRAMRAHRSSVRCLLHPASHHPRFDSNLVLSGGDDHAKAIMKCICAVGDDASIALLNVRDQKCQLLAARHPTPIDVIRFRPLDDYMLVRLKDGDVYVWQLETASLDRIASGMTASDMMLACDEVEEVKEVSDEAGATSAVQLVRALRHRNLQAVKKVGGGITHKDASPSTATPPSIATYVEDPTTPVTVFPLMGNDGAHVVLFDVVALINAINILDQEDDRIETLPSGVSTNSISRVDSERSLSARLSLQFESTLLHDLARIVLSLLHGWNLDEDLDRYMCYCNL >PPA02486 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1042802:1045399:-1 gene:PPA02486 transcript:PPA02486 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPSAYNDLGDDSLRDHVGWVWYQKKETISSRHNDQRIFLRIDSANYYSIVYVNSIEVGRHVGGHIPFELEVTKQIQFGTENRFTVALNNTISWQTVPNADFNYMVDRYPPGFFNMKGNFDFFHYAGILRPVRLLFRPNLYIEDFLINAQANGSLSYSFGLMGNSGYESIHVDVSDENGDSIYSNDKLTGTGKIEDAKLWWPRGLGDPNLYTFTVDELDRELILINCLRREYTVTVARLLMNSGRHLASRSVTCDEEKIYWNGKPFYCQGFGMHEEADIRGRAFDPVLMTKDLNLLEWTNANCYRTSHYPYAEERIYEGDRRGIAVIIETPAVGLKSFSDANAQVHAKMAEEMILRDRNHPSIFMWSMTNEPQTYRPETRKYFEQVVERARSLNVQGIPVTAVYGPSQSYNDYTADLFDVICVNRYYGWYIDIGRLEQIEHSLYNDFITWKQRFGKAMLITEYGAESIPGLHKDPSFVFTEEYQTDLYHRTWAALDRLREEKVITGEMVWVFADFMTAMDQTRVVGNHKGIFTRQRDPKKAAFEIRDRYAKSFQQ >PPA02589 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1498162:1501971:-1 gene:PPA02589 transcript:PPA02589 gene_biotype:protein_coding transcript_biotype:protein_coding MNDIQKKVHCKYRKSCYEKEEKEEKIEKKKDESKMEESPKKKAKKEHEKEDKPREEKKKIVDETSKKEKMEVKKSVVVDESPLKKEKIEEKKKPIEVNVKPIVRKDKKKEKIVEESEEDDEVEEKMEKRIEKVQETKKNSKHSVKEEKIVKKVKEEPKKKIDESPEDDDDDSEEEEEVLKKVIVTPSKSENRGNEEGKKPAPLPSKSLKHTKRSVKKEESEEEDNDNDDDDDKTPREGRFACKYRRSCYASGQLPEIRGIFESPVEKKEEEEDDDEEEENDERKRSIRRMQASLQSGDLPEIRSVFFYHEGSSVHDDEVIEEDEEDEGKLSIRRKLRCKYRESCYESGKLHNIESSSLFSSSSSVDKEEEIPFEDMNELQKKVHCKYRRSCYENGELPEELNPSNEEDLSSFSFIPSFEMPKGELEQTKELKCKYRKSCYKSGNLPEIGENSFDVYSVLNAFNGEKKKREGISTWCRYRKSCREEKEKRLKREKKEKKIDKKMEEKEIPKKKEKKRRAEDEKEEENKEEKKHHGKKEKKIEEKIEKEAKKTVEEVQEIVEEPVKKIKKSTHKIEVDIDEGIREVKKSMKKKGKEIKDEMEENKEEKEEKIEEVKREVKEEEAKLKIVKTEDVIPPKKEKKEEIKEEKEEKIEKKKEKSLKKSHKKDQRHEEEEDDHESVPIDIHQKKEYCKYRKSCYDTGEKPTIDTSTVSFFSALSEMAHSLDTLEEEEEKEEKEGGPLTIKRKLRCKYRESCYESGKLPKIESSALFSGPTSNDDDENGIPFDDMNNLQKKVHCKYRKSCYEIGELPEELNPSSKIQENEEEEDEEEEEGKISVKRKLRCKYRESCYETGKLPEVLNPTQGMEVSSDVKLSVDPRFDCKYRKSCYEKEEKEEKIEKKKDESKMEESPKKKEKKEHKKEEKPREEKKKVVHVEVKHKKEESKKMDTREEKKTKKEIEKEEESKEEEEEVQKKIEKIVVETPKKIEKTVEKKEKKEETNKKKEQKKEQKPVTVEMKDHSQIGPSVYCQSNFRLRG >PPA02507 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1124213:1129345:-1 gene:PPA02507 transcript:PPA02507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-brc-1 MFHVKPEANTSPPVSSDTAEIIRIVEAIKSELRCGICCSTLKDPLITTCNHLFCRNCYFECLKQLKTLSCPMCKQKLSRRLSFRNSKEVDIYTNIVSHYLQLAKAFTRDLQPLALKPADNFLESQAVVVPGSAPSPLRNFQSEHNFAMPFLPNKRRGKRSAGDNDGPSSKRKQLKLEAIGEEEEIQVIEPPPPSNEVKRETVSPPAPIEQITSATSSNVKQERKSSEPVEPPLRRSSRGKSLERKSEERAAAVRRSSRGKSAANEERKSPPIEAIPDNGAHPISLVPYSQSSSGSSTVPPTQYQREDRGAQTETVEKSDSSTQSEEKKETLKDEIVAARAADPSSSSISDLSLLLKIRPELSDLLYENVDEIRRLFFPPPPSSPVKKGRSRQSEVLAQCFATPTGYTDDESDGGKLKRENSAVITPVGQSIGPPTSIDRANTVAGRSMVGGGEKKDEDWIHRRPSRVNTDPVDRKGRNEKNWIMRKKEQWDREEKEKERMEKESEETEEKEESSEEGSVQAERGDEEIREEKVEEIVVDKKKEDEDWSDEEEDGKDEVIEATPEPSDRNDDEKKEEEVKEVEKKPSEVETVPVDPYDFDGANPPPVSPPKMRRNSSARSIKENGAKMNSLPKSGEISFDSFNESAVFDTVPDNGNVLPLAEINMGTMQRTPSRRSPRKTPLKKDSLPDSFDEECESQEGLVLSTCGVTSRNEEDVVNEFRGLFPTIKFVSHAEKGATHLIVLDSVDRHIRSSNLQLASAHASKCTVVCKEWMHKCIEKKKLLDTSSFGIVSVRKGEAVAWQRAKVEAPLLNGYTILLPNNFADSKALPRDRLSALILKCGGKVVDRPWKLPKSGSPVEAGAPLHNVHSMRSFILFSPGSADSDSAMRFERDTSVAVFTGDWLIDSLSLYSIIITDTDKYRVALRSLF >PPA02547 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1299411:1307654:1 gene:PPA02547 transcript:PPA02547 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSQDSMEDAIRAMNQKSKFRLINSGARFYKDHFIGVNEVVELVSDVDPKQPDADLEVWLGKKNQKLTPDDEWTEMEKKKVLTYPLGNISESLAIEFKRRRDEQIEKEEKEEAKKQKRRKKKEANEVTGEEYRRKRTDSIDGFIVSDGEIDPPRKGYRSKMDRERKRNIRRSREKRTFESSDSLETEESDSGRSKMGRASTSKETVVTTLTKRGRGRPPKDKSVEKSPKRKETPSRKEKDKKAEATPVRKGNRRVEESSDEIQSMRKSGGMQSKKNGKKDSSVNRKRTVIESEESQDEPMKTKRTGIKSKSVSLLFESENEEESIEEVSPKTTRTSRRNTLRKKDEIAKKKKKGISREESDDEEQPSPKRKIGEQPRKNANEKNNKSDQMMTRGRKSDVKKRQGESRKRKAKETEESEDEDYEMNEGEGKKRRSDGGSATISMRGRRNEKGKNERKDEDKEKRTAQQIAAEKAHKNKQAKKRREEKKKEGDSNKKVEAKMLSNNDRHVGLRQQAMIDERITEMNDDHILYTSRAGPRSRDGSVPTVIGSRCSSRGGSREGSPMEEDHVEASVNPSQPPSVKEIVEKLRKQKDEEERKEEKRREKEKKNNVGTPLPLPPTEKMRVKAKVWTKNNRDHGIVDTLPPSTSKHSNHAIARGVIPLNGLVRSGRGGRITVGGGGTRNDGASSSNSADLLANIMGEMDRDNRSLEPLKNNRAKKDRINPSVPRNNRPNMTGDMERFDISVPPINVPSNPFENPTEIGMYCPDGPLSSSFEDERREMRIEYRGGIGDDDMDDGMNGRRSQSMRRSMTPMNTGGDNELIDIIGNDCPRSLHSPIDDPIDDGGADIMYSAPASPEKDANTDGIPNPMNSNRRGMERRERLIVMQDPINRERASTILANIAENMWEDKFDDILWALDLKLTNDNRCYFDRMKRREFIIDLIKDDRIPSDSFFKGLHDVITWDATNMDRITRCDQSKLEMKWMNSRSSVHFAAAPIFEELEEGVTMRMTALSEAIRLHKFQWVSFLLMKGAIVNENITYATFGGPIISMAVHMVASRNLPGREC >PPA02350 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:407412:408964:1 gene:PPA02350 transcript:PPA02350 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVNSLLAMTFQFGNIIRNLPRVSYVKAIDVWMLSCMTFVFCSLLELAWVGYLSRDEEPGLSPPPPPVVTAKVTDKPPPSPLQVDSKYSTLHRRKMQSDEESALLSLKDNDYGYIPPGFGLNGNISSAMRSLSGRCSCEPRASTEHDESAFQFHLPRNGSIRGMEEPLLGPKLSIRQENDRLAMRIDKISSFMFPFLFSLFNIAYWWHYLRDPI >PPA02275 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:62584:67678:1 gene:PPA02275 transcript:PPA02275 gene_biotype:protein_coding transcript_biotype:protein_coding MKQCALIHQSLVEARNALVSANLSVQIPRKRGLKELYNFVPTKKFNPPLPGDKAVSVYMSGPRVFCAVYTVPNSSLHFACSLASLNDLLLAIFKEIRTLKSETNERLEKIEAEMTSVSAFMELAASNREQDDIEIAMIAKSMETQEGVMTQVEKGIQQITETMPHKLAMKYTLITEEEVNELDVMEDTTTIFAGKLADRLFSEAEQAMCVDQRPQHIVNWIIDAHPLPKTKRKKAERELLRESIIAEVELREGEVTEKRRRVIETRVDGEATKQLRKKGLINNDGEPVIYSVTRPSYRSHTPSTPMTPANNSSLFHDMEDDSLTHNRFSVSQTPVRAPNVVRGRGSIAARGRGGARLCYPQSVSSPPPGPSKPHA >PPA02360 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:465173:465681:1 gene:PPA02360 transcript:PPA02360 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAIEPAAATVPAAGGASTHTLTNSEAGKIMFKIKSSNNNEYRLKPVFGFIDAGATAQVEITRLAGPPKEDKFVVQFAPAPEGATDAQEAFKGATAAGDVTLPVKAE >PPA02426 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:701938:703084:1 gene:PPA02426 transcript:PPA02426 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAASLLSFAFVVFASVDAYEEGTVDYYLDNLSDEVFDAVAQEKFEALSDYAVDFVWKVEAEYDRNNQNNTAAEMNIKCLVGDLWRNEELSKEIIVVLCALRRNRLRKPDGSHRLKEDDGSARYYYELGDHGHNHEEEDHCDG >PPA02291 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:160602:164168:-1 gene:PPA02291 transcript:PPA02291 gene_biotype:protein_coding transcript_biotype:protein_coding MWDDDDGGCYTYLMAPESVDDWNQAQLEMCTTTPPPDHPSALLMQSRDPYFSPPSPVEQKLVMCIDAPCTPPNQFEFVTKHQHTPPLAASVPLESTGPFSAHLNLACGTVGSNCTSQFALPYSTAQFGIPTTHQQAPLMDGPHPFYAQASLARTQRQLEMSRGAPYTSPYIDAQPGFTTPPQQTSSPTDSSSDACDSSVHGNGNCNSPAVKRQMKRRTTHDWDGRMIENPKVHINPQNGRSIAWFFVLELLVDETKKEVAVWTGKRREFKIVDTVAFCRLWATHYNLDREIKWCSFERTLRTYYDRVILPVPSAENRNKYERGQYHYIIEPSVHLKWTVTELDQYIDKHAVPSLSSSDAPVEMRRRKGRVIKGREADSICSPSFLQWCPPPPPPSSSILYKYLEMNTTMTMLHRNRCTIQAMGAEITMSYTYISSHFSVYQ >PPA02438 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:761519:762918:-1 gene:PPA02438 transcript:PPA02438 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPLSAWVCVVLALSTINASFQFCQSDSFGWEWSFYAHGIAGFAFFTIWWLIYRDDPRQHSAVSEIELKRIHKNKSDEHKDAKKRDVPYWDIIKNKTIIVIWISAFLDITSTMLLLTYAPIYFNKVLKFDVGTTGVLVSVMSFAQLPFKTAFCITSDRITCASERWKMLFYNCSAFVFCGIFYAAIAITPWREVIVILFCLVSITSSANCGSFYKCANFVARQHAHFVISAIQFSKCISLFAGPALVALLVKQDDDTRGWNAIFLGFGGVLVLAHFSFWAVVTVEPAEFTKSQSDKKDRSVDSVDKMVHSAEL >PPA02560 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1374501:1375858:1 gene:PPA02560 transcript:PPA02560 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCAREECGKTVYQLEELKCLDKVWHKLCFKCTVCGMALNMKTYKGYNKMPYCEPHYPKTVPSMVTDTPEMRRLAENTKNQSQIKYHAEYEKMKGTKTEIADDPEIQRHKKNTQAQSTVQYTGEMDKRKIQEGARPQVVSTQDVTAAQKVVDASGPSATTAE >PPA02304 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:215347:219924:-1 gene:PPA02304 transcript:PPA02304 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVPDPKLFNSLQYMGFPMINGAMPKKEEIDYEDADYSLSAVYPGLTDPPSTSSLPPARQRVKRTRASKNRSQFLRYFTDSDDPSQLNCVICNVKVRYMYGTKKVTSSLRDHLTAHHSDVMDDLVDGDARHDQAQSSLLRAMANGDISLTSIDSPEMRAFMKALDPTFVFPVPELFTTVLDDEYKSIVTQVTLSLLGQEAAISVDDWKHQWGMTASFIDSEWERQFVVLPVMKNERPLRNLLSLTQHDYQIKSISAVITDVEGIQEMGSDGLQIKSFGCILHAVDTFVNESFANFEEGRNLIGRISTLARYLRTNEKAWEDLRKKLVDARCNEKSQLPIDYEGSWDSTLRMMKMAKVQMAHLDESFLAAGVVPLKGQECEMIDKIAYFLGEVEDFCIKMSTHDATLSSLIPYIEGLDRTIDEFKSGPLCVFHPHLRVQFNRLLAPSKLDPVVRRAYLLDPRFLHLGCLDAATRASDLNELFKAADEGFNSEEPEEPQKKKTRSNLLAKAFSEEQLLRGSHAQREYDMLFDIACKSDLAEDADICEFWRTHQDRLSRLSSIARRLLAIPPSSIPANRFSSQMGTVATQLAIVDEPSQPDQRRKLLFIGTDNKKRMLRFRDSEDYRAFFEDEHRLNDALQQKV >PPA02378 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:539497:540153:-1 gene:PPA02378 transcript:PPA02378 gene_biotype:protein_coding transcript_biotype:protein_coding MHETCKRCAPLKVLTKEEVPGGVGNLYKEFTSVTPDETGMCSKKTFVCNGNGVQISLGELDIVDGEPPDNMLDMMVTMEVTCKMDGTGWVYKFGAEDIPVTQTFCIIGP >PPA02311 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:235197:236441:-1 gene:PPA02311 transcript:PPA02311 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPRKSARKRQVAATEEQSMRLSKRARFNEKYHKSSLPVPEFPDDILRIIFKKLSTDERKPLGLVSKRFRSIDHDIGQRVFDEIRVFHLPFLRLCAMERR >PPA02446 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:837172:840410:1 gene:PPA02446 transcript:PPA02446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cts-1 description:Citrate synthase [Source:UniProtKB/TrEMBL;Acc:H3DYE8] MALSAHALRSSVRSIVSKSSTASFATGSTDGSTNLKEVLAKKIPAHNAKVKEFRAQHNATIVQSVSIDMIYGGMRSMKGMVTETSVLDPEEGIRFRGYSIPECQKLLPKAVGGEEPIPEAIWWLLCTGDIPTKAQTDAITKEWNARAALPAHVVRLLDVLPENLHPMAQFVAAVAALNNESKFAAGYARGVPKASYWEYAYEDSMDLLAKLPTIAAIIYRNLYRDGSAVGVIDPKKDWSANFCSMLGYDDPLFSELMRLYLVIHSDHEGGNVSAHTSHLVGSALSDPYLSFSAAMAGLAGPLHGLANQEVLVFLSKIVADIGHGYTEEQLKDWVWKHLKSGQVVPGYGHAVLRKTDPRYECQREFALKHLPKDDLFRLVSTLYKVTPNILLEQGKAKNPWPNVDAHSGVLLQYFGMTEMNYYTVLFGVSRALGCLSQLIWARGMGLPLERPKSHSTEGLMKLAAAAAKKA >PPA02597 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1536175:1537833:1 gene:PPA02597 transcript:PPA02597 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGKKKNGAVDKKAAAAAAAAAAKPAAPPPVPPVPKEAPKPAAPPAADPPPPKKEEEKKEPEPKKEEEKKEEEKKEEKKEEEKKEGSKKEEKKDGEEKKDEEKKDEEKKDEEKKDEEKKDGDEKKDEEKKDGDEKKDDEKKEGDDKKDGDGDKKKKFEAPKEGKKAAGADPAYQTLANLDNADAFGPAAKPVFKAPTAVKKADAADPQYQTLANLDNGETFGPGEKDKKEGSKKSKKEKKEGSKKEKKDGSKKEAKKDEKKEGSKKSKKEAKEGSKKSKKEKKEGSKKESKTDDKKEEKKEEEKKEEKKDEEKKEGSKKEKKEGSKKEAKEGSKKEKKEEEKKEEGEKKEGEEKEKSKVEDAPKEGEKKDEEKKEEGEKKEEEKKE >PPA02300 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:211892:212575:1 gene:PPA02300 transcript:PPA02300 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPWPSLYPTVPELIAANACEREDCVVKQYLNRPCNPFAETPKRPRLEWSELTNACRGQPIQKGVCPEICSVCGKKAIGYNYNVPSCNGCKSFFRRTVQHNRRFVCEQPEKCKNVNDFVVVLVASLVV >PPA02440 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:779879:781961:-1 gene:PPA02440 transcript:PPA02440 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLSSPHHRQPLPLRPSLPISTPLQTQKSASAGGWSKVKSMAGYLDDYLDGGCQPRFEGEPMRKAVQGRAVGSRWFEALPNEEKEELHEDAIVRFHELMNPNRRASRYYVTREGKKHKRLEVDYLNEESGILQAIRRSGEARAYLWYALYFLMVRLLMSTFLLLADLIMDPSEALFECVWGGQFSQCGPQREGATYALWAYIVNNAIAWLVCLVCTYLLPQYDERFNIFHVRITEFCMMALFSFGLLALSFEYYTYNGSTLRRVYEVAQNIINMVLTVIIYALCKISSWLTAHETNALGDAISNQVDHIQSTHEMAHEWEKLAEQMKETLENKAEKHRNTIWNVQPQPPLININKTK >PPA02287 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:141428:143606:-1 gene:PPA02287 transcript:PPA02287 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPMAKRARFNNYSAPGRSYGPRNHQFDSRIFNSNSYNYSYPAYPEAMPKSALPWLPIQPVHRNPVNVTIQQNFVTNDIMSFVQRSIETIKAAKVSSPPIEPKVEEIITRAQALSLFDMKLLKTRKSSVITSLLSTPSLCERCGLHNIPKDQKEKHDDWHVKKNIEEMKRKKEGELNMRVLYPSAQSWVNTVEHEVTGQLVCPKDKVVVTVNEIRSPPVVAKPSMTCSDDTSKNCCECMEEFKLYFDDDDDEWKMRDCVEMEDGEVYHSECARNHVNDADVETRRMWSCIQSTSA >PPA02341 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:379271:383213:1 gene:PPA02341 transcript:PPA02341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ptr-11 MMTVGFALLVAFVTTVLWRDWPGRQLPTLVASALLTPLLATAAAFGLLSWLGISAYSIQCVAPFLVLGIGVDDAFILFHWWRSLDHISDLRERSKAVFTQISASITITSVTNIIAFGVGFFTPTPQMSIFCLATSLSLFFDYILTYTILGPVVFLLSSSYAKTETEPKDEELALAVETSLEREANFALALLVFRHFYFWFDISNLLCLVIVSSLFAVCTLGVLKMKASFEPGKAFPSDSPLASSLERIKPVFNETFPLSLYVHNPPQISNKEEYATFAKMLNELESISGVYGRERTMLWLKEYEAFDRRIHSLTEGVYSFFLADGAEYSPSFKNLPTFLSFLDNPAQIQFTPPPPNSTEEGRLNRFQMAITAEGMSEWARRAELVDSTRKVLQKYPSLNATLFDGDSAILHLMLTVGQDLIGSILVTVISMAIVCWLFVWNFHAVDVLLATGFSVDYTAHIAFQFCRASGSAKERVASALEEMSAPLLQAGISTLLCMLPLMLVKTYAILAFAKTVFAVVFLGLFHGLFVLPVLLSIYPPRTLK >PPA02286 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:139859:140665:-1 gene:PPA02286 transcript:PPA02286 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLFAQSQEITQIQKQIDWIKFISNELDGNAFGTELEVLEKKKKEAMKKIIDEEIAKLYPDLAFARAVRHDREKRKVSVRGSEQKEFKHKTSESLRMMLGLNTMKMKAKDIESRAYQNRLRLVRSAGLLESKKEEKASAKPESKSDEKRSAWQQVVLRKRRSVDRENKSVKKTRRA >PPA02271 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:41208:43056:-1 gene:PPA02271 transcript:PPA02271 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNYDKMTVAELKDLLKEKNLSTIGKKAELIDRLNTANEDELLGLNEPTDLAATIDEEKVLLESPTKNEEALLEMPDVGNSSTTNGGASATPSETSVTAAKADPAAGDAKLARAARFGLPVSADATADKKEERAKRFGVITAPVTDEAKAARAKRFGLETNTPTSSPAPGRPPVTEEAKKKLVDRAARFGIPVSADGKRQSTDGGKPAVDLDKLAARAARFGVSTGDAEAELKKKARLERFGGAV >PPA02384 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:564177:564780:1 gene:PPA02384 transcript:PPA02384 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNASRSTIFNPRAPVDRTFFTHLRQMFLILGCIAIGSSFVYHPINSFVEKVIKRRNRKGIEKRDHKIRTSSEMSATEGDKVEDHLKDDHLLYNWSCPQPLLTPIECYPTLFSFDSAAVNIFLQRPVRCNQSKDFLVLSA >PPA02534 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1258173:1258756:-1 gene:PPA02534 transcript:PPA02534 gene_biotype:protein_coding transcript_biotype:protein_coding MCFFTFSIIIGGYLLMLAMYIVVMWKLRDRIDKMAKLTLHASLFLISFTALPTAGIFLAVAPRLIGRTLEPILSIYTEKDVASVITPLTGIHYLI >PPA02346 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:396595:397647:-1 gene:PPA02346 transcript:PPA02346 gene_biotype:protein_coding transcript_biotype:protein_coding METVYVVYDGVIDEDTVDESTVLQVKPLYAQAASIGVTVLLWILLVVTVAVDDGLTTLGFKSAKPWAQASYILLIFTILFQIAALACHGLFFKMPNLKPKLFIALIVINALTFFISALALGLWDSNFPKLLRDVSRGTSYNCIGACIFFEILNFLLLALLKIVGGAA >PPA02571 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1413670:1418163:-1 gene:PPA02571 transcript:PPA02571 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGVIPAPYDKFKYDPCKYVGPRTLIKCKEIRTKDKMASNKGLCDQHTVIPKICMKQQEIENELLKNEVDQKVPFNIEKALRLGNGNIVDPIFFTEKPDWRERAPSMTNMYDDEYPLSRENILSDKEYLRSQLILLQKRASKLREERERNMEEARTRMAQLGVSSPNFLREMRSSKRFDGDPTTNNILRAYENSMKREMELNDKVDAATDRKTNLPACSYVWDDGKHKCNHNAIPSTQLCSQHILVNPEQKMIIPCKICRAPAKDYGNDHPLCEEHINSRLQNLVSPGGTQRTFRTREKGTVKNAPSWHKYEGMSPTQYNEVLLDGLSEIEDEDKQDRMASGQKSSSTRKNTWDGSTGKPVMNSDVFMAALYGNLPGAKGKKNSYIDASTMTCARVRVFHPDVFNKPLNQVGRGGQSLPPRSQMRPGMSHMGMTDHQQSLGAPSGPLQSGGPSSHSYSQQMQSNHHILHSRINPSIERSMVNLSRVYLSHPSHPSSSQQDNKMYGKSPSFAPSSMKKEQERRLPLPLSSRQPANRASFPLGKAQSAAQYARTLSNDQQPCSSSSTGGQSGGPSGSVPLSKMNQSSIHQWSPITSSKRPSYPQPSRPTPQLKSLERESLLNKKTPSNAPLWGQQQPQFSFKPISRGGTGTPQLPVRQAITDDKKPQLSGRPSMGMSQSQPSTSQSSVKTTSNTSIPTLSLKDGNDTPLPSPFSSPPKLTLERTQGSSSKESEKSTSSVTTLPFDQPIDDMEEEDEFEEEL >PPA02631 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1713757:1715439:1 gene:PPA02631 transcript:PPA02631 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTTTSTFLVFLALLFLLSLLLFAQPALSLIMIFTSVAVIVETLAYSSFWGVPLNVLTATVAMGANALTGVIVLSFCFHYSMSGRGHMSPKERIQYTYQSTLRPVTCACFVPVITFIPLLLVDAPVLLISSIASFIHFIFFLPNLLLLFTQFAPRLLRILRCESCCREDDGSIYYIPTAPRPVRQNEGLYNQVEYATYTIPRNVAPPGYLAIEPVYGMDAYCVSTLKRGREGENGERRRPSSRQQGQVEMPESRPESVAGKVEGQKGGRVSRNNSRVGDESLYERIPVHQKEDRNRRRLQYDQSGRAYYEDVGLPSYPVIAGYHVPGSVHPIYAPSQPYGVPQWKHYGSANPTGASSHYHGHH >PPA02371 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:507072:507645:1 gene:PPA02371 transcript:PPA02371 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGPGLFGSLFGRKKNKKNKDVVAAPLPAPEYPKDPAPIRRDIRIEPMEIHYDLPEEPIVEKKGERKSSDSNGVTTIDIPPQFTTP >PPA02539 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1279630:1281402:-1 gene:PPA02539 transcript:PPA02539 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYLGWRDRKASAYERSKYNEESAYMPVGPIGKSYESYVRNIFHPSNRLKKDPHQKALDNGISATRMLEVERTYGKHALSDTWDDDTLLKTPLESLYNELGLKGPEANHYRQYPVYEPKQSSEYLAVRNNNCRDAPPPAYGSAYSSYRDKSEEDWRKNNRQMLVDYKDFESSPLSPYLYQSHPYVRNQDSRIVGSNFVQITSRPKDRFMEKIEDTLKMVRDMPRYT >PPA02575 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1432343:1433918:-1 gene:PPA02575 transcript:PPA02575 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAFTMVREIVITTEEEESKTNGVEKEHENGESIVEEPEEAIVTRMINGSKNAWNSIPSETKWFFYDFFLAMGALLILFGLTVAGRVVLEYTHQEEGPLCRFVHCIDGLLGTSLTESLCAVQLDIMERLVWHSKNLSNEIKDGIANFVDQISDGFSAFHTIIGSVLTYFAGLISDAFAELGGNVEENITFLKDFIASGLEWLSSNVSSFLSSFQEAAAPSN >PPA02526 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1209359:1211160:-1 gene:PPA02526 transcript:PPA02526 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRKLDSVFTVMEEGIAYWVLVCAVAFNDSHVQEADLFSKPNLCMLITVASNRFFLEKPLRPMEVKKQMLTINKLTTMRGGNETTLILFGCRPLIRLSAHVIYAAIPPGEIANRLGFPS >PPA02531 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1249483:1250766:1 gene:PPA02531 transcript:PPA02531 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-col-66 MDFCSGQMLEVDLSSYQDAINFMFLGNFGKEIFFSILRDQQTEKFYKIKIVEDEINMELIRENSHKSSLTCFNGYYAINHDRKELEIYRIDQDMTKGDGIRINVDGVPIFTGSDAFHRGKIIVCLNGGKEPKASRKKPNVIMCRSQDFFQPDIVAKDDIDFVFISCFESNHIKILNPKALSFDEIPFKRPPGCKSSSFDRFAEIRDGRMTVMMADGKKNQHLWTTKLPDKYWKKESERGESSITRSSSTEIDEVPMKKKKKCR >PPA02458 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:888536:889476:1 gene:PPA02458 transcript:PPA02458 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLVGVVSAGALVATLACLFSCSVLVGNISKLQDDINGGMSEFREVSEGAWSRIIALHLNPTGSSKAVPTFSTLLGRNKRQADAQCNCGPQSRGCPAGPPGAPGQPGERGPDGKDGEPGRQGANGIALAATFDIPGGCVKCPPGPPGPRGPPGPVGPAGPLGRPGYKGPAGNPGAPGDRGESGPQGAPGNDGRPGSAGHPGRDGVTYTPGPEGRPGNDGRPGPLGAPGKQGEPGPDGQPGKPGEQGRPGRPGRAGQPGGPGEKGADGAPGSDAEYCPCPSRNAYFKA >PPA02282 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:125310:127646:-1 gene:PPA02282 transcript:PPA02282 gene_biotype:protein_coding transcript_biotype:protein_coding MFGATVLSYFSDKLGRRKSFLLSFSLSVLVNVLTALSPYHIVFLGLRFVAGFGMGGNLSIGYILMSEVVAPKMREFTPLLATFFWVFGYMLAGVARLYINSWRWIYFTCTASGVLSVLVYFKYFPESLHWQVSNKKKKAVTLHIQRATRINKMQINVQDCITEPNSEDASTGTQTETGVNCCTICSKPKILFLFLLSAFLMVVMNLTYWALSLFSTDLSEHKMTGSAILAVVLLKLLQRRTISCAMFLLTALSLAIAVILPSYLDGESKKAVTLAFPLLAKMFNSIVWSVLPLAMGEMIPTVIRNSFSGWVCFLGDLGSVVAPFLEHLNVYGANTTSILIAVLTGICALGVMTMPETKGKTLGGGLDSFDEGPFLKKISRTFSGKKLEDPHSLQHKKFQMTKEEPLLNGSSRTEAA >PPA02317 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:287854:289365:-1 gene:PPA02317 transcript:PPA02317 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLKKKMLPNRSKKPTLFDLLKKEDDEEKTQAQASISVLPDDLLLMIFDNLSMEERTPLGTTCKRFRELDFELGKRKFDSIYVNWSKKCHHFTGRVAGIDYNIYGGTIEGKFAMKLDKSEAELISSILKTVTFRELGVFFEATNEYDADVIPSLFKHRDLELAKVTLCWKRSFFHDGKRARNLVMKLPPVKKLQIEFEYSDNKFKRFLNKKFGLFNEFATVDGEYVLDDATLQHITSNTNHAVIVGKSKCSAQGILNAFKIVRQSPLEKKLVFFFAPRPIIEEIISMPNLCFDNYDWNHDLIDRSSKSLLAFSEKTVAS >PPA02380 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:549842:550953:1 gene:PPA02380 transcript:PPA02380 gene_biotype:protein_coding transcript_biotype:protein_coding MILYSHFLASKLYKKISIMQRKDFSSESKQWANLNNTLRCNGEGYWDKWFNYARCKDPADTTPPIEWTESQAASKKDDKVRTNNDAVSSKDNSDAAKGQTINVFFNALMIVFSMLIAR >PPA02544 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1289872:1291213:1 gene:PPA02544 transcript:PPA02544 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKSIAEHVKCVEAFVKDFGSERIALVTSGGTQAPLEKNTVRFIDNFSMGTRGATSTEYLLAAGYTVIFMHRESSLLPFSRHFPSLFTMLHVEDGKAVSSDAKLVDNIQKSIHYRNRILFVPFVTLDQYLHLLEGICTVLEPLHERVLVYLAAAVSDFYIKHDDLPTHKMKTEEVSLPLSVVPKNLQRLVEDIVPRSFVVSFKLETDESILVEKARKALSSYGHQLVVANMLHTRKEHVVLVDNENMNRFENEAILALSKAF >PPA02493 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1073103:1076433:-1 gene:PPA02493 transcript:PPA02493 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLKSTLIDIPKVVIRSARMNSRATAYAHAKTGNYSLEPPQLHNPYRDDPVLDRALRRMMPQGEYEKTASDLDAFGERIVKEIDHLGRQCEINEPELVAQDAWGKRADKLKVCPEWTQLKRVLAEEGLVAIGYDKTRDPVYRRVHQTAKLMMFCPASGMVSCPLAMTDGAAKTFQAIGAVNTHPEAKEAFERLTSKNPNVSWTSGQWMTEKRGGSDVGGACDTYAVHEEGDTYRLNGYKWFSSAIDADVAVTVARIVDKEGKAVEGSKGLSLFLIKLRKSDGDLNGVQMVRLKDKMGTRQLPTAELLLDGVKATLISTPGRGIASVSNMLNVTRLHNAAASVGYMRRIMSLARDYSSRRSVFGRLQKDWPLHVRTMADLEVEVRACTLFLLDVARLVGLQESGKASEEEALTVRLLTPVIKAYAGKVCVPLISEGIECFGGMGYMEDTGLPTILRDAQVTPIWEGTTNVLSLDVLRVLGGRDNVVGAFSKTVTSLVKNATKTKNAKVAASAQSVLEATKGVGKVLMSLADSSLKDTVRIDAGARHLLIALGRVYCGALLTNQANNSDASNAEILSAFRWELR >PPA02323 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:303086:305339:1 gene:PPA02323 transcript:PPA02323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sel-9 MLLLGVLLAIAPLTSAYFIHIDANEEQCFFDRVVAGTNIGLMFEVAEGGFLDIDVKIVGPDNKEIYRGERESSGKYAFKAPMDGLYTYCFGNQMSTMTPKAVMFTVDVTAPHENAPGAADSQATASADNQKLEEMVRELSTALMSVKHEQDYMEVRERTHRSINENTNSRVVLWAVFEAFILVSMTLGQVWYLKRFFEVRTVV >PPA02479 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:968531:970558:-1 gene:PPA02479 transcript:PPA02479 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSILDVSKEKRTHKTNTLQQYSTVQKARRQRKRVGWTICVGVFHCGQLLGKLKIKEAHPYTVA >PPA02538 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1276653:1278241:-1 gene:PPA02538 transcript:PPA02538 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGKSEKKKGDEVVTEIDHVDRNLANLTQLPHIFENHNLTRLTLSHNKLTSIPANIAELVNLQILTLWNNHIEDLPPSISSLPKLKILNVGMNRLSILPRGFGNFPALEILDLTYNNLSERSLPGNFFFISTLRALYLGDNDFEMLPGDVSNMHNLQILALRDNDLLTLPRELGQLNRLKELHIQGNRLTTIPPEIGLLDLTSSKTVLRLEHNPWVTSIQEQLDAGGSNAVMEYIRGENYKYFYGRQEASTSTIPPKKNKDKKISRAGGHRPGGC >PPA02464 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:917877:919323:-1 gene:PPA02464 transcript:PPA02464 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTFLFAVLVVLENILYISANEKYAWLLLIPYLLSWTLLVVGFGFAHIAHRVNEHRKNQSRRERNRYHENSRAPSPTQSLSASANAQMLGQLSMASYMMCDTGAGPQILVSSPYMGYSPYGFYPPGTPMPQSPFIMSPGTSLGFTPCDLRVPTAIPSSGTISPLSPLSPTASAHTPDAETMMAIVPPVEPPTYLEAIRGTGPTPAEPRDD >PPA02294 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:173534:177055:1 gene:PPA02294 transcript:PPA02294 gene_biotype:protein_coding transcript_biotype:protein_coding MENMGEEKDDERIVKEVMETIVGRIANDKVAKVTVGAASKLSKIRVVRKNIARVLTVINQTGIIYKKTRAMRRALAKHDASIKPVKPRGISEHVLSILLAAPLSL >PPA02430 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:711428:712729:-1 gene:PPA02430 transcript:PPA02430 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNVDVFYFATVVPMLVYFAEDGMMEKREFLELWKEIPEANEQQFTIMNNRGLTADDICTKLQANNVFTVARRSVDGQELLYHSIKYTNKIVVLSELKMEITGAASLTLSLKSRHLTALANLNEMYQQIFDN >PPA02413 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:662165:662953:-1 gene:PPA02413 transcript:PPA02413 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHFQVWTKRLTTRLVIAQLFLSAVQCGQIFFCDYSFVEKNGVKYVSYFDDSCTVDKTKHLLLKRQKEMFIVVSVCSITHLVKALHQMCWVLVAAFHWQDFSAWLQDSYPYTHYLSTYSGAVTLVIFNSRVRWLLISIKCTDNEEGTTRVTSLSRF >PPA02345 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:395142:395912:-1 gene:PPA02345 transcript:PPA02345 gene_biotype:protein_coding transcript_biotype:protein_coding MGESAKRSVGLGFALDKEKLSEEMKKSTSFLVDTSHFTFEAITVIIIVVSILKETRKKFSIIGILVLQSLILILSAIVIGMWEANADLTPVLNSMSHLKPTVSNGLSFINLATTIALSIANMIAVVVLSQI >PPA02408 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:644476:645767:1 gene:PPA02408 transcript:PPA02408 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNAIASTFDKMSVTEDAAVDSVKNVSPIVQNIEHQSSSPGLNVTTPSSRLQIRTETVDADRSNEGFINTPHRKRVLGSSLRSRSRPCISTTELSLPISPMARPPISHSEPLPPSPLSSPNCSPIQNRPIARARRVILYRDSTPVPTSPLTSSPIPVNKRLQSLVRDSHTSVATPLAYLSSAASSSIASPLSSPISIVDIVISSEFNLEIPIEDMLVDVNIIFFIIFDNFYEIVLLRK >PPA02512 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1146005:1148319:1 gene:PPA02512 transcript:PPA02512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-aldo-1 description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:H3DYL4] MDKRMQSIGAENSEENRRKYRQMLFTTEGLEKHVSGVILFHETIGERFQKTDDGTSFVDVLKKKGIIPGIKVDKGVIPLAGTQGEGTTQGLDDLNARCAQYKKDGAQFAKWRCVHRISGTTPSHTALVEVAQVLARYASICQQNGLVPIVEPETLPDGEHDLARCQKITETVLSYTYRALNEHHVFLEGTLLKPNMVTAGMSAANKPSNVEIGLATVTALQRTVPVAVPGVVFLSGGQSEEDATKNLNAINQVATKKPWALTFSYGRALQASALAKWAGKDENVKDAQAILIHRAASNQLAALGKYSGDPNADATASQSLFVANHAY >PPA02404 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:636238:638812:1 gene:PPA02404 transcript:PPA02404 gene_biotype:protein_coding transcript_biotype:protein_coding MESILSKSLRESRLAVLFYRPSNGSREEMDVLDFLFNQSMDIVESSGEPVHWIREEPFTRLPSMKSLPHPSTLNLLKLHYAGDQILDCLSHVQEEAARGKDDGCYVVEFPGCPSSHELAAVMALLQSSIDFKPPDDLLNRTENEQKFLGPCAIVALPYTSARAHIASMYTDVLWSFNEKSEFKPLTYWDEQFMRSEE >PPA02295 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:186011:186658:-1 gene:PPA02295 transcript:PPA02295 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVTEQSNAANAARLLEILRTTGDSTVAKVTVGAASKLSKIRVVRKNIARVLTVINQTGIIYKKTRAMRRALAKHDASIKPVRSS >PPA02469 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:927383:928172:-1 gene:PPA02469 transcript:PPA02469 gene_biotype:protein_coding transcript_biotype:protein_coding MWTNEDFEGKNLGDDPIVKDTHAEIEHPKEKKENMREDEGFEIVKSRKPKKKAYNSINLEKETIEGQPKESEFDI >PPA02393 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:595800:598493:1 gene:PPA02393 transcript:PPA02393 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLAIFLLFLVSFTIAYALKEHERTKNYYGRVDAASRRTESSRENHLKPGEASKQVKQRLLKEQTGGEAQPAMEASAVNPSDTEAEDDAAEDELHGRNPNKMSVVREQSPSHHSAGIKVMMKKRKSVAQRSIIGSSLPRSSTVSALSIFAPRILFDSTSRGLEIMDIVSKGVRVVQPNEAKLRETIVDAIKTKVDEIRERKHVIFAIPDRGGEPIVRGSESIVGMMRQTLVLSVGFEMPAMRVYCYELDVGESAVSILAPGKSGSGSGNRSATAVDRVTQKDQVRSVAGVRAAPTAAATVATAKSPAPKALIAPAKCESLSTSCQIAHHALIDMSVHLSNEEVSLRRSAATPTPPQKRSGADKKASKQSSKKTGKRNSNYSRLRTARTPGAQTPQRTQVTAIEESLYSVQSAAKKSEKKSEKKKSDSDKKLYPI >PPA02320 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:292587:296009:-1 gene:PPA02320 transcript:PPA02320 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVDSVEYLHWLSLFFDFCVANRPFILPSTSTESWRARSEWVTDDGRPNEERLIKDFGSLAAPVMIEGDCEPAITRFADYIEYVRGEREGKLRYLKDWHFQESAGCAHYTPPACIAPDWVNREEWTSTVVSPFDGSDYRFVYYGVKGTWTPFHSDVLSSHSWSANICGRKLWYFVPRGEEGRFVENGNLIVDLRERRELFEKAGGFTLYQSSCIMVYILIYSAVIPPSFQEAGEIVFVPSNWHHQVHNLEDTISINHNSINASNIRLVTDFLLARREDVRREIEDVRGIFTDEEWNEQERIGDRFKDGPRKGLLCVLEACGCGRVQDGCVECERVLEEYELTVAALTVHRIEK >PPA02591 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1510789:1511760:-1 gene:PPA02591 transcript:PPA02591 gene_biotype:protein_coding transcript_biotype:protein_coding MMEQKTQSVKEWKGSNKKGKKKGEEKEKEKDKVIEKTPDISLRSEKDGTSMLQVATPTKDDGKKKKEEEKELNDAILKSKYEDAIPLKTNKEHKKKDEQAESMMKDISVRRKEGADKDVKHPIDSLRDGTKVDEKTKIEKKKSNETMNEGPSRETEKEAHPKEEYAISEKSMGSKTHKAAKKVKKEDNKEKKEEKDEKKEKNEEKEEKKEKREDKEEKKEKNEDKEDKKMDGK >PPA02503 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1108969:1112116:1 gene:PPA02503 transcript:PPA02503 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTLLTLAVAAFVLVGSHAEEEELNYEQDEGIVILTDANFDAFLKKNPTVLVKFYAPWCGHCKNLAPEYEKAANKLSTPLAKVDCTVETEICKRYDVKGYPTLKFWKDGGEPVDYDGGRDEQGIIDWINPRVDPNYKPPPEEVVTLTTEIFDSFISENELVLVEFYAPWCGHCKKLAPEYEKAAQRLKAEGSKIKLGKVDATVEKKLGEDYGVSGYPTLKIFRNGKRFEYNGPREANGIVKYMVDQAAPAAKKLNGLKEVERWMDKNDVTIVGFFATEDGSSFEAYSDAAEMLREEFKTMGWTSDPAAFKKYDAKPNDVIVFYPALFQSKFEPKSRTYNKAGATPEDLIAFFRDHSAPLVGKMTKANAANRYSKRPLVVIYYNADFSLQYREGSEFWRQKVLTVANKYAKDKYKFAVADEDEFAKELQELGLGDSGLEHNVVVFGADNKKYPMNPEEFEDELDENLEAFMKKISSGHAKPYVKSAPLPKDDKGPLRSLVASNFAKVALDESKDALIEFYAPWCGHCKAFEPKYKQLAAALAKTEPNLVLAKFDATANDAPEGFDVEGFPTIYFAPSGKKDKPIKYSGNRDLDDLQKFMKKHAVKSYQKKDEL >PPA02297 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:194609:195676:-1 gene:PPA02297 transcript:PPA02297 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDAAQEGVDYFFEENDRIQSDNYDEHCIFAFWHTTTDHHGEIRPLSQRAEYGTPPEQLWAFIPRTTFFIS >PPA02417 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:676582:677796:-1 gene:PPA02417 transcript:PPA02417 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDAFRLHGKEMVEYVARLWETMREDRKPLLDVHPGYIKELVVMSVNTYWHHPHFFAYFPTACSYPSFMEDILSGGIGSVGFKTGPAMTELEMTTMDWLSTASDATLVAVTAARARAVENVKREPSPFFSWLAETRVGKIVRKKIKKMFARDNQHSEKD >PPA02389 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:580323:582783:1 gene:PPA02389 transcript:PPA02389 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSGSLSEIPVCTLLRNVSVGMKLKIYSFSTAISQLSWLQLRLIPERKSLFWTDLRSSPNESVLEDASRNVSIYNPSWSDEQPVEDNIECVAIELSQLDDPSRGWQFAQCDVPLPVICQTFACLAGYIDCLDGSDEKPDSTDAPPEDRNVSDPITFYDCPLPQGIGNVEVLNISGYSLGDSLNWKCGVGYKEQIGASSTCRLKGEHGEWDPEIKCHDLLCPGGAKHGDKTIEHGFTRADDPICGPDFNVSDIEPCSRYAFEVTSECPSVVSETTFERDWCQCPRGQRKDDNGVCTDDCTLGLDSCTGDAMCVYDQTDYHCDCHWNKALYKANNDSTTFIPEGNFDSERGGCAEQQCKIEDLNLPPYCYISKYSEDNITATQYRIGSHEVYCIYDRAQTMTTVDCLDSGEWSNTGIGS >PPA02480 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:974607:977940:-1 gene:PPA02480 transcript:PPA02480 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRWGMRKVPIKERGNMVHTSSKDSFGHSFNVFSQAGVVLRCDCGVETQGGHMCVLDVTNFTVIRTRAKSNGNNVIKKFGTKSLTAFRAHLRNKHATTAAKAGFVFRCDCGMETFTGMHICELDSTNYVLIRKKVQSGTVQDMRKPAQSIVQKRRISQKVAPLSKSRIEKQTRSETEMDCPKCELEVSNFKVIRKKVNKLGSLKQKVSASTVIRKTIAPVHNRVILKRKEPTQRGITKSQSKKIQFRSETDIECPQCEHRTKSIDAFRLHLKQKHSTTAAIRATLCQCSIVDSYRQSGGERSKYGILP >PPA02375 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:528907:534921:1 gene:PPA02375 transcript:PPA02375 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLLFLLVMLPGAGPTQSVRRPDYAIDTGLPDAALTKYEKSGWLYRKLMEKDPYYHVPPKYLAEAKKLDTAFGKKSGLVSPDVLKEKIERHILPHQTHLRLSQPQQAQWASYRNPEMVKSALTKEESAKRIFAANPYMQYVSGGNPLDPYTAAKSAEGGTRLGPNLDELKARLPNTETRVVKPLGRQFQPPKPWETADGGKIPKRLAPLPFLDKANARREAANKVLGQKNPPVVASGSLSILSDGERFLLIPLDSTNDSTRSESPSKPDWSPCDGTRAHGRLQHTPGFVFDLQRDSICVQLRQQSYREPREQNSFSSHCDCFVHWPQPAPKYLRDAEKYALSNEGRLKILENGGVRAAINSDNPVIKDATFRHYDQIEHLAPRRSEWEKAELMKETQRISEAFKWERIKDKLKDTNNHLVAHLKAAGKNLPQEFKKQKQYVVQKAKKIMTEHGRYGKNEMHAERNKLFRRERVSSHPMLAAWYAALLLEDGMEGHFPHISDKDNRHYGKPSPYAGGPYNPIQSTFNEHGPFRSWQPDRLSTKCIGTQYGHVDDKDCFTNNQWGAGWGMLPRQHSLSHPAEYQMAGGMQFSQEDRANQRRKWIKAGTMQHEIEQTARKDWQEEEEFHYPQRWSAYRRDDMPRFKVQGSKYKSSVFSHLVQATAPRSKNWNLQYVQDHEYPAPKTLGAYIPHNKDPKKGIRPFKEPPPNLQMSPDFPHMEGDLRRPDPSGSGAISNPMAALVYEEEKDLRNPRVTYQKSFGTNPQGLHPTDPGIPDIIMEGRVICYGDVGAKDAQISLIFGTDDRIGLNHISSAFTDDEGRFKLETTMDFAPHKLGVEIFHQCKESRREKLDGKGEQGFKQLELKYGDRRVYDLGLIDSSDPDYKWIRTERQIEGVEYQGEGRVPEMARLRPCIGNEDILDCVDEVGIDEKKGVSDLTMEEDVIEGKNLFGLDRDVPQFVRQIVRH >PPA02488 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1055766:1057095:-1 gene:PPA02488 transcript:PPA02488 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRRLTAPQLRAFAAFAGEIPHDAIQKSFVLASGPGGQNVQKNATKAQIKVKLDDSATWLDNDLRLAVVRRLANRINSSGEVVIESDRTRERSLNLTDALDKLRSTIYEVQREMVSRKETESLQMHRRSIDRTRKSGTCSSFSIGREEKRIVQDKK >PPA02511 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1142388:1143072:-1 gene:PPA02511 transcript:PPA02511 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYQKVEQFDSIDEKKLVEPIYEDKFCKLYDGKLELVWYYFPTAKSKTIFIKDINAVYYKKQVFKEDLLVAKGWGKSCSNIWWACDMSRDLLHTLTRNREGAAWYNIVIDNGEKTLKGFTSTCHDYFLEAIKPLLPEGTEIKEGMP >PPA02622 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1678551:1678951:1 gene:PPA02622 transcript:PPA02622 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDSGTISASEVAAMLMGFGCDVSPKVVQAVMRTSDVNGDGEINFDEFLAAVTSKVKANNNADIDVVFERLNEHEHI >PPA02368 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:494794:496624:-1 gene:PPA02368 transcript:PPA02368 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDVTQHFQASVQRNVKKRNLLLQRHSSIESIGNLMKKITNNGKLNELASNATFVNTLQLAKTTAFDYTNVSYFTEQSGRYLVLAEENELQFPWISLRLPSDSHHDLPLLRDCISEILEEHLTTIGDRKEFMLDCDSEAMETILSLLGNPKFVPDGDFAYFHMNDAQCAALMKKSFNPPEGFVLRRVDEKDFNLVIDTWKYCDSHELVKQRLHHLPSVGTYTNEGQLASFMSTHTMGQMAHVFTLPEFRGKGLGTVVEMRLAQNYVQNGLRPHKCVAFNNAPVYAQSLRNPFYYEWKRPDGRSHCWNYSRIEYQ >PPA02492 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1061800:1062853:-1 gene:PPA02492 transcript:PPA02492 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNKIDKGDEDDQNKISQNNQKMRDANWDRIERHWKNVSENFSTLRVLSGRCMPWLYGNKKSAKRHYRIVRSNRRDLQFRCRLTDQAVLFASITNWNDMYEENFEGGREFLRVFNEVIGDFDELLERAEFNQIEKIKTIGACYMAASGLNPEKRRACADPKEHIYQNI >PPA02499 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1101169:1102210:1 gene:PPA02499 transcript:PPA02499 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEEDDATATARSDSHSVVHPDEDEAMDELMAMGDEDGESDEDEDEEEGERDEDEEEGGEMTCKGFIEGLNGRLEVLGQGSYGLVGTSTSDTVYKIQGVEEGGVGWKAGTIEGSKPSMILHEMRVLKALTSLADPGALNSTTALPQLAPAANCCTQHIPNISFRWLWSVEWEDVSERDRERSKYFEAIPEEKDEVLIHLLRSSRVLFEVLTCI >PPA02288 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:144116:145538:-1 gene:PPA02288 transcript:PPA02288 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYKLTYFDTQGFAEVSRQLFILSDTPFESHERTGQSTPFGKMPVLEVDGKQLPQSFAIARYLARKFGYAGKTEWEEAWVDALGDQFKDYLNELLPFLRVAWGTATGDLEELRMSIGEPVKDKFFGILEKQAESCGSGFLVGCSLTWIDLVIVDHMDVVESHLPSFFHGFPSVERVRKSVTETEKIKKWRERRPKRPY >PPA02461 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:896861:899879:-1 gene:PPA02461 transcript:PPA02461 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTIILVTTLLCIAHTEKNVSSDVSAFVAKCHELNSTIDILESLEKFGENSRELFKLRRPVAELIMQYINTGKATSDEEKALAQLHTLFEHSYELDYFREKMAVITNSSERSIELGLIQHAKMADKPGSKVNKKEVVEAVTILETPPMVISGIVGYIDTPNGPRPFKTVFAEQLSEDFRRRMIKNCAQTPRFYLWVQKLLKHRNKKAHIMEVQLNGGSIADKVERAKERLEKQVLIDQVFAQDEMVDTIGVTRGKGFKGVTSRWHTKKLPRKTRKGLCKL >PPA02324 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:318965:323081:1 gene:PPA02324 transcript:PPA02324 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPSHYESVVRSCCTAAVSCCDNVLQGAETSHDGRECPATWDGWQCFPRSPVGSVRATCPNYIDGFQGVEEQEGVIKECTSVGWFRQGSMEELGGEHTQYVGCAVKNHSKFFAGVFSYAISVIAIIPAVVILSVFRTLRTQAVYVIHKHLLISFLLLGIFYLFTSFMFIVKDAPLMRWHAENHVVCRLLFLTQLRFLRLSTFSWMLAEGVYLFRLLYKSFSDNDSLIVYKIVCWGFPLLISIVYGVLRLQLDDVDCWVTPSDDFRLEAIVMFPSLFCITLNLILVTVILYILVKKLRYNPHLEPMQYRRPPLFAIILHGGIRLANPIRRDSFLRSTARHSLIPPLPGQQLIIAPDVLVVAKAVRAALMLVPVFGLHFLFTIYRMPSELHQIMNLIMDGLQGFAVSIILCYANNGVGEAIKKYIKDMKDLRTLRSECRNSSAGLGNRISIPNGKKKVR >PPA02420 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:685672:686147:1 gene:PPA02420 transcript:PPA02420 gene_biotype:protein_coding transcript_biotype:protein_coding MQSCITIAPGHEMTRLAFLSLLLLVATVTCELSPEAEACVAPLSAKLAAETDEGLKTVINEGLAKYTEGDVEGAKKCVAEMSDERKERYRDYYLVDECAGFKELFGF >PPA02337 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:369191:370311:1 gene:PPA02337 transcript:PPA02337 gene_biotype:protein_coding transcript_biotype:protein_coding MKYITANVRESMGKYKADEEPDCFVHAYAQKIGSNPYLTKEQLLATCDDFFLAGQESTTTTIRWGMILLAANQDKQDRMREEILSVCGSELPSMSDKPKLPYTTALVHEIQRRANILSINVLRETQEETEVMGYRIPARTLINGDLYRVHANDPLFDNPSEFRPERYLNEDGKTINKDAVDRTIPFSLGKRQCAGEGLARVELLLGLSSTVQKYRILPTKEGPVDLTPYNNIVILPKQQPLRLEKL >PPA02626 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1690283:1694196:-1 gene:PPA02626 transcript:PPA02626 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEVNTFAAENIMRINYVPPVHVSFVFPLLPLPLDVMVIPSVPQEELAIALIHSRLEYAALISIMVVCLFSEKLDRFVCCRRDSHKNSEEKGARCPPNTVQDDAHTTCSSSTVCPPPFFCIRQNSERSGVCCKHPRAVIAPSSKEDEEKEIEKIEEKGKEKEKENEETKVGKEKKGEDKKEGVKEETIEEESEEKEVKKVKKKSDKPKKKKKKVEKEKEEEEEEEETEENEDSEELKSIHFKIATLVNKATNEKEKEKETTIIEYEVDFYSFHLHFSLHHLPFFSLLHPLSLHHLPFLSLLHPLSFHPPPLPVSYLVSSHLSYDNSDRLDYKREFNWLFWTPKNYFGFQSTVYGIECPLYERPLLDRITGRPTLCADTVPCPTSSMNTVDQVDEDRQCGEGMVPFSTDGQIHSCLNAHCPPKYKCHNEMCCPIKLLACRESLFQGTECRDQRLTSSSTRYYFDPRSNECRAFEYRGCNPGANHFLTLRDCEHGCTPDEEGEETEGRRRGGGRGEGDRRGSLCPPPYVNPKDTPTICSPFFDSCPG >PPA02577 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1437120:1443989:1 gene:PPA02577 transcript:PPA02577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-atx-2 MRIEVPVSGLHANFTLLSAFNDAVGKEVLLELVDGSVFAGIFGGASSEMEVGLYCAYEKTPENEKNLLPLKKDLVNKRQFNFADIVCVTVPTNDEKLIRGFATDRDYHNKRRNNYDEDDELEAWEGDGEDVDLESAEAVKAAATRNAAAARNGWGVNEMFAANQSLGVKTTFEDNLSQYTTAEVEGTEEDRRRADEIARAIEKSGSSRMYSALENDDEERDLDKITDETELLGYESKNKNWSNGISTTDNFDAPASLNLYPKSVPNGTYENESKMRRPRGPLYVDITSMDSHSSSPPRPPSLMTAPPGFPNTPPGGFTSMTSEGFTPVISGRGRKGITGTGGGGTTNDHSHNTYLPLVNRGVNNNGRGGSQKTPSNDRAALLKGPDPRRSTNNGGMGPVRGGGAPLPSPKGGQTNNYVKRDSYDGSTGGGQQQHYNNDNWSKGGMKTYENTKMRDQKMMLENRNSASNSPRNASGPPTGPPTGPPTTNKGGTSGRVEGLKAWQQDINISYSRENKPSQKGPGEKADSPPQSAALVESTPPTKNAWQSGPPSGLTSSSRSDESKSTTTIPSPSIVAPTPAESTKMNEEREVKEDVGASTSHEEERKEETSEEKKEDADISRDSAGKKFSFNPDAPAFTPKFNVGSTGGGGGMVPPSLPQTPMMVQPMPPTMMGGQMTMQAPMGGMGAMGGMQQPAMIYSYPTQPHYPNMQQYSAVVNGGAIMAGAANGQGQTGGGPPSTPRGTNGGQGGTGGGGGMGGGPRGGPRGAPNQGTNVQGGAVPVTMPGGAMYMPQTAYQQVQMQQQTYMQQPNPYYQYAGGQPTMIQGAYPIPMTMQQQGMMGQPQRYVPQATHPYNDQQQYGGGPPGVNPQQGGGLPMGSGGGQQGGGGGNGATSSSSTTNGGPASNVGVGQGGPPNAHPPSQPPTPGAVPPSSQTGGGGPPQSGMMTSQGGPPGMAPPPVPSPSMYGIPYPQGVMYYNQAGGGMEMHGGGGGEGAEGMHPMQHPYQYNPGQYFQSAPPHLRATYPNMSHGMQQQQQQHAPAQPSQSQN >PPA02615 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1654219:1655005:-1 gene:PPA02615 transcript:PPA02615 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFDSIQTDFRDDKIGESNRELEHGGDTQQKDPFDELSLIAKDDVKELAFYL >PPA02274 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:60655:61966:1 gene:PPA02274 transcript:PPA02274 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLPSVNVEAASEVAENAKKVDVDIYQMLRTPADLNQEKEYAWLQQIRTTQVLERVMSSVKAVGRQLFLGNKMDSRFGVEAEEPKTLRLELIDGKHVNDKMKAVVSLLADNVIQFEITFKHSKSPGGFYRGVAQPQVQWKLAQLQEFGNYIGRASFLLAECNERAKMIFAKNKWDKAAASTIRQTDDCIGRYSQE >PPA02614 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1641957:1644447:-1 gene:PPA02614 transcript:PPA02614 gene_biotype:protein_coding transcript_biotype:protein_coding MCMNGVCCPPIGDYDDSSVSSSFCPGGGYSVGSCNYGSCSNGYQCYQGSCCPSYQPATAIAFTCPGGGSPVGSCVNGGCASGYNCNSNYCCAAQNPFVCIDGTQAVGACVNGACGTGYNCNNGLCCASSSATPRCLDGSPAVGACIPSCTGDMCGGVTVTYSCGSGYTCTTGNICCQTSACPLGGTPIGPPVNGLCPGGYTLQGNQCCSTTGTCPAGSTLVGPAVNNACPVGTLVGSVCCQTAVTCAATISNGPCNADNTCPTAGYSCDTTNNFCCPVVDYTNPANIIGPAIGGLCPIGYVMVMIPGGAAEGECVSLQSVPGVCAEAVQQGPCPNGVGCTGAFTCFLLAEVCCPTTMRMHRMPAGLPFSKPVSHLMPPRSPLIGGCPDGTGAASACINGLCGAGLSCVNDLCCAISEPSSVPRSACPDGQPAVSGCFADGQCGGGMCKSPWIIDD >PPA02564 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1382995:1384799:-1 gene:PPA02564 transcript:PPA02564 gene_biotype:protein_coding transcript_biotype:protein_coding MLVENGDWLLRLTEPSAPGKRDFVLSVQTDKDANGGVKHFVIAKKEDRFQLMDSRTFESIVKLIEFYQKENVQTKAGGGTITLARAITRQKWELEHEDIESTKKLGEGAFGEVHKGKLKKRKNNGKDKILNVAIKLAKLDALTKEQIKEIMREARLMRNLDHPNIVKLYGVAAGQEPLMLVMEWNVMETATDGALDSYLGKNELLPQGKRMEMICQAAWGIDYLHGKNCIHRDIAARNCLYQCVRLRIAQFLGIPIRPNTSLIF >PPA02598 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1538843:1539778:-1 gene:PPA02598 transcript:PPA02598 gene_biotype:protein_coding transcript_biotype:protein_coding MQNGIDRLIRQNEELLRKNGSLQKQGRMIVEEKCELVRRLEKTEESNFELRRWLNEKDRACKELEEEASMSDSEPRFTLAELREVLQEKNILKGRVMELEEEIDQMKIKSTLAPPQPKEESEDDGDRPVQGPMPREPIEKISPWKFERKESGVRRLSVLSRLFRKNQS >PPA02567 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1391947:1394133:-1 gene:PPA02567 transcript:PPA02567 gene_biotype:protein_coding transcript_biotype:protein_coding MEILHLDIYLSPDAKEDATNEQIQWWKGQTSSLFKSLLIHETTKLEGRSWEEHALNNARQRQSSFLLLWKADDLPSNSLFLSKIFNTSRPVISSLLLSPPSHRGKWNMDLSPDLLKIQVGSEMKEASRVSYPIVINLDKMDSAYLTFEAGNVRGYEGNDSPSEVFVFSAKVMSIPLFVDRSIEVGWHFDEKFPLEERKITLRYLLADQIVDYSSISIPQSRFVRAPLPMKKKFGFEKIFIINLRRRPERRRIMEGICDVIGIDCEFVDATDGRSLPSSYPVTQLDSFFDPSTKRKMTNGEVGCFLSHYRMWESVVSSGFSRVLIVEDDARFVDGAFMMIREMMEDIMKKRIDWGLIYMGRKRTAEHTKKDMWVSGVRHLSTVGYSYWTVGYALSLDGAKSLIIGKPLEKMVPVDEYLPIMAGVHPNQEWMNSFEIRSLKMFTIHPLVIYPHRYTNEEGHLSDTEDSEIYDDKRNDEL >PPA02518 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1161065:1169914:-1 gene:PPA02518 transcript:PPA02518 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKFENKNGIDQMCSLQTSLHACLIRNTDKNCPLNAASFRSMYNMNYEQAYDYSTDFELRKQQCMNKEGVRNNECVSSAETKVLLNVCQPNIPHNLTLGQSCTDLRLAMKCNLFAVRQSCSADVLKTYCITQSIVYQQGALGFCDGWMPECESTQNDAPKADNPEDTPDTATTPGGIVPFLEKLSNISLPVFSTCEHTKSCYNSLLTAVGFSTDSFPDYDEYQNKITEAYGSGQALNNFCGTFDAVSHCFSQESDSCRTPTVFASIFNLTNDDAYRFNADLDLRKIMCDNQEALADPCMNTMTNEVASSFQVNNDAAVSCEVVSADFTAFISNSESAGCSDAVNSVFCQINGKKKEIETVGTCDGIIPTCPTSFHSCDGMKSCFDDFYHGVEKAGVKSALPNYADYAKSMKNKFENKNGIDQMCSLQTSLHACLIRTIDKNCPLNPASFRTMYNMNYEQAYSYSTDFNLRVQQCQNKAGYEESSCLTSLPAKALLDVCQPNIPHNLTLGQSCTDLRLAMKCDNFAVRQPCANSADTRKMYCVTQSLVYQQGALGFCDGWMPDCNDLPEIPTEAPKTTIAPATTAVALPAQATTTSDDLLTILQKLKNLTLPNFVGCEQTKACYKDLLSSTGFSSDPFPDYTEYQNKMDQITGQALKNYCGTFDAVTRCFKRELDSCRTPTVFATLFDLSNDDAYRFSADIDLRKIMCDNQQALVDPCMNKLETERTNQFIENDAAVSCDIVSADISAVISNSADNGCSDSVNSVFCQINSKKKEIETVGACDGKIAKCPTTFHACDKMQSCFDDFYTGVEKAGVKNPLPNYADYAKNMKRVFENKAGIDQMCSLQTSLHACLIRTTDKNCPLNAASFRSMYNMNYEQAYSYSTDFDLRKAQCMNKQGVIDNTCMTSVETRVLLDVCQPYIPHNLTLGQSCTDLRLAMKCDIFAVRQSCTAEAQMMYCATQSIIYQQGALGFCDGWMPECETIGPQSTQAPRTPKTTTAKSTTVTTKPPISTTQTPKTTTNAAPVTTTQTPKTTTNAVPVTTTVSIPKTTEATGTTTESLWEIIHKLRNLPNFKDCEQTKGCYSDLLISTGFSVDPFPDYDEYTTTMDQKYASGEALKNYCGIFDAVSRCFSQELDSCRTQTVFSSLFNLTSDDAYRFSADLDLRKIMCDNQEAMNDPCMNKMEMTSESVLFENDAVSCDVVSADFSAIINNAADNGCSDSVNSVFCQINQKKKEIETVGACDGKIAKCPSSFHACDASYIYLLIKMQSCFDDFYTGVEKAGVKTPLPNYADYAKNMKRQFENKNGIDQMCRLQTSLHACLIRTIDKRCPLNAASFRSMYNMNYEQAYDYSTDFELRKQQCMNVDGVKTNDCLSKPETTVLLNLCQPYIPHNLTLGQSCTDLRLAMKCDMFAVRQHCSAETQKMYCDTQSVIYQQGALGFCDGWMPECEPFSPPIQPKTTTSPSVPSTSEVAPVTTVTTTTTKKPVDPETTTTKRTTHTPTTASTTHSPDEPTITKENPPTITTPTPTTTTSLSSLQIPIMSTILTVDS >PPA02583 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1463188:1463761:-1 gene:PPA02583 transcript:PPA02583 gene_biotype:protein_coding transcript_biotype:protein_coding MFDEIASLDHDLANLLNYTNQLIHNHRRIQRAVMDKETLSLSSTLSPQSSSAHPEDPEDPPSLEIPSLSTRNASPPTDRVFPDLAREILEF >PPA02365 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:481759:483171:1 gene:PPA02365 transcript:PPA02365 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSMVDDLKAQVDTILNAPSSDDIISAAVKAIDQARLIFTSLLSANKTSFTQADIDAAAKSLFGTAGGALNSTVLAAIAKNQGVFEIYNSDRIVIKPPQQLQNATWVAQARGTMYPGDSFIDEIVLACGNATNSKNFSAFLSDLVKARSMGQYNSSVVSRFLSKYFGDMCLRKVPGLPFRWTGNGGFLETLMNEFNNKNATTIDQAKNTGNSWYDKWMNQQNKAAVDVSNFVKNVSNQANNGFQNAVNAIANFFKGFG >PPA02557 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1355820:1361719:-1 gene:PPA02557 transcript:PPA02557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nfm-1 MKFAHRPTPQPGTLAIMGLWPLVSSGYGRQMYARVTTMDADLDRIPIESDWTGRELFDTVCRIIGLREIWYFGLQYTNKKNIPCWLQMDKKISKQDVSKSGECTLQFLFLVKFFPEEAEDELIQDLTRHLFFLQLKESILSGELHCQPEAAVLLASFALQAMYGDCNDEMEPELDNFLPKSVVAQFDLSNDLWWERLRKWWSNNLGMASITWIISFQAFDASINMSILDLCVGTHNLYLRRRQEELLEVQQMKSQAVQLRLKRKEENERRAMEREERETLKRELVEKQMELADAQMRILRTEDKLKNLEESALHNGHETAYLSQRANEAEAECSRLKQSHRQLEDAMRSEVDLYSHMRNSSNENRPQYTSHLMLNEANNWAMSGGALSVSYHQLMRSAEEEQQEEQINLPPDPVAQSMATQDLHLIKANLESTRMQYNERSRSLKDRLSDFRVQIEGLKRDDYIPTEHDIAHEQNVHNGCIDRLTTLRMSCRGTTRSRIHEMDAKSNDEQIPLVPLDEFYEKAKPELSKPEVTKSDEHQQKLARLNFEKEERKHLMNTLNELEFRRSVLASDINKKESRIKSLKPKMDSVVKSARSLEEALGMKVSSSSDRRSPSFHLLPPQLSVLYIQAEAYKQLIDDTLVTVSVGGDTGEANRLKEKKEEEGDEVTDMEGVEEGGADGDEDSQGESRGSGTSLEKKRAALLRPHPIYLSIEIGCIGEKGEGRRKGNKEL >PPA02444 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:831375:832385:1 gene:PPA02444 transcript:PPA02444 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTPVWLSAVRQRPDRRPLYRRIFTNRRLDIAHKSVVRGIFGFIIFSASYCFVNTGIYYKYVRPLRQEERERLERELIEADKAGFAIRYIMNFVSRVFTVAAESYAGLFTLGTTFGAGFELFKIKFSFNGVNFYSAFKKNQLKKELEEFERGLKELDRMIETGKPATI >PPA02496 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1091563:1094661:1 gene:PPA02496 transcript:PPA02496 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVVGLVTLTPIKQSPDHNVVRIARQWNVHGDSILHIAGGPHKGIIVNKINPNLDLSGEADVELSYSVWLHGGDQPKQEKRTMSFRLSSGVEEDEGRAVVHTFFRQLMQAFPKDYVSFMKRVLKLMQEDYKEIDHVDLDMRFVAEEEIVAIPDAVQYDSSSEAEPVTVALVQEVLEHAYPNGLTLAVISEALRCTDEEATQFLLELEATGIVQRVGDEWIRVDTRNVDAIARGHSLAASSDQPTVAIISCLFLEKQAIDALLDEPSTVHKYKSGGDSNVYTLGRIGAHRVVATKLALIGDSREATTSAGSITTRLLGNFQHIEHVLVVGVGGGVPHFTDAKRHVRLGDVVVSTKYIYGSDVVVDRQTEQFRDFTTRKYTPADHDVAALVTSSAADTILTEWEGYTSEAIGRLTTASEVDFTRPSPETDLLALSVGGGNVIVMPHPDPERKTARFHVGPVGGLTSLKKVIVSANGNGENGEVNVEEQEEKTNEQIRERFAAQFSLRSIDAGFDSVVAAIVGSRIDSWALVRGISDYQNGQSRASRVWQYCFLGADQTDD >PPA02279 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:117815:118305:-1 gene:PPA02279 transcript:PPA02279 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEPSNEEQPEDEDEESRSEATTHTTSISSPGSSNRTTSSSRLAMIFPKVTGWASSI >PPA02483 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:989791:996919:-1 gene:PPA02483 transcript:PPA02483 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAWEVAARKKLDKELRGPGFNDWLRDIPVSPIERWRNNEEEYSSLDLTEILGRSEYCHDFVRDALRYYLHHIAHCEEYDLKFEENAIGLAQLINFVYYAEKRAESGEEILNEVLDSVISALSKFPRWIKVDLLTKILCTGCPIYVAVRLFNGFDSPFEPLPRALLQSAAFTKDHFYFAPIREGYSVATRISGWGSNRLSNLGCHEGSSVDQKNMVTLPRFDGSRVTAFSVGVNHSLFLTENRQLFVTGRLKRYASFLSLPNLQCRHIHAGANRSEIFFSTDFPLIKKNKREWDLIRIVAGSSKEPSVLNYDDKLEGGDSYHMLMNDRYSPHLTGEYPIISFKGSKKHRLDVLSFKLDSLGGLLVSDSSKSLPRELRVCVGNIVHRHHSIRQIAFCDNGDVFSLLQVNRTDRNYILVKGEIRKLRVDQMEWTSKKSNRDKNDEQYYLIAEQVAGAEHVVQFSVDRNGDNLLFEIRDQPRLQSFHPHQSSSSSVHSFDAHNDPEICYGGATRSLKLLQDERDCGNVMVDDDELIKLSPHSNFLCPRPFLSEEMMKDLKEESPIEKRSRKAKSGSHKVIFELHNKARNAVELRLKDTKDNKDKDNIVVPVPDRYYGASFENGSLQLAVPRYFEVLIDERTRQYEDTSEQLVIDMIECYSQDLFPFHSSMQASITGRSDAFIFVTLRPKISLGSLESEAKLRGFKMLKVTFNGERQFEIPENLWELHTPHFNRSVFHSSAMIPVVECPFPENLMRMCERAFIDPSSTENYSTNQLLNMLDVADYLCANLLAEDVIYRLLRNATRADINEILGEISHALNSRSSLVSVLSRFPHILFDWPIDLQSDLLFEASERMQNNVGPVPRDIDTNGPSMIPSHCNLSLFILASSNCELGIGPMINREDRLSLLFNSFKGDKGMMEKSMKVLDACNARFDQAGKHAEMELLERRISIDLEEFLATVPSPQPTPEPIMREKPKRIRRHTGRKSEREGEKDEKEEKVEVGIEATEYQFVQVKEVNKKASPIEVPTTSTGIRREEVERKVAILSLAEIIKEEEAMEAAKKSSTPKRGGDGGKRTKFRPLSLSDSSGSTPAKNIDTKHDWTQSPRQETPKEKQAKSFTEIMKEQSERKSGVTRRRGPSVSGCQMEAAAIDALSQLYGQMVEDHQLVSVEVCRDEEEAPRWNADGDLYLE >PPA02476 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:948438:948916:-1 gene:PPA02476 transcript:PPA02476 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGNPWSVITKGVFLRFPRLFSTSAWLLRSKDNYKTNTSDNPSDSSESEANAPESTTLKASKATSKISFNMRKW >PPA02441 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:816234:819268:1 gene:PPA02441 transcript:PPA02441 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLQLIIGPAGSGKLMIASPFQSTYCSVMFDHFQSLHRPLEIVNLDPAAETFNYTPSVDIRDLISVDDVLEDEDIIMGPNGGLVFCMEYISKNMEWIHDQLTDGDDAYVMVDCPGQIELYSHLPIMKEIVTTFKSWDYNVCTLFMIDAHFVLEPEKLIAGALTALSSMISLETSAVNVLTKMDQLPRESKKEVEDMLESDCSQLVNGVNDEPWNERHAALSRAIASVLDDYSMFKMVALDNTDEESIEDLLLMIDTTIQYGEDAEVHDRIPKEVDPNEE >PPA02397 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:609952:611004:-1 gene:PPA02397 transcript:PPA02397 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSIFVDRAETVLHAEYGGVEYWRARRSMRYSKQLETRAEQFITEEMKEEIDAQPLTERWQDEQATRASKGGAYVCAHWRRRDFVTSYGKTLPSIKGAAEQITELAKEYGVKKAFVATDAPKEEIEKLRKQLKITLYTFTPGPEEKLIDGASAIVEQENVCGRRKKFPL >PPA02514 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig11:1149483:1150094:-1 gene:PPA02514 transcript:PPA02514 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVSPSPTSGLSVEIRLVDQNKPGLDVKKMKKELHRMKMELIGALDNCGIEGTMNQRDYVAEESGKVVLYITSCQVISANYERL >PPA02361 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:466923:468629:-1 gene:PPA02361 transcript:PPA02361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hmg-1.2 MMGMLGQGGTFSGGHQLNPSQNATMRDSKPPVRGKTSPYGFFVKMCYEEHKKKYPNENVQVTEISKKCSDKWKTMNDDEKRRFYELAQKDADRYTAEVAAYGGEDRKRKRAKKDPNAPKRALSAFFFFSHDKRPEVQGANPMWKVGQVAQELGRMWKALSDAERADYERRAHDDKERYAQEMREYKQRTGMDGHMDDEEDDLGVGVGVGH >PPA02342 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:383821:385903:1 gene:PPA02342 transcript:PPA02342 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSASAITPNDPTLLGGLELPDETGTEKVHSFTVTDRIRAYLSRDLAGMRDRKMAALFVSHGESVEEAFPAWLRLAYLGGGSGYRPYDLNMPLTIPRRPHSHYEGDSPLTEHGKVTAELIGHGMRLEKLHPVRYRYLGYPIDFGYTPEASVKEIIERGETPREYHDRLTTFISSSLKATKESVVLFIGSAHLVHAARDEMWMTPADLLSISSSTDPCSIHMIEIGKGGEILMNDTPLRHLTPTLYDSLQFVTEQEEKLAPMK >PPA02553 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:1328568:1346024:-1 gene:PPA02553 transcript:PPA02553 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ssl-1 MLHLQTGSRTLPNVLHSNFRIDLTDALFHWDSSRNSHLLGRLRVQGKYEDGDRFTYTQALVFFMCVANTIFAFFMRSKRCKDNVPTPTYALCAASYLAAMMFSNMALQFLPYPTQVLAKSCKPIPVLVFGALFAGKSYHFRKYLFVVMIVAGVALFMYKDKKSKAEEGGFGWGEILLLLSLTCDGTTTAIQDRIKKSYNRSSESMMLFMNLFSSLYLLVGLIATGELIGFIAFVQKYPKVFWELLLLSIAGCGGQYFIFKTINEFSPLTCSIVTTTRKLFTIIISVMFMNHPLSERQIMGMVVVFTGLALDAVDSKRQTDIPHYLDEFRPVNQFQLCLTNTLNDIQVVCDRGLHNNQWFVECTIVTFFDQLPFQNVEEEDVLATHLLAAALAQIRQRRDHISHDMIRDVNLAEETAKQKMEKIDTSVSRLENNSVGALESLLKDPQYGFPTKSKPASPSKLKVAAPTEVKEEETTTDQPCFSGSAENVLTVNTSASQPNGPDTVEKAAKHLSTTIARQAREKQAEIEKAEIRVVKDHRRSCAMIARMVKDFWLNVDKVVDHRKQVIIESKKRKALDQHLQFIVGEADKLSQVVAEGIVAETSSKTPSLQGKDDDQDDKDFSSDESDYDDETTIAKAEKQLNNVDVKGEVDALGKEADMEFDDLLDSLPPEYLASLGITVPSTSKKSAADANQEEEEEEEEDSEDSEDDEDSEDEPHNRPSSSKSVEEKETKEEESDEEPRAKRKKIVEEEDDGNGKNDDFESRDEKMRTSREKSKEEGEEEDVKMEDDDGEKEDAREAESQEKVKLDNVDFAKLKSDNSDERQQELTHIAEEALKFQPKGYTLETTQVKTGVPHMLRGTLREYQMVGLDWLVTLYDKNLNGILADEMGLGKTIQTVALLAHLACSERIWGPHLIVVPTSVILNWEMEFKKWCPALKILTYFGSVKERAEKRKGWMKDDSFHVCITSYKVITTDIRSFKMRSWQYLILDEAQNIKNWKSQRWQTLLNVRARRRLLLTGTPLQNSLMELWSLLHFLMPAIFASHDDFKDWFSNPLTGMMEGSVEFNKGLLDRLHKVLRPFILRRMKAEVEKQLPSKTEHVVKCPLSKRQRYLYDDFMSQRATRENLKSGNMMSVLNIVMQLRKCCNHPNLFTPREEQTPLVVPSIATVFPACVFDIVSDDPLQDCVKEIPQSLNLANRKAAWRTSIPKTRPHVEELLTMEPALHIPKKVPGFHFVRPMPEKSISQVSQSSRAPEMFLVVEEGNDIGGLLKERVQVTVDGDKVMVNTDGKLGAERIRVCQAVPGGSFADVDGDSNGRRTSWKGSGGLAPPPSLAIRESGATPSVSTTSIDSKRSSTSVYSHRRPVAAAHSPIRSTTVLHSQPTNRVVMNGEEKKGRNFNELMQEGALSPIPNFPIPLSPPLKRRKTERPLVTGPYQELVSRERLSILEEERRNRLEKAVMRFDEEEEPMYSWQLMSVLWRETRTTRRKGNQWRPSFWKGEWEELGEKVREECEEMTKKFVIYVDGALTESPKINIMMPSFRLLSDCDPLVNSNLVAHRMQLPEVRLIEYDCGKLQILAKLLRELYIYKHRCLIFTQMSRMLDVLQAFLSHHGYQYFRLDGTTGIEQRQAMMERFNADSRVFCFILSTRSGGVGVNLTGADTVIFYDSDWNPTMDAQAQDRCHRIGQTRNVSIYRLISEKTIEENILKKAMQKRRLGEMAIDEAGFTPDFFRQKDNIRDLFDGENVEDLEVDTPVDAPSNQLELDKAMASLEDEQDVAAAKIVRSEAKADIKEFDERVGGVTVIGGGDDGELGELLGQLKPIEKYAIKFLEAEYKPEFEEEVKEAEALLHQKKQEWNRAHERAMREEEGAEGGMDPSLSSLNRDISIDEARRPRPRYTPSTLIPSRQSSRIHENIQSAKRVSHSLASSSYISDSSPSLSHSTPRRVLVRPPINPTQRAARSVLKKAVRKRGRPPKPKEPKNEDDDEDYEDEGSTADEDGVSMKRGRGRPRKNPIPSSQSQSPIPPLLSPSISLSSPSTSTSTPAPPRRMVPIVRPSLPSTRPIPFNSSPSAGSSRSSSISSSSPRITQFTQPYNRQSIAASPLTRTPLPNARQSMVNRPLSSTSSSSLRLPVRPLAHPHSHLTSPSSGGSPTSSPYRLPVRIVHPNISPSSVLRPVPSSRGSSDMGRGRGGGRGGQTTTYVSPTSSHGSRRIIVVRAPGGGGSTPSPFRGTTSNSFRGGNVQGGRGNEEELFHDFDVLFEDQFMPTWRPLTPPLSDLDEDLYSDEFSNQFYEEYLMEDNELPSTIHELPRPLNKYSHSPIKKQRDNSHSAVLELLNAASPIVSAEHAAAEAQKNVAMLHQSQSSTSIDLGLPPPSPMDSPSVRRTSSSSRKTVRSMYESMPGRTAKGDMRRPTTPPPAEREAKDYEGPEWTILEDYALLQAVLSEQRLTHMTQNERSGIKLNWEYISGFVNRVTNNYRSPRQCSVHYQMAVLPREEGRILTIDPLTKKPRKLSLTPTEISHLRKGRTSTHQQYQNDVESVLSYKLLDKMRAVKRVREKREPPFKRVNPLEIPSTGVPPSNHINKMRQWEIDPFGSFPPLHETLAAREARRSAVMMQEKEARMAHDAKMAEEREKQSIAASISSIIVRPSALPHMTGTLTTPPLITLEVVHRVDLMREERWLELVHLPSNMLMGEEGGGEEGLPIGGDRGGPYFPRPPPHQQPIPTNPNPLLPHQQAHPLAEHMPSMGGMTRRVGTPLGGNQGMMGSGQGGGSGGGGGSSSQYVMIGGDRGGGGEGNQQGPQGSQGGGGGGQMGRDGGGQTQQVASRMNLMGREGGGSSGQSSGGPSQPVYRAMSGAPPPKRAAPGGIQRMYMGDGGNPSTQTVHIARGQTVARAEMRPNFNPQRVTQRVMPGGTGSRVYMGGPGSGNASPSHGGQSMGGDGGGQTAPTGRPYGMMQPPIRVGIQPPRMGGPMGGNGGPPKRAHSIVPPKNQPGMLVARAGAGIGHMRGSSQINRFPGGTSGSQPRMNVLMEQPKEELLFEEEIIPQEEEEGGGSMGGPSLQSRSIPSSRPMDLLHLLHLFLLLIPLLIPFLLHKTN >PPA02270 pep:known supercontig:P_pacificus-5.0:Ppa_Contig11:35461:40239:-1 gene:PPA02270 transcript:PPA02270 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRPQDATTDSVSLSIKVILEVNAKYPDTGPPSFSLAEPRGLDDGAPKELARAIEERLREMEGMPVLYEIFQLTSDFLVASESTSIATCPICLCPMSDAPQAVTSCEHYVHRPCLDRHIEHVRRTLAETLARTPDHMKHGVDRSLLCPVCRAELESEVEPLVEKKEEELKGRRKSKGGKKVEEKKPVVEEGYADFSFDWPQWKEQQARMKVIFDRQLAADGIIDVEKEARRDVLTEDAIVYLDQLSIASSDAPPPPPPVALPSSNLPPGLDLPKCVVGPPPGFGPQQQQQNRSAQHDGGQHHRHERRGGGRGGEAGRGSERGGRNPKHKQQAAAVAAVAPAGGPPTARGGRGGRGGGRNPNHKQPASLDAAADGVAAAAAGPPAERGARGGGRNPNHQRPTPSGAALRAVAAAAPPTTRGGRGVGGGGRPLNNNPPSSSSSGARGGGRGGVAGGGGGERGGVEREVLASRLVGISISLQWGGAICTNTVIQ >PPA02659 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:136020:138043:1 gene:PPA02659 transcript:PPA02659 gene_biotype:protein_coding transcript_biotype:protein_coding MERMMRVRQHHGSYGRMFDEEDSFMVRKKNQKYTIQKLSSALPLNPDLRVHLQEELTAADSGDRSAPTTRAAARLQSMGLSDSVNASEIAWIVSEFGSSLQILQIRAKSMCFLHHLLRINWLSQWLNLCDLVEKACESDIIMGDRYKWSHRERGFYVYLTPGQ >PPA02649 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:62018:63946:-1 gene:PPA02649 transcript:PPA02649 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKLQIAPKTGKMTMQITVFNKLFHEYCTQYYSGLTGKWIARISLTPSDSVVKLQKQDVDHRGAGLRLRLTRPSGRRFRQLLVIVKAKFFSHSAEEKIKAAGGTCVLAAKGTITCVLFLI >PPA02653 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:86193:92142:1 gene:PPA02653 transcript:PPA02653 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVQIVRLHRYAAKHAASQQQQNGHASPPAPPTSNGTSSSSHHHAVPAPLASDPDQFYLPGILTNGDIPSLTVRDEPDRTFRAIYQDFSGCRILNTETLLQLATRLSYCKANYLFVNFEVRTTDRYQLPYTNRDLFHMSQVCDELFVTLVPSLDLQSNYIEPLGCRTIIDHFLDDFPLSKAAHFGPNIASILIANRQVLAAVQRRVKRIFLSVEVDEKNAAALSRVPPYVTLCVEGRFPFEAERLLNPRVSLVLRFSTGDDGYLCAAPDSTAKKALLAAKLGERSTVVARSPEALLFYFALTFKDTLNRVAAFVSQPKISKKDTHCAGTMVCELSTGCEIVPPSLSYIPLLAAVGVGWNCAVDMRRFAFLLPRITAHHVLLDGRRHW >PPA02678 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:306331:310209:1 gene:PPA02678 transcript:PPA02678 gene_biotype:protein_coding transcript_biotype:protein_coding MFVMCRRTASVKQRYKEDRSRMTGTCGVCFGASGGNHFGAEACRSCAAFFRRAVRSGRALVCRQGEHVCMQKEPPDLHCKKCRYTRCIKIGMQPSGVRRAKNDLPDDLEQLNDDDIVEDDQKPFEEDDPLAEFRVAIARDKSSSVLLERIRMNYRKLLLRRIESEHTVITEAIVGMTQVACSYVELNAIMRAAFPLVVDFACTTFPELCELTPGEKTQLFKHFVITLFYLESYHRSSILYELTDSKRALTHLTCMDMNNLAALYGNMTQINEKQATEMINARCTRHRLMEMLRSFKRIALSEVEISAAVALILTSHDCSNLDENIGVLCRPMRDAIIGELSKHYDNSSDPDRSALRLGDLLSFLTEFQDFAEAQKRDHSLVSLFSEGQQPGFLFSLTDDSR >PPA02673 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:268228:269877:1 gene:PPA02673 transcript:PPA02673 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVIEVVDSTVAYASLTVAIPLIPFYLRVITALPRTKSTAKAVYMIYQVGGVIDIVCLFNTILQILAQKGLLPATLLVEGTPRMIMALIYSTRIGQLCTVFLISLNRVIAVRAPTQYHRVFGSPWSVPCLAAIQFAPSIFYFAYFVVTSDSTWAPFGNRGHSITASFHITRPLDISCIVIQLFFTMSNTVFYCIIIASLRKNTKVLETKEMRIEPDTRKSRQERNFSNMAVIFLYLAYMVLLAICDVPPPPGLFLPPPTTQFVKAHGARRRMSYAPVRSLNKKSIPLGQIVL >PPA02701 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:414179:416718:-1 gene:PPA02701 transcript:PPA02701 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAVSIYYSIFEPVLTVAYAWILLTISRSRANELRSSFFKFFVVADLCFNLMVFGSHSFSMSHTIGKTLVIASRTTATGEKVYVGVEVWAQEVVITSRKRHSTNIAAQQIPHIQRIHYICTTIASSVHIVGCSERGHVRMPRSRQIFCIDIAILFVNKYTNDKYSEYDHSHRNTVHGDMLSTQDR >PPA02654 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:93761:95083:1 gene:PPA02654 transcript:PPA02654 gene_biotype:protein_coding transcript_biotype:protein_coding MVALFEQASTLGRVEHQLTRFSCGSWRPGQDGGDFDRVLMRIHDVLGKVPVHNFAATRPSPTGVKYSAGRHSSRAVRVALRRGHLPAAASERVEVLNGADMHN >PPA02671 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:249751:253955:-1 gene:PPA02671 transcript:PPA02671 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAPVQSATILGCVASCFCAMMKGKQDIPMHHRTAHIQAILAEKDVIMSAFRAIGSGNPTLSRQALSLIGFIISGGTNAQREPLIMYAGFVKMIGECIDSSWSQVRIDASWLLAIVADGPRHHIELVLKEFEVIAQLMPLLDHDDKKLQENMCWIFAGLFGSSADKPELTMEEFVENGVRTIVDFAIKSGAGTQIVNMIRQVLPKQLLTHAEFARIVEQSSRGSRNSKFHLKKKGFLSSLASFTNLACFRAVTPAHTVKRPQGAFTNQN >PPA02702 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:417288:418343:-1 gene:PPA02702 transcript:PPA02702 gene_biotype:protein_coding transcript_biotype:protein_coding MRYVGVELWAQQKQLVKQEWTLLVYTICITFAHLVKSAQQIFWFVTIVIDNAPLFDLAAKMREYL >PPA02677 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:289236:295957:1 gene:PPA02677 transcript:PPA02677 gene_biotype:protein_coding transcript_biotype:protein_coding MPARFLKTAYEPPHNGLVEMRKRGLPWLAASSILIILVLVASVSYLFMRDQQRGFLDQDSIFAASDNQTTASPTSGEKLITQIEAPTTFLPTLVATTDVDAREEKPPNPLELDSGEADETNRRSEAIDELTTPSSDEGTSAIPWVADSTPSEETEAVDVEEEVETTLVVPIVPGLDDSSEEEPEEEPMRGDYPGDFFRRTVAPPAEESITTSAPPLLTAHTSTIATLTTTPSEAPASTTTRTAPLTTSASPITSTIPPPSPSSTTFTTPSMTSSSSTSPSTTTTTLTTSHRTPLLPLSEFSRAAITATNEICADIARNILMSGGNAVDAAIAGAFCLGGVEPQAGGMGGGVMMTAYQKATGRCEVVNGREAAPARVDTAEWESNPRAREHGYSSIAVPGALHGLLSAYRSEWSSLIQPTIQLVEAGFPVSASLAEAVSYRAAEINETAGMRDIFLPNGAPLKEADVLRDARLAETLRKIATSRDPSHLFYRGELAERIVHEVKAKGGHISKSDLVLFSSSVEDALDDVEMEGGGARLCGPQPPSAFAAMQAGLRVMEALNISSSTSEELFSHYLLEATKIALGLREQLGDSPHPDLLANLTSPAFIEAVAAEIGETAATRERVIEGPTDVTSSHISVVDEQGNAVALMMGLNRP >PPA02657 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:112116:116218:1 gene:PPA02657 transcript:PPA02657 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPISGTFTGVAEEPSNDGRVLRNTRARRNRVQPSGVEAESRRSSSSTSTTVSATVNCPTTASGPVPSATVPENVPVVTSIQQTIEGTNHGTDDPNCCKELTAVELRKHGPDHTKPTFWFFLLQQLMDPSRRDTIVWTGRGREFRIKDERRLIELWSVSQSRQELISKTSLRHHFGELYRRKRVQAVHAEHLHFVWNIEPSVYVDMTTDQLDHYIKQFSDIFSSYPTKKAQRPSEINTVPPEAEHTISIPSVTPTVSVPSVTRTVSVPSLAPTVTVPSLAPTVSVPSVTPTVSVPVRATPDTVAPMQHFPQWQSVAHSMPFEYAYGYPAFHQPMPFHGYQQQAGPSGCMVEHQMLQQQQQQYFQMPNQRNGNHSMSDDSDPGQYRPVFRLPQPQQLQYQHSQLMTMEMEQGVEISYAGRWPGSVDSSSTVNDELKVWRAETK >PPA02699 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:410505:410905:-1 gene:PPA02699 transcript:PPA02699 gene_biotype:protein_coding transcript_biotype:protein_coding MREYLTISFHIPFIGNLFSTESHRDFKELQGKIKIKNDNNRRITMCYANWLTTRIPRNTTITSTRRSRGTHEYNSL >PPA02668 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:234778:236715:1 gene:PPA02668 transcript:PPA02668 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHNADDVACPVEPKRADAFTTHEDILSTMSDKCLLSVFSYLDWRTQRAMNCVSKRMRFVLKQMELKRPPTAVKLAAMYSDSDDESSVEYEESDEEDIGNAEDYDDEDEEEEEEEIIVIEDDESDLSGEYEESDENDDENLEDDDDDDDDDDDDDDVEEQEGDEEEEEE >PPA02656 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:105626:110908:1 gene:PPA02656 transcript:PPA02656 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPISDLFPVGKAGEELQSGRVLRATPARRNRFDPYTRNVLGLGATASPSPSISAPAIDMDRTKSATLLDDEPMMIENGKKKRKRKPQKPRGVSGSDASDLYCYTPPRTRNQMKRLRCSGEEQGHGTQRDETSSYSTPTPDSIDSPPSVREPVRSNGPPKKRRSGYNREKYEKYDPTKAVAENGNLLKSHGGERDLMCDMVKKTFWFFVLELLVDPTKKEIAAWTGRGREFQVKSMEALGREWYAHRAYKVKQVNVDSMGRFLRAYYKRRVLLPVSPKQGRYAFITEPSIHVAMTREQLDEYIEEHAHAVSVPLGPESARPRKRNSYRFADYL >PPA02705 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:428525:430624:-1 gene:PPA02705 transcript:PPA02705 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIIDFVVIKMKRLISEDKSEEEDVPEKNPTTLITEGPCGAHQRCNNHGVCTQFPEGLFCLCDAEWVGVRCQIAREKFLNGTADEPCENFMDCNDHGFCSGHGKNLQCNCFDGWFGVRCQIPMDRIGSV >PPA02667 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:227449:231462:-1 gene:PPA02667 transcript:PPA02667 gene_biotype:protein_coding transcript_biotype:protein_coding MCLWSTDDEVRRAVDVAITQEHYPLIDTAELYGNEEKIGDVLHKVFTDGTRKRENIFITTKLWPNNLHPARSEAAARECLKRLQLDRVDLLLAHMPIVNDAENNEQDKSVSVEDVWKCIAYSAQGLERIYHLGLARAIGVSNFSVEQIERIMSVAKVPIHNAQNELHLHWPQPELAAVCKKHGISLTSYGSLGSPGRVNFGMMEWASAPSALEDATVKKLAAKYGKSPAQVLLRYVIDRGIAVIPKSVSPSRLRENIDVFDFALTKDEIAVLDSVKHRQRLFVFDLPPRGSVQERAKVMAIRRPSSVSVDNFRIMSDTQWRRVVEIESYKQKTFNLSRTDRIGRVITKTFDLIQTITFIYDVESLKTEQANAMKWDPNQYSQSGASSPHFQEFHDDRPFTKVDMKPICPQNSVEEDVIMDRTDVVNTDINTKDDSTAHNAPEIRQSMRKRPRSVIIPKVDYEEEDNEENTDKDPVTPCTPTTPGAGNFNCNHCERWFNSSLGLKRHMLIHEGTRCSDCGKRLKTRDNLQRHYTDFHPGKPVKAANELPFAFASLKCTQCEHTASDYSNLIIHARKHSGKKPWERRGQPMVNGERV >PPA02674 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:271067:274047:1 gene:PPA02674 transcript:PPA02674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase [Source:UniProtKB/TrEMBL;Acc:H3DZ26] MIAFLVATLAALLPSSILCLDPEVDMNAEQIIKYWKYPFEEYATQTDDGYVLTLFRMKHGRETDGSPPGPPFLLAHGLGASAEHWLMNPPESSPAFILADAGFDVWLINFRGAKNSKKHVKFKPESSAFWNFCWDQMAEYDLPATVDTGTTVLFGKLARQPEFASKIARFFALAPITTARHMRGPMTALYFIHSILQNSNDHFGAMEMVLPTQYLAGILSRWVCPYARFGLACESFISVQGGHSGIGVFNQSRTPVYFSHYPGSSSLRNFAHWGQMVWRDATSMYDHGSAQKNQIHYNQSSPPTYDFTAIRNVSIHLFYSLNDNVATADDVEKEMIGKQLGKDVVKIAKEAINVPGYSHNDFIAGMTLKRVIMDRIIKVIRKEDTSSEGYDKYPKKKKKNESFEFM >PPA02644 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:42597:45641:1 gene:PPA02644 transcript:PPA02644 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRATVAVSRCVLSGPTSSGARTVASGPPPNAVQPDTDLATKRARLLYQSKKRGILENDILLVTYCFQMINGEHMEWDLYYYLSGKKPAPAEVVSTNAFALLKKFVDAKKEPTKR >PPA02655 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:95438:103497:1 gene:PPA02655 transcript:PPA02655 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-eif-3.K MGSNASKRSTGTALPYSSSNAVLAPASSSSSLPPISVFVEIILNPENLALDRLTPVIFKKARIELRRSLRALDDARRSLPYNFELALVLAEIKLVTELLVLASRIGQSLCQHGGSFLASNNNVNGGLDADRKRSDTGIPYSPGRVGVGHLPLAIRTDLANSLLEIRAQFQHVWLSRSIPSTLPNALKIFDNLFKYASGAPASRPTGVWQATVVREERSIVHGPYRIRPTIPYTMGQFDALKSSLDDHIQGVNRYNPENVVELQQCVAAMASENRYDRDIALTTLKLYQLNPDKYDEATVRTILLKTLTVLPGADFALAKCLIDANRINSPELRLVLNLGSVLESCDYATFWKLAKGEYAADDKFKAPAEIAKLMKPISGFEEAVRIFACRVISTTFQCIEKALLMRLLGGVNDAKVGEYARKFGWEARDKGAVYYIANHDSTIKSRNIDERLTFSNVHEVLVHTPVQTVIDGPVSASYFAC >PPA02675 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:275480:276294:-1 gene:PPA02675 transcript:PPA02675 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDAQWKLTLFRHVSGSELLRFAAITIVLSFCYSGEKTLCCKNSVVRADPEKNCDLRYEMPRTICPNGMDFLFNDLTVSYDMRLLAP >PPA02713 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:453760:458125:-1 gene:PPA02713 transcript:PPA02713 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFWQVQALITLLLIARYIDASVYSCEELRYKLINTTFTQNTNYACIITQEGFTHWNQLEKVYAQVDKYYTSFHDIATGAGSCIENVRMEHPWDFFADSGVVLDCSQEFTLILTSDKPTFVRPLKEEQRFRLYGRHVFAVPQAGMWLHKRRCVGQGTVTVSTGAGVAEKEDEYWMKSWSCDALPDWLFSFDNVITIDSDHSVEMTVECSSVLELDAMTMVLPGDRIAILTSGRSDDLQNMEGSDKAAFVLIGEWESHDVTVDMQLSFDPTNTGSIVLQKSWGGPEFKYYNGSHTEKFTTSFFEIHYAPKLKQPSEIWNSQDNIVIEIAIDSKAPSTEPTAAPPNKDPYCDCSVDASGAPVGWSYRGIWLDIVIVIDVSEAMGQESIDRASTLTESLVSTLVTDTTAPLYTRVGVIAMGTDAKVLYNLNMTKADKVKATVDNGVREINIVYAFEAAQGMFSDGLTSKPDRANARQVIYFMTDSDYKNDVNSINAFKDASGVIVVSNFLKNGEIEKSELNHLASLGYFFSDNTTNCYCQSDKIPFGGSDPALKAAGGCYKPAPDERDPFSKAKSACSINGGMLATIHDDEKNHFVQKIMESSKSDFFWIGYSKSDDGAWHWDDESTDPYTNWAVDEPSTAAVSNCAYVDTTSSVLTWGAGNCQIGFPYACEYEPCSVGSMDC >PPA02658 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:117988:119930:1 gene:PPA02658 transcript:PPA02658 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWFLLLKLLVDPTKRGIAAWTGRGREFKVMSEWALAEAWYAHTQRKGVEPNMDSVMRLLRACYKKRIIVPVKVTQRLYAFITEPAIHVGMTREQLDEYIGKYSLEAIPLGPTESLDLLSSHSGSEIGLAALVPQQSNSEPASSPRRDVDKRKTI >PPA02643 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:41060:42047:1 gene:PPA02643 transcript:PPA02643 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVCRKRPAELSLQQLVQQEHTQGTVVATVIPEEKRARAAHQDPRPRVCLKCLAGESGHITHTLTQMA >PPA02709 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:441787:443242:1 gene:PPA02709 transcript:PPA02709 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDLYFWLSVLWAFLIIICFFDNLLIVWAIVQNRNLRGPCFVLIGISSYADSIQVFGLAPKIFTYFLFGLKPMDARTCLYIELIPLTFNGVSNFTVISIALDRLFASVSPSIEVHCTMSPIFMGYARVLWFLQAMLTYAASVVIYFTVAIIIRYRTITLKETRLFHSLMYIFLSMLCGYFLTCFLGVLSGGELREEREQLTMDMLIGTPMLISLCLNYFIYYLTSWTSRH >PPA02687 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:358828:363249:-1 gene:PPA02687 transcript:PPA02687 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLHLFFTILAPICAWTPLLPSERSQSGWGVHDCGETEHLPNGIRRTAFSARVLNLETQLECGGTNGEPVSEVSSQIIVVHIEMNEPAQHYRFPSSFLDDKFHGAEIAIVEVKEGLQRHYPACIAHANIEIRTLERRNNDWRSKTGDFDVAESFLFAPGDPIISSVVSNSSLYRYQYLIGVRRAAMNSDAETVEGKVDGLVLGVSIYFWGQFICEHTGVCNPDSYFHLKDGFRKYIEDGKRFDHPTVPPTCTSKAFDDVKNKLSALPRISKTEAADIRRKPVINYGVNMSTSQFVVGIGHLMGYPMDFGFGPDCSYVFTTFC >PPA02714 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:458804:462505:-1 gene:PPA02714 transcript:PPA02714 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNCLIYGLLETTALTMMMEYTSNKFRIIHAACFQWPIAYMVMALIAYLTKDWQSYFVFLNLVASPLAIGFMLFHESPRWLIARNKLDEACSVLNDLAHERWNNTKAKFTTRDISTISKTHTSPPYYTIFSLFANRRLAKQTFMQFVSAFTYSIVSSTYIYTTSTVHDDAIMYTLLDGIFRLFTPIIIIFLDYRFKAFGRKMQFIGALTIEAILFAIIIVLIATGSKYDDLGVVIIIIVTTMINDCVFWINIIQVTTQRYPTVIRCMAFGFIQAVKHFGAIVGVLALQPVLQTYSLGAFIIPEVLIIITLVLGFFLQPETKGKALMDQMIEANYGRLENAIPKALIKLAAGHKVVQMERREELRKDMEAAEAAIEKGKLVDSPWTFKGDSHNPSRKTSTMVVPQGDVFELEVIKTLQSIPDPHMGSRKQTKSSLKEYENRAYNYDDDTEDYAREFKKEKERKFHEKELELEARSRRNSAHSANWKNPSWSDNDASDLDEIKM >PPA02646 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:55149:55384:-1 gene:PPA02646 transcript:PPA02646 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSIGKRKQRSSQMTMPKAKKLKMTSLPQTAFKTVRNFVEGQTLLQMREVALFCTCFTYFF >PPA02679 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:316152:318960:1 gene:PPA02679 transcript:PPA02679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ceh-27 MPGLPPMTLRNNFNAAASASAVGLNNLLDSSRGMSSKRKRRVLFSSQQVRKGGVRTALAHHDREIQVQELERRFRTNKYLNAADRESLARGIGLSATQVKIWFQNQRYKCKRQEKERKMGGDCCDNSGSPDSRTSGENESLLDGKVKEEVAAIKCEAYFNPMLAENACLPDINGHLPQQMYNPMAAYQPQGFNLFPSPAAAYPYHMGPGAFVATPPFTYNTLTAIDKKPTL >PPA02651 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:72013:78501:1 gene:PPA02651 transcript:PPA02651 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVTPLLPVSSGSLFVLTQLIQVYNPFLEASMQMIAEFAAVISPIVVFYNIPAYKRVVLSLLHCKLSRLSMLPIETSVHTGSRRVMAAAGEERAADLMLKCVEEGRVDVLCSILSQLKDKPDFHEQVDIVCCKDGSLLHRAVALNSTDAVNALLANGVNPCVQSDGGKTAYQCCKSDAVRNAFVQEVLKAITLSNNGRLCQLISSGIPVDAVDSPASRNTLLNWAADFSTVEIVRTLCDSGASVNLPNAKGETPLLTAVKRGEEGIVRQLLAAGADPKAKTVKGRLDPKAKTVEGGIGKEHPVFNRGEDAFEIATKKGGGLLPLLSADTVTRGIRRTASVESMEFDRQSIVSCETSTAALFNDRKHFYL >PPA02652 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:79197:83510:1 gene:PPA02652 transcript:PPA02652 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSDDECADPTWGMEDLDIVNDLPALDRKNFQFSNGFASLETVLEAAAFYRSTVTKPHKNLMAMKSRFRFITNEHDLRKLESFAKDNVENTARRASRVTGLQNLSNHLMEEVMDAFDDGYTLHDFDLTVMALDINREHCYVSVSLIHYSVQEKVPVNHVDEQAIKKSADDFVATIKDEMKMRPLSMFANADQSGFLKEMVSKRSLAPIGQRSVVRCVQSKASLTHSYTILPIVYADGSLGETLYINLQEPKGQFPKTKRIFSAPNIYVTCGTTHIMTKNHMREWVNKCIFTASSPSSDLVILLDSWSSFSDTASIDASLPTGKSLTVRQIPKGATGICQPLDVYFFRPFKGLVRRIQSYGFKNCPGFVAHQRDTILKVMSLSYSIMCAPAFRPLIQYAWHAAGYLDTPPTSRFKTPVEVSAEFKSGRPECWTDMLWPQPKLVRVNGAARAFQLPKDNRLKIYFDDSSDGCPRRLMQAIQISAPLLNSAGLEMDYRGHKTADHDKEDASLDGRVTVGVWDDRRKSGAYCLAIEAAGIEITKMEEKTLGKAPTVSRARRLAI >PPA02698 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:408385:409408:-1 gene:PPA02698 transcript:PPA02698 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKNQSISPENVRISNSVGENSSIIWGELNVSRSALGCGSAQGERPFVCEECDYTCAFKQQLNTHRLRVHGLNPAQSQGRRGRPEKERPTLPCPQCPRTFQFQSKLDSHLRYHNGVKPFACSECAHAFEERCALNKHLRTVHGAKC >PPA02648 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:58597:59137:1 gene:PPA02648 transcript:PPA02648 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKFLILLLVLISASAVYAASVRVEACDEVCRRTVPERNQCCRAHGYQGMIRGMCTGNSAYCNKAGA >PPA02704 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:423356:427731:1 gene:PPA02704 transcript:PPA02704 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKVPKEIEEYLMGAYEKANQWKLPSGESYEDSNSVEMKTVSVIGGGTMGRGIAIALCRAGYDVILVENDEKSLAFCQKELGITIKRETELKRFKAKDIERLTSSSLKLTTRLEDLADCQLVIEAVFENMQLKKELFTNLQRICSKDCVFGSNTSSLNIEEMASVLSDPSRLVGIHFFNPAHVIKVVEIVFGKKTSGKAVATAFEACRAMKKIAVLVGNCPGFVFNRMLFAYMEEAHRLMHENGLMPNQVDEIVRHAGFLMGPITMHDMNGVDVGAKVKTEQGWPVNPIEEWLLKNKRLGRKTGKGFYFYDADAKKHNDAEVEWKIRELASKATRSSVLMSDQDVLEALLFPMVNEGYNLLERGMIADPALIDIMFIFGFGWPRATGGPLKWGESRQKRLAEKLHDWKANVHFANKLVLRLLISKLTSLSAPFRVAFTLEIIDVSGAEAVRVAVDQTLA >PPA02680 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:329752:330498:1 gene:PPA02680 transcript:PPA02680 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFLVLLVSLISVGFIDAAVNPKWILPKGATAEQIKARDETMLATLSKPAQKAFAQLQELGKQPYNYPTYVEKKDEFLATLPKSVRDEILNFVPHKQFI >PPA02661 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:186128:190808:1 gene:PPA02661 transcript:PPA02661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-kcnl-1 MDSRVCDLSLLLAIAGLIFVIIDAELTALSSHTHILKVKIALIDSGADDWRVAVSCERAVKLCLEILVCSICPFPGTGSINWPHINAETRAVKMIPVPVDALLAVPMFLRSYLLCRFMVLHSKQFQDAATRSIAALNRISMDFRFVIKTMMADHPLRVLVVFTVSYWICMSWMFTQCERYDEKLTSEHYYLNSMWFIMVTFMSIGYGDIVPNTYCGRTLSIATGIVGAGVSSALIAVISRKLELSRAEKHVNNFMADSKLTNQRKNAAASVLQETWFIHKYKKMLHKGDDLRLRHHQRRFLHSINEFRRIKWDQRKLQEKGNTLLDVGKLHSDMHETLWEMHRTQDHFISQVDLLTQKIVELQATLVQQAAQGHYNLLPLAGCSRQDSEDPLASSQNPLAVSPSAPTFSYQRQNSSPYPQDTVAARLAVPQGGGTPVMNGSARTASPTPDPRPIPCSTLALNPAPCLDTHPSTGVCRLP >PPA02712 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:451101:453081:1 gene:PPA02712 transcript:PPA02712 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYSIFLLNNAIIDMASAVASALGTVRIVQDREGSMIFVFLGPCTLINEELCRFCQGLHINLVQHSALVLLLSFAYRLYILGGDVFKDRRTIRPIHAAYYFEQTSVAPEMITKLRLKGYTAANYNIFGSTRAILLDALGVLLSPTIMTLIFIVRHRLLLKIEKAKPTEKRLHVSIARALTYQLLLPCGVASAAVFWLLDVCEIWSSEFSERVIMLTCSIFSLASPLINFAVLPPYREIFQRKC >PPA02690 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:373803:376230:-1 gene:PPA02690 transcript:PPA02690 gene_biotype:protein_coding transcript_biotype:protein_coding MWNARDDGGKKIKMDSHTRPINEEEVDAKPSVFIFIFDSVASSQALRSLPKTISLLEHEFEAVNLRHVNKIGENRKLINDIDRSVFGMEKVQADWNETQACYRYLDDEQFILKEFTKKGYKSLMAEDWAAGVFNHPNCWGFKEAPVTHYMRCFSQSPKISLLWADVAHDDADALFHVDVQLFNYFRKHRDVVRDTPIGEREINNPMMFVSVPRRLRSRIAPVLKENSNRLLTSFDIHASLVDILQPDESNVMVIMAEKIK >PPA02645 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:45995:51161:-1 gene:PPA02645 transcript:PPA02645 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALRSCLLRRGAQTTSTSSSAAAASTTSSIQQQRGMAIPADPLARFRQLANATPVGEKPRVLITGSLGQLGRGLATMLRFMYGEDSVLMTDIRKVRADETDVCNYHFLDILNEGAIDEAVVNGRVTTLIHFSALLSAVGEQNVPLALKVNCQGVQNILEVAKRHSLKVFIPSTIGAFGPETPRVDTPDITVQRPKTIYGVSKVYAELLGEYYHHRFGVDFRSLRFPGIISATKPGGGTTDYAIKIFYDALKDGHHVCYLRPDTRLPMMYDTDCTASVLFFLAAQSSSLSMRTYNVTGFSFTPEEIAASIRKFMPDFRITYEICPMRQRIADSWPQSLDDSIARADWAWKNDYGLDQTTEIMIALIKREMAREKGESPKAVIA >PPA02672 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:265482:267166:1 gene:PPA02672 transcript:PPA02672 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNCFQELLKPVCIPCVLLYIPCSFYFITPFLLPDTVHTPPWLITTLYSWYPIIDPLAIIFMIADYRRALLRWFGMARMIPSQTV >PPA02703 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:419989:420515:-1 gene:PPA02703 transcript:PPA02703 gene_biotype:protein_coding transcript_biotype:protein_coding MDSITLSGGWCFPTAICALGIHVGHDSFINSLPVILVDGFYVTCEWVDWIGTITLKHRYEKMI >PPA02681 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:333044:335796:1 gene:PPA02681 transcript:PPA02681 gene_biotype:protein_coding transcript_biotype:protein_coding MCTYASVGCLRKFISYPSSTELALVIQSLKFPRISFCNENPLKRSQVESSSHMPRNGHPKQMTANIDCAFTGRNGDYQLRADGIPAGTAPDEAGAGHAEAANGATDEGGPQGIRGTHTRTSTRNAPLLGRHAARKDKDQANFKHFLHPQYGNCYPFISDVDITRPGTQQQFRMLFTQDWPNPTSRKQAMDYLPTSDSAAIWAVIHQPDEFPDFSKNGIRIGVGTQAIVSLSKANKDHHRLGAAYGNCIEQDMESDNYYQNYTYTMNTCQQSCLQRHAHSKCGCVDPLFLKAKNHTHCTTPQQICAKLVLKSTI >PPA02711 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:449234:450484:1 gene:PPA02711 transcript:PPA02711 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRIKESNTNPRRIAVVKTLEALPNTQEEQQIIDAINKYISDYRVENSDIIYEYSDQIIKKTEEFIRTHYEETPLWAATVTNIVNNATKNGIGNKATQDTLIITPPNQFTSILIYRSLQFFRATTRMRNNLSAYLHKRKEDLDLKHPQVPRNYTDTFHLKCSKKIDTKEGKTVGFFFEEEPVVTWTGDKPPGARSTGEAKYSVGEIDYKECPIMLDKPRTVIVAYP >PPA02660 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:178274:179142:1 gene:PPA02660 transcript:PPA02660 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIHSLRSQNTYFPERLKPAVLWPFLHGGTCFLEGLDEKVGNGGVRFDVEHGKDPARERNNSSCAKSIFFGFSSRPH >PPA02688 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:363510:365036:-1 gene:PPA02688 transcript:PPA02688 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATSKPSKKEELNPFNPGGPRLGADLSTIVTEAATTKNGPGLDTINEQQHLENEKKYRQLILEQRDYLARLREEINKKDEQLADAEAHRKRGLWQFLTGTYLAKTTSSILVMAIFYAGFVAVIGSIFSNVPFDDSLYLEND >PPA02662 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:194916:196746:-1 gene:PPA02662 transcript:PPA02662 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQYFLQAPNPNNNNPVDPSDPNNPAGGQTNPSVIRTTNPVVTLHPAVVASCRNTISDAECEHNRNLCRNQYYRQLMAEQCAATCGCGGLLYIIGTTAHQAAAPVALTTTARPTTGHSSALPHSGCYDLVNSSTGV >PPA02682 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:337559:337877:1 gene:PPA02682 transcript:PPA02682 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVFDMIHRLQRLFRSEKQETDDDLVERKNTASSDVNEHEKQMIEEALKRQDAMEKSFEYVYRNPPFF >PPA02639 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:736:6282:1 gene:PPA02639 transcript:PPA02639 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVFDPATWAALIALVVVAQLIAKLRAHYRRGRPELTRLPSLIYLLVILIVICLHSAGFKGNTIITTLTATSYTQLVTDLRSGRRQLVLQPTLTNPLANGIDYMLSNRSKPVLSMDPTEYRLEQVCTNQDYVTRIFTLDLVVLQSVDLPCTLDRIFIDDSPMGLNATFNEEFDINLPYMIIFRRASITRRSLDTLNQILLKMFREEQISELWTPRFLRTFATKEDTTTTKGKGLEYTPISCKQLTAGRYQWVPPLALSYDGKIA >PPA02696 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:397657:398598:-1 gene:PPA02696 transcript:PPA02696 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTRFSAIAYPLSGDKTLARQERALLYYTIAITFAHLIKSAHQILWYIAIVLDDPGMFDLVTNTYSIGNTLTTFVPPLLLMATSSLVRNQVTCGCCGRNSAVAPNNFSPTGNSSSQDVA >PPA02670 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:244354:245911:-1 gene:PPA02670 transcript:PPA02670 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVGDTRRVAQADGRGFSKLEIAELANVCNLEIRKFDFTRYPPFVSKLSGYNFKPLIMAEVFSELESYWIVDTSVRFFNVTPFLNEFYANITSGIIETMVLRHPSSHSIFAATHPQMYEYLPIDLPLAKELEMLEANTMFITRSEMSREAVKWNALCALIPDCMTPAGSKQGCWFELDRYNTTANCHRYDQSSINIIMCSLFERDGWRRRDRSHDDSMSNFALVERDEGDVKLLSIPC >PPA02691 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:378211:379367:-1 gene:PPA02691 transcript:PPA02691 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLELACLLAFAALALSSPLHNGVAHKRYVPRIASEVEIAELRELIKSGLEENSKLKVCGNRLFQLIRAFSEVPCTHEPAAFEIPDSFSLTDKCCYNECTYGELKNYLCMIYS >PPA02697 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:400216:403483:-1 gene:PPA02697 transcript:PPA02697 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRRGVSACANVIVITLKRFEMDLIPWFFDKSTQDQCQIASLLQPDAFLKHERALLFYAITIAGAHLIKSAQQPPHASVVAFDTILLSDSQLPFAAHRLKRGNHLYLSQLTDENQYHMK >PPA02685 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:341439:343575:-1 gene:PPA02685 transcript:PPA02685 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIVTRATYNNETSNVQIGADDDYWIEMTKFEKGMAAPDFVYRESSIKYLLFISPNLERQSDYICKWTGVCPEGIDAARDKQETNVDEVAKKGREKVHSIRFQCC >PPA02676 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:281174:283178:-1 gene:PPA02676 transcript:PPA02676 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVVGPLTANTRMRLGDVALILQQTIQRATNKPFEVLMGKGEMMIKSHQTSGFGSCRLRIGEYYTTVYETPGGGGANYDINNVQQERIFSNIDFGEQLGGSGYEGQLPWPIRAYAPWEALIDGVGIEGAISSGMEIASRGPKQMSQLKTGDEVLSMEEGLGMITFSPIIMFLHRDEQLVAEFNVITTISSHFHANGDSVKLTNEHLIYVFECDRPRSLRLVRAKEVTREHCLITIRSPQRTLEVDQVTNITKVYERGIYSPLTSTGDIIVNGVLSSCHSNLAFKTLQQSLFNVYRYAYRLLSFILPEEGPLPIGLTSLASALDLFIPAKPIVI >PPA02647 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:56963:57340:1 gene:PPA02647 transcript:PPA02647 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKFFIVLLALICASAVYASSVYVEACNEVCGRSVEERNECCKAHGYQGMIRGYCTDGRAFCNKAVA >PPA02665 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:214522:215644:1 gene:PPA02665 transcript:PPA02665 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLILLSIAVVALAKVDEYLPAEGVIKTLTELQIYVVATGNVVCSNANNDTEFHISLMEHDTFGNDVIGDMHYNYKRWKDIGRDFAVWGWAIDSSLETAVEPFLVVKHNCPQWEANQTFCVPLERTSTARGYYNIHLDLGVSRETTPPAL >PPA02663 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:201275:204033:-1 gene:PPA02663 transcript:PPA02663 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAIFILVVSAVALGAAQMTFSDGWEKRQPNRPYAHHYAHQKVARSGGHTFHEPEAAAKAEEKQAADEPKSAPMITEVSKCMEDYMAGVREMHAAMMELYTRFQTCENSMANPLLPGNHQKTSPARF >PPA02700 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:413179:413575:-1 gene:PPA02700 transcript:PPA02700 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKDIPLFYVATALYNHINAITTFVPPILLIFSSRLVRHEMTCPCISRRLRYNMAIAHSSSFGFSGSSKENTPALPQ >PPA02706 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:431436:432380:-1 gene:PPA02706 transcript:PPA02706 gene_biotype:protein_coding transcript_biotype:protein_coding MPCAKQIVSKLKRFFRQEKDEETKLVKQRTPTVQMVKEPIPEDIQLRSYREAWQYQRDLVLPSHNACMLAAQHMLVAKTLVLP >PPA02695 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:396847:397538:1 gene:PPA02695 transcript:PPA02695 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKGNTIHAGVENWAMQKTLARQEQALLIHTVITTTAHMIKSAQQIFSLISTVMGDATLDAQYTIPNILTTFVPPILLIITSTPVRHELAHGLLGQRHGVIQSF >PPA02666 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:223903:225175:1 gene:PPA02666 transcript:PPA02666 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMQRFPYSRLPHPNDPNNSQLDSSPCANWPYKKKRNEAARHRPPMRAHIFLLSIAATLAARDKNLPDGGVRKTLTELQIHITVTGMVRCETDNTTFHIALMEHDDLSNDLIAETHVDIEAGLETYEVSGWAIDSSFEKTVEPFLVVKWLHYKNTTGIFCVALEPTSTAKSYHRVRMSYEEAGIWRKNGWSYPSINCNHFGKFSV >PPA02686 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:346407:355728:-1 gene:PPA02686 transcript:PPA02686 gene_biotype:protein_coding transcript_biotype:protein_coding MNESAQHYRFPSAFLDHKFHGAEIAIVEVKEGLQRHYPSSPGDPIFYTDKLDEFLLGVRRTAKNSDWKTIDGKVDGMTMGVSIYFWGQFVCEHTGERINPPTVPSACTSKAFDDVKNRFSALPRITKTEAEDIRRKVDFERADLYVLGDTYYETTIERAVGPLCYDESCSTTNDFVILIVKRPLYDKGVICLPTKEMALELEKKDVKLAMLELEDEYLIVGEQVDVKLNRVNCTRSKSCSYFNVETAKGRSELIDGTPLVFTVDVDGSEVIFLAGLLNGRKYAKDGATNELFAQLSGDPPKGYSPEISRLTQEENEELQHICGKAAPRLFRRPKTQIRDDKSRHYAAGDAILELQENLVFDSTTSPICMPKREQTYKQEILYIYGVGGSTNYTGNNVREMSMEGIVMRALFNNHSKELGRAETRIGRDEEYWLEVDEYREGMPAIDHGHNMLPFMSQWGPNLEREGDFICKWTVSTDLAISKQPKLMYRFLGADQDGGHGHIKRGLRKNAGKGVSLLSSALAWKPILPSEATSLRQTCGNSSESGDVLGWAVRIRNEASEVQCGGAFVTRRHIITSPACLMVEGAPIKELSQISSSNKVETPTHYRFPKAFADNQFGGASIAIVEVAEGLIGHSPICIPDFHHKLNHTADLWIDSDSVRKERIYMGHEMADCLKFAKGSAIFQMAGSQYFLVGEVRERKEETTVEIIDYKWKNKDVLAVSVYYWSQFICEHTGTPFDHAPPEECRVTAMKRVTNPYSSLPRITKAEASEIKRKCGRGRRYNFEYREHLYLARIFMADLCTMPYCETRKHNIAHNTANDFVILVTWWNPWFEGKQRDVVCLPTRKEMEDIAQKDTKLAVIDFYDDGPIVKEKVDAKLYDGTPLVYTIDKEGNQTAFLAGLLVGKMNDTDERKVATDTMHMLERICLLSGVCMEEFETLTDIALHEKFATSERDKSSEETTYMRDIEFFNESPFSVEASLEVLSQLKGLGSKEYAPVINRLSKHENEELQHMCGKAPPRLKQGRPELIEIYPRDKQHKQHDVFTPTFFLAELVETSDSSTKIKKITELSYFEYHGSDAKDLKHTNEMLDIKKQGGKLAIAAVNIEDRKRIITSLDKNPG >PPA02692 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:381589:383248:-1 gene:PPA02692 transcript:PPA02692 gene_biotype:protein_coding transcript_biotype:protein_coding MCAACVYKLREAQRFNKDLAKQERSLLIHTVVTTTAHMIKSAQQIFWFVTMVLGDAYLYDLTTKMYNLPNTLTTFVPPIFLIATSTPVRNEITCGIMGRINFYNNNNSSTIAPSENSGARDRATQEINLSAAA >PPA02693 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:386653:388205:-1 gene:PPA02693 transcript:PPA02693 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSFYKFFIYTGTAACLNVLVVVSKRTADAFMPNIVIKDTVFNIILFGTHWLSLSHTVGKVLVIKYISNAGYFLFLVISTPMCAISVYKLRRPQRFNKELAAQERSLLIHTVITTAAHMLKSAQQYTIPNALTTFVPPILLIITSTLISRALTILPLRRFRSRTNLTTIAISQTSIDRQTQETTL >PPA02641 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:24898:33020:-1 gene:PPA02641 transcript:PPA02641 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKLLRGTGRPGPCRVPSSYFGDYFSHGFSTAPEDQWVTGRFSSSDENVLFIGSDTGHVCVIDVDAPPHEPTEAIKYFFRAHPGVVMDILPVPGNRNELLTMSGSDIRIWTLGDPIKSSLYLGHDKSVRAATFAPDNSNLFATGGRDGCIFMWDRRVAPLSQNGQAYRRPFKEYRNCHQIKPHPVNAAAGGGRRRSERLIPPRASSSITSMTYLDEHTIITASESRKSGIRLWDTRRPPVREESRPLAVLDVPSSREVGVSSICLDRYKSSLFAVATDNCIHEYYPGTCNTEPVRSYVGACIDADFYMQVGALDVESFQWNVRALQMACSPISDHIMCGSGDKRALVWDAQKHHSYADRPLTGPASTSQKGIRPSLSLGGHNRKVCTVGYSAHATYMLTMDDREFRVWRHMPVSRSSLRSVSGREAKVESDDGMRNGIVRRNL >PPA02669 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:241571:242409:1 gene:PPA02669 transcript:PPA02669 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLETIDFMDDEQNVDGIALHEDIISTIKEDCLLSIFSYLTWRTQQEVKNVSRKMRAIVMNKNCRKRRLAQALEVERCLQHRQQNFLYFVKHIPLDDEKAIIT >PPA02642 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:33371:39788:1 gene:PPA02642 transcript:PPA02642 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNGSTVSLALLLTLAALASAKAGQFRMEKVNYIYEKALQRIQDKQRLSRLEGELGGFDRILIENKEHRESGKSNKEDTATINRKLRVLLDKYELSQKQEKAGKVKAAQKIDFAAIKFGDDKLQKLWSAAQNQKFAKDELRELYSELLELEQKQQHLEEALDDLSKSKNENRVEAEEDDAHIHAEIKNKRAKEANRAVHAHYEELHKKVLNQEFAPFENAKVKKLWTAAQQSSNMTAYDLDVIREELVHFDKQLKKLDAHKEEVVKARESAAKSTLEELENTELEAKHERLDRKLKKLEKYLVTKIKHSEL >PPA02684 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:340916:341203:1 gene:PPA02684 transcript:PPA02684 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVQSLIAKLKKFFRRENSDKEEQTEVKQAADAFEPEKTSEEKPIREAEYYQERMSRQFDFALYNPHFF >PPA02710 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:443951:446423:-1 gene:PPA02710 transcript:PPA02710 gene_biotype:protein_coding transcript_biotype:protein_coding MCSQAAYYFEQTSVAPEMITKLRLKGYTAANYNIFGSTRAILLDALGVLLSPTVMMTLIFIARHRLLLKIEKAKPTEKRLHVSIARLESSDPFPQLGIKQAYVPAASSTDIAYKALTYQLLLPCGVASAAVFWLLDVYEIWSSEFSERVIMLTCSIFSLASPLINFTVLPPYQQCGYMDDPEEERQEFYRSLQQRNRHFAQIWTTMGANRERNLLRVC >PPA02708 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:435834:437753:-1 gene:PPA02708 transcript:PPA02708 gene_biotype:protein_coding transcript_biotype:protein_coding MWRALCILSVLVATTLAAQGFVGARCAVKVGEVSQRSVETACDPSITCNGHGACSGPADAASCVCAPGYFGARCQIPMRNVLVRRALESRQLAAAPCDADVACNGNGACSGTINDSSCICNAGFIGARCQIALKH >PPA02715 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:466007:467218:1 gene:PPA02715 transcript:PPA02715 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVVGPLTTNTRMRLGDVAQILQQTVQRATNKPFEVLIGKGEMMIKSHQMSGFGSCRLRIGEYYTTVYETPGGGGGSYDVNNVQQEQELSSIDFGENLGSSGYPGQVPFDVRVYTPLETFIDGLNVNAAAFVGAGGAGACFSGDLVVETLNGPMQMKELKTGDEVLSVEEGMGM >PPA02683 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:339730:339997:1 gene:PPA02683 transcript:PPA02683 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDLIAKLHHFFSTEKHERDSISERKMSEPYEPCDNDRMVREALSRQYAMERSFDLITYNPNFF >PPA02650 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:69210:71155:1 gene:PPA02650 transcript:PPA02650 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFTPRSSRPFTIFMIFHTVMDILNTLSSFSSMSRILLIDYHLVFISHGPCQLLSTQICYMGYGGYLTTASAVRYRSKSDFDVTSSGANGGLA >PPA02694 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:391451:395300:1 gene:PPA02694 transcript:PPA02694 gene_biotype:protein_coding transcript_biotype:protein_coding METKFRLEIGRLSTKVTIRVFFPDDGQCVFLSNPADPSRHRCDWTTDDKCRPAVWNPGSPRVEHPPCFHRSEWMTHPETMFCKFMIERHRTTNLVESWHRGLISYFLGHHPPLMELVNFLLKTELDDNISMKHYRDNGSSYELKYESKREEEDNHEFANFSNLDNIFNYCRCRFVDLCPRIAACLNELVVMSKRPGESSRTAYSDVAGKSRKVGSPQRHRFRDYANFLLLLPSLSFNPSRECQFMHVPIQIIKI >PPA02640 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:7900:9853:-1 gene:PPA02640 transcript:PPA02640 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRLDNVSLPSLEDEREEEEQRRREEEKRRKREERRGNPFYYKHPLMHLPNLVYDRYVDQLRGGGGEKVVETEAVTAVVVCQNANRKVTNRSAIFL >PPA02707 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:432929:433884:-1 gene:PPA02707 transcript:PPA02707 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLRQLFAFLKHFFRAEKQEKVTLLRKASKKIKRTFSLGKPRPDDVDSYSHRFNMAKFVINLIANLRKYFQQATPEEHQHGRPSPKGSVENGKRAHNKFTQNEWNNIRTQFPSYF >PPA02664 pep:known supercontig:P_pacificus-5.0:Ppa_Contig110:208158:210862:-1 gene:PPA02664 transcript:PPA02664 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNLLIIASLIAISAAQMTFSDGWEKRSGSSRPYHYAHQKVARSGAHVFHEMDKIAEAAGAKDDEPSKNLIPITNCMEEYMAGVRALQAAMMELYTRYQTCEFAKG >PPA02689 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig110:366392:370309:-1 gene:PPA02689 transcript:PPA02689 gene_biotype:protein_coding transcript_biotype:protein_coding MNMISFAALPVIAPELSAFSAFSSNFTSCELAEQFYALNTFRPLLWLQFSFSALSVALVIVTFYAYSSWFESVFKKPLITQMRNQTGRYILSRDKHLAQNETLFILLAFILIHALATATLVFLHLFSLWTAATACDAQIDARVLTTFTGNHFVHCAIARGMMVIIIIYAGALTFITYQGQEFYGLVPFCTGLATKHLEILQANTFTMLVVDVVNFLVDVMIILYNRTKFKAMRNQPLAEKFRHRQTYYSTKQFMPVAFLHLFFFVVQHIVFTLKFKEQFPNQAQYLTNSGLGYLMPYYTFSCPALILFLMRRGKLQRQASVKSAIGPKEDSDSFVILIPSSPPPLVRSTDSLRAMVEHSDNSCTGPGSKDATKGESRKLSNEKQSNEKVEATQAGGETTRGSAKMSILVQQCVLKHIKNRAVAEGRTTGHIADAPTQATDVTEGNRSATGPIIIGSGDGLDVTKKMDETIGGVTKVEDAKVKPMDKLDITALNNMERSQLEDEEETYHATTWKFWRRKKRRKRVGKRKGTKQRRTSRTGTTGKAECLPYHLTDTTMGIKEERGANVCKVSRALVHLCLYIVTPSGANVTSI >PPA02717 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1102:3847:5148:1 gene:PPA02717 transcript:PPA02717 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLITAFSSDKWINGSKRCTIQDAYQACSSDSREGYDNEKTMMERERTIITENEWTMRVMQSNQMNVLWRQIQVSNLHKLSDSVPVEPELAPKDLTIGHVHGVNPPVSLDPHYETSVWNCEGDGGRFKVKRDREYRGSGQSNGILVAERAPNNRAGRYEKKNNVGREEERG >PPA02716 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1102:856:1287:1 gene:PPA02716 transcript:PPA02716 gene_biotype:protein_coding transcript_biotype:protein_coding MCVTMFIEHAICNEVLKSVAAKFNDSQLITQRQQASSLNLFPSSVPNESTRLIGSRRPSGRRSRPLRRH >PPA02719 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1106:37:2950:1 gene:PPA02719 transcript:PPA02719 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRVEDGSIVSIGSSTGIVTCSHLGETTITAVDIRNRAHSAKATVRVVEVNGISFGKTRVESVEGGTLWLNAQLYGLTEKSQQLNFRWKEMDVYPFPSLLSNRESSMRLSVVGYGRCESEGQELQLDSVSDLTVKWTTDNDKLLRIEKSGRENDEVVIKPKGTAGAVKIHADCGHNLKASTELRLFDKARISQSSLVLWNDPSVKGEVRVEGGSGHFVIKSSTIDPPFHHSLLNGIIKISPRSVGSSFLRIHDQCIDESVLEIRVKVTDIKQIGIDAPEYMRTKMERIMRPQKGKILVTFRPHLKTVECLEELKLTRLSRFKLARFVHAPFFNKTVIDCYVRIGVGKLLGNPNKDNYKIAQVIDVVETDKVYNVESAKTNKNRSLPTMGDIHKKMKDVASPVEHNYTNDEVNQIVYKVGRVYSRRDGARDGGDERASPSASWSSANDNDD >PPA02720 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1108:828:1607:1 gene:PPA02720 transcript:PPA02720 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHGNVKLSEVAIARLNKKLEPLRAILEVHPLYKAVESVEDLQIFMQNHVYAVWDFMSLLKGLQVNLTCIDIPWVPRGARIARRLINEIVLGEESDQVGGRFISHLELYIESMEHMGAETKGLNGFLQTMAALKNDYSDKNIKTALEANDVSPPAQAFVRKTFSFLQTGQIEVIAAAFAFGREDLIPLMFTGLLKDMNKGLGGTLDTFI >PPA02721 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:6583:10460:1 gene:PPA02721 transcript:PPA02721 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQSQVQDLKLSVGPLRFAKPVAAAAWDGVRNVTEKGVACPAVAELTYKRPEGGPMGEDCLHSYVYASKNCLLKGGCPIMWVLHGGKYNFESPVVFVDDVIVHNFASDGQDVVVIIPAYRLENFGFLNIAPGLNTSAPPNTAVWDLLLALQWVHREAFHFGGDAKKITVFGHSAGSQFADVISTSPHFNGLFRGMSMMSGADTYYEGGIQANSLASWVTAKLLGCANDATNWKDIVVVEGVIECMRSKTWEEKMIECMGCKKWEEHIIQSLAMHALTLQSISEHVLLKRIRFEAYSLVVGGPPAMTARVITLN >PPA02732 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:69143:74319:-1 gene:PPA02732 transcript:PPA02732 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRSIKLSSIAPLAVLTLLLCYARLSIQGFEQPTFSLADNPAAHHPSFVVRSLTFWTLPMLHSWLLLDDQQTLLSFDWSMDAVPLVLTPLDYRFILSLIAYGILATVTLRALRNLTAGDRQDTSPDGLLFSLSILVLPHALLSSNLLTYVGFVIAERVLYLPSVGFCLVAGMGVEWILKRYPKSTSSVLVLLAVLLSLLAARTVARNEEWSDDVRLFDSAVDINPAKAFANLGHVLARRGRSDEAEEAYLKALSRRPNMAETHYNLGVLFFERGNLSAAVGYYRQAIRLRPSFAVAHLNIGIALQSLGRKAEAAAAFKVCSRVDATSSKAAVTQMSALSSCSFNLGSLLAANGDHRAAVNAFKLALSTAPPSYSSLPSIWTMLGESYAELGLDRQAESCYADALASDPHHSPALITAAQLRLRQNRSSDALSLLQRAATTAPNSSAVHLHLGLALQKAGAMERARSELELAIAHDARNIDAHFALATLEREQGRNESAEQILRKLMSFSRTSGVLSTLAAQLHLNKNYAEAETLYDEAIRLDPSDAVSRENREKLRRILAKRRS >PPA02749 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:211637:221253:1 gene:PPA02749 transcript:PPA02749 gene_biotype:protein_coding transcript_biotype:protein_coding MVITLGQAMRWSSVFLPFLLLLSFDEEMAEEDGRSRWAQADRAFLALLTSLKDGRIVPPSTTRLGLCWREKKSI >PPA02794 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig111:475297:476450:1 gene:PPA02794 transcript:PPA02794 gene_biotype:protein_coding transcript_biotype:protein_coding MKLILLLAAAAAAYPFNNYTAYFDEDSAPASPPFIGGKLPFFPGILKNRSLNIDEDAEAALNRFHKIVGSREKLAKHKSILRSLSKAKGRLLNLVRRPALKSELLSEIANRLKGTVLDRPSVSDINKPISEYLYGGDVMVSPEDLGKMEVEAHATRTILPDTHGRKKPMRHG >PPA02789 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:431285:432336:1 gene:PPA02789 transcript:PPA02789 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLLFLLVVVGLSFELIPCDIDLKLASKTEKKFRVDVFVPSLKMKADNIIFNEKDQQKKINVKGADCDSKKWMIQSFKIDEETHEWKEVGNITAKFFGNGHFRVIFNDDLRPTIDGLHGVWSSEGNIWGR >PPA02723 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig111:14767:15392:-1 gene:PPA02723 transcript:PPA02723 gene_biotype:protein_coding transcript_biotype:protein_coding MNALSAFYNTCKDEKELITMKNASAEELQTMADFMNNHEKLPIDLEIPVAQMNHQCAYCPMTLHVLSKIRGESFPHYSLDEFIRAEYMLED >PPA02768 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:337651:338978:1 gene:PPA02768 transcript:PPA02768 gene_biotype:protein_coding transcript_biotype:protein_coding MLITFKVGTFTGTCSLFVPGRPDTQSISYYFATMGDLKHDWTQAKEKLSARLEGGTEVIKEFRRTVESGECHLEKDEMNHAYHFFILEEISQVYLRADLKRHFEEDIYDIMDNVPEDEYDPLDEDED >PPA02790 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:441076:442015:1 gene:PPA02790 transcript:PPA02790 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGLALLALVAACAFAAPFNSIDDVPAEYKDLIPAQAKEFLTGLTEEDKKILKEVAANYANYKTEDEALNALKEKSPALFEKAEKLHLMLKEKLDALNAEAKKFAEEIIGEARAIQAQIVSGNKPTLADLKAKVVAGVEKYKALSPEAKSDIEKQFPITASVFKNEKFQELAKKLLAKEA >PPA02727 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:45325:49052:-1 gene:PPA02727 transcript:PPA02727 gene_biotype:protein_coding transcript_biotype:protein_coding MSILTEKRVGAYWITLNNPPKKNAITKEMYSQLCAALDEANGDEEILITVPVTTTRVAITSRRQQVEFDASELAHDAGYSQFVIKIIEHRKILFALVNGPAFGIAATTLCLIDYVVCSDSAYFGTPFPYIGVSPEGCASVMFEKVLGTSKASEAILFGEPISAADALKRGIVARVFPQAQFRKDAAALVEKFSELPKHSVLASKELMRGDKFRREMLAVHDDETRLIKKLFMDEKTISKRIPVRQEYLAVEYAIEK >PPA02724 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:21891:24061:1 gene:PPA02724 transcript:PPA02724 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMYGGGINPPQDYPAHADRFNPMDMVTVMEAHATNINVAHDKTLETELSNYDDWTTYINNRRFKHLQGLKNFRSMAVEQLDLTQVAAPENNGILEILIICISAVLIFLLLRVCLHFVGVCQERKGNSYHV >PPA02737 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:106521:107371:1 gene:PPA02737 transcript:PPA02737 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNTISFLLSLLIPTTVEPMTMKHSADVAANAPWYLPRTELFIDSSGPAQSPPVDLTECSKECITVEDCPFDQSCFGATLARPGCCLPGTAI >PPA02748 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:202200:204340:1 gene:PPA02748 transcript:PPA02748 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQLVRHQETKQWARQEAPAHDAVAAQAAAAGAGTRPELAPLMRLDLVKRRRAAQLHAAGYKTITDVAHANPDVMRQRVENLGRYQATKMIQSAKLSPKPSKN >PPA02788 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:429106:430342:1 gene:PPA02788 transcript:PPA02788 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGLALLALVAACAFAAPFNSIDDVPAEYKDLIPAQAKEFLTGLTDEDKKVLKEIAANYASYKNEDEALNALKEKSPALYEKAEKLGAYLKEKLDALNPEAKKFAEEIFGDARKIQAQVVAGNKPSIAELKDKVIEIVGKFEALSAEAKADIEKQFPITASIVKNEKFQELYKKFVTKEN >PPA02751 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig111:228943:233761:-1 gene:PPA02751 transcript:PPA02751 gene_biotype:protein_coding transcript_biotype:protein_coding MLARTISRCGGGQLAAARAASTASIAPTREGTGEGDVERRTGEARKAEAVDAKEEWRRRSALRTPVLQRDEVVPAKVYFAPEWPLDQKASDDEGWPNPMKGYGVTPEKWEHYNKVVWPPGYIVPETGLPKPREVFHCRQSIHFSPKRAWYACQLVWRMNVDEALVQLDLQQTKATGMLAEVLREAKARATDEFHIDQASRMHVAEAFPIQSNIIKDVINHKLKGARRHAHEDWHEIRYRYIHLYVRLEEGEGPGYKGRVKPRDGWDKMEEYYKYLRSRQIKHSIG >PPA02726 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:33522:37957:-1 gene:PPA02726 transcript:PPA02726 gene_biotype:protein_coding transcript_biotype:protein_coding MLCHTDGFVRSARESIPVFGPEDPRFASPQIAVCDKTYAASKCSRQDQWVGGIAPLGNVTMAPTGLRCCSYAELVRSQERGLAPLRGGQTYVGGEVISGGKLIAFDYISDVAKVVEQDGTLQYQLSIRRMPCADLPDSNGKSAGEPSAFSDNRITDRAAPVHAFQAPSMAVNQPLSPPSNSFQASANAVDLTSPSNGPPAFQAPTNVVDQPLQPPPAQQQSLNELFLKAMPVNTFQQAPQYQPNLQAPPAQMQPVGCQAQQATGIYTSQPAFGCGGFPSQLCFTADMTVEVLGAGTKRMDELKKDDWVLAAGDKWLMHVPVEYWLHRVPNQEAVFNVFETEDGKEIKLTDKHYIFKGDCSRVTDPHVSYASLPKQAVAADQIHAGDCLFTLGKYKEMHEVRVVRASKITETGIYAPMTSSGRIIVNGIHASCHNIMQEHTTTMALFKHVEMFNSLYERIFGADSSNDVIETPLGLSTVLAMAELFMPESFM >PPA02728 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig111:52968:56484:1 gene:PPA02728 transcript:PPA02728 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTVINTSKEFTAYSDFPPLPEEPNFMHNSRMLNYLKAYADHFKLTDHIQFEHKALSISRADKYDASGQWDVRVQNMKSSEITTTRFDGVLHCTGHHALPYSPALFPGQETFPGRVMHAHDYKDWRGFENQTIVVVGVGNSGCDIAVELSRVAKQVHLVSRRGTWVYNRIYNYGRPVDCTRYTRLNAAIKEVVPHSIAAASVRKQLNFRFDHELYGLKPKHDVMGAHPTINDELPNRIANGSIRVRPQINRFNGTTVHFEDGSMTEGVDTVILSTGYTVSFPEIESGELIKVDNNQVDLFQYVFPMCLPHDSLGIIGLIQPFGSIIPIAEMQARLVLAAIAGEDKMPVKTEREAIMSRKAAEMSKRYVQSRRHTVQVDYLPYMDELASLIGCDVPAWYTHLPHDQTMARLTLTAPHTAYFYRLSGPHAWDGAREAIMGIEERISRAFNSNHLKATAQSATKISPGLFLNSYRLLSLHRR >PPA02764 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:310161:311616:1 gene:PPA02764 transcript:PPA02764 gene_biotype:protein_coding transcript_biotype:protein_coding MADLADDDIPTLSADVLALVNECRREQEERERAAVGGQQMPEEDWQLSQFWYSEETAVRLCDEIAAVCEDLPGDHATVALLATPTLLPHFKKLEGFRSGRLRVMLFENDARFGTIHPDEFVQYDYKAPLDEKCGLI >PPA02765 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:317344:320054:-1 gene:PPA02765 transcript:PPA02765 gene_biotype:protein_coding transcript_biotype:protein_coding MMLIVCIAALAAPANAAYAEPAVDWTQIARLLREEYPTAGQLNSTNLVRQLADRAVELGVDRDEFATNFRVLLSLLILSPGEKRALAIESEKGMLPESLNTTEEILSHLAAHFPNHNAVLNRRLDVIAPRVEKLGAEAKDFVKKVTAYAIGITRTRLSHVVEKDKDRKKDDDEGKAARKALDDQLVADYGRLSAACQSELERAFPARTLRKVAGSSVEHVAGLEEHLSS >PPA02746 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig111:193985:194369:1 gene:PPA02746 transcript:PPA02746 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHEEMRCESALAAPEELASLVLDLLSLKVATTVAELDAVLGATLLVQQKGAEEVR >PPA02779 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:383243:383686:-1 gene:PPA02779 transcript:PPA02779 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRAWLAIVLLASALYALQCPGGIPAAEVKAILKSHNVFRDNISKGKYIVKGKKMPAAKRKLPALVS >PPA02729 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:59916:61111:1 gene:PPA02729 transcript:PPA02729 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLVGVVSTGSLVFVALSMVYIASMVNDVQSLQEEVTVNMDEFKVMAEDTWSRLVKMHVNPTGSSDAPPTFATLLGRNKRQANSQCNCGPSSRGCPAGPPGPPGQPGERGRDGNPGQSGRPGANGIALAVTFDTPGGCVKCPPGPPGPDGEPGFPGPAGQPGQPGLAGPAGNPGRDGQPGAPGNNGEKGRDGQPGRPGSDGQPGVQYTPGEAGRDGAPGRPGPQGPAGQPGQDGAPGQDGQPGENGRDGQPGQDGQSGQPGEQGSDGLPGADAAYCPCPSRTSSYSEPVHAAPVETAYPAPAPGYRA >PPA02791 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:444496:446372:1 gene:PPA02791 transcript:PPA02791 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCLNPALEENNFGDKCAVAFVDHHRVLWNKQADKLDPTKLPKNKNLGLSGVIWTDVHLAEESVALIRDSVEETRSERYELLELPDTNLELIRNSIDETFTVLKEEKIPEKPLCEYFNGDGELIHSALDEPFDEEEELRRFKAMAERQRVLDKQKKLAIEQGNADEGISEAKLELLRLSIEECLPPPIQRTSILVNRFTMGRKEGDLNSINGTPNENKMYYPNLDEEAIPCSEKKVNRRQGDDDEDLMDRSIDSSELVPLEMDTDIPKAPQVAPSKYYNGFSDVKYAIALEEMNTKVTQKVEDEDECRSIEGDGLVGLDMDFSDEDETEGSEFEEVKNERDVEEEEAEEDVSILNVINPEQEESFESLTQDERKLLGRRMLFF >PPA02754 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:258906:260170:-1 gene:PPA02754 transcript:PPA02754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rabx-5 MSSSSEDHKLRVNIRNRDLLCVNGCGFYGTPQWENRCSKCWQLLQNTQKRNDDYLKNNEL >PPA02773 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:362958:364060:1 gene:PPA02773 transcript:PPA02773 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDAPSPLFHAIHVYVCCQSIACNLLLMFIIRNYTPIHLRSYSVMLLFTSTYEMASATSGVLLFSRVISLGHEALIAVIHGPCSLLDDVVLFSFGRSPKSDIEDLLLKYVPQYDFSTTVIEVISLMIRSVCRKELELRTCNFSRLC >PPA02778 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:377617:380192:1 gene:PPA02778 transcript:PPA02778 gene_biotype:protein_coding transcript_biotype:protein_coding MYETFRLCFEFSLLNGATTKEITRFKMECEKKEDVGTALATLSDLMINPDISDINVESSVMASDIRHFYAKHYKAKNTVITAVGEVDHDEIVRLAEELFKDYPQGDGVSVPVSVWRHDSRVCFTQEAREVFGMLAVQAPSRALPKNATIAIVVRLIEWFPESAYPAHLTVTEDESNTVESAQMWWSIQAFKEYTMIGRMFVAKPGREVIVIAKLIAKWRYLAYDMTEENLKLAKDGFHKVYLSKDLDRASIRLVMDMMHCGRVFAVEEDRARCEAITLPQFRSACKRLLLDGDFTFVAFGPPDSAIPTRDEMKEALRRPKDEE >PPA02762 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:304940:305824:1 gene:PPA02762 transcript:PPA02762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpl-23 description:Large subunit ribosomal protein 23 [Source:UniProtKB/TrEMBL;Acc:A6YME7] MSKRGRGGACGAKFRISLGLPVGAVMNCADNTGAKNLFVIAVYGIRGRLNRLPAAGVGDMFVCSVKKGKPELRKKVLQAVVIRQRKQFRRKDGTFIYFEDNAGVIVNNKGEMKGSAITGPVAKECADLWPRIASNAGSIA >PPA02755 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:261178:267417:1 gene:PPA02755 transcript:PPA02755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-coq-2 MVLLSRPLLLAAVRPLSVSSRAPLELPPLPTWPPAAEIVARAPLSAQKYLRLMRADKPTGTMLLYWPGAWSIALAAPAGAAPSLSLLALFGAGAFAMRSAGCVINDLWDRDYDRQVERTRSRPLASGEVTTPQAVALLAGLLSTSLGILCTLSTQSIPYPDYSILVGASSMVLVVGYPLAKRYTDWPQVVLGATLNWGVLIAWAHLMPPEQWWKVLPLYAATCLHTFIYDTVYAHQDKKDDARVGVRSTALHLGERTRSVLGGCTAAMLTSLILGGLATDQTWPYYAAAAATYAHVGWQVATVRTDDPDDCWAKFRSNTWLGALLLAGIISGNFLKEDKKKPT >PPA02734 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:85985:92560:-1 gene:PPA02734 transcript:PPA02734 gene_biotype:protein_coding transcript_biotype:protein_coding MNEERKAVLWVIQSALSTLLGTFLFDFLWPAMGWPDTPLRFAPLAAIVAAATAMACFAISASGNRHFKFKLAVILFFLLIIGVIASILSYVFFTPALLAFDVFIAAMSAIHFQTIDTMDQQIFQNSVALVISLLSMLVTVPITALCFTLSWSAIVIPATLLIYVYQVARIAAEPVLFPELSAMSIVLLVTSVTLNLVGCGLAFFLYSSTLATAVTALAVVVQPQDGIIAVAYASAALGLAVVIVSVGDYWSQVRSPKASSSSTIVQWFHKVACAARAASAQPEIWEIGGAFLVIVDAHLAQPNPITVRVAMKFAALVVVLLLMAVSVAGSFFYRIMLPKTTSPVPLVTCFLLLIMLHMLDNLRNNMARKVHIPFAVVFAILSVAIVGAGIYTYFNLRLVELMESIVSALAITTCLSFYFIRTKLQKDESEQRLLRLQQQRIQQFFWGPIPPAA >PPA02782 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:401676:401993:1 gene:PPA02782 transcript:PPA02782 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPGRSAVSDSPEIVELSRAGMSEPGSSATSDTTPEHTIVEHTIDDDGDDRGSAGARGSMCKVGESIIVAKPNELSES >PPA02781 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:387676:393103:-1 gene:PPA02781 transcript:PPA02781 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPFPTAWKQSVCSTMSTSAVTNNSCNSINRSKHPDCDLARVLLDHSQGLPHILPGCPICKKQEVLRRLHPGKGPRAADSDDDDDEENVKRRKVEDLDPDDQDSKLLKFIRLAMMPRRNIQMKEDPKKTEIDRLDHSNACRKSDCPISHCAYYKGLLLHLNACRKEHMCMYPGCVETSKLLDHKRDCRPILRPCPICGKQPLPAYTGEGPQAPPTLCGHDFAKKRENKVTSFAIRSLERVNRSFRAFLRDEKELRGLYEKRVYDKLSICQTTNRRIVFRFIDYRIRFAGHVFIFDTNSKYKATSLRRRRRLGGCHVFISQSRSPLELASETEAFGAVEVVHYSRAHYGKAKKYLVPRKLSALLAQRGANPNTRGGISRTVIGAAQIQLLRAKPPIGHFLAVLDINESCHDCLTDEQRKRDCHESESFIILF >PPA02780 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:384461:386827:-1 gene:PPA02780 transcript:PPA02780 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVLVLTVLAAAASAMPHDVQVCVPLKSAIIQFASAIGAPPDMDYTTADDLQAYVNRKIQSATSTKDLIALCDARQTFYNTLGKNYEECINRYTIFGLGDTTVGNARVLEHLFRQLQFMCSAGFDIWAFNRDCITLADRTSTCFDKFDGSVHQNSPVNLCGTNTQDYLNCIHEDYEKFCGGSHMAGWFGCERERVGWSKDCVTLTCGNDHDD >PPA02722 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:14108:19077:1 gene:PPA02722 transcript:PPA02722 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKIIAANKQLNSYAEDYHGPHADGPGGILPLPPSTLSRTRLPVPIMIGTTSAEFHDTKYALTADGTAGDLNKVAELCEGVGYGTGYIHPDVMTKRCLDYYMQGKNVMSLQQDTMFFAPTFLTARDMAKGAVYLYSFTYTGVGAAFKKYVKLDPEDHPSHSEDYVYILGMHRGNFSAKDYEIEKIYSGMVLNFVKTGYPNPGKGQPAWKPFTKLGRDYYQIDFDDNLRMPGPKKGYQDGAIKLWVDDAEKFV >PPA02763 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:306205:308563:1 gene:PPA02763 transcript:PPA02763 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGQSAQSAPAHEPHEQQPEAIAFVASGTKVEFKTALGGTVTGIVVCSDLALNVLAVKESIGGGKTLLRVFNLKLISNMKELVPATPESEADAMTCSQSTQQQANERKNTVVVKRLMDAMPQECVVGKRQMEAMPDEVSYAGQRAFVALRRTLDLSTAVKWEGPEINVLDRVKIQAPYGQDDALAIRNDKQCSDALDHVRKILAKAFVPDAKLEPCCKEKVRKRRWRRRRRRCEKMEKVEEV >PPA02730 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig111:66194:66593:1 gene:PPA02730 transcript:PPA02730 gene_biotype:protein_coding transcript_biotype:protein_coding MVYQFTTYIDDNPKFIMRDTASFDACFACYQKQPDAACPTCRATFCPNNVLFIVDEADL >PPA02740 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:112150:113833:-1 gene:PPA02740 transcript:PPA02740 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQIWTPDTFFPNEKKSFFHEATSHNSFLRIDNHGNVLRSIRLTVTANCPMSLHTFPLDTQKCALEIESYGYSTKDIVYHWHTENTRH >PPA02783 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:404150:407716:1 gene:PPA02783 transcript:PPA02783 gene_biotype:protein_coding transcript_biotype:protein_coding MCADKGDRTEESNVESDQRDDTKILDYQDYQESKNATEPPPKKSKTEMSEADAGMVEQRKTSSFIIDTISGDGPLAASTETAVDLAEVQRKARMLQIIHSQRCPWDYCCKPCYWTKKVLAHIKECFDWSCKRAGCQASKELLYHCRDCRPVDEQCALCLKAPEQTLYTGKDYFEKMPPQVLRYISKLLDNESLEVLSRMNKRVACFFALPGEIKGLFVKRTYLILSIEQLRNGAFLFFKDPKSVRTAFEFAHVFTESGEQEKIRYRRANTHNERKYGWAEHTDRIGIEDSPTKKRIKTKKLPPAPLPEELYVALAIALQKYDFEKLVLGSILMDTAFNNFFIKGRNKTEFQLHNRRMQLNNTRIE >PPA02797 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig111:489543:492205:-1 gene:PPA02797 transcript:PPA02797 gene_biotype:protein_coding transcript_biotype:protein_coding MSATFAFPRVQVELEGGEPTVRSYIRCYNNIHIVEDGLENGNYASKVLVAHGLEDNEELLGAVGDAAMEYGRCLSASMDDELREERIRELREKSERSSTVYSRRCGICYTRSPEERAVLSMCGHTLCMACALRIEVRGRLCCPFCRKNSFLVKLREEEQDEDEVGEIQGYASAGFNIFGLVSHVQ >PPA02799 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig111:499531:508056:1 gene:PPA02799 transcript:PPA02799 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTRKRSKRWTSMEMCKFRMDTRQQLQDTYWDHAGNQTVCQGAMDQLPNLHVSKKADEAWILAYVESIREVYSHASANLPELLFTDAQREEERKRYWLELSKMFSKVLYRITQLPDAHHPFSPVGRMACMMSYASLYSVYKTVAIECNNLSTVHSAAKKLNEMEQGEYSTVSDMEKNQLKMLYENIDLINILSLELPKKYDLMPPPRRRSSAEETKIKVETPLSAHLRDFPKTFIDELKTAVAEKPKVELVRMEAPAEKELPPLPPIITIEEPSAESASSMSSLSPRESLSVRPTTIEDYAEEIADEIVTTTLQEIGEKNKKSVEQITVFEPIVQQISELKTDLYASPRRSVEEEEEEKVVLKPIAFVPFVPAPKVEEKEEKEEELLELSPFDPASCFKEADKIERYGRRGLFDSPLPVIGRKLPIVPLIARQHSSPSESKEWKDQGRSVLSNPSPALLSPTFNQKLENKIIQAVLNEKPVSPMFPPPAFALDDFICANYRRLDMLIRQLLVIVKAKFFSHSAEQKIKAAGGTCVLAAKGTITCVMFSSMIPCVPSMLCHLSLD >PPA02784 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig111:409644:410065:1 gene:PPA02784 transcript:PPA02784 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLAIADLGGITCVGTLFGYAMIRGYVFCSNTTLALVLGYPEFSGLAKLTLLDGFNCIRSAGNALHSASIAQFHRADVAI >PPA02770 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:344054:348233:1 gene:PPA02770 transcript:PPA02770 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGPSKETKNGFRYVRFVILAVSVFYLSFLQASVMAFNTAYVVLSDRTKSPLYSQNQVLVNQSAGSMAPIDSVPKQPGAIATPNSFDLRPSTFDLRPSTFDLRPSRPSRKTFDWAPSYLPLAMQRYPMDAVQPSFAGGLIGTFPMLYALRRLGAHMKIIWREMFIAGTMFIVGAVSSLLCALTPQVMPVLFVVLRFVSGLFVAILTAHNELASLFTLPIGELAIGLLHARPDLCTVFDPVVAGIQKRPCQTPMISLDEVERIKRGKSHGTTVGASPPYRAIFTSKAVWSVFIAAIGTIFVGQFMGIFSPQYFTAVLGYSPTLTGAHTIIPTICMLPVKAITGALSDRMRILSEVTKLRLFNSLACYLGAAVFVVVMLVPPSTTSVSAATALIMIPFILMASCRFNKSYKIYLEAAVTISRQHSSFIFSIVHIIDQTSLLIGSFLIPILTPDNTFDEWRIVFIMQDLRILICTTYCKQFIIVLIISNTIFVIFVKAEPEEWAEQKMEFIQLSEEPRKIQRRQTFT >PPA02766 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:321557:324699:1 gene:PPA02766 transcript:PPA02766 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIFNSLCALVLGLFLLLCSPISLGPTLESWRKAGWTRSGMINDDCGCEDVEEQLKRERAEDAKVHGCRTCTKNWGINENACPSVGYECNTAWTFTTNVLHTTDSCTCMEVRCAGTARLAFKGKLVNKMRCNNSEWFVGEEMADPVVCAKPCDTGVCPVSTPKPASADFNPLKVSAANGERNCATAGCEPNNGMTIMNADGTPLVPIADSITEVKCSSEGWKIGENMFQYVMCNASPCGPTKCPVKVAGSAMTSGEVFPLTVTGNGKECATATCPGKKSVCVNL >PPA02739 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:109932:112121:-1 gene:PPA02739 transcript:PPA02739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lgc-38 MDENVHLAHFSVGKAYQIERVISLSTGNYSRLTAIFNFKRNIGFYLIQIYFPSSLIVVISWVSFWLNREAVQARVAIGVTTVLTMTTLMTSTNGSLPKVSYVKSLDIFLGVCFFIVFASLVEYAAVGYMLKRHRRRADAPSPVTYFESETSPNLQNGRMRNGNNRLRRNNNRSMKNSVNIPKNGILDQRLIA >PPA02743 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:121138:121464:-1 gene:PPA02743 transcript:PPA02743 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRHRRWCGGEDARLPQLGRGALPLLCFIWLLATAVSAQQDEVNFGSTKSDKEVEYGEPTAHTDR >PPA02771 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:348786:354284:-1 gene:PPA02771 transcript:PPA02771 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSEVAFPSCLIVCSVDVIIVQATPLTEDDDSVTTFDLDIVDLFLSKRTRIKNIGDFFNYGLTTGFYHLADHNLVIVDFDPEAATLRQRLLQIDLSGETAACPFYRGYEIDGLCTQYADDLMIMARTSIGEDVALTVVPDVDLESHGVCLRDTNVDRPGIVYPLDPLSRRGALIITELIDQYLGYRTGTRRAQSVEAERHPMRKTEDSNGGKANEYIAAHYPVGKGGPASVCTIHPPFFISKHEVGFFIYPNNMIDAALIDPMTVLVMNLDSDEIAIRKATSTVSFEKKPFFASWKQAKYGEVAMTYRFQRQPEWWRKSAFVLMRKLDMVKESGESRLIGAENVKSSEEKFRLIRSPHSLSSLSHLASIAVRKREQVPSELMEQLAERFM >PPA02758 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:282568:282898:1 gene:PPA02758 transcript:PPA02758 gene_biotype:protein_coding transcript_biotype:protein_coding MNILLFWAIALLLLPLFLTTALMHSGECFFGFLGLNFVVLFAFLHVFNGAAKMGVVSNRARN >PPA02787 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:423907:424996:-1 gene:PPA02787 transcript:PPA02787 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGLALLALVAAACAFAAPFNSIDDVPAEYKDLVPAQAKEFLTGLTDEDKAVLKEVAKNFDSYKTEDEFLAALKEKSPSLHEKAEKLGKLFKEKLDALNPEAKKFAEEIFGDARKIQAQVVAGNKPTIDELKAKVVAIVGKFEELSAEAKADIEKTFPITSALVKNDKFQELYKKHITGTA >PPA02745 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:180780:192024:1 gene:PPA02745 transcript:PPA02745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hel-308 MVREDEITFGMERVKRNMSRQMTPPRRSAALRQTADATASFLDASELLESDLKTPRRSPRMHSNSSGVNKPYKSPFARKPSINNLGERLAQTHLPTGQKRRSTTAITPLRAETGGGGQHPLNPESITSPLRAKQTTTSTTPRSRKSYIVPAPLPPLGLPEIDSDSGVSSQGSIDDFDFCGLSAEAQNIYKNKRGITQLYDWQKECLSDARVRKGDNVIVCLPTGAGKTLVAEVLMLREAIVHGRNSLLVLPYVAIVQEKMTSLAAFETAFGIHIEEYAASKGRLPPIKRSATRRSIYVATIEKANMLINSLIESGRLDDLGLVVVDELHMIGDGARGARIESMLTKYLHKGRGQVVGISATLTNMGELAAFMRAFVYSTEFRPVDLVEIIKIGQRLLQVDKRTKELKHYADLPPNALASRDPDGICQLLQQVIPRHSAIIFCPTKKNAENVAVMIARAVPKQLREVRKTDRQALIELIREEGDGKTEEALERCIETGVAWHHSGLTHDERKHIEAAYVVGRVLYHVPTLLLISSSIFRNLIYMPPFSRNPIDDIPVGRALRAVRDINAGRGRESPGATSDHQAARGTVGRDRLRKAQYLQMIGRAGRAGFDEKGEAVTIVRAGPEERMVVLRRCAKTQPEWFKFREMLSAKEMRFRLENEIIRTPLVLSFGATS >PPA02735 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:93601:99530:-1 gene:PPA02735 transcript:PPA02735 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRWLLAAALCVAVAAKSKQWTKDNRVPPPGSLTDYKYISICVNGTAANGLIPKEGKKACDDYHDQVAVGRYANKVNTTGWGILEVETFPGFPNDVQAFAAGMAEGELTRLQIYYHYRNTIEDLCKGYKKYCKDLYSYLTVHLDWLRTTVEQKADTDPYWMHVKLVFSQMTGMYESYRRKEGDDQVKPAIGFDLHPIYMIQLSGELFDINKWLNKTLDPQEYPEAGKCSALLKVAPGNKDMLFSHVAMSGLNTMNRVLKLYKFAYDKKQVPGRIVSFSGYAGALSSADDYTLTSGGLASIETTNAVFNETLYQLYVKPTDQVHCWVRSFISNALAHTAKEWVDIFAKYNSGTYNNQWMVVDYKKFKPGMEKLPEEDLVWILEQIPGYTETRDMTWFINAYSYFPSYNVPYIASISRMSGFSEKAKKFDWYKWGHSPRAKIFDRDHVKVTDIDSLTKLMRYNDYTHDEFAKCKCNPPYTAEAGISARGDLNPSNGTWEVESMGFRNHAGLDYKGTDYNMFSKLQFRAWGGPPYDPLPPFDWDNTRVVANHYGQPQVWNFTYVDLVWEATIEVDGITQLTDSVAKADDWSDSVEEDY >PPA02756 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:269296:276429:1 gene:PPA02756 transcript:PPA02756 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVYGSRGGGAARQRRSAAAAAAEDELHAHLQQEQHAHYMEDPDLDVSSIVTEFVPMDDPFVPYFDPVAGDEMEGDLCAPLESSFASSIGEERMYARFRPQPAGYPPNTSTPRFSDERIEVPRGTSTSPQRLDDALAELMGDAAAAACVGSPPLQLVDASPGARLFREPPPQLSILDQFMHSISRLVADAAYARTTNCFRSKIPREVPEEALISFLTHCVRWPMRRPANTLLQARCSPLVLEQLLKSALEPQAEPYDSAAVRDSYFRITLKNTVHSLGQLQQIIRAVTLAQRGFVDVTYSPVRGRLAFLGFNGNLAPTLAEYWMVPIRMSQPIGSFVDKEQEARVQLNALFSIEITLSRCGDDAREVYITYFDNIETHPRTGQQSRVTEVNVYHIVHPSCAPPSSPSPLPPVAAHSPTMTSAPPPLVSTPRGPPTGEYGRRPGDARVSFAPGTPKHHEVHVDRSMVALSHLMEQTFTLAECFQLALNLV >PPA02798 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:492575:495737:-1 gene:PPA02798 transcript:PPA02798 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFLVATAIIISAAPVADPQLPFLPGAFTNHAVIVDANAAAEALNRFTSSFGGPKAFERHKEAQMAARFEGTVIGRPSIADINQPIVDYLYAGDVMLSPEDLRRLDIGAITEGKRGKRGAPIVTSTRWPKTQPIGFVFASDIDAKTQTVIRTATQKIAENTCLSFKENSGVGTQLQFYRGGGCFSFLGQQVGSFQKISIDLSEAENDNFGVPYEYGSDMHYGAFDFSSNGQAVLVAPQADYVNTMGQVQFNSMTLPKPNKLSEWRIPVAEGLQQMHLLRFAHRWKLPNVDDYDIVDRIFRMRQTIYHQDYNSTLYASDNHYNWDMFGKDTAKIIKAPAGRKIRVTINQITAAFGQLPCYFGCPFVGLEFVDNANGDLTTMGKIFCCTKDEKYSFVSQSNVIGYKAYASPGMPFDGKVTYSVV >PPA02759 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:296959:297577:1 gene:PPA02759 transcript:PPA02759 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASEEEVAHPRANKSATRRERNEELCATERRSRELNSHQWEYDPPFIVEIKCKNQYEFDMGISSSLKDQVRFNQFKFKLRILLLDL >PPA02744 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:142267:158432:-1 gene:PPA02744 transcript:PPA02744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-iffb-1 MMRLPRKPEEELTEEEKKKLEKKRKEKEKKKEKEKKEKEKKEKGGKKDKHVDMIKEMLRAKQEAEEAEAKRIKEEEERFEAAMKAQEEEARIKKEQKEAEKKRKQDKIEAEKKAGTYLTPAQRAKLAQTQAKLAAANIVVARDEDGDAAAADKPKKRILYDNKKKGKGAQQKDAGKAEEKEKSESPAESKPATPPSPAEQQPAAAAAPAAAEPAAEDAAWDEEPVDDWEMADDIPVPVPVPAAAAAAAPAAEAKAAAAPAKQKSTESKEESESSSAESSSEEESSSDDDEETSDESSSDEDEKKGGSKKETKEQMFERVKLRLKKRREAAQAKRTTSNLRAPVICVLGHVDTGKTKMLDTIRRTNVQDGEAGGITQQIGATQVPGDAIKERCKMVRDFDPEAMKIPGFLIIDTPGHESFSNLRNRGSSLCDYAILVVDIMHGLEPQTIESLKLLLKRSTPFVIALNKIDRLYGYESNPRKDVYVHLRSQPNNAQLEFQERYNMIVGQFAEQGVNVVLSNKNKNEDEYISMVPTSAMLGDGVGNIMAHIAQTTQTRFAEKLAFCEELDATVMEVKAIAGLGTTIDVILVNGTLNVGAILGTLNVGDIITLTGSDGAITTPIRELLMPQPLKEMRVKNEYGHYKQIKGAQGVKVLAKGLEKALAGLPMFVAHKEDEVDILREEAESQLRKALVSIKKKPEGVYVQASTLGSLEALLEFLKSQKIPYSNVNIGPVHKRDVQKAAVMMEHNPEFACILAFDVRVEKDAQQFADHEGVKIFQADIIYHLEDNFLKYREELRLKARAENEHRAIFPCKLRVLPQHVFNARNPIVCGVAIEAGQLKKGTPICVPSKEGILLGAVSSIERNHEQVDLAKAGEEVCIKIENTTGEAPKLYGRHFTHEDALVSKITRDSIDVCKEHFRDDLTKQDWTLVVQLKKVREIFEFY >PPA02757 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:276961:277445:-1 gene:PPA02757 transcript:PPA02757 gene_biotype:protein_coding transcript_biotype:protein_coding MSDANAVMQIARAESMIKRMREASTFNAFPGSTVPHPPALAFPGSAFPGAPVQLAPHLARSLPSSFPAPTPFRDATNAQQQ >PPA02785 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:414010:414504:-1 gene:PPA02785 transcript:PPA02785 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQFDFVTIADTVSDTQADWGGPANRLYNEIIPAMLKTDLNRFLVDPRMKNQIEKHAPRLASSKPISGYDLH >PPA02796 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig111:488540:488796:-1 gene:PPA02796 transcript:PPA02796 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQLTITVPFEAARRETIIVMHPLSYPQDQQGVATLVMVVCTLLRNVFLAIFLFSSSCLN >PPA02741 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig111:114401:115677:-1 gene:PPA02741 transcript:PPA02741 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTRSAGGSEHHSADHDDFGRDYTLDLYLRQIWRDDRLAFPSDGPMSLTIESLSHRTWPKFSPVL >PPA02747 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:194825:199729:1 gene:PPA02747 transcript:PPA02747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hel-308 MSRLITATLDTLYAQQLVERGEGEEQAAEGEKTLAVTEEGAAAFGASVAPADSCVLRKDLLSTLKSGVNFSSHLHLLYIVAPYDLTCAIDWDLYYKEFSSLPDSEKALLERAGISMVRIVQQIASRAPLHEGDTALRLYLALLMQHIWRQYELSGQCFESDTDAIIHYSPQQEPHVEVAARFGVERGWLQAALQQTVSHAAAIARFAERECDRIK >PPA02767 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:327332:335871:1 gene:PPA02767 transcript:PPA02767 gene_biotype:protein_coding transcript_biotype:protein_coding MGPATRDSPRVSLTCVNNCDREPPIAQMLPPGTPLNQAVRKDCSFSCPTGQTMFRVLAGYPTTIESAQCALPDGGGYLVSPAQRVTQIGCFMCDLPDGSFDFGAEPTDRCGCEEIEKQIKKERAEDARMHGCRSCKPITQAMEGCPTIGYDCDSRVGIVSNDAHNDHQLSFTLPIAAFVPKLVVPDIGNWPPKAASSLKCNNSEWFAGEEKAEGPMICVKSCDTGVCLASNPNASSADFKPLTWIKEERRVSETVSFLAEEREGCDGMTVINADGTPFASIPIGMTYVTCSSSGYWAGTGVANGPYIMCNVSPCGPMRCPAVVPGTHSSEVMPLTIISNGKDCAVAKCPGGFVAMNKDGTEDGMLETSEITCQEDGKWKETGDTTHDYVMCRQPPCEFGCDLRPPQVAEFGTKQLNAAIRKDCSFSCAAGETLFRALGEHPTPILSAQCVQSGQYSALTPAPLTVSQIGCYRCDWPDGPVGISLEPNAVYANMASCILTCPKGYKLQYKKTLAETDPWFTYPASGTQLGSKQESDLDPRSSCPVASNTHKPISSVLTAFGHECDLTVYGLQ >PPA02792 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:463583:465425:-1 gene:PPA02792 transcript:PPA02792 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSLLISAGVLSMVVLASGQCALSDHPNCASWVRNGFCVNSAYSVQMKQQYCPKSCPEAGCSGGGQCALSDHPNCANWVRNGFCVNSAYSVQMKQQYCPKSCPEAGCSGGGAATTTAPKVQNANCKKWNEDPANVFCGNEKITADQKKIFCFDTCKAEIANTDNCAVYIQATGATTVTRKAAAGTVAPAPATSTATTTGDKILHAFAKQKCKVDVYDIAAPVLPPTANPTAPKQTCDGTAAGECFVVHPA >PPA02793 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:469577:471827:1 gene:PPA02793 transcript:PPA02793 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLALAGVVSLAAVINSQCALGDHPNCVNWKRNGFCVNGAYTKIQKQQYCPSCPEAGCSGEATTAATKENANCGKWNTAPDNVFCARADITVDQKKTFCFKTCEKELATTPADDCAYYKSDGKVVTRTGIKKETTAATVNDTKATATEPLIWKRSGEIRSPPTQFQLVYIYARKGCIASVYAASTTPAPGAPAKEYNGDNAPFTKVGDTEKASAGFGCKCT >PPA02750 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig111:221256:222102:-1 gene:PPA02750 transcript:PPA02750 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLGDPSPREPRFPAPSSKVESAELSAGKQQTSHFLIGGTRKREGTKRGHAISEIICYIQKVASGRQYKISVPM >PPA02772 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:356120:357966:-1 gene:PPA02772 transcript:PPA02772 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMRERVAELEKAAENEKKRAEEAERKEQIAIQNERKRAEEADRKDEEERERRARQEQEKKKNSSEMCNFCGNAVATVSFTILACGTAQFQQNTGLEWRDSGQGVNFDRDSVSVITIDTTVENARTISSFGIEAIGSVSKISPHLITASKSGFSHFVEKKKKEEDRILYQKWRMGTEK >PPA02753 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:244430:252943:-1 gene:PPA02753 transcript:PPA02753 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRQFEERRKLSTESRSLTIKNLLKKSPSLVTSAGPDGSGSSSQHPQQQQQQQQHVSAQSAFHASASAGQLAGGSPVNRPRTRQRSPESQMARDDLTSFLSKCLPPTIAATVSKSLSQLTVSIYEPRMGPEDLSVAVQAYYSQLDAYLNSQSVFIQNKILVRAGDVMEAVERYVCTACYQRLFWADSEEEVADLSLQERIRSLQWVTFGFLETRLDLTKEQAGDGYGRVGAVVFLETRLDLSKEQARNRLDEAINEMIDINSHRRVEEKLQCLLRCSHKIFDSLRESGTDINHESLKMSRDEFESYTSGELAPPLNKGNCGCNQALSSMESSLARMTKLIDEQKALATRIDEFERQVSQEENSLCDRIRDLITLCPSPEWVGLRDKVARAEAAAADACSLNSTGSSARASSDSCESSESSSYEDVITGTSCDSRLQTDYLEQFMAWWCTRQPTTAGGPATVITPFTLRSAAFEYGTSTVECLDFPHLSDGFYSTSLSY >PPA02752 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:234464:243486:-1 gene:PPA02752 transcript:PPA02752 gene_biotype:protein_coding transcript_biotype:protein_coding MACSESPLRADSLTSSSSASAVDTGSINRPKKAGLSKKSKMSASPPSKRKASGSNDLSLRRCVPTTEGTGMMGGEKIVDPPSIDIDPPSYRTPEMLFMAVTVVLGVLMYLFVQRVVVMKPVGIAGVDEAIDQLIERQKAKSEREEARKRRKAERSWASTTLSEHTMEIIESLDATTCPTERSQACSTATPLQQQQQSSSGSSWAAASRTASTAVSAADPTTARSMGSAAGAKKGETPLEFDKTFDPKEAVARKEQEEGEKKAHRHHHKHHHRHRSRAGEKESKERSEDSSEEGDEERKSKGGHQKRRHKRHRSKVHGLTCVPHEEWLSHGSYAAPVPRLDTPIAVAGETLPVPDGVDVEMRGQEPLWEKMVVIDGGKRLKAGKKDI >PPA02731 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:66786:67967:-1 gene:PPA02731 transcript:PPA02731 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLVGVVSTGSLVFVALSMVYIASMVNDVQSLQEEVTVNMDEFKVMAEDTWSRLVKMHVNPTGSSDAPPTFATLLGRNKRQANSQCNCGPSSRGCPAGPPGPPGQPGERGRDGNPGQSGRPGANGIALAVTFDTPGGCVKCPPGPPGPDGEPGFPGPAGQPGQPGLAGPAGNPGRDGQPGAPGNNGEKGRDGQPGRPGSDGQPGVQYTPGEAGRDGAPGRPGPQGPAGQPGQDGAPGQDGQPGENGRDGQPGQDGQSGQPGEQGSDGLPGADAAYCPCPSRTSSYSEPVHAAPVETAYPAPAPGYRA >PPA02733 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:77645:79175:-1 gene:PPA02733 transcript:PPA02733 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPLRQRHSARCLLDARTATGAEDGARLFAALLFAVHPVHCEAVAGIVGRADLLATLCVLTGLAVDRSLPYTAALTVVGLACKETAIVLPVLIGVRKVIDRRKESDGVGEGYRSPNAIRNITIPTTINAL >PPA02725 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:25129:32058:1 gene:PPA02725 transcript:PPA02725 gene_biotype:protein_coding transcript_biotype:protein_coding MILQLWVLLLATFASVTSTPGCISRSYTDGTVCVCNSTYCDDIVPLGSIPLGYAAVYRSDINGARMDKANVQQKTKADGVIVELDPSTLYQEIIGFGAAFTDSSGYNIKSLPKDAQDLLLKQRFSSDGTEYNLGRVPMASTDFSTTQYSYDDVEGDLDLKNFSLAKEDFDYKIPLIKQAMSLQQSNGGVKFFAAPWAPPGWMKTNGKMEGGGYLLGEPDGPYYVTWANYFVKFFEAYLAEGIGFWAVTPQNEPNTGHHPNYAWQTLGFNASTESDFVRDHLGPTLKTSPASTDVIIIGMDDNRFLLPDWADVMFADPAVSSYVSGIAVHWYDNDLYEDEIVNPNILSTTHDRHPEKFLLATEACNGWLDVQGKGVRLGFFFRAERYAHSIIEDLDNWVAGWVDWNMALDTQGGFTWAGNYVDAPIVVDGEEFYKQPMYYAMAHFSKFLKPGSRRAAVKLPTNPGLPKQGVFVLGAVMADGRRYVTICNKNNTDDVTISISEKAIDGVYTTVEVPAHSIVTVIWNKY >PPA02776 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig111:373609:373884:-1 gene:PPA02776 transcript:PPA02776 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGSSPDECKGKYKKVVVDAQFREFWREVGYPLDDGSTEMANTSPWEARCMKLFQNIQNHQ >PPA02738 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig111:109098:109774:-1 gene:PPA02738 transcript:PPA02738 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLDAEHIVPLLVAVPVTRRPRFTIRPAQVDLASRFLFPLFFLIFQIIYWYWYFFSTMGSENQNDNTLPSSS >PPA02777 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:375756:376886:1 gene:PPA02777 transcript:PPA02777 gene_biotype:protein_coding transcript_biotype:protein_coding MYMQIQKLIPKILSTTPYSSLSHSPPSRACAAVGSANVARASARIMPAPLLSPPRPDTTKCSERVSRHSRLSSGIRVATDSYKAEGGNKAKITVSVGVGSRHETDDINGIAHFTEHMLLKVRLMNAKPSQKSCFIIKN >PPA02769 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:342145:342803:1 gene:PPA02769 transcript:PPA02769 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEVNQQSWNRWKEMAAERMGEATLRISRENEERAADISRLTAANDEAIELSLISPTSLQSLS >PPA02736 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig111:101848:102607:1 gene:PPA02736 transcript:PPA02736 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAFHSLVLVGGNTVLIEIYFNKLHLTLEKYKPVEDLDKSRENFFFRFSGKNPDQAMVNIMKISESIHQNPSDVIMKGRCSSLNDNAHAEAGMSNSNFFGNLKWNRIRLLTFKNN >PPA02774 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:368959:369720:-1 gene:PPA02774 transcript:PPA02774 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSLLFLLLSISATVALECYVGEVPWGFDLKSPPPYPRDPLNPVPIIPPVLRQCTVAQPCCSYSGETKKGKFHKGRRWECAMNCPMFTKKNQVIELDGKLYCAGPDKACKPK >PPA02775 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:369967:371476:-1 gene:PPA02775 transcript:PPA02775 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSVMLVRGEVPWGYNAAGSVESPEFFPNGIIVPVLRECPASTRCCSNMAYLVGQRYSCTDKCPIFNGRQEIFERTN >PPA02795 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:478536:484311:1 gene:PPA02795 transcript:PPA02795 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYEATRKLIRTATQKIAANTCLNFKENGAGTQLQFHRGGGCWSYIGKLSQSVQPISIDRGCEIIPIISHEISHALGLDHTQNRFDRDAFVSVNFAAVDSNMQNNFAKRTNAQNNNFGVPYDYGSDMHYGAFDFSNNKQAVLVPSQGDFINTMGQRRSLTFNDYKMINALYSCSANCPAQMTCYNGGYTSPKNCNACVCTDFFTGANCQTARQLIAISETSSYKTVYHTDYNEALYTSGNDYNWDMFNKDAVKIIKAPEGKKIKVTINKLYPAFGQLPCYLSCPFFGLEFVDNSNGDLMTTMGKIYCCTKDEGVTFISKSNILGYKAYASPGMPMDATVTYTVV >PPA02761 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:302126:304591:-1 gene:PPA02761 transcript:PPA02761 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLTLTTLTRASALPRIAATSFSTSQRLQNEQRQVKFVTTNGVHIGKANLGDSLLDVVVNNDLPLDGFGACEGTLACCTCHVVLSPDHFARVDKVNPACEEEMDLLDLAPALSDESRLGCQITIKLDKSDPFVLEVTVPEVTRDARTLD >PPA02742 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig111:117224:117554:-1 gene:PPA02742 transcript:PPA02742 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVTFFFLALVTLSFSLESSDSSIENWKRSPSSKWMRFGKRSPNAKWMRFGKRAPSDKWMRFGKRAALMEDDVEY >PPA02786 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:416096:419646:-1 gene:PPA02786 transcript:PPA02786 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLFLFFLIQSLAAQDASPELTVTAQTSAGPVRGFQVDYGSDKSRLYYGSASVFLGIPFAKAPLGELRFKLPQALCRFEGDVGSTEYKPRCPQSHDYHGFDYITSEDCLYLNVITPNITDGRKRAVMFYIPGGVYVLGGADIYHYKVDPSFFTTYTPEFPANRGIFDVLLALRWTNNEIANFGGDPNRITIFGHSAGAHIADALAYSPLAKGKTPDFFDNDTTPEVEHAEKLCNLTLSSSSMHDSIPLLQECFSDIPGEEMIKADNRLDTHGAQDFDHLPNDDLSCLAGKSYSMSLDGVIFPIAPIAELARIRPNYVVMLGDTTDEFVFSMNEILDGNISTVGESMMEKYLKEKFGGQSKDQFALIKKAVLSFYTPNGAPNKDDHLAWTKLISRAITDKDYYAPARKELQWFKNNNNSNIVFLYDFDYFNSFCANSYPIGDWRQTATG >PPA02760 pep:known supercontig:P_pacificus-5.0:Ppa_Contig111:300906:301452:1 gene:PPA02760 transcript:PPA02760 gene_biotype:protein_coding transcript_biotype:protein_coding MKLADLILSCVHNLLRCVQRYTEVQTVRRPTHSTQWQPYLLRSVPSGCECMWPVDKYGHQEL >PPA02800 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1110:215:1997:1 gene:PPA02800 transcript:PPA02800 gene_biotype:protein_coding transcript_biotype:protein_coding RLNYFLKTVGLNAVSTTSFHRLKNEYLLPALHSVFNHAQEDVFSRLRDRLAKGQNLHVAGDGSFDSRGYSAEWCRYFLVDAETGEALVYVLMNKKETGSSGKLEVACLEKGLKILADKIGGEKHIKTLVTDRHAAITTPAYMGLVKFFTRRIINKAYGAVMLAQGDGVLAQEAFRSSLLCLSGIHDFSQDSSFTKFKQCTHSPCPPNYPFLQRDGRAFKKLESTIYTDRNLTDIMSVSWMLKTSTCESLNALAWRYAPKDHYFDRNGHIMRTMMCMMHWNEMKRDEMNGTRIVVGKKGYHNHTLKKTVYRNVKTSARNQWREAVKAKAYEVRCSLPSTPYATLKEEKAEQQRQRDHWNEVNTPAVPHAGDANLEESDDEDDLPTEPQFSMERLDAVMEEIRELLGEDDEEEVEEEEEEL >PPA02801 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1112:265:1170:-1 gene:PPA02801 transcript:PPA02801 gene_biotype:protein_coding transcript_biotype:protein_coding IYDVRASNVEDGFNKVRSLIKDYPYAGMDTEFPGVVATPLGESQGQCGLMFTSADKDEVLPRAEGRGFRTSWRHRSLDDRPERVQVCEQEIWHIPSLVHSGSASAVRILGFAAVEKARHAHLARQ >PPA02802 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1114:158:1106:-1 gene:PPA02802 transcript:PPA02802 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFRYQSTVSAGKFEENAQQLKACEALDRLRHEKSFFDFFKKPEKYVRRSFGRQIVSPRGLYIFGAVGGGKTMLMDLFYHSVPIKRKDRVHFHSFMIDFHKRMHEFKMSGKVSDPVPVIADNIIEKSQLLCFDEFQVTDIADAMILKRFFTLLFDRGLIMVATSNRHPSQLYKNGLQRHQFVPFIHSLEERCSVLNLESGKDFRRVGQDNST >PPA02805 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1118:49:295:-1 gene:PPA02805 transcript:PPA02805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mbk-2 MCVYVQDHVRRQDPDGQYNIIHMLDHFNFRNHKCITFELLSINLYELIKKNKFQGFSLQ >PPA02806 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1119:162:532:1 gene:PPA02806 transcript:PPA02806 gene_biotype:protein_coding transcript_biotype:protein_coding KAKGDEIEKENENGDEKGKDNGLRKKTLKIKATMRDGKVKNLFAHMHTTVQALIVQAGATAPCSLFHDGRKVAYARSLRSIESVVEAEKEAEEIEVDIY >PPA02807 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1119:890:1919:1 gene:PPA02807 transcript:PPA02807 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKHSSSFNLGGLKECTVDRRSASRSPSRKVTRNRKKKDEGGRDDGPLLIIDEESPLDIQSVYERDLTDETIYQVEHEGSLALSIYMCSCNPTFNETLEEMGERAARHFGELQARECPHAQAIRAILNDFLREITVIEEGITPISDCPRLFVTIPQDDKPGV >PPA02820 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:36476:37682:1 gene:PPA02820 transcript:PPA02820 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGGTEVAEGDWPWQVSLLKYNEANDTWKSGGCGEVRYGNHHRWSNYSVLIGSTDASGYYGPEQLEDSRVHSHPFVCLPSRNQTIPINATSVAVGYGATEEHHKPLYDNGKRQMYRGFDLGICAGDTEHLTNKGDSGGPLLMKANDGRWIQIGVTMGSSSRRKTTTTVTPPSTSSINPNQPYEKPPFTFEREEDMKWEPCCIGSALPM >PPA02845 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig112:198014:199274:-1 gene:PPA02845 transcript:PPA02845 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVDSFGASTYLVYKVGYFYGAPYFIPTPAYREVLSRNARDFYDIHDSERIYVNGFPFLPKTENIRKNLSVNGIRLSKKTLRMQEQFFRTQLMQGVFPLTVLSVPFIIFITVLALGYELNKFSAIVMLSYIKATLDKQITGNTIINRNRLRI >PPA02870 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig112:327621:329420:1 gene:PPA02870 transcript:PPA02870 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLLLTLPLLISPQEYGSRMHLDGNTYTGGLNYFTQQGRSIGGIETKPHAWPWMVQLVKWYGHTCGGSLIDRSFVVTAAHCVDDVSPSQLKIYTGGHNSETGQEHKVLNISVHPLYHVIVSMSYDFAIIKISPSVTFNETIQPISLPLLPPLNNQMCVVAGWGRTSERGRSSTVLREIRVPIIPTYECNNFFHYAGSIDPVSMLCAGIMRGGIGSCYGDSGGPLMCERAGKWELQSQIEEQA >PPA02841 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:174287:175482:-1 gene:PPA02841 transcript:PPA02841 gene_biotype:protein_coding transcript_biotype:protein_coding METTVPDNEPKNEAVDPLDKLICAGSSKGRVLEGDSGGPIMMMATDGSWFQIGITSYGPTTNTDEPDKFTDVRKYCGWIEEKTEGEAKALALRTRAFQRIVC >PPA02853 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:221580:222567:1 gene:PPA02853 transcript:PPA02853 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVSTRPLAGQPTKSYTDGLGNMEQTLKEASLRKSAAESKKQSGSAAHQADSVREENDYHSSMLGSEYIVGGENEMVGGYPDMQTRPLPRVAHIYQQNEWNQTTWKIDYADDDFMESKLTGPSFGDLLPNVSMHMRFASKNDAIEFCKENNWKYQVLPKY >PPA02843 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:187594:187909:-1 gene:PPA02843 transcript:PPA02843 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPCDSCGGEGNADRVAELITLMRKLAPRVFAVELKRADTDLSEEAQKLAVMSLCGADEEAGSVEGET >PPA02875 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig112:370136:372396:1 gene:PPA02875 transcript:PPA02875 gene_biotype:protein_coding transcript_biotype:protein_coding MTARDDGQQQSPQQEGAAEGGPNADKAPPSDDADDTVPGAPGTPGAQGTQGASPVSAPSPGQAQAIKKLAKTAMQEREMTAMDENNRSEQPSSDTGEETVTTQAAVPQTKKKSTKRKKKKKKKTQTTMAPPPFYQFPFFGLLPQVQAQERRKRAKKKSATRDDPVHAAPAKAAPAPAPPPPASEPAAPKKLEAMKIQQKEDDNGYLNLADLQAEEKKLYGEEKKIGAPGEEYICLAEANEAAAPASNGSGSKDNTNSAPLNGGSGSGKAGKPPEPAKSPAAPGAAKSPLTKDEFQDAVLTPRPGAQAKPAPGTKTPPPKETKKTSLTNDASLSRKTRDKKGAVGGGVPPRPGAPVTPRPASPHPHQQPAPATSVGPNRHKTVRHATKEGPDAKTPQKGQPTKRKAGPPVMPVRPPTQMDKKGVVQYPVKSESLPHPSPDL >PPA02892 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:458414:458767:1 gene:PPA02892 transcript:PPA02892 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTQGHVMAGLIRSRIEERLQAGGETEVDKDNISRKGLIFDLSNLPASRVAPIDERNNEPFIKKRLTENDGDVTREGE >PPA02893 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:462543:464552:-1 gene:PPA02893 transcript:PPA02893 gene_biotype:protein_coding transcript_biotype:protein_coding MREIVHVQAGQCGNQIGAKFWEVISDEHGIQPDGSYAGESDLQLERINVYYNEANGGKYVPRAVLVDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELVDQVLDVVRKEAEGCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEYPDRIMSSFSVVPSPKVSDTVVEPYNATLSVHQLVENTDETFCIDNEALYDICFRTLKLTNPNYGDLNHLVSMTMSGVTTCLRFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLSAKGASAYNALTVAELTQQMFDSKNMMAACDPRHGRYLTVAAMFRGRMSMREVDDQMMSVQNKNSSYFVDWIPTNVKTAVCDIPPRGLKMSATFIGNSTAIQELFKRISEQFGAMFRRKAFLHWYTGEGMDEMEFSEAESNMNDLVSEYQQYQEASAEDDGEFEGEGETDMTENLE >PPA02883 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig112:417130:417920:-1 gene:PPA02883 transcript:PPA02883 gene_biotype:protein_coding transcript_biotype:protein_coding MFLILLIAAVLAAAAYYHFQQSQKATPPSSGGAKRNDVPTSCVSTAAQPGTVTCAAGSVLPQSVTAVAKSIDSDVPQKPSLTESTVNRIDALPATTPTPVPSDAPASTNTAKPSDSTTSASTGPGTPSSGTTPSKGSKKQKKRTRRRKKSAGTTPAQ >PPA02890 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:447266:449847:1 gene:PPA02890 transcript:PPA02890 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVNDTERRVFAVRNMSAPNSPVKMPSNANSPHATPTKGGDRYVPFRQPTQNLITRFSAISQEEVVEARAPSQSDSSRSGRTNHHHHSSSSSHHHASPSGNHNQQGAAVV >PPA02839 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:169562:171119:-1 gene:PPA02839 transcript:PPA02839 gene_biotype:protein_coding transcript_biotype:protein_coding MVEREVARLRSFVQIVQRIIEVSVEQSHFDKTILGKYARLQSAQSLATHPGKILRNVSPPGFFLPEEETESRCCTPNADQLPWDDEEEVESPSVLAEYIGSGCPTPLEALEAKHKEESPNEITRCPIESESYLSWEDQEPELPVDTDESTEETYCDEEDGNICSSVRGNTSSLPSVASGTPSSSSSSSSHLSTWELDVGWPQFSTSSLHEKEKKEPWREYVEWQTNKKISLEAFDKYADMAENCVAFDYQSTVVNPAVLLNELNRRKTYRGESIMCMNLGFESALPDFSRDASTGRE >PPA02832 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:85996:95113:-1 gene:PPA02832 transcript:PPA02832 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYLAYKYVKDQKEKNRRDMQDSLANLTVNLSKSISPDMVALRIPGVSARLTDDGDDQPTDEYIPGTLAIVEKARNYLNFEFPPRILIATGVFIEWTPQGEDECGWVLADEDSGHTIPSLSPKPVDASSSSSLPSSGPLSPHSRLRFSVDVNDLSSFKNVEPKKGKKGHPCIRLIAKDGTNYVPLYFLTQSTKDFIDVLQRYVTLRRSAREHQLVLVVDEKAEALAQSLSTLDQNGDIMGRFMHNPYATAMTGLSKITTFVQDQVIPAILDSDAVSQEEKIRAMRELRTETDDTRLKISREADFEVVTQLELPPRPDIFRESPVTQAVWSSFKHSDGSFDQAKSHHMMMNVFRGGVETELRKKRAKLEKDYLRMKGQWTSISEDQESRFAKYVVRKALVEKDVARTDRTLKFFAGDDNPNLAMLHNVLMTYCMYDFDLGYVQGMSDFCSPLLYVMGNECDAFWCFVGFMQRVHANFEKDQTAIKVQLNQLRDLLMIVNPKLANYLESHDSDDMYFCFRWLIVWFKREFSFEDTCKLWEVLWTCQPCPNFLLLICAATLDRQTETIIENKFGLTEILKHVNDLSMHHDLDEMLTSAEAIFHQLSASQDKLPMHICEHLALGDKSVVGTPSGDEDDE >PPA02888 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:438134:443707:1 gene:PPA02888 transcript:PPA02888 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tsn-1 MASEGVSMRRGLVKQVLSGDSVVIQGAPRPNGPPEETTVYLSNVSAPRMGKRPTETVTATPDEPFAWQAREWLRKKLVGQMVTFIKEFTATSSRDHGKIYLGGTSVETAENVNETAVAEGWLEVRQGKVTDEFTSKLLDLQEKAKSAKIGRWSDNTDGAVRDIKWTFNDPRSLVDFYKQKPVDAVIEQVRDGSTVRAFLLPRFEYITLQLSGVRSPSTRAGNDGVEAFANEAKFFAEARVLQQDVQIVLESVSNNNFVGSILHPKGNIAEALVREGLAKCVDWSIGLCTGGAEGLRAAENQAKMNRKRMWATYKPSAGNLAEKKKFDAKVIEIVLNDAMIVVRDNGEESKVYLSSVRLPRDAGDRPTAAGRQFRPLYDIPYMYECREYLRKKLIGKKVSVTVDYHQPKSDQFPEKTCCTIEAGGANVAIGLLERGLSKVVRHRNDDENRSSQYDALLAAEAKAEKEQKGLFAVASKEEGNHTQRVQELQGDLARSKQFLPYLQRGARAEGIVEFLTSGSRMRIYVPKETCLLTFLLGGINCPKGARAGANGQTAAAAEPFSEEAAKFTRKLCMHREVELEVEGLDKQGAFIGYLWVRPEDGGRHQNLSELLLEQGLATLHFTAEKSAHYNHMSAAETRAKNAKRNIWATWTDTDAEAKEEEAANQKTERTVNYKKVAVSDVGKNGNSFRISAQSIEDGPKLERLMEELRVSVANSAAPSNVTVKRGEMVAAKFSADKQWYRAKVESVRAGQADVLYVDFGNRETISTSDIAALPGTLSSVPASARDYYLALVSTPNDDDYTGFALTALQTLLSSNSFAEINVEYSLGGAQYAQVLVEINGEKVDVGKALIEDGFAMADKRREQRLQKLVSAYDEAEKKARKERANIWEFGDFTGNEI >PPA02878 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:392154:393293:-1 gene:PPA02878 transcript:PPA02878 gene_biotype:protein_coding transcript_biotype:protein_coding MYKEVGRGTGTVEWRKKGWEYCNTHRPHASFLQNTLSTSTLSYPVRKSAPPSPSPNPLPPPRS >PPA02864 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:289472:292185:1 gene:PPA02864 transcript:PPA02864 gene_biotype:protein_coding transcript_biotype:protein_coding MQILEKKYIEKEFGPHSIPSLISTLSLFPKWSTGLGSSEMAILRLQCCFSQTALFVYASLIPALALFVIVISYKVYLYYSNILTMESRLLDRRVKKLTQRVGKSSESEGAKGLIQVPIDPLLNTDSTNKNHMGDRIPVHLVHGRAPPSPTTAAAIGPTAVAPAALSSGPQSGPQSEEPLSARTPAKEPATNEEKTEISGYATQTASDYVNVSPEGSRPKLPDMTDLPSISTIEQVSDIDTTVTSLASSSQATSATTTSAGTASPAPPIPKNDDDRVDTMKMLDDPIYGLKTEILTPDGTTRSELTNDPFQTYAVPVSMLRDEKPPQHSNLMPAASDHDTTLHPVTNPHLKTTPDVDYSACSSLGPFGPRRVHAARLLAGHGPHRSAGSGSPAGSGIAGRDSAAFACLPTLSRAIDGSGIHAAKGRIDDGAKTSGNGEYLTHHQDVFDRPPRSSSHQSPQLPVEQRERTDRQYAKPSGYFSQRAKAWLADNRDSDSVEEN >PPA02816 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:20526:25501:-1 gene:PPA02816 transcript:PPA02816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter [Source:UniProtKB/TrEMBL;Acc:H3DZG5] MAAPPPATSVSEVHNLLPGGSPSTQPHPSSDQPSTSRPSNRFQTSDSEFEAKKLHFQAGDVIIPPLDDEKKSRVGFSSPLQYILAVIGMSVGLGNIWRFPAVAFENGGGAFLIPYITMGILFGLPMMYIDSSIGQFMQNSPSIAFRQYFPASQGLGWTMALIQISIGFFYNLPCCWALIYIVQLIAGRMQYLTSCQNEWNTPYCDSSVFCEKFNNYTTANDPLVYLNGKCMTASARNLSLVAAHMSQTRPFQSAPEEFFYFHIIQKHASLGYGNLNWMVAVSLFVCWAVTAAGLIKGVQSMGKVALVTTILPYLIITILFIRGVTLKGAGTGIRFFITEPKWQFLLRPKTWIAALTQSCFSLSIGGGAMITMSSYNKRTHPNFRDCIIILCADTFMSFFGGTAVFAILGSMAERMKVDIKDVVSSPLTLTFIAYPEATSHMPVSTIWALLFFLMMFILGISTMFSFVEGFVTCIIDEKPSLGKYRWAIVSAVAGVCFVLNLLCFAFQAICTCEITNGYHIFNTLNEFLGTLSLPGALTIETIIVTTYYGAPRLFRDIQCMKGLPSNNFQRIFGNLGLYIKIGVTFTAPLLAMTMAIYLAYSLMDLMFSDENLRNRMSDKINGEVLHYPKWTVFFGFVVGMAPMFLVPAAAIVKYCRLKKTGEPVADLFRISDYHPSVRYNLPDPVSWAHFLWPPLKSKTLNPSTKHDWEDTAVIPTIPSNG >PPA02894 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:465345:467928:1 gene:PPA02894 transcript:PPA02894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ben-1 MREIVHVQAGQCGNQIGAKFWEVISDEHGIQPDGMYGGDSDLQLERISVYYNEANGGKYVPRAVLVDLEPGTMDSVRSGPYGQLFRPDNFVFGQSGAGNNWAKGHYTEGAELVDQVLDVVRKEAEGCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEYPDRIMSSFSVVPSPKVSDTVVEPYNATLSVHQLVENTDETFCIDNEALYDICFRTLKLTNPTYGDLNHLVSMTMSGVTTCLRFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLSAKGAQAYRASTVAELTQQMFDAKNMMAACDPRHGRYLTVAAMFRGRMSMREVDDQMMSVQNKNSSYFVDWIPNNVKTAVCDIPPRGLKMSATFIGNSTAIQELFKRISEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQEATAEDDGELDGDHTEYNQDHE >PPA02850 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig112:216449:217227:1 gene:PPA02850 transcript:PPA02850 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNLAPLAVRMTSSGYSKPVSSFRQYTTLNPISPATPTVEAAFAHNGALGGLPEEHPQVRIARIFKHDREVQGWTNGKAWKIDFEDHWENNLIGEPSVDDSVSELLKHMKFGSKEDAMAFCEENNWAFEIVGINRK >PPA02835 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig112:109880:112308:1 gene:PPA02835 transcript:PPA02835 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDFSGKDTLFPSFGKFLEILSGVHFDHATLITQDEGDDDGTVGLAIMNLQTRRPIEGAKIKILDPAYIVNSGVAYCGNGIVDDGEDCDCGADGCVTHDCCDTQTCKFRDKAQCAADGVSQSMCYNGRCGSRLLQCIEIWGNETLVAEESCYPRNEEESDENRENGDYLCGKLYCHPQSGAEPNLFGWEKDHFDENGECKSVLARYQKDYVPDYHIGMTPDGSNCGLDKHCLNRKCVPKPNIFGIDQSLN >PPA02897 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:511652:517887:-1 gene:PPA02897 transcript:PPA02897 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLPSTQPLIGARRTVRPCVQPSSRLAPISTLPHSPSGQNVIGVATDRDILEYLEVEKAKRDAAKEKERAKKETSVLSEKNGRVGSGSSLAAAAAAAPQPVTPSASTSSITAREHPAHLMSTEQKHALTVADERSENETLHPAKVPRLGPLGASSTSVEERPTIPSRTQQPVAAAPAAVPASEEEESGSKMNTSVTRSIGRSSSDRESSASSSITPSERSSSTTSTRNDVPSAAPVVEHPPTPLPTAAPATPVVAPSTPTPAAAAATATPTTVQFTVPLRSWNRPAPLSSSSARSSTTSTSSSALAPSIVSWFRGAASTSAAAAAVSSPTMTSSPLTVKPPISPAPAPPPRSTSASLTTTTTTGAVQSPRSPALPWQTALTSSPSQRAPSVVVPSRTSAFRPLAGAAAAASGSPNGGGSPFIRRPAPGPLSAHIMRPWQSATVASQESESERRQTARMQRAHRRSTQGVTKEQLEEASRLAAEESARRRTSQASASSGSSIPSGPCRLASEEKDSSAERSLIGTTPLAPPRISAEVRLAERRESSERYSDSSRTSPSSSIAPSSREGSAAPSGSLTNTGTLSLSAAPAPSATPSAANVRRKSSQILSGMAARSARRGTGPVSADDIAAAAAAEREERAAARSTTAPPAAAASSLYQPQSSARRLMGEMTSASTTTTTATVTPY >PPA02873 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:357030:363372:-1 gene:PPA02873 transcript:PPA02873 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVLTGEVVVSRRVATLVTRLFVHWLDSPKMRKKAQMNRVLEQIFAPLVDFGFFNNEVGSDESRAKIDTTLETFQHTFLAILRSWSGLLACSAVGSGNTVVSSSPIRLIEYLGLGTVISPSLVRIRSMIVDLIVDFLDLPYADKKFTSWKEAHSYFLSLHEPGVFERSLRNDFVIAHADRRAVHDERLRNHVDVLESFRAIAVYILVNASLPQSLCRLILACPDEPSSLKATLLLSDLIGQAATVLPSEWTSRVLSLPTLLHSSCETISLSTATAAVTKGRPLPDEGKERFTFQHAENAPLVLFRLDELQTAWLKCEEISRSPPSPTSLLALFVPRRGSKNEERARLMDGGRTPSFNDDEDLRMFESLDITSRLAAGPISEHLMTDILSTLGDVVDGPRINWKTAAVFLDLMQKDPKMVDRFRSNATVLSFMEKLLAWLAPSARNLCRTKTTDVLPAAVGKLAIECLMRLSPEEQLFREILEQFANDFVVNLRPSVLSTGAFSSKHVNFNGAMYYFALLASYASHSLGSTILERAGVMQM >PPA02887 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:431575:436829:1 gene:PPA02887 transcript:PPA02887 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSVSGAVVHNKELIKGFKRGASTEPSGSQSGAATHDETTQVSSFRVYIHRRNKFIHAWLRVSPSAIMLEKSKTDVTTWPLQFLRRYGYTSAGIFFFESGRRCPTGEGLHTFQSHSAEAIFQLVQSRIQDSANASAVETMRMERARSVGASVSSTTSTGLSRESPRIHPLQRYSSEGSSGDYISVHGGNYNTIHQPPSAARIRRVAAAPPPRPRSVSGGEDRTAAEMEARAARAGSASSPRVPPDSYQRGGSNAGIVGHIMTEKRVRPSTDMSSVVYRKAPRGSETDASVHEGQAYANVTSPSTTFNGGVAAPHPTSSSSSRFTVSGGGSMTSVVSVGSTSSQPTTPTRTVFPIKWDGGAGSTSFLCYANTMSRVGHRERADTVPTVIGGGERYVNMQEMSVPPRHYEPMTPPHGYSPQLNYASVEGADTVGELQSRSNSVGSRVELGLPLGRGDRLKLSPAPLATVEDDDESQISYSQIDMLRTQALREVSEQSEEERRRARYGCRPQTVE >PPA02882 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig112:411431:416811:1 gene:PPA02882 transcript:PPA02882 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYRLLVVLLAAGASADQAGGAANGQESLLSFDACKEDIHKLCNKEGVDLKSDMSILECLQDSGYSETATLTSACEALVWQFKVKLTQDDRFTDAAKKYCSAEMQQIPAFGACVSQTAPGYALSCMMDYTQNVTKTSTCFGFLSRTERLAFSDFRLVGPFISKCQRDIERHGCAVITPDKAHEKVRVPHTQGMALECLIQKVIAVEKTPNERGNLQDDCRHEVMRLAEMQAEDFNLDRPMFFACRSDREKYCRDVPAGSGKIFECLLFNRHDQFMQPECAKILAERAHLMGRDYRMAHPLTKACQEEMTNYKCEPQANLESAAHFHLNWIMLCLENGAHQKDAKPASEGCRHEMLTHRKLMMEEFRIAPEIVLSCAKERDEKKQVTPECMQALGMVVKTADIGSNYKVDKVLYASCRPIIDSICASDAQSEASTLTCLMKNVDSPNMPKECEKRLLEVQYFFARDWTLDPAMYSACHDEAVSR >PPA02867 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:314200:318225:-1 gene:PPA02867 transcript:PPA02867 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSTESNSRNVCNLKTFRSGGRTLVMKQPDLSRPSTSMRPLDKPIITDDQSDEILSVRELEPRVAFNLRLIEMMWDGLARQLEYEKGGPDLQKRWKQIRDKYVKERRALLERDSDHPMGQTCTWPLFNKMSFLDPFLVDREPEMTSFNRRVIAAIRAQPVLYSKADPGYHTPDRRTEAWHAVLSDLNFPCDTNEMQRYWKGLRDRYIRLRRRMFNDHHSSDGSSSSQSHSGKKDVTTWVHYRDLTWLEPFLEMRQRAREECALTRQAADRARRGLVAMVDEEDGVVVDYEVDREDVEASLLYDEHAVLLERGVRFDGDTAYAASVIADIRALPAEMQAHVRARIELALECAPRASEMMDKIAPKDCCHR >PPA02857 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig112:236705:237020:-1 gene:PPA02857 transcript:PPA02857 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTFARAEPRKLRCVKWTENTAKESYTRMKSWTLQLAQPVASLTGERT >PPA02858 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:248580:257432:1 gene:PPA02858 transcript:PPA02858 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTRQDDDDYSFEAIHHSSDSRSNDSSSTTDDAHSIDNDDHPIPEASHSRAPLILLANVRARNTRAAAAILPATAAAAVAAAAAAGSTTANEIAEFASTSALPPPPEGHVLTPAEIALRNELIRVRPVDHNPTTTEQPSTTTEEVPLTIKVIDGEPSIPFRPTPFRRKGTTRRPFMGVSHRVGSSRVTPSSTVSFSSRAPTTTAPSTTTTNDGGFNQIANRPTTPFFRQNNVVSSTSAFEAPPLDPIDFGTTPTFGTTPTTTPSTTTTFSTTPSTTTYHDYHNNNGSPHNHHYNYRSNDDKDYRRGYHDYHYPYHNGPTDYHNRIRSNQFHSVLPVLLVVHVLVLFLSLNLLHGPTQCGSVPNESNSTPADHQKDLPHYEAYDNDNLPYNDNHHYDAASASASTADDLREMPETRTEIMRNPETAAENLLREGLIFPVEDASGRHPLDLDTIIRSNLANACVPRNDEAECDRSLCYNLYFRTFDGTCNNLQNPLQGSAFRPYNRLIKPQYDNEVSEPISQLMPNLRPNPREITKRLISSSETVLSNEFNALLMQFGQFTSHDMAKTTLVPSSKCNVCQNITSRCMAVEVPKFDDNRDFQSNKCIRVSRSSPICGSGNRLPRQQLNENSGYIDSSPIYGSSVHDSKKFRNGNTGFLRLNQFNGMQTLPFDMTKCKNKNDCTAIFTAGDSRVNLFVGLGTFHILLTKEHNRIASQLQRINPHWNGDRLFMETRKIIGAQVQAIVYREYLPKILGAAFATHIGEYRGYDPNEDATLVNEFTSAAFRFGHGMIQETYARLDDRFGNTTFGPMDFVHGTLHSDVLIFEGGIDPMLRGLMTQRVKRPQRVTRTVTERMFGSTDLSTINIQRGRDHGHAPYVRFRELCGMGTASSFDHLSREILSTGVRRRMQEVYGSVDRIDLWVGALMEDPVVRGMVGPTVACIIGPQFKRTRDGDRFYYENPGVFTRAQLAEIRRSSLSRIICDNSNNINMVPREAFRVGRLVPCTQIPRMDLTKWRE >PPA02809 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig112:1389:3264:1 gene:PPA02809 transcript:PPA02809 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRDTDIFDPVVTVKIPSNISIEIGYDTFADKASIHRNAIKTMSVNVFGYPGYSVNVLSSGLATTFQEQNTMKVVQASYGRRASVHVTASITFDPSSDHKLKLQAYCGDDICGEKIVQQSTTVDWLLNAEKFRVNYITGLTASQIGKNVDNECDAMMTTCSWAITAIDRGDDSFATFSDAEADCVSKGGHLASIHNQDTNTFINQLASGAPIGVFIGMKQDQGVFKWTDGSAVDYNNGHLDSFGGECVIMGSSTETWSNADCDFAFKYICEKNANE >PPA02881 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:405755:411321:-1 gene:PPA02881 transcript:PPA02881 gene_biotype:protein_coding transcript_biotype:protein_coding MQNARIRFKQKRRAQTRTLRNVYSWLQPQSRYFDDNSNAMPIEHFEKLCGPIQDTQFNADLAKEIVLNPCLNMAEGLLIEYSIILGYKPTDFILPDGYSFASRMPHGNPGKELDLILSVLKSIIKGNEALSEMSEKLNKLIEEKSIHDNNESELPRLYPTLQDRIEKCEEVADEEIHDFIDQIEKDASYQTHLYLFKESRPHFLKGAKHVKFIQNAYSSKSVDNCISKPYFDSVFYVMESIIPRLSNEELETALAESKGHFTKNVVMFTARVLLRGPKTACDELAPFLSDTNVACMKLFADMPPPLYKFLLESETPVLLQVLFSMRGRYASNNFVEPMDCLVRPGTFPLHKLLKVMLKDSQSFKPHMAKVLHCHITRSCIEKAAAKEEWEETAQALITALSILCGDDRVDKRLYLNLLDDMKKHSKDKSMHEEWFAKYENSEAVAVYIGLMKKKEEPVKNEEEVKEEKKKEGETKKMTRREREKERERIWKEEKEMEKERERIRKEEKEKEKKKERKDKDKERKKKRQEEKEKEKNKDVEVKVNEKEDKKELKTEVEEEGKNEEVKKEGTSDNEKEVKEEQQVEKEEKEEEIQDGTATTLAEDKEATTLEEYEDLDATAIKKDKETTSDEIHENLEAPAVAEKKDETSDGEYEELDASYVTADKETTSDEETGDTNAPTATEDKDETSSSEYEDLDAATGDEKSDGEYEDIDADHTENKTNIEKISLLSEASTQENSAESDEELEGIDGVTVDKEMDAIEEEKAEDKPEHQSKAAAKEENADTEQAMKDEDGLKEGNVDNAQVSEAEDDDEFELIDSSSDHEEGNVDNAQVSESEDEDGYVLIDSLSDSEVEPIVEFPESDVERLAATQSSTNSQDEAYGFTNSINNMDQIGKTE >PPA02855 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:226024:228783:-1 gene:PPA02855 transcript:PPA02855 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPISAACLACASFLFLMSSAKLIRADLHATAHHHHRRDPKLYDKSCDEEAFKLFLADHKKAAAHFHRAEHRQRLCKAIEEWHHQAQSAPSQISATDSEYKKLLGSSVEFRHANTKAVPKPTEELIPKSVPDYFDWRDKGAVGDVRDQGYTCGACWAFTAVGALEAHNKIKNGGNLIGLSEQNLIDCNTQSNGGCHGGWTPNAYVFVQSNKGIDTQPAYPYTGVDGTCHFAPDKVGGRSAGFVQIPAGDEEAMKTAVAHIGPISVSIDASSRAFSSYASGIYDDTACDNTKHNHAVLIVGYGTDAAQGDYWIVKNSWGTAWGEGGYVNIARGKNQCGIANYPSYPLV >PPA02815 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:18048:18929:-1 gene:PPA02815 transcript:PPA02815 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFLPNPQDAAKIMARFNAKQVKEQKVFVDDDDLEKASEYSCTVCPSRERLDRHDYCCKSLFLYPLNKRGKMLKDGLDSKLKERGSSPCITMDKLFCERLITEVSAEAAVALHAYQNGHEAKDPNEALRYGCYRVIVATLLGPLGKGVRVRLPACVVDAVRTKWPSANGLYTGFKTSDLFDEE >PPA02817 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:27445:28005:1 gene:PPA02817 transcript:PPA02817 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLVPMNICEERLNNANPSFVYNLTSAHICAGAYGHSPINVHMTNGDSGGPLMLKNGERWTQIGIVSFGYKEYMPQFDLAPAVFTNVREYCEWIEEETEGEAKCS >PPA02836 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:113744:118142:-1 gene:PPA02836 transcript:PPA02836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pde-4 MLNKELSHFAESSKSGTQVSKFLITTYMDKDEDDQATLEIEVPAGEGPSTSSPQITTVNDLLKKARTVAMSNMSKISGVRKLKASSSNDASLPEYGVATAKEAAVHMQMLDDWGPNIFKINELSKNHGLTAVTYTLFKERGLLKAFEIPASTLVTYLLHLEHHYKDNPYHNHIHGADVAQSMHVLLKSPVLNGVFSDLEIMAALFAGAIHDVDHPGFTNQYLINSNNELAIMYNDESVLEQHHLAVAFKLLQDSSCDFLCNLSRKQRLQFRKIVIDMVLATDMSKHMSLLADLKTMVEAKKVSSSVLLLDKYNDRIQVLQSMIHLADLSNPTKPIDLYQQWNMRILEEYWRQGDEEKKAGLEVSPMCDRGNVTIEKSQVGFIDYIVHPLFETWADLVYPDAQNILDQLEENREWYQSRIPEEAPSDSAPPTARPEGKHEADENHVHDDDGATAL >PPA02891 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:450793:454090:1 gene:PPA02891 transcript:PPA02891 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWGLTMGGSGIEGSYKPDQTEQIVQCAVPPPENPVRDSPVRALFHFGPKQTPTKHGDASTSSPSIFSGPLSEDSQRLLKSPRKPQRKVPKNPYKVLDAPELQDDFYLNLVDWSSQNMLSVGLNQCVYLWSACNSQVIKLCDLANDSDSVTSVQWTDKGDFLAVGTNKGITQIWDVHANKMVHEMPGHSSRIGCLAWNGDIICSGSRDRVIIQRDVRAPPGYSERRLTSHRQEVCGLKWSPDKQYLASGGNDNQLLVWSLRRPEPCQTYTEHNAAVKALAWSPHHHGLLVSGGGTADRCLRFWNTLTGQPMQCIDTGSQVCNVAWSKHSPELVSTHGYSYNQVVIWKYPSLQPVTKLAGHQTRVLYLAMSPDGESIVTGAGDETLRFWHVFCKSGVPKTARSRLNLIQSLR >PPA02812 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:9056:11797:1 gene:PPA02812 transcript:PPA02812 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRQIEVTTVSTSLYNCTTSELRCWLMQFGGTKQSSNFEIGTPLVVFEENRLNLIGVTTHPTITETFKIAAAMNVFKIQKLSEQENNEVQAMCGKKKPAHRIIDGTDVKLEENPWAVMPAFHLRYMGDTRDGFMVIGTGSFISTRHVITAAHIFDFMNSYGKPIFNVIYGTNCAGKAECTPPSSAMRVASVRRVIKSKSVMDIAIMELYDDIEPDAIRVLQGGHTNAYGDSGGPMVRERSEDGRSVLWAVHSGTLRLKAEFREKEELARSYAVNTDQIRSFVCKFTGVCTNGYDKYDESAIPITISDNIPLC >PPA02885 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:424682:426619:-1 gene:PPA02885 transcript:PPA02885 gene_biotype:protein_coding transcript_biotype:protein_coding MYKIKTTNSKDFKIKPVYFAIEKNQKRKDRITVVYGHQLNLATTVEQAWEDQRHLQNISMVERRKYVKIAYRDNTLKKPDDSITASKTAMPRSVTVKPVLPTESQMSGINRDQDSYFAIPPGGPAKIALPKKKPSGSSRMEVNEGTTEDGKNRGSSSKSKKSNNNDATQTADASQEDGGEAPPPPMKKKGGTRKKVAPPPPPQEEEEDDAPKTALPVPPLRRKYVEEEPPRPKTRKAPPPPPQEEEEEEEAPPPPKVTPKKGGTRPTPPPPPPPPSRPARSPAPMLFNDEDQATELDAKPISKIVPVPAKKTQPPQPKSKRKRNSSSFDPLASLMNDSKIIYVVQQPSREDEDEEESERKPKKKSKENKKKTTKRKSEEDEDEEQ >PPA02884 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:418001:423983:1 gene:PPA02884 transcript:PPA02884 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGVEDGEKNRENNAIEICSATPNWHETKNPSNQVDPGPAILACLYRNAYDEEHPMSTKCTSEVHRVLRNRAVRVNLIPDVEMNCREALSEYCSHNVQPQEEMDCLQTHMHKEDFIRRHPTCQAAIVKFTQFEAKDTKLNRALTRACRPVIQAHCTQFLNEEIDHGDVMQCLLENKEADEMTNKCRTYVNHFELLTMRDYHFSYRFQQACDVDIRKHCASSGNDKAEIIRCLSSVAFEHRLLGTPEDLQKECRKQLRVAYLQQEQVDFDDKEHMADADPQLMKKCGNDLRRLQCDQAKTFEDTIECLRVRFEQLEPDCKAMIFEREKIEAVDNEMDDELQRNCKADIGKYCAGYKENVLECLSNTKIVRLLQRPCQKIVSERMREAAKDIRLRPGLLIACQEETKQHCPGDYAKIKNPKYARQMLEGVVVNCLREKFRRSLNEKIRLGEQCQAEISKVILESEFDVKLDPQLFDACKGTIEKHCTQAVIERTGTYENVLECLKADFYSGQINDKECSNQIALRTQEAMVDVHLDPILYQSCGIDIQRVCSGVQPGHSRVIACLMDALEARNPMMTQPCIAKLNDRNKLWKKAHDDYNVGLPNSFNERPHTN >PPA02829 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:75205:76325:-1 gene:PPA02829 transcript:PPA02829 gene_biotype:protein_coding transcript_biotype:protein_coding MFRMLILCVLFNGCIAELSWNSMNSPLLQQRLQQLLQVAGPLECVNTFVDDICDEFPELRPSKEDKVVALKREVNYFCTASTSHQNEALRGNSTKFPIISHKIKQLKRIAKRELANLTVKQRGMYEDEDHFITSQLITSMMRLYQRRVANHIERGLTKQLIQREIEETQLKLWDLWHGDRPQAELQEFFKMDDGPQAPPTLCGHDFVQ >PPA02842 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:179502:187233:-1 gene:PPA02842 transcript:PPA02842 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSITEDHSLSTPLLIESEETKRRDGWFTRLLNLVSKSDDDDELSSVKPVAFLELFRFASPLDRLAIGSAICLSAVAGMCSPAHIYLSGVITTLYVDVKEPKGNLEFLHLIWRLSSLYGVFFLITFTVGYVENWLYLWAAERIAQRLSSSANGKVVQLSEEANGISEEAIVNVKTVVSCNGEEMMIQKYACSLRSSIGPATRCGFVSALCDGTAELLHSIFHTAGIWYGAISYHSGRIKSAGSVLAVVNVAMDNASCFTHLGPHVMAVMKARAAAAKVYRTIDSAKASEDDAVEKLDPALDVMHLAFDNVSYTFPTRSQPALHNISFTLAPGESLALVGKSGCGKSTTLKLVTRLLSTTSGTILLDGQPLEQYDRKKWRRMVGVVSQEPCLFNGSIRDNICLGRPFSDEEVEKACRVAYAHDFIMGLEKGYSTMLGPSGVSLSGGQKQRIAIARAIVSNPRLILLDEATSALDSKSERIVQEALDAASVGRTIIVVAHRLSTIKNVKRVIVMDEGQIVESGSYDVLRNRPSGIFARMLAAQEIERGKEERSEAAQVVKNSEFVDLVKSSNNNISLTTVTQTIADEFPVTKGGIVSLYFYNKARALFTIVLSVLRGLQMPLLAIPFYFVFSSLKDSEYETELFWTMIGSLLIGVFTFIIILFSQSVHTYTGESTMNDLRVACFASLMKRPMAYFDREETSPAACSILLAQQPPVAMAVIKDNIGPRNVYFMQIVHNSMSNMIENVVGGLVIAVFAFLICWPNGFVGLAYLLIFFTTFALVEHYSNESYNELVEVDKSGEKIRCLSFVHALNESEYMLLSCIATSTGIYFVFEGFIDIKQLYGTEFCILILGYCALMMSESFKDIVLASSAARLIFKLIDPSKNENKDETGNEEPLNGFLRADSVSFAYPSRPHRRIINDINIAVREGHSLALVGPSGEGKSTIVNLIERFYHPTQGQLYLDNIPFPSISSASLRSSIALVSQEPVLFRGTIIDNVRLGVDNASDEEVIEACRMANAHEFIQHSPEGYLTPVGEKGRSLSGGQKQRIAIARALVRNPRVIVLDEATSALDTQSEQVVREALLSSTQGRTSITIAHRLDTIRHCDEICFIDGGSIVERGRHDEVMERRGRYWAMVEQQRSEVLKQSQMMKC >PPA02813 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig112:11886:12922:-1 gene:PPA02813 transcript:PPA02813 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLPTTTAMRIFGNLGVYIKIGVSFTAPLFAVIMSVCIAVQLFDTRLQDTVNNEKFVYPGWTVVIGFMMAAFPLFLVPIGAFVNYRRFKKSGEPIEDLFRISDDHPSVRYNLPDPVSWRQYFFPALRSKVAPTPDSLHPTINIESPVPNTPDQADSTRPSTPPPDSPSAVHGTTGFEA >PPA02886 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:427108:429558:-1 gene:PPA02886 transcript:PPA02886 gene_biotype:protein_coding transcript_biotype:protein_coding MEHARTLTEAKKTIERTILLYLIDYNRSPVCPKSPEQTKHKPKVERVHLDQIFDGIIESDGEVRDISGGELRIGLREPPSAHISAFFTVENEENEHKSSRKDIPRRNHLKRRSACIHGDRGSAAVAQKPFQPETDFENVQNVAERLEAPGSTCARLNTPRPASVSSPEPHQHPLPLSIAPAPRSNQMVDRETSPPASARGVEPTASDCTDKRVTKCPTETFGEGVQGERYSKGGESFRCSLGRLNKRVFIVQIPQRYLNIDEIAAESRNHLGGAGPVIVPQSNLFLQQMPPPPPPYNPRY >PPA02900 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:548863:553304:1 gene:PPA02900 transcript:PPA02900 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLSSGGDPNLDVYNEKGEKMAPSSGQESSNNDDDEGKNNKKPKKDTNKKKGGFGGFFGPKKGAMPKKPEDVNKIADTYDPNYQTLAAVKDGQGVFGADKNKGGKGPGGGPKAPANAEAVAGTYDPDYQTLAAVGGDAFGADKAPRGGGPPQNQQQQQPAARSPIPQQQQQQQQPQMAGTHDPNYQTLAAVGGDAFGPDKAGRGGGGPPPRQQQQQQGGGGGPQPPAQPAIADTHDPNYQTLAAVGGDAFGADKKRATQAKPGGGGAGPKAPAQFAIADTHDPNYQTLAAVGGDAFGADKKRKSQAKPAGGGGAGPKPPAQFAIADTHDPNYQTLAAVGGDAFGADKKKAGGGGGGGPRAPAEGGVVDPHNPNYQTMAGVGGDAFGADKKKATKAGAGGGGGPKAPAAGGIAGTHDPNYQTMAGVAGDAFGPDKKKAGGGAPAGGGGGGGPKAPADGNKIAETHDPNYQTMAGVGGDAFGPDKKKAGGGAGGGGNGPRAPADGNKIAETHDPNYQTMAGVGGDAFGPDKKKAGGGGGGGGNGPKAPADANKIAETHDPNYQTMAGVGGDAFGPDKKKAGGGGGGGGNGPKAPADANKIAETHDPNYQTMAGVGGDAFGPDKKGAGGGGGAGGGGKDKHKKPANTKAVAGTHDANYQTMAGVGGDAFGEDKKKGGGGGGGPRAPADANKIAETHDPNYQTMAGVGGDAFGEDKKKGGGGGGGGGGAGPKAPADQKKIAGTHDPNYQTMAGVGDDAFGEDKKKDGGGGGGGGAGPKAPADQKKIVGTHDPNYQTMAGVGGDAFGEDKKKASKAGGGGGAGPKAPADQKKIVGTHDPNYQTMAGMGADAFGEDKKKGGGGSGGGHKKPADVNKKAGTFDPNYQTMAGVGGDAFGPNKKQ >PPA02852 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:220029:220733:-1 gene:PPA02852 transcript:PPA02852 gene_biotype:protein_coding transcript_biotype:protein_coding MILFRVLLLSIAVVAGRRASSSCYSDPRVTNGVQCWYDNWEARDRRCGDEIDKEDDRRFSFPHKGSRCYCCPSSKEKMPDIYKHVNTPVRNMFCAKNCNACKEATTASECWNNRMERDEQSVFI >PPA02814 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:14438:16949:-1 gene:PPA02814 transcript:PPA02814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter [Source:UniProtKB/TrEMBL;Acc:H3DZG3] MTHAASSGAKTQLFKAGVPLLPAQDEEKKARAGFSSQLQYILAVIGMSVGLGNIWRFPAVAYENGGGAFLIPYLLMGVLFGLPMLYLDSSIGQFMQNSPSIVFKQFFPAAQGLGWAMAVTLISIGFIYIVPCCWSFMYIVHLITGGMSKMSSCQNEWNTKHCDSTLFCKDKSNTVYFNGTCISEQERNDYFAAMNQTNFMQSAQEEFFYFSIANKHANFGFGQFNWMFALCLLVCWTLTAGGLLKGVQSMGKVALVTTFLPYSGTTWLAALSQSTFSLSIGSGPMIMMSSYNKRTHPNYRDCIIILCADTFMSVMGGTAVFAILGSMAQRMNQKIEDVYSPR >PPA02826 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:68221:70598:-1 gene:PPA02826 transcript:PPA02826 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSYLIKKERILVYNSKYGHSHSNFLGNIADLLVDAGHDVTSLIPVIDESGKDGTEKSKKIYVPVAQETRDQITEIYSQRSDFFKLDNYNPVLTWRMRDSFARQFLYQCRAVLDDVDLLKRLQNESFDVMIVENFDMCGPAYSHLVKPKSLITSSASFPFSQMYAEFGIPISLSYNPSPYVSRLNVNSMWGRITNLYAEWLLNAFFHPCRWMIEELFYERFGPSFPSLEEISSHSAYTLLNSEPLIDYAVPTLSRVVNIGGIGARKPSPVNKEWDEILSRRSKTILLSFGSVAKSIYIPGDIKDSILKTIARFPDITFIWKYEQPEDEFAKEALRSLPNLHLSKWTPQNDILADDRLTAFITHGGMGSTQETATRGKPGIFIPLFGDQPRNAGMMQYNGFGVVLDKFDMINPDSFEGAIREVLNNESYRINARRISSMLAKKPFTSKELLIKTVEFAAEYGPSKALRPQSHDMTFIEYHNLDIVAVFAVFLILSLIATKKLCCFLISFCIGTKKVKSD >PPA02840 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig112:172502:173234:-1 gene:PPA02840 transcript:PPA02840 gene_biotype:protein_coding transcript_biotype:protein_coding MFKPISISELFSGSKPEENFTRIHVDELFAQTPDDQSNGNLNENHNFSPTDSVQSLIEYNNDEEFDEDFTASMNDYLSITKASPGTPVFTHKPILKNKEMESQQENKKKVRFAVEMDVDCSTFRFTTNVINADAYLAKCRCDKHGKINGKRKEEKIMERNNLRMKLDVLQSSTLQ >PPA02818 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:31317:33960:1 gene:PPA02818 transcript:PPA02818 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRFQPHHSVPFGALPLGAYHGYIAITDSLQRLADSSKGIAKYITIGSTVEGRPIAGLKIGKDDGKKDVIIFDAGIHAREWATVHTALYFINQLLNPASDPAVKEYLDKLVIYILPVLNPDGYEYTRVDETNPKYRMWRKNRGRELCSTSIEGEKRCCKGVDLNRNFDFQFGAIGSSRYICSEIFHGPFAFSEPETQAIRDLFLSLKGRIRAYVTLHSYSQLFIHSYSHRVKAYPREIDDIRRVASSAVKEIEKMYGTKYQFGTGPEIIYGFAGGSTDWATEKINVKYSYTIELRPLYTAFNGFVMRPSELVPTARETWNGVRVVMDEVIKEQPPPAVPIECLDTQAGCSSWLASSPQLCTSNPASMRRDCAKTCAFC >PPA02876 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:373767:374533:-1 gene:PPA02876 transcript:PPA02876 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRAVLNSLNGLPIPFSNQFGKPLAQTQLIQLKFADMLTEISLGLQGCLRVARLKDEGLVQAEQISIIKRNSCGKALDIARKARDILGGNGIVDEYHVIRHMINLETVNTYEDLAVPEYLLEDTLFMS >PPA02811 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig112:6596:8495:1 gene:PPA02811 transcript:PPA02811 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKFRRLANRVVHYIVPVFKNATFTHNFRLALLEVEDKYYMKVLVPACLPTPNKLIAREQSLWSYSYDHESLVPVKYQTLYPSKKKYCVNETTCEWFLTTTSNMGALGDALTTSEVVGAEKEEQVAVVALKITNIEAVDLHPWLHFLYLHTGACEDDFLMHDDEIPVFDYYLDGVIVKHDSSPPFWYCNEEKRRILAETVSPLTRIDRHTAATLRRTCGIPNRIDFEEDKYSFIERANVAIAQLAERIPFNSFIRY >PPA02869 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:322639:326090:1 gene:PPA02869 transcript:PPA02869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-try-1 MIYLLLLTLPLLITPQEYDSRIYKDGNPIAGRLNFSMQQGRSIAGIETKPHAWPWMAQLAFFGRHSCGGSLIDRSFVVTAAHCVRNRLRLLKYFTVYIGGHTSLSGQAHKVLNISVHPLYDTVAVHSYDIAIMKISPPVAFNQTIQPISLPLLPPRDNQMCVVAGWGVTQSDGYSSEILREIRVPIIPAYECNSLYYYAGGVHIPSMVCARKEGDFGSCFGDSGGPLMCERAGKWELQGVASWVAGDAFGRCALANRPDVYARVLPVNSFLKVQEDRVVGGNESEPHAWPWMAQVTKITVHPLYDLVWQMSYDVAMIKLRYCFARYGNHSLRISPEATFDNTTQPIALPLLPLLNNQICVAAGWGRTSERGRSSKVLREIRVPIIPTYECNNFRAYRGLIHIPSMVCAGSFKGDVDSCQGDSGGPLMCERAGKWELQGVVSWGYGCAEPGFPGVYSRIFPVISFLKLWMFLLR >PPA02810 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:4681:5724:-1 gene:PPA02810 transcript:PPA02810 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHPKKHEKAGHVEITVAPEEAKEETKGEKKEEGEVKKEKELAKKDPFIEGRIKRKLWKGVKFFWKQKDDPDSEVTAEGEEPNREPFLEFKVKSVVKGIPLFIWRRKWKILFFLIMGALLYQLYLYGDKIRSHTRHQRWLLKVMWNLIMDVACVVKAAAPSFTDKFCTQMKYDLSS >PPA02871 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:342117:348931:-1 gene:PPA02871 transcript:PPA02871 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTLAEHVKLIVCSIDYSKGGLARIILNKALTATNEQSRVWCTRFLEVLASLDLPQFADWGVRMMIGQIGDASVKVVRHAVRLLHTWLPLHPSSLPLLRAVPLHTLGDAGVLLRVHLFSCETETRKNMSAAREVVDFWMMKFNARYAATVEEEMRMALLGIKRSMDGRFARSSHEKHSRYGVRMPSHLFAALASHQVGRRLLKEEAVVKRLTEQLRIAPSTDHKAAMLLKAATLALAHIGAAPRGHLLLSSDSLVLMIRLAEESEVLSVRGSAFAALNVLSGSEEGARMLARFGWESNWYRYVAEEVRVETVNRRTSSRLSHHGAGADCRSSSAPARIRVKEVKKKLTLADRSISALPRVSVNSESVDYRLDEEEEGGGMEIEADVPIEILRGTFGDHDDDAFLPSGQRSRRCTTVSIGPLSPVSSASFCLDPSSSVGGIRGHSESITGFTSVS >PPA02860 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig112:259451:261928:1 gene:PPA02860 transcript:PPA02860 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLHPALHIAVVILVGTIGADDVVNETAATVSCPGRCSTVARSIWDLQITDEGVFVPYNQLVIIIAVSAASFSFLTTFFCLICCGYSCNFLLHCFSTCGRQPEVKPKPKGTNKIGQASKLAVSMTSHDLPPSIGQGLDPSLPKGLGLRVDPCLELLHKCVSVLELLSSTEVREGAETVVVARGQIRGVRWVREPFHLQLVHFLLGDFRMMRARVVHEHEDFALAQEFGRDPDRHLFQLGSEEVSLDGDAGREDLPVDGTEDGEEETEEFLLSVKFRLWSLLGFLIDVHPLKFPLRIIVGDPLLIHGDDVADPIEIGSTLSCREVMRNHLGELRCLPSIMQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAAYGGIPSPFDPGENNEAKRSGSLEQCS >PPA02863 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig112:284637:285843:1 gene:PPA02863 transcript:PPA02863 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYAANVLNGLFVGLIDDVFGGGDDLDRGERRREELVGSENKGTGLCTMGDTLQQRMNGKKTRNEEGGWREERRSEKRKEYNWIDYGKFYIF >PPA02866 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig112:307601:312260:-1 gene:PPA02866 transcript:PPA02866 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKADRIVWVDCEMTGLEADKGQTLVEIAVIVTDAELNIVAEGPDIVIHQDEETLSKMSTWCKETFEKNGLTERIRASKVGMAEAEQQVLSFLREHVVKDKSPLAGNTIYMDRIFIKKYMPKVDDYLHYRLIDVSSVKELSRRWYPAALASAPPKAMTHRALDDIRESIAELKHYRANVSPSPTANTLSTREFPYKAIVNYPVEDAAPGRLRILGRNEGVDGETEAEEGARQPQTSLHATGTLRNDGVREVDITNRRLLALKDEHKADLRVAKGIFHLLGRESPHKVCSFVIALHSKACEINLRMKNESDIINWSFASFGV >PPA02896 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig112:471780:474050:-1 gene:PPA02896 transcript:PPA02896 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRVTVSIELQLDSSAARIADLDDILGDYRRAFVLFCDLLHATPEIMEMDENDQLMLAKKSFGSFYWMMTAIWSQTSHKPGVCYANGSYFPTEKEHQRFPDAKDCASRCVFHLNEPIRALVLTDAEQAVAAYLACFIDGKTKLIELCGKTMVSRGMRGELAVAGRVSRIISIYPSITDLCMRASDNMEVCEVLQTVKFDSWMTKILSP >PPA02827 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:71383:71917:-1 gene:PPA02827 transcript:PPA02827 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPYSPQSLREKNYLAKETWIVEGDSFILLDGLKSLHDHYDAASADGRFLFTVGNFKRTVLNEDGVTSFTSFDLDIVDILLSK >PPA02821 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig112:40176:40927:-1 gene:PPA02821 transcript:PPA02821 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVMDEEEEREFPNTEIVRPGILNGSKEFWDIILNKGEKLPSGLRSIKTIFGRMITGEGTVAASIQVGEKKKDDEQREILTMARKFFALEGVGITDDPHLDDEEEADDHFRRTVKRDDEGRYYTRLPYKSDNPGVADNMPLCLGQLRSVVKRLTEMSRQLNY >PPA02828 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:72247:72605:-1 gene:PPA02828 transcript:PPA02828 gene_biotype:protein_coding transcript_biotype:protein_coding MSDESGRGLWPLCIQPSCWDTAPEGDVRCKGLAKCTADGWMCGDNLDKPCSKYGAAELGVNPYTA >PPA02872 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:353001:356643:1 gene:PPA02872 transcript:PPA02872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-32 MIPPLQPVQPPPQQHQQQQLFKTVVHPQAAAALPHQQPQPRAVQQPLPVQPPPVYQNAQVAPPRPAQSGVAIVQSAPQPKPTSPLYPQQPQQPYQQQQQQPLPGPSQVIPPSPAFNPQSISLPPALRGIPLPPYSTQQLPQVVQPHPAAQAAPASALSAPIPPPQPQQQQQQQQSFNGNYAEQQQQRGAVQQPQQGQPQQMQPFFPQQQQQQQQQQQFNAFGQPQQPFQPFPGFPQMPQPFGLPPQNPQQMQQGQFQQPFGQHFDLFHPPQNLPGLSPFGVPPGTPQSAQAAAAAQQNALLNPFAPFLHQSYAAGGVTPDPHHFNPFQLPGFTLQPTTTTPPPLQHLPAAPHQTFFDNRQQLPPHQQFPQQQQQLSTGAQPQQQLQQPHQQFPQQQQNFFAPQPNAFQIYRQFQPPPMFQMPGLPPMPGFPQIPQPFNAQQPNFIPPSPSAPAQLQQLPASGSQPQQVQQVAAPLPVAPAAAAAAPQPAQQLPQISTSIDAAPATFDKATATYNEKVGKASNIAPPKPAAPQCPSQPGWGACISKQLANERFRNCCAPLGDGCTPLCDYDATLTNMQLAVLTGRCPLAKVGDVMVCASGYEDATPCCTAYGVFEPGYEHCRPYCNPSAGIPEGGLLSEKYKCLVKLTQIQQCFFVTQRP >PPA02808 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig112:73:1267:1 gene:PPA02808 transcript:PPA02808 gene_biotype:protein_coding transcript_biotype:protein_coding KRKRLSTRVNEISNTLLPKNLKIPSKFACVVLQDLLTPDTPWLSSIFVKDEASGKHLASFSSLTPQPCATGDGPWRVVTDSPSSVDCTYEITLLFSSVPTNLIVVQPHTYEYIRGPGSDLTFVSPRGGISLNWHTQGEVTGYEEITFYTGVGYGPEEDLYPIGSLFTNE >PPA02849 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:213594:215719:1 gene:PPA02849 transcript:PPA02849 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSIAGLFYFLQRAPRAAHTACRGQTRASSVPVVSGGDAKRKPIEEVMGTAQPKIETITTVEDTNKGVISGVPEEHQQGRTARIFKPAREATQQGWNNTRGWRIELDNRGRWENALIGWASSGDPLSNVSMHMKFGTKEDAISFCQKNNWNFEVEEPKERSIKPKSYGSIYSWNKRFRVSTK >PPA02834 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:106731:107273:1 gene:PPA02834 transcript:PPA02834 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKAPVKRETPRRISDPPYIPHTLPDYYHDYSHLFSRKRFVELAFFADYSMYEKYGKDEEKVMERMRSIIHMANSYFHSLRIEVQLVFLQVYNSPDWMKLDFSGKDTLFPSFGKFLEILSGVHFDHATLIT >PPA02862 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:268180:270069:1 gene:PPA02862 transcript:PPA02862 gene_biotype:protein_coding transcript_biotype:protein_coding MADFDFSQHYQYAALILWPIATFGFTSNVMVVLFLRIMPSLNNSFGSLTLSQAVADMCHQGIFCFYFSFCIFLRLDWLYAWSENFGFALIFIYEVGNTICGMSHVCISINRFTAVYAPLAYQNIFSMKNTRILISCYWILAAVTTTFMLKMIDCAFLLPQGMWIFIFKDTPTCNLVQWYGDFIKYVAYVAIVATLDCLSILRLHYVNVRHANGAHDATSALRRSRQMNLVYQAALQGIFFISELITYFLLSPYARNKWEAFILTTMSWCLVHGMDGLVQF >PPA02865 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:297664:305506:-1 gene:PPA02865 transcript:PPA02865 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRLGSLSRGIGYGDAGNFIDRFFAAVSCTMSDRASTQQKFNSMIEEYRATVIPKVIRDWDELSVTHQQELMKFHVFYCQLHVIANYTNVVLEALAEHERIVAGRDVPSFSPTVFTVVKEVARLFGDRSAGLHSCSKEYKHLFEDFIPGGKYSGVVDDVVVERTRCASATNRFIESAFGFVDRLFNHSPHMRIYRREARLLIAKNHTMAWLSSKSSEERLAIVSAPRASISTVRTEETHAKALLAEAILQKSLEKEKGYNAKVALQIKRRNQAVQAISPFGFITTVNRLTALLDSSPDSVRVTTVMAQIRFRERALQQPPPEEKIYTLSCKGKKLPEADLKKRLVILIEADQKGCLLTSSVDHPLTGRSIRRWTEEASEDGIVSPIEKRAAEPRTAHAARSDVSDTVRPDAAVGGGLLLLFAETFEEQKSLFAGVPTMGDQNKPKSYMLLAGRVLLIFMLLSLIHFEMFFLQIDKHTIVEIAVIVTDAELNTVAEGPNIVIHQDEETLSRMNAWCQDKFSKNGLIERIRASKVEMVEAEEQVLAFLKMHVLEKTAILTGNSIYMDRYMPHLEAYLHHHLIDVDSINVVAYRSYPQSIARAPKKQMTHRALDDIREAISELKYYKNTVFRTGPSDPGYWSSNPSISLAQFDARKKMFDKAERLAWMDCKMNESNTIEMAVIITDRKLNMLSSMNSSCKEAFAKNALMVRNRECEMSMTEAENKLLAFLKKHLDINKARLAGNSVYMERIFIMKFMPRVDAFLTHYIIDVDSISEGNIWALSSLSASSSRPTYMQRDDGIL >PPA02833 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:99510:101717:1 gene:PPA02833 transcript:PPA02833 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKDRHSYVPATKQNKGWRSLLVLIYLRPSLDRSCVLPRQTASKARHRLSSFFIVSPMGRAASCGRPSQPDSPVIDRTYSSVQVRLAEIGLVISRTGALECSTVSLVSSRIEMRLPSPFPSMPPPPPPLPFKRALHPSSIFEQRWYQEHKK >PPA02895 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:468990:471141:-1 gene:PPA02895 transcript:PPA02895 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVTVHQCPINYLTALKTAMYVPLGGGAALTNFVLLAAILRKRSLRTKREYILTAALAFADFVEGLATFIGGVYRLPHVYSTTMCAFYVSTLYCMFLPHTFLWRWSDTATGFMLAAVALDRYFAIAHTLWYLGKGDFITKVSISTIYTASLVFFAIAWIKPISMTVQDKKVPAVCGTSGFIDQTLVQVTKYVGSVGSALSVIISLVVAVKIREFMAKIEMETVVYSKTGEKHNGSARRQLKFTITTLIKGLCTLVLDSFPRSFGVYAMIREQIDGYNPESGGIGSFFFILTKVNAMLNVFIYALRQPEVNRAVRELFCCKSSGESISSTTRLGSEGSFALN >PPA02859 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:258058:258368:-1 gene:PPA02859 transcript:PPA02859 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLIWVAIVSVLIFALILCICDLAMHMLFDSCCAKKREKVVDVENGEAYSKRLSLSGEGYYLNVPNVPSLTNVRRSIIV >PPA02825 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:65362:67861:-1 gene:PPA02825 transcript:PPA02825 gene_biotype:protein_coding transcript_biotype:protein_coding MWSTSLIPIIDKDVHDAKEKSSKIYIQASEETQKVMNLLNSKKDNFFKLNTFNPLLTLRMRIEFAQQFAFQCKAVLDEVQLLERLKNEHYDVVIVENFDMCSVGYAHLLQPKSLITTSAAIPFSWMYHEFGMPLSLSFNPSSYISSMNGSFWNRVKNIYAEWLMHLFFYPGRWMVEDVFRERYGPSFPSLQEISSHAAYTLFNTEPLIDFAVPTLNRIVNIGGIGAKDPAPIGKEWDQLLSRCSQNILLSFGSVAKSIYLPVDVKESIVNTIARFPDVTFIWKYENPDDDFAKESTAALPNLHLSKWMPQNDLLADKRITAFITHGGMGSTQETAIRGKPGIFIPIFADQPRNAGMMEYNGVGKVLGKFDLINPDIFEAAIRDVLTNDSYRKNAERVSAMLAKKPFTSREQLIKTVEFAAEYGPSPALRPQSYDMNIIQYHNLDIIGAVIAIAAVFIMISMKTITLLLSFCAFKFAVKSKKD >PPA02830 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:83004:83657:-1 gene:PPA02830 transcript:PPA02830 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSDEEVILLKRELVHFAIAGPTVQDEVAAGFPTVKFKLVSEKIKQLREIAKRELPKLDKEQREGFKDADKYLEFHVFISPVHKLLIKNIESYEKRGLSKRQVEDEIKMKMHELMNLWHENDSEGVNYEIYQARKLWRNTATG >PPA02823 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:49911:52941:-1 gene:PPA02823 transcript:PPA02823 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNAEITWDYLILLDGLHPLADPFDAASSDGRFLFSIDNFKRTVLTDDGVSTCTSFDLDIFDVLLSKRTRISCCDSLHDFGNVFETYALDAKHFVIVDQSKDERGRIIVRQWVIEIDGHNETASCIFYRTYGGLSFIEDDCEVSIGRDEIAVSNADKVVLFPKNPLSDAPARHFPDVFTQVEECAEILYGLGRPMRHDPETAEIYAKPFFLTPTVLAYFLTAQHLEGRWDYGVGTVIVIDIETGSCYLQELESALPLPLFDNRISERNWKQGSENELEGIASFSLREVACLRPKWKGLSSSH >PPA02879 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:393610:396177:-1 gene:PPA02879 transcript:PPA02879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dnj-13 MGKDYYKILGVAKGSSDDEIKKAYRKMALKFHPDKNKEPGAENKFKEIAEAYDVLSDAKKKEIYDKYGEEGLKGGGGPGFDASGMGGGGGANGYHYQFQGDPMRMFSQFFGGSDPFSMFGEGGAGGHPGASGMFFDFGGGGPGGMEGVTGHPSQRRGRQDPTVQHELSVSLEDIYKGCTKKMKITRKVMSTDGQSTRVEDKVLTINVKPGWKSGTKITFPKEGDQHPGRVPADIVFVIKDKPHPKFKREGCDIRYVHKISLKEALCGVSLQVPTLDGTTMPYSVNEVIRPSTARRITQQGLPNPKAPNTRGDLIIEFDVKFPTSLTDAQKTQLQSILPGLHVFECLSGTTISDVGNGTIIG >PPA02874 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:364831:369545:-1 gene:PPA02874 transcript:PPA02874 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLTHFLHESSHSTPHNEAEDEEREEEIEEKKEEDDMQTASLTTPSPAKMAAALRERPSRLIGLRLNDEQRSSIRSTLKRNRIGRVRERRVPTASREAAENYLDSGKERVLSNEDLSDRSTFLNRLCDLIEGTHPDQLNHKPFFEKISDVMRELLQDDHQSIRAMALRILRLCLFNEHNMVHILKTNVEIFVVRSLDLRANNDAERVAAFRIIYQLIAIYEKSNYKKMVNDRKKQKNQSSYAFPKSVMQPVIAIGLAALRPIGEQQTVQSPQKAQCRSLNEKESMEDPLGLPSVALLMEFAVYEPDLTLEMAGTVITIAYAT >PPA02880 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:397150:404766:-1 gene:PPA02880 transcript:PPA02880 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAAQALLRGRLAAAAAGTQSTATRNVGIGMAFADRHIGPSRLEKQQMLDYLGFKNLDELTATNVPKDIRLNKDLDLPPALDEHRMLRELREISKMNKVWRSYIGVGYYDCIVPAVIQRNILQNIGWISQYTPYQAEISQGRLESLLNFQTMVAELTGLPMTNASLLDESTAAAEAVTMACRTTKRYKVLIDPLLHPQNIDVINTRCEPLEIGVGKLDINEPALAKDIAAVVVQYPNTQGSIENLEELIKKAHENGSLVVLVCDLLSLTIMRSPGDLDADVAVGSAQRFGVPLGYGGPHAGFMSVANRDGRNSLARMMPGRIIGVTKDTNGNRALRLALQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPKRLEEIARTVHKSAAYLASHIRDAEGCGVRHKDYFDTLKVQVSDVATIKKRAELKQINLRYYDDGDVGIALDESCEPEDLADLVYVFTGKEVTAEEVEHDRQEQASPLIGNSVHARRSSFLQHAVFNSYHSEQQLVRYMKRLENKDVSLVHSMIPLGSCTMKLNASAELMPITWPQFGNMHPFAPLDQAKGYTRLVGDLEKWLCEITGYDKFSLQPNSGANGEYAGLLAIRNYLISQGQEKRKICLIPSSAHGTNPASAQMANMKVVPVASDHHGNIDYKDLTAKVEKYKDELAAIMVTYPSTHGVFESTIKDVCERVHEGGGQVYLDGANMNAQVGLCRPGDYGSDVSHLNLHKTFCIPHGGGGPGVGPIGVKAHLAPFLPGHAIIPINGRSEGAVSAAPYGSAGILPITWAYIRMMGNHGLRRATQMAILNANYMAKRLEKAFPIVYKDEQGLVAHEFILDCKAFKKTAGIEVVDIAKRLMDYGFHSPTMSWPVHDCLMIEPTESEDKGEMDRLIDALLAIREEIAMIERGELDKTRNPLKMAPHTQARVISDNWDLPYSRELAAYPQPWCHHKQWPTVGRVDDQYGDRNLVCTCPPMESYQ >PPA02819 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig112:35550:36209:1 gene:PPA02819 transcript:PPA02819 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQIGVNSYGTYKSIDNERSSSDSPVLYGQHAISTTTSRPGPEEEGSGDIPNEEGSGDTQITEHIEEGSGAELLTDKDKVPKDLKEIRYVKDRSSFTKLYAYCDWIEKETGGQVFTVA >PPA02898 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:542558:546236:-1 gene:PPA02898 transcript:PPA02898 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLDLTKKDVMCDFWVIVRITDQIHIQFAQRYAHFRHTLIFDETWRKIKRALKVTNQQMLLEKAHETMEVNSLLLISDRERREYGSECIDGSIEPDPDSIVLEDNSRDQVTESARFYYTPGSFACPMVHHHWFVVPPRLKTCIPKHHQRAMGQTGIKSRDPPETTAIDVLRTALHAFAASNVENVYLFKDRLGAVFYMHLHVDIESVEKMSKSVPENIKKEADNGQFSTNVLLAVHGVDRPNEEITIDLPDMLQRQLNASHLDKIIGMFAKNKKAILEPSDVLFIQPEPRRPSRIVHFSVPDAFANAKLMAAFDFYVRQHLTHEWPEVRYRSSRGTAIGSVDRLAELRMMSCAQMSITPTIDEPPKEDEVEESERGTEEVSEIVDGNLAVSIPIPFTGGRNTATDEGERQEILEIMGIADLRET >PPA02846 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:200325:205203:-1 gene:PPA02846 transcript:PPA02846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-arrd-10 MGQHPRGKGAATAASRAKEEGGGMKKRKAVRILLCQQEPVRTGERFHLDVQVRVPVECRLLEIDANVEGRCRAAFDGHDNMQILVQQPLDLRPLSNYVSKDGKDKTKDKHPPKDDQALVIPAGIHRVVCPVVLPQELPGTFKAIYGAIAYRAIVKVRIAPFPKGEEILLEGDKTIDVLGSIALDSFPRHDAPVLVDQHFVRSFLCFSRMDVKVNSEIERSAYRTGEHVLVSGTIENGQPSTVLKNVNIELRQLTHYTNGTTKKSDDRLIASLSCGACDINSALKLHHSLQIPANVYPSLVNPNSAVQVFYELHITGNGDKFRVEVPLFVGNRTASSPATSSGGRKCRPVSGVFTRSGCSSYCSSYGEDTTYSSGSDDRDMRSEDFVRMPPFFPSAPPRYGSHHQPPPPPAYKPFLKIEEITDD >PPA02844 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:195580:197519:-1 gene:PPA02844 transcript:PPA02844 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLIESVFIYGNATMIGKLRFFVQAVVTAEGVIATILFSILFALLYIVRFDKGSGAYRVFLTVSCAHGFMLSTMLIPLNFLHLIRNGDFVNIAFGFGTDFIAPENFRIPFLIFTNLVSYSWELVPTASVLQYIALTMPKMSLSYRLCLAYAWPVIAFVINYLYLPYFIPAPAYREVLARNSRDFYKIHDHERVYVYGFPFLPKPENGDISAIDVAIKFAAPTYSVSYGLFLFNVFRIRKNLTVYGVRLSSRTLKMQKQFFRTQLTQGLSPLIVLSVPFSIFFTVTILGYNLNHFSIIYSFALWFTPIVQALVMLSYIKATLNKQMNGGTVHNLTKTSVSQPQ >PPA02837 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:126526:127030:-1 gene:PPA02837 transcript:PPA02837 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKKHGDDLIVTPFAQLLASLRNVRSNLISISNLPADDSGKHASRQSKRPPIHSVALPENVMTCAHETLGIIRI >PPA02822 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:47214:48263:-1 gene:PPA02822 transcript:PPA02822 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFALSSILSLAQCNIIKEIEEYQSLDDPYDFSSPYELIDMGIDQLCFTYPSLLPSSNEELITFKKEFMAFLAEKPEVQDEILHGFPSDYRELWTRMKELRRICTQEFEHLSEEEQRIIESPTGLMLHKMGVQSALSVIRFRKAEFKKRNLTKEEIQKEIADRQLAIFKMWYEEDVDTVKDEL >PPA02838 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:167758:168772:-1 gene:PPA02838 transcript:PPA02838 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRLLSLLALSCTIAAAADSAAGGIPNLSGNVGLTGAGYGDAYGGKVSDGVYGAGGRVGGNLGLTGLLGLGRKKRQDFGFVPFSSLNIAASKPAEPTAAPGGRRKRQATANANATATANGGNASAVASAVANAYGKRATTAAPGRKRRQIFGAMPIVPVNAPGGARPILSSPVPVATAAPTPTTGKPN >PPA02831 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:84206:85104:-1 gene:PPA02831 transcript:PPA02831 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLILLCFIVFCTEAAKDRIQKEEMSLLPKFTISQIIGENFRQKYPDIFDKDDLTAFKKELLEFFILTPEDMDKVFAGTHPRFPVMPVKFAALEPVIEKQFDELDQEDKEYFLRKEMYLKHILIVEPSVSFIREKKVDYERRGLSEKEIREEIAENSARLHTRWYGAKDEL >PPA02861 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:263373:265925:1 gene:PPA02861 transcript:PPA02861 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFDMNNDFQLAGVILFPLALIGLSCNLTVVAFLNMMPSLKNSFGSLTLSQAIVDSIHQLFFAFYFAPTIYFRNNWMYAASEHFGYAILTAYQICCLSHVSISVNRFTAVYFPLSYQKIFSKSNTRKLIVGYWILGIGIMTHFLKFVDCALYLPAGTWIFTFKSTPSCYTVMWYGDFTLNASSVVIVAVLDVAAILKLHCYNTRHTDAVSAQRRSRQKNLAYQAALQGILFITELVTYFLLSGYAQNKWQAYALTSISWCLVNGMDGLIVLVCNRDFRKQIVKFVACEILCARSTAGHSESGRLTLALLR >PPA02848 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:211927:212286:-1 gene:PPA02848 transcript:PPA02848 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAPAANADSEYIKLKVVGQDSNEVHFRVKNGTSMGKLKKSYADRTGVNVNALRFLFDGRRIQDTDTPKSLEMEEDDTIEVYQEQVGGCQ >PPA02868 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig112:319546:322349:1 gene:PPA02868 transcript:PPA02868 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLLLLIFPLLISSQECGSHFNDDGNPLARILDDPTDLSMQENRLIGGVETHPHAWPWTVQVVYMGKHRCGGALIGRSFVVTAAHCFARSRLPERYTVYTGGHTSLSGQPHEVVNITVHPFFNAFWASSFDVAVMKIAPEVTLGERVQPICLPLLPPPDNKICVVAGWGLIHENGTKSTILREIHVPIVPLHVCNNLNHYAGRVHTPTMVCAGYSQGRIDSCQGDSGGPLMCERAGKWELQGVVSWGIGCGRVGNPGVYARILPALGFLHSTMFFLR >PPA02877 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:390572:390904:-1 gene:PPA02877 transcript:PPA02877 gene_biotype:protein_coding transcript_biotype:protein_coding MVATEGSSSSEKNYYEVLGLSKGATSEEIKKDGGIIEGRMKGSADDGDG >PPA02854 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:223495:224753:1 gene:PPA02854 transcript:PPA02854 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNIARPFARVATRSASVPVKPNTPQHLKRPAAAMMNDHIFDALRSPNCIFSESKRNPNLKKTGNDPTQASAAHESHSSAFDGYDEEPHPGMIARIRKTAEQGNNMGKSKASEGLSLYYLSKLCSVSSFVVVTQRGEPLPIVTAHMKFSTIEDAIAFCEENNWAYELDLINQDKY >PPA02824 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:61054:64765:1 gene:PPA02824 transcript:PPA02824 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHPYFSVVLFFCIIQGASSIVYSCEEIGFRVQYRTGNGRVTFVSPHSGMKISVNNIAADLTVYTGAGISNEMLYAYKTWTEAATANVHGNLYLDPTYHGTINFTVKELPTDITPIPGIRTTEAPDVKSIDNYCNCAITDGWFDNDWDPANIWVDVIIILDTSKSMGAMKFAKAKSVISSFVGIMSTDVTVEFYSRIGVIAVSDTVEVIYNLNMTSSDDLDNIQQHKIDKIDVGAAFQAALKMFADGTKMTSYRENARQIIYYLTNSAPGANMNGVDDFKTGGGIIIVNDYILEGEVADPGLQKLASDNFFFTDLSENYINSLGVFCEANCFCSPDLHPFNDEDNSPRTQANRGCFHPVNNGIPQQKARETCQKEGAALVSIHDAQKEFFVNGVVSIFGPKKKFWLGYQNDGTQWIWDDKSTDPYTDWDNKQPNTNGGKNMCAYAQQGTGFNTPWTAANCGMGGVVYVCESAPCAAGNKKC >PPA02847 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:210776:211228:1 gene:PPA02847 transcript:PPA02847 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAPADGATDYIKLKVVGQDSNEVHFRVKNGTSMAKLKKSYADRTGVNVTSLRFLFDGRRIQDTDTPKSLEMEEDDTIEVYQEQLGGVAH >PPA02856 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:232324:232785:1 gene:PPA02856 transcript:PPA02856 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDVRVWGLTFFCGWACDIMRGFRRNAGERGFYNVAVDGLTTAQLDNFLATIKRQCSKNVNLCDIAHSLDLIPHKNSQEIIQDLA >PPA02899 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig112:546670:548455:-1 gene:PPA02899 transcript:PPA02899 gene_biotype:protein_coding transcript_biotype:protein_coding MVWYCTPDSEVKEEKDGRYDAMTKRLGLVIASPEAKERLKERLHGILVDYSMLKRVGDSDLFYACRVVDSRRFARHFVKEVPPPPIIITPQMRRAMKEEERRREKMEQEEKVKAIKKDVMRSLGYREDASQLIKAREEEEEALEEMEREEKKERRRVRVKRPKPPLVPAFPVEKKEGESEEKVVMSKAEELKKKIEEKKMEEAKKKKEEEERREAEEREKEDEEDDSEAADIIVPLPEPLDPEAERLRQLQELHEPQIPMGRRRIVGE >PPA02851 pep:known supercontig:P_pacificus-5.0:Ppa_Contig112:217943:219000:1 gene:PPA02851 transcript:PPA02851 gene_biotype:protein_coding transcript_biotype:protein_coding MLRILACATCRVVTRAFRTSAKPPEHGELKKTLSASVMRKSAGIRTSINKELGSTPSSAKIQELLDRPLTEPSRIVDESHHGALGGYAEEYPPSAHIARIYQQQGWNRTAWKIDLANEKSWENNLLGPSYGDTLLCNVSMHMKFKTKEDAIAFCEENNWTFEVEMLNKP >PPA02889 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig112:444356:445064:-1 gene:PPA02889 transcript:PPA02889 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMAALFSLTLMDHVEVSGHSIRAVIADMHSTAICFSIVLLSLGLASHARARDLLKMHRELPRHALGAVTHRLRERAGIMPDRD >PPA02902 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1121:589:974:-1 gene:PPA02902 transcript:PPA02902 gene_biotype:protein_coding transcript_biotype:protein_coding MLVELEVITLVAEQNMDFLEIHEGPVGSTLLANLTGTILSPVKFTTAKSNVLRVNWKPNGSGEGRGYRIHYNEVAP >PPA02904 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1124:1634:2256:1 gene:PPA02904 transcript:PPA02904 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRASSTTSSENGSDERSGRMAEAGLLSKMTHSYGPATTRRTRDGLEVGQPDNYRLTSNESNLSESPSARHSIDRGDTRPPSPNWPKSRLFVVPGRVASCERPSHPDSPFIDRHCSSVRVLQTAIRLVVSGMDVLGNNIVSLLAKW >PPA02906 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1128:1432:2233:-1 gene:PPA02906 transcript:PPA02906 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRTVTLPNMPMGDMDGGMRLLLTKVVMSNRQYVRASSFVCAAAQTSSACPCAPIPKMTQSYVNCTSTPTFGAVPCAKTFWWNDGNGAGLGPGTTMHLTCSVNSSKYGPPSLLFTHSLDR >PPA02963 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:472091:474113:1 gene:PPA02963 transcript:PPA02963 gene_biotype:protein_coding transcript_biotype:protein_coding MSASALGDVLIANPVGCIAFSYEDYGYREVAKPGVPNYVFAYVSMPVKVGCATIPLVIYCMILISARTYAKQFKQQSPEWRRRRKKELRFAAQFASIALVYTFSSFSFNLLMNRKGAASTNAYSSSDMDHESNLLRIKKRILTMVKETRMRLFL >PPA02933 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:191290:192414:-1 gene:PPA02933 transcript:PPA02933 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYANKYSQMAEKLRSNGYSPPPVIGEFDSDYDECKTNGDFYYGKLKKPKNGGITENGTLNPPKVNPAHFMYEAIRYSRRALAVDQMSRIMFPLTFSIFNAFYWLYYLNRSEQDTVFAA >PPA02970 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:489607:490816:1 gene:PPA02970 transcript:PPA02970 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEAGRVRGVGPNVRKESLPSSPFNPFGGSVVKTTRVKSITCSSFESKQRINAAIERNLEGSFSIICAECAFSYLAHTIQVLYPSQVGGACGLYEYGVFFMPIAISIVSKYL >PPA02916 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:74222:75252:1 gene:PPA02916 transcript:PPA02916 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSNPLRPAILKLFRAGIPRHDIVLRLAIPKRTVYDAIARYLELGSEEDRKGRGRPATVSTPRNRERIRKRIGRNRKQSMRAMAKNLHISNTSVRRLIKSQLTLRPYKYLKLHGLNDRQIKLRRDRCRLLLMRCARAEHFSTVFSDEKIFTIEGKMNSQNDRILAHDPEEAYKSGGFIGQAIPFCATGKTPLVFVTPGVKVNKEFYVKHILQDALLPWARSHFGQSHWTYQQDSAPSHKAKKTQDWLNAHVPDYIPTSEWPPNSPDLNPLDFSVWGVLQAKVSTTKYKNRDTLKAALLKAWAELDTNYLRELATAYERRLKACVKAGGGHIEIR >PPA02971 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:491570:492749:1 gene:PPA02971 transcript:PPA02971 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTTIVLASVAALGYSSPFREQRSISDFCDRHASLYERYCAGRLSDFDASSRSKLERFCAEFEDSCSTPNEYQANLVVPPPLPKSSSINFDLPIPAKKTKVVPGKFAHGLTPELVATCTPECTEPHCTTACKCANTHPKVHGMCNPPATADLNHE >PPA02935 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:199635:200624:-1 gene:PPA02935 transcript:PPA02935 gene_biotype:protein_coding transcript_biotype:protein_coding MIERTLFLVVSAILLRTSLQTLDTEYPIGSHPIYRELNNKPMPKQRPLQVNFGFYIESLGNFRSTEMVRS >PPA02907 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:7889:15401:-1 gene:PPA02907 transcript:PPA02907 gene_biotype:protein_coding transcript_biotype:protein_coding MAATWSGFSLPSNKGNHKIAAYRICGDPDSIVSYRFKEKVDGDEVPIRNRKAKYVIARTHELRQWLHSIERNEKHAASTAAVAALMQSRNQDMLDRLQSCPGETAMRRKEVERCAAEAARLAQCAAAWTGMDERHIANWQDSLRRLSSPSKSSDTRSALEMDQKWAATMLKRVQDRLADLKTDANYRVKKEEGIGHVIRGIIALETTLHECVRKMRETAGVVSAVADQARDSISSYMQNTAGLDEAQIRKNLTNSVSAVSMLRANVEKQAELARKMEELRVRFRAQGVVCATLKSKQATAANGLPPRPVQQPTVINCNQTLESEDMDTITPARSGFH >PPA02910 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:43162:55116:1 gene:PPA02910 transcript:PPA02910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mtm-6 MSLRRRSSGASASDASRDAPAPAGGAPPAYTEDVRVAGALLADKLRTLYEEVMGEEKVFADPRIVDVVDKVQLVDGRGADANIVGTIHLTTSHLIFKGESEQKEIWVANNLIHSVERGPLSVAGCPLTIKCKHFQVLHLLIRRDKECGDLFESIRRCSQPLNIEELLAFDHRDGGEDAKGWSRLEWSIEFQRQGIDDGWTESDVNGDYAVCDTYPERLWVPAAASKQILLGSAAFRSKGRLPALTYHHRLSKASLCRCAQPLSGFSARCLEDERLMELIAKTNEAGDQLLLVDTRPMVNAMVNKVQGKGFEDERNYSGMRFQFFDIENIHVMRTSQTKLVEAQSRARSTSDYLRGLEASGWLKHLRAIMECAHYIASAIKNGTSCVVHCSDGWDRTSQTVALAQLLLDPFYRTIHGFEILIEKDWLAFGHKFDDRCAHTAAQNEEAAKEVSPVFSQWLDTVHRQFPRAFQFNARFLVHMHEYVYACQHGTFLGNCEKDRKDLAVARRTKSLWTHFDARAEDYTNPFYEPTLYTDLLALSTRAANIEVFAQLYSRWEDGILPRESLEDTMAQSLDHIAVLQTTIAAMEARVAELREMTGRGSVGSGSCVSSMSTAGTAGAPTTPMTSSTLSVAGRSGLSRQETAESGVYDASLTSSEDSILAGTVVRWQSLRDVDECSARGCTVEFATRAEKRLHCHRCGKIFCRRCLKTGEKERLCRQCGDHSPQLCALYA >PPA02932 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:175415:178387:-1 gene:PPA02932 transcript:PPA02932 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRNEGEQQEASTSNYESTESIGGSSTATLEIMDSSSGVSSNSSTLSMEGCYDNPVLSIDEEVSARGVRLSLSACSSSANSLPSTSRSLDLNQNDLPRAERGPKRFTRIPWIMAIASNTDSDPMMSPLDLEKRPSCVPAYIVIFALLFILLAIGGITTLLDVRPAFFGLPANSTHSPALAHDPVLAPYTAVLLQYMPEG >PPA02974 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:500919:501589:-1 gene:PPA02974 transcript:PPA02974 gene_biotype:protein_coding transcript_biotype:protein_coding MWMQAFLLLSPNQSSDPDLRLEQAMGLPRSRRGRPKLRSAIVVAAIIVAIIVAASLVVASLAAANPVAAVSPVAVSNAVANPVAVSNVAANHAAVSNAVAVNPAVVNNVVAANHVAAATTVAATTAAAASPAAAVANPGPAAASIPDVVATTAAAAVSPAAAANHAAAAASLAVANAATAAAAAKGKDVLTRQPC >PPA02949 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:354875:358859:-1 gene:PPA02949 transcript:PPA02949 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLPLVDLPGGTHQETAMNRKVAVHRSTEADMVGPPAKRPAELVVSTNPPQSISPPLVSPFNQKARMALTGFMLFVRKERNTISEKYPRATQEQLTSIYQTHWNSLDKMVQATLNAQAHELNLRLGNVSPHSPPEMVKENEGDLSRIIQVAHATPPHQPQPQMKWVGIFDGPEAGALEGNDRSITLAIPSDVGKDR >PPA02925 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig113:106074:107904:-1 gene:PPA02925 transcript:PPA02925 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRLLTAGLLQQLRAALPPLDVLKKLADAAKTRMDEMPEGEQFAASVASISALPLRLDLMIFKARFTEILDEIKPGLSSVTEACDDVMKSKGLRTFLEMLLMCGNYMHSSVKNFEGTIESRWW >PPA02911 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig113:60917:61592:1 gene:PPA02911 transcript:PPA02911 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGDGKHRAKAFEQFTLLAGEDDVIPVAELNQKLKKQRSTLEMTDAQIEEFIKKADKNGDAMIDFREFESLYMVSPL >PPA02967 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig113:485508:485814:1 gene:PPA02967 transcript:PPA02967 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTECTVGTCVRAAPADFLGCCSAPTTTTAPAASTTTVESGSKFPPELSPARVRFDKRAQDLIRNAISKPRQHHPVSIL >PPA02948 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:350159:352097:-1 gene:PPA02948 transcript:PPA02948 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATVERGVLGTPVGGNVTVTRGVRPGQPLQQQQQGAAGTGMSAAAALEFYYLSLCQPAFPEAVALTPPID >PPA02915 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:71238:73630:-1 gene:PPA02915 transcript:PPA02915 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQPGGPPIRMRQGTVDNYSNSPTVTNRVPSGEQWMDMPVVPAGYGCPPGLEYLLPCGGAHVRQKTDIIEMLTDIDTPNKYAIYNDQGKFMYYAAEQQNAAEFMMAQYLGDNRGFYFICTDGMGRPAFAVSRSSKVWTNCEMMVEAPPGIPAGFALYNSSLCSRGVVTICDAEKKMIERTQVVSGSGPIGSIVRKYPGFMKQAFTNCDNFALNCACVPVDLDVRIKAVLLGCAIMIDFIDFEDKRDGRAERPAAATTCSLISDVTSPRTLSTGASELIYLPFPPGLITIKEGHFIPGADLSEYVNHFRIIYSQELKVLLEGKEEAPEKNYLKW >PPA02975 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:502129:503136:-1 gene:PPA02975 transcript:PPA02975 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSAMAALIVSTVLLGLASHAAAQCSTTENANCGSWVRNGFCNNMGYSLSQRQSYCGVSCGLCTPGGVPIVPGGCTADANANCAKWAAEKEFCTKAKFASKKMMYCCKTCAGAGAAAPGSTGSTTTAASTTTTTVP >PPA02959 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig113:448563:448916:1 gene:PPA02959 transcript:PPA02959 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGNSGTMMVVLSNGNSLEEKLDELKEFALQLKKSIGKLNNTKCTEPLPRIPHARFLIEASPLTLRLVTDSHLQDEIEKNAMVLHCEGGETQGSWKIIITP >PPA02929 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:146696:148215:-1 gene:PPA02929 transcript:PPA02929 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRKQLASDSAVPPYGVPRTVLVTKSSSSVSGGGLKLDSRPSDATSSTTTSSKSTSPSSRRSTGSEDSGRGSRKDENPYADLTSEDYFSSKAGGAPAPPRMKPPPPPPEAEPYYAFRRRVTPSKKENPYGEYGCADYGKAKKKEEESPYGEYGSAEYGKAKKKIKEESPYGIYEGFKRRVRKYREEYKDDDDPYAMFLRGEEEVKKETRTEQLKETIKSINTLN >PPA02937 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig113:231715:232080:1 gene:PPA02937 transcript:PPA02937 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQLSVNDASFGARLRRQEWGRSNLPAQDLTMCKRLLLKLDTIACANGINEQRLFGSIDTYAGLSRCNDRFGSKRNCENIQGNSKI >PPA02922 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:93894:96480:1 gene:PPA02922 transcript:PPA02922 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTVEKSYETTDKLIGYTSKISTTTFTALQQELQDRTLASTGDDAGMIGAPEVLEMGKAMIHLTRTKKVLDIGTFTGASALAWAIDLPEDGQVISMDVSHGSLNKVGLPILEKAPELRAKIDFRLGSAVDTLQSLIDSGASGSFGFAFIDADKANYSRYYEQCLELLAPGGVIMVDNALWHGSVIEPKEPSGVAIDAANRLAAADSRVYNTLLNVGDGVHLIVKKH >PPA02934 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:194930:197852:-1 gene:PPA02934 transcript:PPA02934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lgc-42 MYLYMSWQDETYKHNQSDYILISDKAILDKMWLPGLYFANARTAYFHDVTVHNFNLFIAPDGTIAYGTRVTLNVACNLFLQDYPLDRQICGIKVLSYAHVKEEMNVTWFSDGPIRFNPAINLPEFHITALESGDFSCLIGMLKLQRAIGFHLVQSYIPTGLIVAISWVSFWIDRRAVPARVSLSFTTLLTLSTQGNGIRYALPPVSYAKAIDYFYGVCMLFIFGVLLEFALKPEEVPYWS >PPA02962 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:465190:469958:-1 gene:PPA02962 transcript:PPA02962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:H3DZV8] MACRGLLFGAVIAAALISVAASAKDMEYYDDDDSEKLERYPLMRVDFEGVKMPMLIALWLVTAALAKLVFHHIPHLAEMFPDSSLLIMFGLIVGIILNLLNVDKSEFYLNNEVFMLYLLPPLVFDAGYFMPARQFFDNLGSILTFAMIGTTFNIVAIAMSLYAVSLTGLFSVELDVVQLLLFGSVAGDVDPVAVIVIFEELGCFYNIFNAFVEIGPENIIAEDVVNGFFSYFLVCFGGVAIGLVFAAISAFLTKFTRHLDILNPVFVLVIPYIAFLVAEMLTLSSIMAIVFCGAAMKMYVKENMPDKSASAISYFIKVLSLASETVIFVFLGLSTVSSDHHWDTSFIVLTIVFCLIYRTLGVVVLCHFLNKFRLKKYTKVDQFILAYGGLRGAIAYGLAVALPDIPAKPMFITCTIIIIYFTVFLQGMTLKPIAQFLQVEKKSEHDNNMIEYIYQARSNRHYHGWDGGYRRAEGTQLDQRFVCFQNFNTKYIKRLLVNKEALRNMDNTKIVRMYRRLQLQDAHDLVQGTGDFSKNQVFVTALLEHTRSRSNTLAQHSSSIDSDSPYVINVKHVMEQHGIMDYDEMARHRGRTRSDPTTENRVQIVLPNGTHIV >PPA02945 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig113:316586:317732:1 gene:PPA02945 transcript:PPA02945 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSFCNNSYGTLLHLVFLSHRELLVFSTTLTRMESLNAESVEDGRTTRDEQKRKEDEGPPSKSQNLIWDVKACRDH >PPA02965 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig113:479678:481058:-1 gene:PPA02965 transcript:PPA02965 gene_biotype:protein_coding transcript_biotype:protein_coding MYAPKRHHKEKRMMRKLRKKRMRVERGRCQECGAGVDQSLIKIKRCGSARIVRYDCLNPECNASVKWESQEKVGSERSRVYSANHSIPIAAFITGTPLPRLCDFAQVLELEIPSDRQMRKTIREIGSIATERVFDGWQEISRELAVNVAGDKGLQVSIDGQYDSPGHTSTNGKVTVIDCETKLALAGVAKSKNDPGIDGVSCRIESEGAMEAIIELVDRNINIRTIVGDQNGMVNKRLREDPKTASIERVFDFWHVQKPMRKEWWKIVKVNPELTPIYQQFFNHLYYVHNKYTERKDRPYALELVRSFLMHIQGKHKWEKNDEFQLVTQCEHGRLREKDNVETATLNVR >PPA02927 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:119773:128463:-1 gene:PPA02927 transcript:PPA02927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cyk-1 MVPSMHLASPKGAVPTRGASATPAYDSDADDLLHALSTPHYEEMVEARQLRAEILKLISGIAMVNKKMVEKYEIQMTGAQRLLEDFSAVGRKLGKNRFRPIIHAFARSKTDYESLYRLTVIVNMMLESQDHMDLTDEQAWQARVHIRNELMRDGLASHVEYMNKLLSSPKREEESPDNQEKLNSMERALDAFVSLKDDDFKELIGRFENLKGEYETLDGCYEILRSTTVATVCENPLISVFQHLMMVTDDVNTRSAYFRLIESCVSEIVLHRSGVDPDFNSRFHFETNVADMIETLENSEASKRLEAAVQAKHEAAAMSMTYWAKLKEFEEETKKLRLHIADPTKAPLPFATTCSLKPPSEGGAGPSTSGAAPVTGGPPPPPPPPGGLPPVTVTARPRTAVQSW >PPA02930 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig113:149301:149795:-1 gene:PPA02930 transcript:PPA02930 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEESLEWCKVDMEYLDKMISVRERRNSIGKSGGIDNYEAEREERRLRDIADRIRYNLERIQLAWRDCASESRVPEKLV >PPA02978 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:510742:512184:1 gene:PPA02978 transcript:PPA02978 gene_biotype:protein_coding transcript_biotype:protein_coding MIAHLVAIFALSAVGFGQDCGGNPALACMDNTECAVGTCFKANPADFLGCCRARPATNCVDLLNPQTGVSDCPARSYLCGDAVYLMRQQCPRTCNYCTAPAPNPPSTACVDLINPNTGVSDCPGLRPYCSNAVYLTLMRQQCRRTCGFC >PPA02944 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:310944:311940:-1 gene:PPA02944 transcript:PPA02944 gene_biotype:protein_coding transcript_biotype:protein_coding MHQINSVLSPNLLILPVLISLHSIDREDTCLPSPDCPQWPFPSMFPGRAPAMGKTASTSLSQAQPARLGPFIDSVPALRVRLAKIRLDVTGMGERGNYIGKPWIEWDATALLFSFTSFRSVQEALLPSPFLFRLRAVWSSTEVDRGG >PPA02942 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:275957:280905:1 gene:PPA02942 transcript:PPA02942 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQAEHLVAARVDPLLPRQRLRGLENRRLTNLPWRRKLSLLFDIRNAVVTVLPQRYLRKTLVLHTDILKTLNHCGLLFVPHSLGTDENLTLSISRALSLALTGERAWEMERVLLPSFQHISDDYIVLELARECEGVVLSVDQYRDQCRLHPGIARVARDQRVAPAFHERSELLEEEEWFHRTRDGRHWLAYHTLAFADRRTAAGEEEWRGTVLLLMIQVGDTRRVAQADGRGFSKLGMEESEVESRPPVASARMENTKNEYGGVRQILLDARAPHSRAGGGAAEPMEWRDEGEEDGAGRQRDSAADTTAARLQGRTFELVTEVNWWSWRFEGRQRY >PPA02957 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:428320:430806:-1 gene:PPA02957 transcript:PPA02957 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMKMTLRLAHVAELFPFIYKTGTTGKVTGIYIDMWSMASRPFGYEKMSFERGKEYGGYSIDPVTGSFGGVLGLLQNASIDGIAEDFTYRSSRMDHFTSTMPIDWTVENFYEPAVASTLGSTIDSFVVFPPQLLWLFISSAVLVMIVERLLFVLRHHIRVKYVIDTSVPNTNQESEESVIRRFRNRLIFLVRDFFFLPPAFSFNIFNRERQLLPFCIYLTAMLSVGIIYSALFAGIASTTDYVSPTNIGQMVSELHSGSKVLIAISKALFKDETILEIFGSDVTSDPNHFIEVPETQYQIDMLCSSNRYFAFIKLYQRYACRPKAPLNFACSQNSQKTFHRIDTSNYPFAVGTAMGKDAYGKHLGLKFRRWFDQSGEGVTEDTSENKDQSLPNPDDPVERIDGVGCAVGCQQSILFEAEAKFKELLDEELPNMLVRS >PPA02939 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:248474:250635:-1 gene:PPA02939 transcript:PPA02939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-twk-39 MRDEVRSCCLRTTNGIRRFTAFVISHVGLCFLVAIYAAIEYPNELTFQGHIKNDTWVVVDGLYAFIRENVVIEESEVKKKAHELLKEFEQELVKAVNFEGFDENDDVRTKYQWTFSGALLYSITVFTTIGMSNEGLGVC >PPA02958 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:433299:437319:1 gene:PPA02958 transcript:PPA02958 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTAEGLAGRTFYITVGSSTVFVWHLVPSKDPSADNPDEYDRILANDTYKIVVFFHSPERDQKKFTSFCRVLMDRKEQDIQLISFDYKGFGNAAGDTTVSGAVEDTRTVYNWLRRRSPHTEIHFWAHGLGGSIAAAALEALLEDGQEVKSIVLETPYPNTTALLKSKFFVVKMYDAILPSSFFSTSLNILDLNFNMGRTMASTDVPTLVLSGEADEEATSNARDVVRTIRRHRDFIFHIEWRCRKVTRWPGQQASESELNQIIRGFHSATDIFWAP >PPA02964 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig113:475517:475921:-1 gene:PPA02964 transcript:PPA02964 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRWHAAHTSSTGALLPGSSCRLTVGRTTCAVTTGTPHSANSSIMILRSASIFRPRPQKSERVAPTRPPVQEVDELSQILATMPPEVSRKKRSDGMI >PPA02923 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig113:96886:99669:-1 gene:PPA02923 transcript:PPA02923 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFNHDSRRKSGTSVDSPGVLDELDKMMSYGMSYSRPPGRTARASGGPRTKAGRQALQRQRSRCAGDSLSSLAATAALDDLNDEAAGGSTTTVSSTMNQDENPGLGSLTGKYRIRKKGQPTVEPKSYIAKTCMSASLLADQQWPAARERTPPGTAHSQAAPDRIRKQSAGCSDSSSSSCNSGESATGGRGTHGAGRGPGCGRQPEPPSAPHPLYGRPAGAAERAVSSAAAPPLPSSAPPALPPLAWRAAGAPAPLSARGGEPPAQYRSSLPPPRQLAPTLVELHSAFQQLRPLEPTSFASPSA >PPA02926 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig113:108844:109324:1 gene:PPA02926 transcript:PPA02926 gene_biotype:protein_coding transcript_biotype:protein_coding MHISNPLFQAECDSFADPCRMAAIDNQLLPDSLTFIFSLASLIIWTFYYTIENRFDHSGTAKPSFFNFR >PPA02943 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig113:305514:308324:1 gene:PPA02943 transcript:PPA02943 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCGACNQPLGALVKNHTTFVALHDSVTSIRTNQPKPYLETRFCDMAQFFALLILGQCEAQSSLKLVIRSLDKTPHILVWLLDSYVVLASGDLVDGREAASAANCPAFPAIKMLYKVFDASTAASDPRANGEDASVGLMDVPLGCALKIVELLLQSSHTLPPACRSVGQFYVGFLNISDSI >PPA02938 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig113:238159:245871:-1 gene:PPA02938 transcript:PPA02938 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKKTQQNSYGHICPKTVLGRLMTIFYAMVGIPLMLLCLANIAESLASVFTYLYFKVCCAYCRWQKNRRRIRRAALSFRYHPNAAMNIRRAQSSRSAQRYNTVRRNASLNRQKNSRFGTAAGETKSVRSFGRYENSRFDRMDTMSLPGKRKVSQNRSPNGTMRGYSRRPHLQKSNTTLNMDHMETMLDEKRGGGERGERRRGFSQRYTVSQSPAREYKYARGILHDDGLIDVRTYPSFHEVVHAHETRPSRGRGSRRGGGGGDHNGHGPPGGGGVPNVVISRPRQRFDEKERKASSDAEKEDTSAQLSFSDEDDNFERKCRLSPSKMDKMEKERERERMEKMDKFDKFSMQLERALEMRDMQPSTSAHQGLASAAERGDRLPRKQPSLDSSISRRLRGELDIRSYRSAGSERSDELSLHSLKRGNYGRPSSEKMPVTVGIFIVFAFITGGAILFSVWENWNIFDGAYYCFITLSTIGFGDIVPGQALDEGSQEKLVVCALYLLFGMALIAMCFKLMQDDVVQKARWLGRKIGILGKPILLSQTITRHVFFFFTTTGSMTAPPSEKRQRRKDSRRRDTGNRASSIHVLSHSDRSQRRQRRDTIEDFFEEEEDDEETDVEDEEMAFLEQRRDRSDNFLIDPWEEVSFREEEDSSSESDLDDDIVLEEDEEDDPLSEEKTDPGEEKTDPVSRFRP >PPA02954 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:407768:412226:-1 gene:PPA02954 transcript:PPA02954 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYDFEKGVDESTKAPQSREPTGQEDETQTAGTQGATRNASPPAAGADIGSDSGRGKDKQSGSGKAPLSPPPPPGGPADSSRAGDAAAAAAATGDKPPPEKVPASLPRCGTSSMSRKKRQKAKKAKAAAAAAAGGTIEDTNPQETEHGGMADDAGYPGLHEARIWKHLEFFRRSNYFHRFPVEPPPEQLVADLCETYVRTIELTMEKRHRNIYFNRWLTILLCIPMLGMLADFVYNHLIKADDLFILDTWKGLAFNEWPPFIYPLIVHFVTWPLVTVPLVFTHHNFRYYLIAVNAYFGLAKFKDQSPKITPLSDIVEKAIDGVMKSERHLEDASTEATQIAGVYPYVALWAVGQSFSCCLTFFVSAKFHHFISTKMSTRNLSALRYYDKYSFESEHPAHTTEHVERYAHVGQCTKRVPLTKYQNIAETHGSRTPRTPASRSVPNSPMAATAVAETTPLL >PPA02951 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:385276:388954:1 gene:PPA02951 transcript:PPA02951 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPIREVERAWEMERVRRPKINNHFRFLVGATNALFLQRKEYFDVIVTLDEEGAGSVDVLSPELKRALSLTAADLRFADHLIKNVEATEDDGPAYEGGNEWCREQFRAYLLALGASVRCDLAPTSADFGAPFMAAWRESVNARRWLEEGRHEDLVGVMPGHPFAGNLGVYDVVLRVEHSVGGSEQARKALSALSSTGKSLSASGGKMKSNLTSWFRGVTGQASSALYAATTPTETKETSTPSATPEAK >PPA02986 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig113:537369:538260:-1 gene:PPA02986 transcript:PPA02986 gene_biotype:protein_coding transcript_biotype:protein_coding MSYALIKQNGVYTITDFTLLIGGTYTRGYTVQVRNESDELEDAIFIGMGREREMMELKESEEAAGNPKGRGRKRGAPKPLFTLHSPHRGNPTVLPEEEDNQSGVEEIDETTTRSLRPRRSTRSRDPSPHIDLSRDSSPALAVPSAPPPSSIPFDPQWLLDSIRSIVREEVKSIVREEEKEVKKRLDSIVDYINRREGRELTQRNCVEKIAQTTTYISSYRMSLNTIMWKRNWTIYLL >PPA02985 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:528623:533325:-1 gene:PPA02985 transcript:PPA02985 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVKKSVVVVALSFLNFLNGTDVYAVAGKKISKYELPSLRLLAQISDFYQIDDSQAGLLQTVYIVTYIISSPLFGYFGDRYNRKWILIAGSTTCALLTLASSFIPKELFWLFISLRAFTGVGDAAVNTIVPTLFGDMFGDKGRSYALMIFYYSLPFGWSASTVIASQLVDNDVWWQWGLRIVPPFCLATIILLIFLLEEPARTEKVVDSTKTVWTDIKRIVTVPSFLLILVAVAAMNIVTGAQGWWNPTMTQLAMNSTNEDPSIFHGESYGLIMSIIGIDNTAGGIVGTTLSVWISERWTSHGLLCLSPSRRATPLVGAIGDILAFPATMFVQHLYLIDINWNYVLGFYGSIMSFGTYPLCMNVLLRVIPPTCRATATAIYNVFGSVFGDAPSPYVIGAIADSFRDYSAPDQAGDHLACLLAAMHYSNAFMSVCSVCLFATAFGHA >PPA02952 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig113:391500:398263:1 gene:PPA02952 transcript:PPA02952 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSVARLFRASRQLSNVVNGKWTTTHYTVKDRSKDPRWAEVDMTRVTDEYDVVIVGGGPGGLSAAIRLRQLAEQAGKECRVCVVEKAAELGAHTLSGAVIETRALRDRSTPSKPRPPWCSAIECTCCTF >PPA02966 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:482713:484297:-1 gene:PPA02966 transcript:PPA02966 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPRLKKCFLCLESAPQTRRFPQSSKPEEQLEWLLRQNRDEEGFQLLLNRHRTMRPDAIAPPPLLTVPLRTSRPANLIHQSQFSFTTGSQSVNDVLSMR >PPA02936 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:226883:228692:-1 gene:PPA02936 transcript:PPA02936 gene_biotype:protein_coding transcript_biotype:protein_coding MSPISLALLSLLAIHSSQAVLFATKVVQNADEPLPQNVQMPNHIRLRRSGAVTHPECHRSVITERCALTGDFDACVRATCSECIAESTFNPFDMYCMRLTACVCTIIKDGSCYAVTAADCY >PPA02980 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:517062:517648:1 gene:PPA02980 transcript:PPA02980 gene_biotype:protein_coding transcript_biotype:protein_coding MELHTGAECDASGQFVQLWPLRTRALFEDQDRREHIHGLGELGSLSGLGKFASESWESELQVHGWEEIREIDPAYDSGIGHATQMMWANTSRIGCGMAACENNRVMVVCHYHSA >PPA02953 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:399113:407215:1 gene:PPA02953 transcript:PPA02953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-let-721 MQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAGVKGGGIFAMSLDQLTVGFRRRSTQEKIMKQNGADELFPTWAEMGAPVHQKVTVIWVMAESIAILTQTGRIPVPIVPGVPLANHGNYIVRLGHLVKWLGEQAEAAGVEIYPGYAGAEVLYHEDGSVKGVATNDVGIAKDGAPKDSFERGMELHAKCTIFAEGCRGHLSKQVMNKFDLKEHEMSYGIGIKELWQIDPAKHRPGYIEHTMGWPLTPTQYGGSFLYHIESDGMPLVSIGFVNALDYSNPYMNPYMEFQKYKTHPSIAKQLEGGKRLGYGARALNEGGYQTVPKLAFPGGCLIGCAAGLLNVAKLKGTHNAMKSGMVAAETIFPDLEANKTVTPSGYQPALDKTYVMKELKACRNIRPAFNSSLGMYGAIAYTGLFYVLGRGMEPWTLQHGKPDNEKLLPKESCKPVDYPKPDGKLTFDLLTSVSLTGTNHEENQPAHLTLKDDSVPVDVNLAKYDGPEARFCPAGVYEFVPSESGTGQRLQINAQNCIHCKTCDIKDPTQNINWVSPQGGEGPKYNGM >PPA02972 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:493629:494698:-1 gene:PPA02972 transcript:PPA02972 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVVRENVTWMIYAEATGANVFCLAHRYYGQSKLGTKTAAFFALPEREKSALAIEARLAKMKSCISRNSK >PPA02956 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:426168:427525:-1 gene:PPA02956 transcript:PPA02956 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLLLLLALSCFAHAVVSPQKGQELAIKHIPSLEPLIRLTENPRHEERPAAQGVLAAYITGSITSLDDVMKRLQSEAPSTFAAFEPLVKHFKDEFEQLKIDESRDFVTTILKRAKSAVAADLEKGRDVRPSQIALEAKKLAKEVLEGFTALSVPAQTDLKSSFSDLFLLVEQSEITMSDLQ >PPA02981 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:518316:518657:-1 gene:PPA02981 transcript:PPA02981 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLLLLLVVGALVLAGAQDDQEILLQKRFAEDGKTVLKRRCGGGGNGGGGNGGGGNGGGGNGGGNGGGAGAAFISNFRPVWEGVF >PPA02941 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:260671:267485:1 gene:PPA02941 transcript:PPA02941 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSFESDFPYDDDELPEGHQYAEAPRDWPQPRSDERTLDEDWPPARREPTPDEDDLYYSGESRDGEAYADFAERIPSGLVDEEGEEAYADLTERIPSGLVDEEGEEVDVDNLFHDPQNLRVEYVGKKRMAVVDQMVEDSFLEPDPNEPDAVPRLVVIDAANALHGWTPLFGGANLTVPRDQQRVDAAYILSLVRFFVLKGFECMVVAQHKYMLDKVVDNTFIMNTLKELGLMMIMDATYDDLMLFSVAGEFDAVILSEDRFREEQQLPGFKSGNTQRALALKVSPIYPRQKEHFLPKSEWGKVSRNGHFVVDHLLRFDGSVDVLRSEEVLLDGGARRPPARARRGEVADMGEEEGEGAPGAGHAAGGHVADHGEGAQVGARSRAEGQLRSCRELDAPVVCIV >PPA02977 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:508489:509900:1 gene:PPA02977 transcript:PPA02977 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLISTTILLALIAQAAAQCSTTQNANCANWVKNGFCNNNGYSLAQKQSYCGQSCGLCSASGQPIAPGGCTDGNANHDDQAGSSFCVFSCHSVLCANWVKNGFCTNSFYTEAQRKQYCCQSCGLGSATTAGTTTTGGSTTTAASTTTTTP >PPA02982 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:521667:521947:-1 gene:PPA02982 transcript:PPA02982 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGGAATTGGGGGGGEAYAKMGEGGHDCWQVAAMAGVGNRAQDCEQVEVHISE >PPA02950 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:361474:366592:1 gene:PPA02950 transcript:PPA02950 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-set-1 MAATLNTSTVSSAAGAVARLALQSPPSAAAAAAGAGRAAGAASAAGRAAAMGKKVLNNNTSSNKARTKGGKDKVESHKITEYFQPRRSERKTGKQIEQLPAQEETTIKMEEAISTGSCEDDLIVYSDEMKGRGVRAGRTYVKNELVVEYKGDMIDYETAKLREEEYGRDHSIGSYMYFFKYKNKHWCVDATKESPFKGRLVNHSALRPNLKTKVDEELLYDYGDRTPATIAQNPWLINSHAARKLWQSPQRFDY >PPA02969 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:488058:488375:-1 gene:PPA02969 transcript:PPA02969 gene_biotype:protein_coding transcript_biotype:protein_coding MSREEGGDPSRIPSKDANDPTRDQPEEEVGPSKDDTEEETEQKVPDDVETIAHDGNGATRLRESSEATL >PPA02931 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:154230:155737:-1 gene:PPA02931 transcript:PPA02931 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAIQGRSHPHRHSLFELDSALASPIPHSSSPISSSSDSLLSSSNSSTNSPRRRRVDKLDRRLSYNARIFRGMRTSGVKPSADDVQTCRREARDAFTDASGRYTSPG >PPA02984 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig113:524336:525737:-1 gene:PPA02984 transcript:PPA02984 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRQFCLIILFVRCSNGILLGECIGPREALERHLNRSDKIVMYNIVYGQFDGKNSHEPEIRAHEARQLACSSYAQLLPKELYRRLLLQTWAAAERDVPPSTIRSIANDVVADAARRGLLTPDTAASLTVPEGTKYDRYVEKLIPKLPDPQPLPPIAQLPWYMSAIALADRRSARIRDQTAPRNNGSSRSFKVIPVPPAQPLRGYHGSRMKLLINS >PPA02973 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:498369:499896:-1 gene:PPA02973 transcript:PPA02973 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPKPDHPTAVRSRRFFPGENQRPPPPRNPPPPRPDCAFNNGRYSPPPPQLYSQRVDLAMRMNDDAPFPHPCPPPVHNHNYFHNNNIINNHYNVNDDGRYRHNHYYDNNRNSIHPHHPHGHNNNNNNNNNNRNFNIAPNNPKGPSRHFRIGDDHHHVIIVNEHPAQAQRHMQHMQQQQGIMRNMQHPQQHMQHLQQQEQAMSGMQQQQNMQHMQQEQLQHLQQQNLLHMQEMQQMQQPHRPPPGWHPQVFNDDSHRHWPPPPNPSV >PPA02979 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig113:515622:516206:1 gene:PPA02979 transcript:PPA02979 gene_biotype:protein_coding transcript_biotype:protein_coding MILSCLVVVLLCFVMKAARWARMKREETRTSSRSSARDGRITSRHLPDVILHCLQLTCSYLLMLVFMRCDVCLCAATVAGEVIARLFFASYLPIVSLKKISFNDTQ >PPA02912 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:61682:64850:1 gene:PPA02912 transcript:PPA02912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rom-1 MASPLGRANKMQHVMRFLADGVIAKNQKLEVHSYLDAYNCFPPPIFVLCSSIFQIMIFLGYYWSDEKNEKSIMTHCAGCFIPDPDNPKRNIPGPLMFVPKLRNDVWRFLTYQFLHAGVSHLAGNIIMQCLIGIPLEIVHKVWRIGPLYTLAVISGALLQYSLDSKTSLVGASGGVYALITAHLANVFLNWAEMPFRWVRIGVVGLYLAFDIGSVVWRRTINLECDSVSHAAHLAGGITGFCFGIFILHNMVKHQWEEIVRYICVVGYVIFFIALAILVAVQDPGREAVKWLSDCTGSDQNIWAKRAQMKLDIVHGL >PPA02917 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:75498:76107:-1 gene:PPA02917 transcript:PPA02917 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFVDMFTKCDDIVTSIFRTEGYGVFFVKFRRMLSGIVFARTEIIPIVLQLQNERVQDLMKRHPGMFFKVLYRLENYALLSLKLVQEHMPETDDRSYSCNHYFWNRTVTEDDCKSNAVTEEYELSD >PPA02928 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig113:128842:134306:-1 gene:PPA02928 transcript:PPA02928 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDEEDFARPRAPPRRARPFWAEDADADADRGSMGGTMSSTSSGASRASSALGAPEAHHPPPPEIKMRQKSPTKKEPPPGRNTWGVSGSSPFARLGSERHSVSDFGERRSPKAAGENQGTIKRMELFVSKRLGKTKKRTKIEEEEPSEAESEFARRVDSMNTEQLVQAVIPAMKEMNIPSNAFQSMLSSMDDAKMRQLLKANNNRMASDKNKKTVTSFLRELEHFTTMSVQAQKKALVMLRVALGGETVQWVGEFVEPGPSSEGGMKLLAKVIATTLNALTARNECDPECRDLIGVLTEAVRSARAIVNTYKGLEMILRTDMNLHLRIIQVLALLTTRTFKQADGEEDTGYGRVGGALQTAGVAGN >PPA02955 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:413039:414677:1 gene:PPA02955 transcript:PPA02955 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDKIDRSFNWGNLYTVVSPNSRSFSFESISSVTGASTAIGISDSQTAIMNTARGNEASTTESLRPSGVRTTWPREVIIALAGEAGSSALEIQRVLGDAIDRTCGWGENAVEKNKRIAKMRADNEKNRTTGRRFSRACRVCLVADPLERVAFAACGHASCRACADCLPRDAARVACPLCGVRTYYVRLFELPKSAVVYVTGGAASKLSKIRVVRKNIARSDRDQSDGQRAVLCVALSPSTRPPSSRPSS >PPA02983 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig113:523366:523678:1 gene:PPA02983 transcript:PPA02983 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTASCQSTCGSSCVPSCQKTAPVSLCVPFCETQCVTACQRPVAPPPY >PPA02960 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:457374:458770:1 gene:PPA02960 transcript:PPA02960 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRLPPLRSSVCRRLGHFALDRNCNSRKLREILVQCIAYNPSESKQRINAAIARNLDGSFGIVCADGAFSYLAHTTQFCHHTRNNITCLVFRESKAETGEQEPLP >PPA02924 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:101331:104483:-1 gene:PPA02924 transcript:PPA02924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cyk-1 MSALPKVADTKDNENHHTLLHFIVLQMREQFPEHARFVQTDMHHVPAAARVNPDETAKAVVALKGSITKLENALKTYARQGEADRFVEVMTPFLERAAQECMVVETLHSKMLDSWTRLHKYFTFDAKKYGMEQFFSDMKTFKEQYENACRELDEEKAKAAKEKEMKEKKKREPLKPTQSGINADLTRPGVGQK >PPA02968 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig113:486421:486942:1 gene:PPA02968 transcript:PPA02968 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIEIENNRSAYCTNAVYTSLMRTQCPATCGFCTGSGTSSVVTGGTSGGTSSGSTTSCVDLKNPNTGVSDCPNMRGYCTNAVYTSLMRTQCPATCGFCTSG >PPA02921 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:91082:91656:1 gene:PPA02921 transcript:PPA02921 gene_biotype:protein_coding transcript_biotype:protein_coding MADFVKAVLEETESATQTGFRRGFSTLDNLHAIKQVAERTSEYGIPIYLAFVDFKKAFDCVEWSACWNSLWKYGAHPTLIHLLRRIYESSTTLIRVNEELVPVTVKRGVRQGDTLSPRLFNVALRSAMDTIDWEEDGIRIDGRNLSHLEYAGDVALVA >PPA02940 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:254035:256450:-1 gene:PPA02940 transcript:PPA02940 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSRGIEESHVNCLFYAKSFADLIKGQDSKTKDQHLPQVVFTDIDDMLLPIHPEHVKPGGNIDILRKIFADHPQAGSLLFEHRDTQLRLPAASPSSLAAVGFDFLRDSKAKQNCQVWRMKTRVAVKAARVDSVNMHETGIHRFGYVQTRVPCRIGHFYHLRHSFTNVADATPIELGKLATMLNDNWQSRLDSTFTSLLNETLSRSETDSFKDFDRCMGAINEEHWTLHVSRCLTPHV >PPA02913 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig113:65821:67307:1 gene:PPA02913 transcript:PPA02913 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDAARLDMFAVLDSVEFSSVEELCAMSCEMSSSNGARAEMFRLLHSMSATESVDEFCPKTLHKSMGKIVRVILTTEGELWDAYKVNFNANDIRSMLSGVVYIRVEFLPFMLEFDNSRFKRAVLVFPAMFFSIFAQVERYLFKLLKTTTDEIMSDNKAGSCNHFWWTQKIEPEMKLRYSLEEYVLSD >PPA02961 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:460507:462566:-1 gene:PPA02961 transcript:PPA02961 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDDNGQPTTLPGQRHQHHRDVQRSGCASFVNELSGVKEPDTGLAPPALWDIAADKQAMQQEQRLQVARCTKIIIAEGQDHSLTMVLTEATAEDDCELDGDHTEYNQDHE >PPA02946 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:329754:332715:1 gene:PPA02946 transcript:PPA02946 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSNHRIASLVSKASDVTRSTVQKSVCVLSRSPLFGVLKAKLQLITQAYFNEKDFSKVEVLSQMHANLAEMFDDGADLVDGQAACMDISVRALVKTFRHRVVLLFKLILLEKRW >PPA02919 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:84410:86136:1 gene:PPA02919 transcript:PPA02919 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIMEERHLGGEQFNIHDFYCEYTMDTIGRLVMGQKESLYFNNPKVPLIKQMFMRDFDLPIVHLRYAFPWITPVLRKILLNVRNHLTAGFMHLRKEIQDAVEERMRTRCEHKELNPSSTDVSDFIDLFLNAYEDEQEVGVHGEEFKLSEAKVSKSLTIDEVISQAFVFILADWMAKNDLVKKWKLQSCFSRS >PPA02914 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:67639:70762:1 gene:PPA02914 transcript:PPA02914 gene_biotype:protein_coding transcript_biotype:protein_coding MIDCSFLLLCSMEFKIPELPTSIRQQKHAVSETDRLVIGTSRSHPLYCWTNAMQAAIGPNIQEKKLFFQYRPVSIFDPTAVNHYTVLFDVEIVPMNCAAYVSHKTPDGQYVRANLHSIAVRQGLKHNCKCAGKTAKEVKNSGRNTEF >PPA02920 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig113:88136:90613:1 gene:PPA02920 transcript:PPA02920 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPAPKSFDTTANALAYTSWLLACNPSVMRKCQEEIEDVCGEEDREVGTRDGRGSNPAVMRKCQEEIEDVCGEESISYEDINNLRYLEATCKETLRFYPLGAFANSRQCMNTTRIGDLEIEKGTDIAVDTFTLHFDEAIWGEDAKEFKPEREDTKEFGPERWLEDRKVQQAAYLPFGAGPRICIGMRLAMMEEKMALAHLLRRFDFLKGTEDAELKVHGALTLTPVEVPIRIVKRQ >PPA02908 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:16632:22973:-1 gene:PPA02908 transcript:PPA02908 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQLRENLDYECLRSFSSGAFGKVHHGYLYSTGEAVVIKKGKKKDLKGEYELLKQLSSTGVGRTHVVRVFGYTDNSPHLNHGDFNIIMERAQCSLDILLQRASFRAGLPAVDIIQLVADLGIVLAFLLEKQVAHRDIKPQNILVFSGANDPAKSQFMFKLCDFGAARETIGEESECHTIVGTVPFLNPEILLELREHPQQYRTNQPYRAEKCDLWSLGVTIYNAATARLPWPGTGAHPDDVRALHENRRGAIAALPLAHGPGYKYYDTIRADSYPRWLRHALSSLIRSQFTNASYKTFLAQAAYIAGVGGAKEMPAGRRGTQKRLVLLPSLTPSMHAEVDERVFPSVDYDSLVEKWRVDPAAWAVRAAADDAHGHPDGRRDYGIWAGPTNYKIHNMVYEIGQPIALDSFADTTALVWNDQSTGFGPEKGTDI >PPA02947 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:344014:345187:1 gene:PPA02947 transcript:PPA02947 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRIGMANCSEDPGGGERLCEKIAIHPMQEVLEKQWEEFTQPESGAYAELKGESTKTRIQKEDIINLQHMGFFICDHAYTAASDFVGVSFSSPSRTDPRSRPSCCLQEEKKPVQPKKEKRKPKA >PPA02976 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:504156:504992:-1 gene:PPA02976 transcript:PPA02976 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDPWYREQGRFDRNCNSRELREILVQCIAYSPSESKQRINAAIARRLDGSFGIVCTGGAFSYLARFISYLAHTTQDKKGIP >PPA02909 pep:known supercontig:P_pacificus-5.0:Ppa_Contig113:23703:30843:-1 gene:PPA02909 transcript:PPA02909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ads-1 MANPIQQHNVPTSYRDNKLKWNGWGYKDSYFELTKNNNVKFAGDKYEICGSTMSHIRPWLEKNLGGDVNWKVPGQNRADCKVPTALVNQPFIDALRAVGLSYSNAPDHRLVRAHGQTMHDMMMLRYGSFKRVPDLVVWPRSEHDVTRIVDLANAHDVVIIPIGGGTSVSHGVECPADEPRVICSLDMALMDKIVWIDRANLLCRAEAGIVGSVLEKALNDEGYTCGHEPDSIEFSTLGGWVSTRASGMKKNKYGNIEDLLVHVNFVTSRGLIQKQCQVPRISCGPDLHQVILGSEGTMGVITENINNHRLWHPKDYRNLQVTIKIFPLPEVKRYGSLVFPDIDHGVSFFREVAAKRCQPASLRLVDNQQFVMGQSMKLDDQSIWEKFKSSLSKVYLTSWKGFKIDEMVAVTCVFEGAADEVDFQERTLYEIAGRHKGIVGGEANGRYGYRLTFAIAYLRDLAMDFGVLGESFETSVPWDKVLNLCRNVKNLIAKEGAKHGVQFGMLNSCRVTQVYDSGAAVYFYFGFNSRGLKDPMHVYEAIESAARDEIIACGGSISHHHGIGKLRKAWVDTTVGPIAISVMGAIKNELDPKNIFANNNIIDAPSSKL >PPA02918 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig113:81947:83381:1 gene:PPA02918 transcript:PPA02918 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVPPASLIFGNSFEMFRKNEPRILKFRDWTKKYGKTYGIKEGATNLIVTSDLEIVNEVFVKQFDNFYSRKASGAILGPNVDTEPRIHLFLARGARWKRLRNISAPSFSIASLKKIRPIVEVSIFHIF >PPA02989 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1138:153:1123:-1 gene:PPA02989 transcript:PPA02989 gene_biotype:protein_coding transcript_biotype:protein_coding THYEVTTSIVYDISGPRKLVPHSPFPSSPPLERGNRKRLDVGRHKIRPEKISMYDFALGTFKRVLEEVQQGVDIVLPESFKEFTEAPKNPRPKGWALRSTRYDPVARKLFDDLFEQYFSNGKKLRPDEAEKRMRERNDILPAQRMTFDQIRNRITTLLSQKKEHQRKEHGNRQRRYVKLIDDFERDLAEEGMSLDDIEEEVDLERALDEDDLIITSDEIYDL >PPA03054 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig114:381855:382162:-1 gene:PPA03054 transcript:PPA03054 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDTLRLVGTIAEDLEKDRFMPYFPAIEGAVCSILTLIDASSEHFERLCEAAETIWEVINDEGELYRVLDALDRFE >PPA03040 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:277652:282625:-1 gene:PPA03040 transcript:PPA03040 gene_biotype:protein_coding transcript_biotype:protein_coding MNFHCGMYSWNAISRRNHPFSFLPLILAMASLRVWLALLFCALLPPSQLADPAATAADAAKTDANTGGTKPDDGTLAATPKDAATADPKTPPGGVEPDGTPAASPTGTEQTDPKTEAGDRPATINPPPSPETSSSKQTTTSSTSTTTTTTLRAPPKPQPTAACPEPNWTEWNNTEISAYVNCKKAEKGEMENVLKCAYNPASDADNEAAVREKAMQYGRELSVCFLSNDGKRGKKWVYREELAKIMDFPLVCKTEECAGENVLGHHEYRCFWDNCNDKLEAGALSEVCRRKLVNDGVMDSRAPITCEERRRPQNQLIGYWN >PPA03028 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig114:220244:221998:1 gene:PPA03028 transcript:PPA03028 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWISVPRSYFALSTVIDLTPRSPNVPRGAAFTVSPEVLRAEKERELCRQALAIDVKYCKRELHQLGLNLLPVRNPTSSTMVELLRLLDFIWEAPYGHFPVAKRVAVGVLADMMHLGPHASTHDFVQDTLLPSFHESRINPSNLVTILASLAFHLNTIPGVHKTSVIALDYGRKAGAANTNENTVEKEAEKTPTKTSGLTPK >PPA03060 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:421203:431299:-1 gene:PPA03060 transcript:PPA03060 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSAEDPPPAASAAPAAVPSTLEVVEAWRAKCSSLAEHSSDALVPVLAKGFDALATDLRPGASHNEFLRTLGSLDLERANSLQFDGRKHTPVRALTYGLLEAVHRTLEEMSGKGWTPGWVKPELAGRPINIQLGGGREAAAAAAAAAEAAAAVCPTLSQSQTTTATAQIEDEHRLPLFASSLSDVDLVVKREEPEEDEEEERLPRSQMSRACAARSDFPLASDSFPGFGAEIMDEDDQEEEAAADLMADQIGLMRARGLPMGEPSSAGGGAMLHRTTPRPQLLRRGRALGKPRGSMGCTLCDWHAGNSLSAVIAHLKLRHGTTPAAAGVHFRCRACGHASRSNHHNRACGTPAFRVVRDEDETFVPYSARLTVGSPSPGDYIVLRMNAVLRAVVNSDFHPSSQQNVAALYAAIAEAQPSMIDNTHNLALVNMARSFAVVMEEAINHRAAQHNNNNNQPAPSLFDTNNYIAPAVQENRPTRLLGFGPPSTTPRAQSTPAPAERRSRSVVAVRSTVPAKDAKHTTATTTKFNKASDIGFSTIPVTVESKELVLIYDNLLCFTGVKGVLATRHEVSRSQSTATSTRASTTTISPRRLFL >PPA03002 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:98647:99973:1 gene:PPA03002 transcript:PPA03002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRTVKLELSVQSSFVFTPHPPPTMASTRTFLTGCMLAVIVAVLVNYADACAASNPSNSNGERVIVVAVTKDAYTTAEAAGPLVETFLTNLKKYAAKNGHSLFTAPISKTPENVNTKVDPSFAAVRFEIMGAFDKCLRILEFFQKAASTTALAMESGVESASVQCGTFDTVDIPKAS >PPA03001 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:97120:98321:1 gene:PPA03001 transcript:PPA03001 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLKRRFCAQTLIARPVTFALLFVVMASPGHSIVLFTLLLLFPDMNSFNVAVLVSLVALVGTSEACATAPPTSSTEGIEVVVVSEHEWDMVMNTAHTDTVVAEIKRLASLRQVVFFTATQVTKMPEMVGTKLGVHFEILGAFDKCLRVLEFFQHVVKTHATTAPAAPATAMDKITSATVKCGTFDTITKRNHHRFVAAAHS >PPA03029 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:222198:225343:1 gene:PPA03029 transcript:PPA03029 gene_biotype:protein_coding transcript_biotype:protein_coding MICCADISSYEFRMRNLTLDVFWIGFMPVYQHSIGVVTVILSGLVIYLMLKRTPRHGQSLARYLILMQISILIVDLGWGFLVCPVFLFPLTAILCNGILCGSETGMHAGVVVLFQCTVQVAIALCLTLHYKYTAIAKLTNHRFVTTVEKTITRLIFAVALEMPVAVMIVAGVCQQEMHAFLRKEQQLPLVALIDDSDVSLSGVAYSITLFVWIPITLAIVVVVAILISVGGCIFWVVQTLRMLSVEISVISERTRKMQQQLTYTLVMQVTHADM >PPA03045 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:323536:324088:1 gene:PPA03045 transcript:PPA03045 gene_biotype:protein_coding transcript_biotype:protein_coding MITYPLTNIEMRSFYVAPGRFDTPECRLLTSALPKRIVMCMVDAQSYLGSHCKSPFNFRHFDVKDAFIECGGRTIPSRPLNLDFEKDRYMPAYLNMLEGTGMGRSVGNNGITREMYKNGSAFFVFEISPSLDSETQYPSLACIVSFIVNMTLFLPLTIIVYPMLMR >PPA03016 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig114:169346:169635:1 gene:PPA03016 transcript:PPA03016 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVRVYSILLVVLVAYVYAQACFDLAADCSCKLALCVNPVYLKLMTKMCNLSCAICTVTG >PPA03041 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:284060:285109:1 gene:PPA03041 transcript:PPA03041 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAYEHGRDYPACFINSNKSGKTWKFTAQELDAECTRRLIADGTIDPNTIAEHEESSFMLEDAK >PPA03065 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:459042:472782:1 gene:PPA03065 transcript:PPA03065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lin-23 MEVETTALAEHVLLTLDARSLAACEGVSTEWKSKVAAGHLWRKIIEQKVRSDEMWKGLSEKKNWKKFLMCSRELSAQIISECMGLNYTLLKGTVEMTMQQHAFYRRLYPKIVADIRTIDTNWRTGTYTLKRINCRSENSKGVYCLQYDDDKIVSGLRDNTIKVWDRQTLECRKTLTGHTGSVLCLQYDEQVIISGSSDATVRVWNVVTGECLNTLIHHCEAVLHLRFHNGIMVTCSKDRSIAVWTMVSPKEINLRRVLLGHRAAVNVVDFDNTFIVSASGDRTIKVWSADSCEYIRTLSGHKRGIACLQYRDRLVVSGSSDNTIRLWNILCGACLRVLEGHEELVRCIRFDSKRIVSGAYDGKIKVWDLQAAMDPRSLPGSLCLATLNEDIDSWRLVQHTGRVFRLQFDDFQIVSSSHDDTILIWDFLDAEGAGAAAAAAAAGAAGDAGAAAPMAIAAGPAAAAQAMDDDADGLMGGDVDDGEGGDRPPAHRANGGAAGDDAGAGAAQREARAQRRQNLRALAAAGGEALLREQLAAGGGAGRARVEMALDADDLLPGGAFNRPERMQAADMRERAEARRAREREMEDEDEEEDGDAGGGDADMEESEEDEDEEGGEA >PPA03008 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig114:121349:123255:-1 gene:PPA03008 transcript:PPA03008 gene_biotype:protein_coding transcript_biotype:protein_coding MNATGQIYINNSPHDGSVTTLVFVGHRLAHPEALDRLVTAAVAGFRDEPIARQPKPQPLTPGEKKRKIAELRRWNEQSPACRYSRQCNICFTSSPTSRAVLTACGHSLCMACVLQMEVDGRLDCPYCRKASGYVKLHEEKETGEERKQVASAIASLTLATSSDNVTQSAPRPTLLNASAPEFNPAFPRWDTTTQRLQSPDPTLITPFVNAPSSTAPASFNPTIPPPIVSRTTPTVYIGNLNPSTTERELRELFSTAGEVRNIALPIDRVTGLGRGFGFCNFLNEGSAQNAVDLFNGKNGLIVRLKY >PPA03049 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:348016:354026:1 gene:PPA03049 transcript:PPA03049 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVPSVMVEHEMDNADERRRMARQSKFHNYRDNVHILAGDQRHVLNMTYDLLAQVILQTTKNTKGLHTWLDRLKDERKDTENKSNQRNSPQYTLLNDLIAALEDTVSSILEEQGVSMDEEQQSSPVVEPRQQSLHDSSSDSANSPLFDDADAADPGEIHIKQELHDDYDYGVIPDGPAGETWLNALPTPQSPARVQKKDRREWEARSEMGPKRAGGGEDEETSVMFSKRSRMDEEEPTSSLEPYRNRKTKLLGRARRCATVQNYGESDDDYAYDRAARDEDDREPGHFGCFKCGQLFDTKKAQMQHSFTAHNTVVRLPAVHTLSDAAADDADDDSDIVEAADVVERRRLQQLQAARATSVAPRSAIAAPRVPVVRFSRAATVGPAADQQAWTKNGSGDFQCAYCPRSFPSKRGIDSHGKVHQGVQCAVCHKFMKKEKLANHMVEKHKGTGKEAAHVSTPDTGAAAARKAQSTTPAARNARAGTAQPVTPATPAARKARCAQLSAAGFACDVCERVFDTEKGMRIHMTGAHTGDPRAEASSHRKDYFACPVEGCKVERNYDALRLRTMR >PPA03017 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:174117:178814:1 gene:PPA03017 transcript:PPA03017 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHLSMSLEDVPTADILDKFGSDPRNPSLHRTQKLHYENGEMYIRDNPPIVDHYPKPHSMISGCKKGELLHELDADVGGKQLHFTVYAQEKGNEGLGLDRMAQRVRLLRLFYHLDSDTLSLYEPPQDNSGCMQGRIFRSQRVPRPARVGAPFLHWSELRLGVDVDLFGTKYRLARCDNYTRRFLEGHGIAVGDEENIPEDPWLVSRRRTEAAPRPESQKSFVNQPPLLFFRLAWLDQATDFYRGRQRRLFKMTVYCGDHTVCLHEETEGFEGQLFLRRIRLPKVITHDGVLRHYRSWDFRPGIWIHVFARDMFVVGCASEETRRYIEQQFGGESADVEIDWKQLAVGPPPVDYIFTPQKITFLCEMSAASNPIFQENPPPTLAGLTFIMVFDMNKRTVDVSECSRQRKWSVGRHFLTAVETTLGENQFHVGAAISLFRWRFKLIEAEMRTAEYLKFRRGREDGR >PPA02991 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:3211:17192:-1 gene:PPA02991 transcript:PPA02991 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPTALLLLFLATTVLLPTVTSFVILPYVQIDYAYYFRLAQCQAKCTQKYGIAGKLSLNDGSTTEQWDNTSEDMQQCALGCEQPRKGVRARSLPSARIDGTKFWDQSAAAALAEKSSSSSPISRVNLLCQNVAPLEKSGFADSIEGLIGVEMAKHVGPVRLLLQWKHRQVMQGVVIDGGASITASIESSPIFKVEGMQPGTQYLFTVTAIGPNGKLGEAVSSQWFEAIHTEKTPDGAVTTRSGHSASLGVTAMLSWTRAHIDSCHYRVTVKNATHTDKRDVTIDTSPGLLLTHLEMDSEYEIAVASSDVVATPFPRPLVFLLKTYTCAQIFGRGSLQCPPEPVDELVVSVRPNGTAHIAWKPSADASQVLTYEILAQPIAPCDAPPNHIFLNAASSSAQLQLGETRPCEYAIRVTNYDLVGRDSSRETRVVMPMAGKLFSANVDGLIAAGAATFLVLFLLLTCKCCMSCRGRRSEDRKKHLVGYNRLHSDHSTPGLNPSPFYDKPDRLALSNKDSLLFPRQRKRWSTQIEEIESKSPPDNLRESRDYLFVDQDALMFAQAQRCQANRRRFVCAILAFIVTATLMSVFYLTTDEPLFDRSAPDLSEAALLAKHAPHFVQFTRRFNKQYESEDHAQVALKNYAKQMEEVERLNEGLDVPQYGENEMSDWSDEEFAKTLLPLDFYETMRSINEEEDEEFISRMPKNLQRALVESPKYDHFDWRAYNVVTPVKAQGKCGSCWAFAATATVESAWLIKNPQDTRILSEQTLLDCDLSNDACGGGDEDKAFRFIHRQGLAYLADYPYVAHRQNNCLADSFRNRTKIDVAYFLNPDEKSMIDWLTNFGPVNIGMSVIQPMRSWNSSEIFYPTKEQCKNEVIGLHAMLIVGYGTSDAGVPYWIIKNSWGQSYGTEGGFIYYRRGVNACFVEDEPVGILA >PPA03010 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:131783:134683:1 gene:PPA03010 transcript:PPA03010 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKVISLFSALQRPALFGMIHVPALPGTPNNTLTVPAILDVVRKEAEIYHNAGVDGVIVENMHDLPYVRADRIGPEIVSAMSMACSTVASVCRHGKKESVLGVQILAGANREAMAVAHATGFDFIRAEGFVFSHVADEGWMDACAGDLLRYRKMIGADHVAVFTDLKKKHCAHSVTSDVSLGETAKAAEFFHADGVILTGVATGTKANEAELKELVDATSLPVLIGSGIDVWNTKEYKAAKGFIVGSSLKKNGDWKGELIGD >PPA02993 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:35327:37386:1 gene:PPA02993 transcript:PPA02993 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGVITYFHQAFQKLFIESGLQDDLMELRKKHPAYRLWLTGHSLGGSLASMTALYAAHHKLIDQSKIRLITFGEPRTGNVAFAKAIETEIDFRYRVVKRNDLVSNIPNSVDPNAILLTPAMFDKQPLFYRYLVHYDNLMAKGDSFKVCELSDDHGCRNLAGAVDINDHVTYFNVNHDEYNKARCPRNMLQ >PPA03005 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig114:109633:111500:-1 gene:PPA03005 transcript:PPA03005 gene_biotype:protein_coding transcript_biotype:protein_coding MCMDAMDPIRDRIPPRRHRVAHPEALDRLVTAAVAGFRDEPIARQPEPQPLTPGEKKKKIAELRRRDEQSPACRYSRQCNICFSSSPTSRAVLTACGHSLCMACVLQMEVDGRLDCPYCRKPGGYVKLHEEKETGEERKQVASAIASLTLAPSSDNDTQSAPRPTLLNASAPEFVPAFPRWDTTTQRLQSPDPTLITPFVNTPSSTAPASFNPTIPPPIVSRTTLTVYIGNLNPSTTERELRELFSIAGEVRNIALPIHRVTGLGRGFGFCNFLNESSAQNAVDLFNGKNGLIKEYMN >PPA03052 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig114:368042:370109:1 gene:PPA03052 transcript:PPA03052 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSRTENAQQLERLRKQALALDSPLRHVLGVTLDFMINVLKNGGGDKKGLQSALDSFNKARGAAKAGDILRSKPLHQILYGIAGGIEALGQMISEEKQRAEETKEVESPPMSSIKTEPTTEPPAPARKKRDRVALDEQWARENLPTRMDRKDRPSCYGSAIPKGLYDYPDDPSATLQQLQQLQQLQQLQRVIARLLPKTSDDSPAPPCPLTEEKDLTAAIDAPPEPTIPSPMHAIPTVPAATIDANVHSNDDCGPILTSPISPNPAVHTTSTDATRMPTVALELTTHASSISFQEATPSSTSMENVFPSATLSFETMISTTPFSTTVIVAPVVGAVETPESPALSASSAFPDTAALAAATGAAAEVEVEIVFYLGSAAAKGAAELPSRKRKHSTGRQAFVQSSDEELLLNSDDEDYDMPKWRMKRGTFGEPITPNPFYPNL >PPA03051 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:359769:367485:1 gene:PPA03051 transcript:PPA03051 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSTRSSSTAITQEGGSGTPSVVVYDDDAQTAPIRSLLDYYNDDCVTLDDSDDDEESAAAPSAAVAAASATTVLPAAAVGHAVTSAASPSPAAPAAAAVSVADAPTFAKTEPDQEVATLDDDAEDEDPGEIIVLEECELFFCNLRSEYGAAGKFKTVQCKLCDLWVQNRTIFKEHLKETHKDAVLCDVCGEVFTAGDVFEEHMKTAHEEAVQCDLCGAYVADREVFVPHLMSVHGIDPFGRHAHLRITADDAEDGQAAEGGDAAAAAAAAAPTTQQASTSAAPAAAAGGGEGENKGKKRRRHEDDTDFDGEGIKIKKKKKKTDPAPRSRRSCTLRLSFKEPEDIVDRPEDFMDGLKHQCPNCDVTHTDLSKLRKHMREVHQILPYACGTCENPIQAKFAMRKELVGHLKKEGHQEHRLPENGRKNEDAEKKTGEKMEEKKADENAALALDGPMKHVVGLSLDFMITTAKNSETNFEIYQTLFKFQNQQKSLASFTKASEIVAEGIATLREFIDGILCDFCGAYLATAKLFKAHLLEAHNIDCYAEQDEKEGQDTEVKETTVAAAAAPAADAAPLNSVSAMKEGGEGDNNGGREARCDICQDLFEERDSFKKHLKSAHVDAVQCDLCGAYVVNVDVFVDHLMDAHNIDPHAVQCDICEEYFEDREQFAEHLMEEHDIDASLVFIAEADAGVEEVGDVSGLTDAAAATPAASAAAAAAPASSELDEKEGNDGEGGKKNENEKSSEDDEQVKIDDDIQENDENTVEKGNEQEWDGHIIFHA >PPA03012 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:140985:147408:1 gene:PPA03012 transcript:PPA03012 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHAALLPLILLPSALAFPNYWRDDATREVRRTEVQDEVEPREPDFFLDNDPNGLDANEIYDSIMDNPEMERSERKFFEPVPMVNVFHSRHNPTEIGFSNVPTDIPFSPHRGRAFESIKSMKARTAAPKAPLAPASRPLLSSGFFFGGGGGGPPPLLNTTKAFFGAIEGARRPPAPPPAPPPAPLMVFTRAPAAPSEAPVGAPRRAKKLFWPVWRRNQIVWVRTPPPSSGETTTAGPFVRSTTPRPLAPQPRPAFRGVTVAPKPVHIQRNRGPTFNCRVLNPELDGKASVRNDWSCKMLFPGIPKDNACKCLYEVESRDSHGCATGYVYTSLLFHCTFISK >PPA03058 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:400438:402623:1 gene:PPA03058 transcript:PPA03058 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSSATLFRLNEFHPAGKVNYFLRDDNIVTGPLTVSDPTLASLQKSAPYRLPYTIYIVSTKVPALPVADAVEWSSRFSFNSDNYNGQEVKGITFLSADAFFTLRQFNFTSDSAFIIPSGYDLLSSGAKDSILDLNQNNAYSSYTTVFGPIATIVNNDPSKTGRFKLTYDVVTTWGAVSPGSALTLLSPNWLDLDDSYSTSWRSYGEALDRQFEYGRDTLLDFSISASSLDFGESITVAITNAKGEVNQWSYNQGTEGDIRDIVYGTSLRMTATVATPSTPSTYPRFIEPLQNC >PPA03004 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:102078:109391:1 gene:PPA03004 transcript:PPA03004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lap-1 MTDERICVIKGPTTDKLTKDNAIVFAGQCAMLRKIKFDATMSANMNGLVTETCWKSCIDALPEKGGSLPLHGNKYKFISLPSRRSRYTSRSNTHVLAKELKDTKLPENCTDLRVILVCEYSHSLALVSSVARTYPLYHRQKDFVPMNVVIEVVCMDKDLTGADVSMLTDLAASIRTTARLADTPANELTTDKYVEEAVAIGKKLGCTSLVIKGEELLKKGFGGIYHVGKAGPTPPAFVVLSHEPSGAKDTFALVGKGIVYDCGGMHIKTSNHMLGMKRDMAGSAALLGAFAALVKAKFSESLHLCLCIAENNISPAANKPDDVITLLSGRTVEITNTDAEGRLVLADGVFYARSVLKAKHIINMATLTGSQAYMTGKTHAAVMCSQEESEEKIVSAGLLSGDLVHPMIYAPDMHAIDLYSPVADMNNANWGDVQHLLPPRSAAAALFIGSNIEYGEDVDWIHVDMLAPSHCEGRSTAWGVSLVVASLGKATAVPLLQTLGQ >PPA03064 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:454754:456615:1 gene:PPA03064 transcript:PPA03064 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGRSGYSSRAADMLADLTLGDSDRELIDDERSEKFDKFLAEMGVWSEGDQVSFAKRYLVSFNDAARSEAYFAPRLDYRNESHAAGSDRPLPAPDAAAGLCRAPATQPGELALQ >PPA03042 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:286762:289725:-1 gene:PPA03042 transcript:PPA03042 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLRANRMAMLEGWRIMSFSLGGPLGNVLSRSFDLITTVVRDGTEDAMPLLREMAAMLLVACDIIEYACAFQSIGYSVESLKRERDLAMSRDGQRFDPLRNMLYGLSESLGTLMDSVLEDRRREALHQGQLQSQSHPQQPLQLLQLMPQEQHEEWTNDSSDTEDPVLRTMKAEVEDSPDVVILADQPGSSKHTQSVHPKTRPPSVPPLKIRRISRDQASSFSPVAKVDANQPARTSVVQSTTQQSAAAFPQPPANSALTDPVASAEMPARNENRWKRSCAPASSGFYTEEDEEAAAAAAQPAAQYGCHECDRLFDSKQGIKRHAFTHRAVHCPTCNKFVAKEKLGQHITEYHK >PPA03053 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:375531:378123:1 gene:PPA03053 transcript:PPA03053 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIEGWRIMAFSLGGPLGNVLSRSFDLISTVVRDGSSDAALALPVVAPKRSLATSISYSLASLKNERDLAKSMDQQRFDPLRNMLYGLSESVSTLMESIIEERGEGTALLQVQQQPLRLQPVQLQQQLLPMQQPLQLLPQQHQLDESNDASDLPTLRLVKRESEAKEQLSPDIVILEDLPCSSKQGKSTVSSNESPPAEPAQPAPIPRITIRKISEELASINPQTKRDETTQPQATPPPAKKKVTTDGKATRLKPSEPLIIRSPAFDRLIAEGAADSKEPVAKRSYDNALMLAKRAYVGKYTIFTPFPDIGSGFLMKTIIFGTRVY >PPA03000 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:94461:95944:-1 gene:PPA03000 transcript:PPA03000 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRAFDFALHRGIDARPMASDEAVILAGQMEALKTLPLPRLSDRLGYVVHMPTLLEGVSRIGEGAVMPVHFNRVQVLSIPSAHSRCNSPANAIAFKKLLTQSIRAADRHAKMLSIILITRSEHAVPHVATVASKREGLADECFSIRILC >PPA03021 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig114:192822:193747:1 gene:PPA03021 transcript:PPA03021 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMIRLLFLLGLFGCTLAAVYQYPVKNSKLFSGARVTKDGKLIINKVATVTLSDSGSYTSPDEKPPMTYHPDGSISAVGTSMINVIVE >PPA03015 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:165182:171369:-1 gene:PPA03015 transcript:PPA03015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acs-9 MEAAPLVIPSEPFHVQILNSCDRHGCAIALTDADSKRSLTFQDVKMLSNRLASEFKKIGVEKDEIVVCCLPNSLEYTLLFLGLAMNGAVLSGVPSDLKPYELTTYIQQTRARFIVACSEQAERLQGLEGVKVLLIETLLVPATSDELEGEFVSDVVIGPDSTLLAPFSSGTTGTPKCALLTHDNYSAATASLKAGLFDGLNVRKESTLAMLPFYHASGFWALLYCLMEGHHSIIVRGFSVGRMLELIEKYEISIINVVPSIVVVLARVEQAMPSLRIVLCGSAPLGKELSEALLQRHPSIEHLIQGYGMTEVVVLSHATPPSAALARGKYGSCGKLLPGFEAKIVNEVGEQVEVGETGELLLRGRAVIRSYLVQGCPLDGWLRTAGLISGSHPELSHDEKSIDVDAPVPLSGDLVRVDIDGFYFIVDRLKDVFKVHGKQVSPAEIEDLLLSHSAIAQAAVIGIPDEHAGHVPRAFIVLRGEEYSETVQEIAQFVKDRLSPHKHLKGGIRVILRKGATQSAARTVNRSL >PPA02995 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:72992:75857:1 gene:PPA02995 transcript:PPA02995 gene_biotype:protein_coding transcript_biotype:protein_coding MKESSPQHQMINYDTVNDRRADAPRGYSVDDVESLPEPPSYSLALQRMRSDGGAVVEERGRSRSRREQETSWSAAVQPRRESVRDTIIRQSLEDTLRQREFEREQREPLYLRNRSASLPRGHRRSEGEIQPLWRHQISPSQLASSVDNLHLEMDNLYVGMEGAVNYADSVGSLQRRRCLPPLPSAGDISVAQGGGYGRRPAYSRGRRCRGVTDVIARRSASVGRYPSTERVEPVQYPPPVQMAPLAPSPHHMVDVPVRAQLIALGHRGFRTVLIEKVQPGPFGFYIATGVVNGQRVAKS >PPA02990 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:827:2345:1 gene:PPA02990 transcript:PPA02990 gene_biotype:protein_coding transcript_biotype:protein_coding VSALVSLHQFTPSPPIREEARKPNPSMDRPRRCLINGNTWTSRQPASLAGTPSPRPRLGETGARARDVSVCCMSAHSPPLLHCTTMRVSTRLSRRSSWTCLHSCDGPTAI >PPA03026 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:214317:216999:-1 gene:PPA03026 transcript:PPA03026 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-otpl-4 MSPEDGKMAHRKVSVIAIRPRDEDDLVYTRLAVPPPRPSSGLRNYFQIVTNLKRDDGARDGARGNLYISRVVDPEDERKARFVGMGKRHSSDHLDTERSAEEANENRAWTRNKNGHSVNESVCVKWRGNSARNPNSLRDYFVALLTMIYALILISFSLVIELSPTWSNSNNSAETIFYGWMYGLGSIFILYTYAFMLYPKWWNFIVYRLFKRRFVRNLNKYVIAEVGHNGEGCGTLYLRLGAVLFGCLSIVLFGLEIFLCAREQDCKMHIFRKVTTKFLHKRRI >PPA03030 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:225735:226379:1 gene:PPA03030 transcript:PPA03030 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGIQVLPMFIYVLSMSLFMFNPGSMNCLLCVQLCHASFHTVFLILTTPSYRRAVLCNAIQRKDTASTSVVHTSHICADRMKSLHTRIAMETT >PPA03031 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:228167:240568:-1 gene:PPA03031 transcript:PPA03031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-slo-2 MCSMEHLMRGGDRPIDLWTSFYFVMVTLSTVGYGDVCPNTWHSQLVIVIIICIVLAVMPSQVEALIQTVIEKQQAGDDLGTFGHSEAHVVVTITHLEVEFIRDFLTEFYAHQENQHILTVLLSPSELDSTMKMLLRVPLWSQRVFYVRGTALVDEDLERARMSKAMRCFILSARHVKKKNESDQHTILRSWAVKDFAPHVPQYVQVFRPETKMHLEHAEEVICEDEFKYGLLANNCICPGLSTFLTLLIHTSRGEEGQKSSESWHKVYGFHSGNEIYDILVEESKFFGDYLGNTFTYASFHAHRAYGVGLLAIKAGTPGARIKLNPGLTHIIQPGDRLYYMALTNEESLYNFKRDLKKQQIKAATTSNIANAGYLDVPDLEGGLDKPEKKRSRNIFIKLKSKSSNKSGNGAESLLLDAAIGGEETARRPSIAAVTEMSHYSSSSEDEDEACDRCGGECIEKLVHRTTPPVKAYIGTSFTVCHMLKKKRELCCLQLDQPCSHNPSPIATQATWKNPAVILAATRTSSGMYNFIIPLRAYYRPVHELRPIVLLLELEDVAGPANAFLDAISYFPLVYWIQGKISRPEIWCGMDYEIRDQRPKTTPSSIDNLLRAGICRAEQVIVVKETAAIAEEHSADCDTIVTVQKIHRMFPRVRLITELTHSSNMRFVQFDPFNPLQQSKFEKKERKRGSNMPFMFRLPFAQGGVFSANMMDRILYQVIVKEFVVDFVRLLLGIDQTPGSGYLTSVSVDTEETCRLINSNMLQGRRKDVEDMVKHRMDLLGLKSEENEVVCVARTETTISYVIINPTTDMQLEEGDIVYVIRAPVKENVRSRKFKMANADIVYEATRLMLRLEDPDKIPSVLDCLLMMGMTYAALKFSGLKQKIRELKRHPTYGHQAEKILMDWKNDDLANGSRPIPSSSSSTGSSSGYSSSSPTGSCDSNPEVVTPPAPAAVAAVAAAAQITASPATPTKRKRKSTSSAGGPLAKQLIITEHNEPKKWADFKIPKAAGPKPWTATAILSSVLEGKSGNGEPSKDERSQRKQEAVRRAKLIAARLAMVNKHNNDEEKKRKEIPSLLTLEIDLTKMKDFVCPKCRSATVFTATAYSSTNMLLTN >PPA03035 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:255576:256421:-1 gene:PPA03035 transcript:PPA03035 gene_biotype:protein_coding transcript_biotype:protein_coding MPILQQRDGVDRLEQHQEGSLSWSERAGKGESTNDPEDLDDLVRLLVLLLVDDLGGVDDGPVVHDDAFVIISYIYARMTQS >PPA02999 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig114:92427:94365:1 gene:PPA02999 transcript:PPA02999 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTVKFTAGVALLTLLAALAFVDYAEACAPTSGNSTSGRKKRSAEEDVHVVVMSNENFELATNAANMEKVDQKLKEFADREGISFRALQNVGKTVENVGGKFGVHFEVGGAFDRCARVLQFIQAAVNSLPERYRNPTQIVSGTVKCGAFDAVHVTKKQ >PPA03036 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig114:259299:260093:1 gene:PPA03036 transcript:PPA03036 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIYFQPCPQPSAGPAWRCLTTPCPHNPSPITTQANKAIILAATRTSSGMRNFIIPLRAYYRPVHKLQPIVLLLEEEKQLPYLNDATGPASAFLDEISYFPLVYWMQAKISRTIVIQFNLIQLTLEAVIGIDVA >PPA03011 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:136525:138077:1 gene:PPA03011 transcript:PPA03011 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGDLTTADLLIPPSEGVTVAIPEHQDDYDGGFFQLTPLRATPIPHSAPRLREEHFPQKRESRGPSNDLISDIFYMTAVDSKMDDLLERMDEMKDLISRSLSIRKKTRRELLSQILTASQNERKL >PPA03038 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:268481:271097:-1 gene:PPA03038 transcript:PPA03038 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVGIKHFPQVAIKLESNLWELLDSKLIPDTRVHQRTPDPIHNEPTRHCAYSAMSRVITQIKTFHNPPSPDVARSAATREQASPEKARVTEEHLPFSPLPPPRRPNVVERPLVAPKEPVMRSVQPYDRDRDHDQDRDQDRQWARDRYDRDRDRDRKGGRVARLYDNRNMRNRKLKNKLAVQSIVEVKRDPNVEFKDEHGENVRAPCNDETLQMCSTGGAFGPEDDKANKETGCKIPESGSEQSGPDPGSNPGSDAEPKEDTYKGKERDRKKKKRSDQKKKNRSMDKRKKTSRKNRTTSSSESEEEEDRRRKRSDRWIHGGLMSAYGHLLLELPQSYCTLITIAETGAGTAIGEATDRGRMKNNLTPSRN >PPA03034 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:247547:248310:-1 gene:PPA03034 transcript:PPA03034 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFLGNSRTCSVYKLSKPDKPYNMEDFSDLDDDERRPINGESSGLIYSNQSLLTAPGYDVTPLESRKTSAQSLNLQGVGTGAPRSLSNVFFAEAPATCAG >PPA03056 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig114:388953:391152:1 gene:PPA03056 transcript:PPA03056 gene_biotype:protein_coding transcript_biotype:protein_coding METEPVLIPDPKKAYEHSLFNKCFIEFLGDLIFVFAGTMQGYINNSMDAILHAAFLHGLAIFMLKTALGHIGGGHFNPAVSLGGALGGHLPLLHLPLYVGSQLLGGICGSLLTYAVLSKEEFTAILAGATLLETDTNTWYQGIISESMISFMLVHTSLNATMGSADKVLGHLAAGMTVTIGIIAAGRITGASMNPARSFGPNLIGWIFIDEVPEGWWEYHYIYWVGPGIGACIAAAIYWLFERTEKRVVR >PPA03022 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:194308:195675:1 gene:PPA03022 transcript:PPA03022 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPTARRPIPQMSPESRAAYRKVDAAWRVIKDAGHKGVIKTLDESYSARRVRIECPLCCSPQPHARACLVTCGHVVCLACAVQMAERLKLHCPTCRAETMYVQIFEEFEVE >PPA03033 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig114:245966:246578:1 gene:PPA03033 transcript:PPA03033 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAIICILRQGLATTSAQKSSFGVVLRSCKLHETYNECRNKKKTSCW >PPA03037 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:260194:267314:-1 gene:PPA03037 transcript:PPA03037 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQEKERPRDPCSAANIEHATITHMAIKWDVHFLLQMLDGSVSLHVKLLKESTHVILDARDLYVRSVTVDGIDAEFSITPWHTFRASKLSIALPEEKTAEGTETVIHIVYSTSAAASALQWLKKEQTTDDKAPYLFSQCQPIHARSVVPCMDTPSVKQTYEAEPVAVPSYLLAIVVGALEKREISPRCAIWAEPSIVEKALWEFEETEQILSCAESICGPYVWGRYDMVCLPATFPYGGMENPCLTFVTPTLIAGDRSLVSVVAHEIAHSWSGNLVTNSTWEHFWLNEGFTVCIERKICGRLVSESYRQFMSLNGWRGDLVPTINEHFHPTHPLTKLVQEHKDIDPEMTFSSIHYEKGSAFLLALEQALGTEVFEKYLRAYIAEFSHKAIDSHTWREHLYNYFDDRKDVLDSVNIDAWLFEAGMPPAKPEYDDTMVVECDKLVKEWIDADEKIHHFGSVLVDETPSTDRMFLSIMADTYNCSLNHTNVCNTTILNNPKALFQHDPPLPHYKLEALDRLYSLEECGNFEIQLQWIQLCIKCRWEPIVPRAFRFLNSQGRVKYCRPVYRALTGWPDFADEARGNFVRTRMTMHPITAEIVGEELNIKAA >PPA03057 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:391896:395445:-1 gene:PPA03057 transcript:PPA03057 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSILKLRNGVEMPTTTLGAYRVAESEFHFAVEISITEENYPLIDKKSIEEKEEAIVDLLHQIFVEGKVKRGDVFITTKLFPNNFHPVRSEAAVREYLRRQGLDRVDLLVAHFPVNNNEQEKSFDVEDVWRGLESIYDMNLTRAIGVSNFSADQIERIMKIAVWPIHTAQSEENFDVPIHDLVEVCNKHGIPLTTHGSSTLKSNEAVSTLKIEQMDVETTLQNESVAAALSRALPFTRLSPSDSILMICDLQEKFRPSIIKFNEVVTVASRLVAAASMLEMPKIATEQYPRGLGHTVPELNLPEATPVFDKTKFSMCIPSVDALLSRKSPKSVILCGVEAHVCVLQTALDLLERGISVHVVVDATSSRSAVDRTFAFKHLERAGAVLTTSECVILGLCGGADHPKFKQIQKIIMEQAPYTGLSLASI >PPA02992 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig114:31658:34355:1 gene:PPA02992 transcript:PPA02992 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLLAILVPLIASEAVPECKKVKDCATCAESHIHVFGFKENCRWCVETKSCGGPFSCPLGKAVVQRDPFKCPHKHSESKGRRYTDRLGRSVFAIALAARDNNATECLANARPDVTVIKQYTVECDKAGNNCGAFLSVSQEAKAIYIAYKGSSFDKQLFAEFVHGLAAQLGAWEKFVDDVVDNITPLT >PPA03059 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:402731:404284:-1 gene:PPA03059 transcript:PPA03059 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLPPPPLEAESTELSAVEENDELYTSFSGIDERFDSFSLTLSISRTISHCLTDERAQSVPPRSPEEIASFFSRRNHKRLSSLTVLESTRNGESQALIIYRSRAPCVTTEGKLRGPLDCHMMLVPGAVIVPYKRPLKCAHCPKWFASEFTLENHMKSHKPSRADLNQPK >PPA03032 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:241249:243090:-1 gene:PPA03032 transcript:PPA03032 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGVDSSFKTRLQQYFVENYKSSFRIRGFNLFIKVLSCILYCIRVVQDDADLERGGRKSDGAIILRLDVSVHWVVDTQPPQDLNSLLWIKTDNRIWLMQTIVAIISMAETTLLFYISYKGSFIRLLLNVHFLLEMITSFPFILSIFFPVLRELYVPVFINCWLAKSALQAMLNDLNRSMTMVSSALSRQMMSLMSILICLVFTAGRTSGHHLVLCYDCRIA >PPA03013 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:149697:152594:-1 gene:PPA03013 transcript:PPA03013 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSLFTRLAAATVILSSLSLVVLVIALPTLYVRAARQASWMEERAVKFRDDSNRVWHSLSQGGFVYVRARKQTWESVCKGCRALHCPPGPAGQVGEPAPDGRHGEPGRAGAPGPDGEDVEPAPEPEQPCTLCPAGPVGPRGPQGDRGADGFRGISINLWVPIRHGNLIVAIQNTLTIIVSHFSYNPPGADGPPGPAGPAGFPGNPGFRGNPGADAVEGVGGKGAPGAPGARGPAGVTGVAGRHAKRNGFDGLPGEPGTAGPPGDRGQQGVAGQPGEAGVRGTPQFYCPSDCGVMQILADLPAAAPTTPATAAATSTATAAPTTPATSATSAAPATSSTAGYTTAPSTTAPPAAAATTSPPSAAHPESISSSFPHPSTPFPQLIKVAPVSRQHAPEVRQHIPEARPTLPLSPHTWRATSKPTTPGYDIVDDGDTSKEAADIEMMLAFPFNPMASSAAPRAPPMPTPRPSIRYAPPTDTARAPSPAKLASLPAELAKMVKMHQEFEDAQEEYMRLMKRA >PPA03024 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:200628:203698:-1 gene:PPA03024 transcript:PPA03024 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMQYKESAHGESLDQILMIVGMISEFLYGACEIDLFLTGSGKAGYTSEGNDSMPVFIFIVFIIRYIQVDAKVSAGLSAVNAREQKRMAGRQMVTYLLVANVSLFAFHIYEGVATSIGYDGPDQSSYEIIIFAVTPIIAFYRYNSTYDDCNVLIVFSVEFEIRVSLFRLSGRDLEARLLDKAAQVKHTLIFGRAINVLIVPLVCI >PPA03043 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:291492:300619:-1 gene:PPA03043 transcript:PPA03043 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEPIAARVAKLEAMRSKAFALGGPLGNLLSKSFDLLTNVVRKSTEDRKSLGYALESFSNERRFAESKDAERNDPLRHVVYGLTEGIEMMGSAVIDEWNEAEETKMKMKKEEKMEDEAISSSTRSNSVITPHPGSQAKEDSGREAAVVSQPAIPAQTPTVSRPDQRHPSTVPVEQTLAAARVKSVPHQQSGLKNDGQSAVQVPQPQPPSENPAPVGQQDSREIESAAQEAETLQTPSVTRESTPALKTPKRKPTLLDTYFYSAKKAKKVLDDDDIIVVEEAAAAPAAPTTPTTAKTRAAPAAETPAAAAAAADASETPAGAPLDPDATDRKSRKKRKSERRSKREKIDATTEPATPAASSDPAAAAAVAAATPAAAGKPAMVAAASTRKDQSAAVPETPDAKKRDDPGKAAASSKKQGAPTAVATPTAAAPAQTPVAATTPATAKRVSPRTGRRSVRAPQLEEVTTFKCDFEGCEESFDTKKKLGTHALKHTAEQAQGEKANKENNEQKKEVEKKRTAEKPEHKSIQCNECGDYVKNEASFIEHLLKIHNIDVYADNQGEKENKENEEQEEEQVEKERRAEQPERQMEMEEADEPSASLEEAVTPATATPSDRKRRRTEKGRDGRKKEKNDEEARTEKTPKTDAASKKSRRSSVRDRSLTSDDENSESIAPNDDEEPNVDELHEKIANPASAKKRRRPKPKRRPTVIEDDAMMDLVDHKNTPSRPRRSRINSDPDKVAEDARSPYDDDVFDKEGYPDVKKADVMRFISFCIGIMGERKPNVEIGELVQIVEMKDDERVQSGKILADDKKVNNEANGSTPATPILAPIFNRSISRGQAQSAKRSLLSSAVKESYNEDIEGDGRFRCPQCDVTRSTESTMVAHLRDAHGVKAYSCDQCEKTFAKKLELMHHQKRHQEKTEDEDDMKDKNEEEKIEEKEKKENVDEEEEEAKNKNEEEKIEEKEKRDDDKEEEDVLEDKENDIPDEEKNDEDEKKTEKREHENQEMTETKKKDENREDEKKEENEKNDEGKERIYDEVEEIGEERGERMEDEKKEQVKENKDEETAEEENVEEQEEAIEKKDEHKKIKEKIDEEMATDENVKDDKDENEEDKENRDPEMVMNSKKSDEENNNVMEVEVNFEAI >PPA03009 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:128027:128379:1 gene:PPA03009 transcript:PPA03009 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLGFGDVRKDVSVVDQLRNQANSSFKSPTVTASRAPSSRVYIGRIHPATTQEELEALFARAGTVVNVHIPFDKATGRPRG >PPA02997 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:85698:87536:1 gene:PPA02997 transcript:PPA02997 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDRYIPTSMASTTTFALSSTLFVLALIAAFAFVDQSDACAPTMGMMGNMSGRRKRSVDDDVHVIVTSSEPFDLSKAEENMVTVEKKLKEFADIEGIAFKQLQELPRTAENVGGKFGVHFTVEGAFERCARVLQFIQAACNEIKEVVSGTVKCGAFEPVHVTKKESKDEKKKAEALPEAEKPQVEVKSAVEENDV >PPA02994 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:62649:64940:1 gene:PPA02994 transcript:PPA02994 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLEFKRRNALRDPSAPPGDSDTDSGICADSEQLYRNRPQQQQQQQSSATAAHPQHHYYTTTGSSTVSAGAPYTTRSFPQQRRYGYAEDDHRDYLTPNSAR >PPA03006 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig114:114212:116516:-1 gene:PPA03006 transcript:PPA03006 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGMNTISISPFLRPTTDTFTEVMNATGQTYINNRPHDGSVTTLVVVGHRLEHPEALDRLVTAAVAGFRDEPIARQPQPQPLTPGEKKKKIAELRRWNEQSPACRYSRQCNICFSSSPTSRAVLTACGHSLCMACVLQMEVDGRLDCPYCRKPGGYVKLHEEKETGEERKQVASAIASLTLAPSSDNVTQSAPRPTLLNASAPEFVPAFSRWDTTTQRLQSPDPTLITPFVNTPSSTAPASFNPTIPPPIVSRTTLTVYIGNLNPSTTERELRELFSIAGEVRNIALPIHRVTGLGRGFGFCNFLNESSAQNAVDLFNGKNGLISLEERNCGRFAKRSDDQRDAPSGGAT >PPA03019 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:184304:184689:-1 gene:PPA03019 transcript:PPA03019 gene_biotype:protein_coding transcript_biotype:protein_coding MPNELQKTVKAAKKSAVEYMGAVVEGLKANANMELVKVSEASADLLRFVAANEMDDPLVHKRKNEWKKQQPKNCAHQ >PPA03055 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig114:383860:387389:-1 gene:PPA03055 transcript:PPA03055 gene_biotype:protein_coding transcript_biotype:protein_coding MSSANKHHRGTEETDNNEEKLMDLIVRMADSDDFDDRLWYPFEWSTIKDVLVESIFGSDPSLRKPAITVLKRCIRSKKGGIDEETVKMVLPIVIMEAEKGCCALVGTNGSDIEIYGAGLGNITAASLGLAKTDDPNQLNCKKNSNLTEMTMGSYFMHRIVAQIGREKVCEPLERLTRSFLNDG >PPA02998 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:88771:90418:1 gene:PPA02998 transcript:PPA02998 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMQIEDDVHVIVASSEPFDLSKAGDNMVTVEMKDAERKHVFFQLRKFAEIEGISFKQLEELPRTSENVGGKFGVHFTVEAAFEKCSRVLQFIQAACNEITEVVSGTVKCGSFDAVTVIKKVIKVEKNAERTDKAEEAKNAAEGNGSDVR >PPA03044 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:309872:312990:1 gene:PPA03044 transcript:PPA03044 gene_biotype:protein_coding transcript_biotype:protein_coding MADERRAERMTRLAALRDNAYAHGGPVGRLICRSFETILTVVKDGIGNDMSVRLALESFNRERQLYYSYDQERNDPQRELLYNISEAISAMIQSILDERNEPPREEQGGRHADSAPVSQDDDCLSDATLPKFDLTEFLKMEEDVITLDDDDDDVQPCSSGQASSSSGNQAHRSTLLDAYEAHVGSSAAAATAAAAAAADLTCSTCDISFESSDKLQKHALQHKIINCHICNLPMTMDVVMKHLNDEHEPIYGNNQSVPLFRSLAEQKVEDHEAQEWSQDTGGPASVDSDSARATPAAGSSAATSSAASTPAAAAAAECDHAAPAISGRFPCTHLGCTFSCATRMGMRVHWKVHSDYKCHICNRSVMTADSLELHMKKFHAGAQTKRKKPGEEKKQQMRKRRQCWGWDESEDEDYRPGGSSSRKSSAAAAPASATFLSSSAAAAAVAAAAEAAATAAAATQPKK >PPA03048 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig114:331319:338647:1 gene:PPA03048 transcript:PPA03048 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFQISTSPPLMTSRVTPLPLKGNTKAAALLIAKEEQLEQQDLSGRTEHEGQADVLAPATSSNLHGTQDDAIEPTATNDQDEDNRKGDEDEEMEEDDEEDEDEEEKVKDEEPCTSAKADRSRKRRRAYIDDEDEEDKDAYSGESDTERSMTTDSRLRPRRKNKDDKRRSSRLCATGPKIYVDPDDNGQVRKQDREGWNRENSGYVSSRLDDHSCGECDRKFDSARALSVHSRVHNVMEYPASSSGEDDDDFIDDRNEEGEEVEEPVPWRLRVRERVTKTNKDNFKREMGDQLRLNRIHRLEGLRNTAFNFGGPIGIAFTRSCELIQTVVRDTTANLENIDFVLDSLINDNAKAAERDAQTLDPTRQLIYGLAESVGVLVQSIDSRGACNHAAAAAIAADQGQQLQRLQPKYDQMRQDDFTVPKEEELEDLSLPTPECITIDDDDDDDEPTPSIPTPPAITESTPSAKRQIDAVSGNHNQTAAQPSGLTPVLKKVRIEATTPISDRSKRVVYDEDDSDDSYSSEDEVDRSLPFPCLHCDRKFKSQKARAQHTLTHEGVQCTICHRHMAQDKLKKHMKEQHRRRSGANVSDNKEAPAPMTLVDPGAPLSTPTAATIDYHLRNVHGVNLYKCECGAEFVRREEQE >PPA03027 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig114:218137:219949:1 gene:PPA03027 transcript:PPA03027 gene_biotype:protein_coding transcript_biotype:protein_coding MLATPTIEVLDGHKGPYNSVRIPQGRLGGILRMPPIHFSEEVKSAYSPSHPRSMSLMCNEGIADSEWIQFQHDTDQVVNYNIKSGKQYSDVVPLFHSHVLWSYAPRITIGKGGKVRFALASVGPHLSIIDGKGKGPHNNCTCPQCDPVPKNVIARLRAPRVAAASVAIRNAQGDVTATFALVPSSDGSLVLPKSFTHKEFRAMTGII >PPA03014 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:155576:161874:1 gene:PPA03014 transcript:PPA03014 gene_biotype:protein_coding transcript_biotype:protein_coding MSDALVYFTGLVVWKPPSIYKSFCPIDIEYFPYDSQECTMKFGGWSYNGFLLDVRQIPGKNNSIKTMYDKDHKEYHFLERGMDLSLEWDLLKLTSKRHEQLYPGCCGQEFYIDVTFDIKLRRKTLFYTVNLVIPCMLIAILTTFVYYIPPIEHKMTFSISILVTLTVFYLVLIELIPPTSLVRCAKITRTQFFDHISFGKRATVLVIPLIGKYLLFTMFLVSLSIIFSVITLNFFRRDGSAHPMPSWMRTVFVHTLPRYILLKPAEEGPAASDDASSASDIHLGSRRPSPYFLSVPTIGDGECRLSQLAQLRGMHPDILRRMVDNIAFIADHFRARKKEDQVSDDWTHVANVIDRLLLIFFTIVNISGTILIVANSPVFFDTSEPMAVEFSDKPISGDTFENLLRESNFTQEAWWDNSYLDE >PPA03050 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:358340:359597:1 gene:PPA03050 transcript:PPA03050 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQARATKVAKLEALRSKALALGGPIGHLLTRSFDLMTNVVRSGDKDRETLEYTLESFANERAFAEKRDEQRDSELHHVLFGLTEGLEMMGQAILEERKEEQARIEALKREAKAESKTKPRRTTIWGEMNHW >PPA03046 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:324486:324901:-1 gene:PPA03046 transcript:PPA03046 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPNSDIKCAMVERFNRTWETRLFKYLTANGTKKWIDVAGKLTTAINNSYHRMIKFTPQQVFDGARRLTANLSACGQIWRRNFRNRV >PPA03061 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:442355:443569:1 gene:PPA03061 transcript:PPA03061 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKCNQRIAASDDFSSSTSSGRCFEDLSHSHPIDGRGGQETPVDPYEQMQHGHYEIKAVPVEIGYSPLPSIDLVVFGGGGGGGGGGGGARSSGFCAVPQQQVVQFVIEKSEEGYATDDSPDTTAYLEGSLSSPSNSISYSSSTGSPSALDDPAARSSGGSYCIS >PPA03025 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:205745:210157:1 gene:PPA03025 transcript:PPA03025 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEIEAVPETQHNELLEEAVDEQNKVEKTTTEEETTQEESQDQTEQPLESIKEETEDAPEQEPAEAHEQTVVEIVKDQQVHDEKSGEEDTATEEMKVDAKEEKKVEDQRDEDGELTEVDLEQKTEEATIDENAVTEAVLDGEEEGEKKAKTRMFGPDGRAVRFVHSVRDRFIKTKKDKEATEETGETKEDDTKESVETETTEKTEEVEATEEAKDAETTKEGEQKEGEEKKKDKKVARSTSFYARVRARFAKKAADETKPTGDTETAEKTDETAGESEVAENKESTLTSDEVKKEEEKTEETTEETRNVTRSTSFIARLRARFGKAKTYTVDEANTTVEEPEDTVADGAEADVKEPVEKPKAKLEDSFEEKVARRGSHYSSARHRMMTAIFKKKSDEKAAEEEKAVTEEAVTVDPVAAKEKDVAEGKCDDDLDVTIESKGSGKTPFKTRLVDRVRGVFKKSAE >PPA03047 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig114:327950:329382:-1 gene:PPA03047 transcript:PPA03047 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSVKRELLFAKAKADLQFFKLKYKGIDTQSIDNDDKASIRKYTNLCEKLQRNRNDCQRKDVFIFVEEMRITFKDSYDLEDVKAIQLYSSMERSYSF >PPA03018 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:179235:182101:-1 gene:PPA03018 transcript:PPA03018 gene_biotype:protein_coding transcript_biotype:protein_coding MRASVEFVIREIVSSFSTLSLDEKGEGDSEELLCRGSTLNPRTTAAPPTTTTEEPTTTTKEPTTTTVDATTTTDPPATTTTVDPTTTTKEPATTTAPPPTTTTTVTRRPLTATASTTRGIGPSSTVTTTTKRTSSTSAVLTTNTGLTRRPLSPTTTVPTSG >PPA03023 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:196367:199223:-1 gene:PPA03023 transcript:PPA03023 gene_biotype:protein_coding transcript_biotype:protein_coding MIDWGRLATPKFNASELLSITLGAPVHGFDEHRARAWVADHWYFAVQANIAYFFTIFSIKYFMRNRTPFELTLPLNIWNALLAIFSIGGSLSVAPDFYGILWNKGYRASYCDNAGLMSGAVGWWVWLFTISKIAEFVDTIFVVLRKKPLMFLHWYHHIFTSLWAFYSYPVMPAVLRWLIYLNYTVHGFMYSYYFLRSINVKIPGWVAQYITSGQIVQFILTIIALCFCGYEHYFFNSMVDCDFHVGAMWFTQFLLITILILFTNFYLQSYVFNGGKAKYKKVVEPKKEK >PPA03039 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:272066:272756:-1 gene:PPA03039 transcript:PPA03039 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSLLHWPAPLQAATVILPTVIVAAGLAVVCGTKKKKEEKNNNNNNNKPTTTAASVASSAVPIRPVAKSAEVKSRSGSGSASAAQFTESKIAVAAAAALGSPARDTSPAMGGGGA >PPA03063 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:448767:452235:-1 gene:PPA03063 transcript:PPA03063 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSVRALTVWFLLSVNVVFIAMNLDIMHWFEISKASSDSNLGETPIVFFVAVWIVDALLLELKRLHWSVLHIWANKPEANDWRSYVFHLRGYFTMALVILAKFAFELLYFFRKVMKTEMPIYLFMLPLWVGLGMMTLELGNHCYHAHITFGARLAAAAAKTATAERRQSSRDEIQAAPEDRMDLTGTLAEATTD >PPA03003 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig114:101257:101705:-1 gene:PPA03003 transcript:PPA03003 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIILITRSEHAVPHVATVASRNTGFLGQLDGGRKGPPLDRVRKPFWMGITGSP >PPA03062 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:446876:448104:1 gene:PPA03062 transcript:PPA03062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-npax-4 MKEREYIVSLFQNGWKICDISRKLCVTHSCVSKILNRYRTTGSVKPKEAKEGRTESPLVAAIRDYRARLGMSRQSEIREQLIADGICTRDNAPSRSSINHILRTKLDLKKVKREKR >PPA03007 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:117274:121146:1 gene:PPA03007 transcript:PPA03007 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGWHPAIPRKLLQETQIRRLPRNWMPPPWPYKNPHLSISKMIRGMNTISISPFLRPTTDTFTEVMNATGQTYINNRPHDGSVTTLVVVGHRLAHPEALDRLVTAAVAGFRDEPIARQPKPQPLTPGEKKKKIAELRRRNEQSPACRYSRQCNICFSSSPTSRAVLTACGHSLCMACVLQMEVDGGLDCPYCRKASGYVKLHEEKETGEERKQVASAIASLTLAQSSDNDAQSAPRPTLLNASAPEFIPSFPRWDTTTQRLQSPDPTLITPFVNASSSTASASFNPTIPPPIVSRTTLTVYIGNLNPSTTERELRELFSSAGEVRNIALPIDRVTGLGRGFGFCNFLNESSAQNAVDLFNGKNGLIAKHIIIMATLTGSQAYMTGKTHAAVMCSQEESEEKIVSAGLVSGDLVHPMIYAPDMHAIDLYSPVADMNNANWGDVQHLLPPRSAAAALFIGSNIEYGEDVDWIHVDMLAPSHCEGRSTAWGVSLVVASLGKATAVPLLQTLGHSCSLSTIPETPPTPPTTSSSIYIVTIIVANRFDL >PPA03020 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:185845:189187:-1 gene:PPA03020 transcript:PPA03020 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLDSLALVGLLVGAPVLSVDIEEEENVLVLTNDNFESALEVHPQYQAAGVLKDEGSEVKLSKVDATVHGDLASKFEVRFADIPRSSSFVPASPPSTGRDADAIVKWLKKKTGTAAVAFESSDDLKAFAEGNDVYTVAYFEANLAKFAPEFTDLTTENIVSFNERVLAGELKQHLMSADVPEDWDTKPVKVLVGKNFNEIGKNSWKGQLVKFYAPWCEHCKSLVLVWEELLIAKVDSTQNEIGETTEEDRKGEHTELLLHHRCKCAAGQGCENDETDHF >PPA02996 pep:known supercontig:P_pacificus-5.0:Ppa_Contig114:81224:85211:-1 gene:PPA02996 transcript:PPA02996 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVMVLGRCFSQYSLKTAKYSALTTVRVECHFVDDEKVSAADWTALGRLVHGVQSAQWIVDRPANLMNVDDMVKAAASVAKALSIDPVIIRGEQLRDLGFGGIYNVGIYNVGKAALQPPAFICLSHAPKGATKSFALVGKGIMFDTGGLTIKGKEAMPGWKCDMAGAAVALHSFATLVASGFTEELHVLLCCAENAVSPEAKAVWRQQVVFEGELFGGWGSVLFQQASKQEFSSKPDDIITLLSGQTVEINNTDAEGRLVLADGVYYAKNMLKVSTIIDIATLTVAQCSVTGRYHAAIMSNSEELERKALRAGLASGDLCHPMQYVPDLHMSDYASAVADMKNAQLGAMMAAPSELAGLFIAAQIDLKDGTEWLHVDMGTLAMADDRATGYGVPLLVSLLGEFTNALILSGRCTD >PPA03068 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1143:792:1062:-1 gene:PPA03068 transcript:PPA03068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ncs-1 MVGSSVKLPDDENTPEKRVDRIFSMMDKNNDAQLTLDEFKEGAKADPSIVHALSLYEGLSS >PPA03069 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1146:91:2606:1 gene:PPA03069 transcript:PPA03069 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTAASFSGRGDLLSDHEVQQGAQLLLYLYCCLAGAAYPIGALPEDQQRTVPVQVYRCITSVHGKESVGRREEGAYPYLRLLLQFDAQQFLHVVCTCADAQLFQSEDNRLQRLTEIVGMLSLECKSATILSEYH >PPA03070 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1147:912:2255:1 gene:PPA03070 transcript:PPA03070 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAKPSQLESALRAGLVSRVVPAGEERHEAIRVAEAIGKHSRSVTAMGKSFFYAQLGLPLAHAYK >PPA03071 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1148:34:621:-1 gene:PPA03071 transcript:PPA03071 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNEDGEMAAEITEMKALMASMAQLLMKQCEKKPESLQELPNASMNAIESRIQEFVFSPEDGSTFERWWNRYVDIFEIDLKEMDDLKKIRLLIRHVSTSVERTFVESIAPVNWANMTLLLVKNKMLALFGDNTSTFDRRRTMIDLKMSKENIEDVRVLAARVNQTVENAQVKDATIDEWKVLTFLHALDLPRYSD >PPA03072 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1149:791:1455:-1 gene:PPA03072 transcript:PPA03072 gene_biotype:protein_coding transcript_biotype:protein_coding VKVPEWSDLVKLGVTKDMAPVNPDWYYIRAASLARRLYIRSPVGSLSQHDIYGSKLHRGVQPNGYAKASDSVIRKALKRGRKDLDRIAAALRSTAAPAELWRSITEERMDISTSKTSC >PPA03100 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:212085:214836:-1 gene:PPA03100 transcript:PPA03100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dpy-9 MTANSLNLRPTMTDWLATRHATIAASVLSTICLVSIVIVLPLMHMRIQRTTTLLLEEVDLCKAESRDIWKQMSFTAGGGRLRRQAYDEAAAGGSGANAYVGGAAAPAAPGSCCSCSQGPPGPRGPAGQDGEPGLDGNPGREGHPGRNGVYLPPPPVGHNACQKCPVAPPGPPGASGAKGPRGPPGRPGKAGRPGDANRNGPPGAPGIRGEPGPPGPRGPRGDRGKVLNGAPPGPAGPAGPVGPRGQPGDRGRDGKPGIGGHPGIRGASGDRGDAGNPGLPGPQGMKGENGYPGSCAHCENGGGSAPGAAPTPEYAAGGGSNGGGHTGGSSRPSGGNGYEQPKSGGGYNEPRYPASSSHGRPAPVSRPSGGHKATPSKPDYPQAAPSYTGNDGGNGGNGGRDFDNSQYLWAL >PPA03144 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:400715:404097:-1 gene:PPA03144 transcript:PPA03144 gene_biotype:protein_coding transcript_biotype:protein_coding MWTVGGPSLSVDEEISDRSLPYLNENFNGRRFDFVAHGVLLPAADDEHSPEGSQLQSARDPAPHGSSILRGSALAVARREKRTEADAVKRLSRILSSDELKADKKNNTTVSFESNEIFPDSPSSSSQFSLLKRIRSTITKGERSQPSPRNVLCSTYSSYPVAVVASIPHPQPTERKRRKDRKERGTPAKKSTDRIHTLVSGSGELQALEFKDDEVELTYGRILRLRRPRLASSLAGKERPGKRSYDVLESSFCSTDHYKSTHSLAIGPGAPADADDVLTAQRHTAAEYDPKMISENLNKSLTQGTSIDSLSVAV >PPA03097 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:190762:192081:-1 gene:PPA03097 transcript:PPA03097 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIKRASDPEFIEVNEVSCPKEVWAITYINQTVQKLGTEEFALKCVRNYCKFCGKISELTCDECTPIEYDDCQPQCKSQLWVRRNRIIKSNITCKPLDGNKEADSGLWYLVSNGVDTPFEEGSCYNGTYPLPAGVKAISDSSPSFSQQAILLVLLIAFI >PPA03087 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:132935:134931:-1 gene:PPA03087 transcript:PPA03087 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCEDDVVAIRKKLEKMIEGSKPQDDAAELVEALARLPINYTVLNNTRVGLVMNDLRKKTSNEKLSKRIKQLIKDWKTIVETHNSGNGNGGGSGEKAEKTAPVARTESSSSSTMKEAGDSQPTPTPRPKLAPTVAQFSGAFPPKSIEGDDIRVKSAQMLLSALKMGEMPDGTLDPEQLAVGIEQKLYDVSDIMLTSGYWWDLSWVAIRVLH >PPA03074 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig115:37258:45068:1 gene:PPA03074 transcript:PPA03074 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRSLSVGSPTSSTVAPPTAAAAAAAAAQQQASPARRQGLMSSITRNIFGTGGRDRSDRSSQIDLVTQEGSIKKEAWTLMSRGNTNKTRMRTLKNLQESPRTSPQPLRRQLTIKHRFARIFSDNSNNEHMHAAALVMKNRNLQVSTLEGIWHETQDMLGDPETKDATLKAIIELTLTQHTQLGLALRHTFFEKIRDMGCEDGTIKWLNALSEDCRNVNGFEKAMDFLVADWMQSVLSQEDCPQANKVIQLAEQLVMYNSAFVCEASMITLVRSSCSRCARLLDPLTSPCLELLTTIQKYSELPRSELPLLVATLCCLVVINRFCEQAWSVARNLLNSQLGHRTRNILVEIMKSGQQSLQIPGTDRGRIIRSLRGAVYFMVQSTWGTQKIESVRASPAFVTDALECAVGTDPVVCNDVLAGIKRLVIKYGREIQHIAWQRIVRMFHVVHEMIKVDESYLICRPILTSLLDQLEQLYLDFGYSGSSEQ >PPA03076 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:78779:81496:1 gene:PPA03076 transcript:PPA03076 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYKINKVLIADDIEQECVDVLKQASIEVTVATKQSREQLLESLPAHDAVIVRSATKITAELVEAGGKGRLRLVGRAGTGVDNIDVPAASKNGVLVMNTPQANSRSAAELTCALIMRQVHFRIKIRDMLCFTNAVNRSMSLEVDLYLSRHVAQADASMKAGKWARKDYMGEEVYGRTLAVIGLGRIGREVAVRMQAFGMTVIGYDPVVSKEDAAAINIESLPLEQIWPRADYITVHVPLIPATENLIGDEVISKCKRGVRIINVARGGIVDEAALLTGLEDGRVGGAALDVFLEEPPKDRSLASHARVIATPHLGASTIDAQLRVASEVAENIVALNKGTLLGVLNAKDVIA >PPA03099 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig115:201535:202107:-1 gene:PPA03099 transcript:PPA03099 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTARVLYFLLLFILVIILTALTVLLCYYICVVRKKRAKTAPLPFAVYTAPPITSLTTGAAFGTSPRPHGTWPNPNQLYNPDPDPTSFMPPPPAYHDVQYYV >PPA03113 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:271844:272547:1 gene:PPA03113 transcript:PPA03113 gene_biotype:protein_coding transcript_biotype:protein_coding MGATQSDARQPAEDILDKVRKDEGKAYALKKLAGVIRKWFELPNDLNEWSDTIVGLVDVFEIPIKVYYNFT >PPA03155 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:455837:456976:1 gene:PPA03155 transcript:PPA03155 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYHNSCAKLYDDALIVLLDCLVYLSYVCALVVLVLYLLLLVILRRQRQKLHKKGKKTSIPKGQLQLLRQSVLVFLLYVASIICVFALSFTKPSGDFPAFDIAYIENLLNLSIAAAYPICFLSTSGEMRSIIARKFMPHSVSVSSIRSSSSNNERFRRNEITP >PPA03120 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig115:304585:305522:1 gene:PPA03120 transcript:PPA03120 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVDPAVCTTLLDTMHSAMIYFTLFCTIGEIVIVCADYWYKRKVLKEEDSKANPMDIPLNEEEFVMRKKSIAN >PPA03083 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:102122:103035:-1 gene:PPA03083 transcript:PPA03083 gene_biotype:protein_coding transcript_biotype:protein_coding MSPALFDASDYITPVVIREWSRYPGTRKQEISRNAEVKRTNGKEKLQFSKKKVQLELRKYRVSRRQSTAANSEYEFFGQSTSSDSSISSDDEVESKKKVDIESTDHEDFDAYCEEIRKMATSLTETDSGFELISFAPSHQTSFFDQIFVNFCMAEITSEFSSTTIDTSEFFRLDAYKNTVEFVNKQKRLRDDEEQ >PPA03145 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:404886:406738:1 gene:PPA03145 transcript:PPA03145 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLSVIATLAAAFAVSRAIELTFELPDNANQCFYEEIKSGEDVLFEFQVVTGGQYDVDLTVEDPHGKILYKGQKKQYDSINFKTDSPGEYKACFSNEFSTFSHKVVYMDWQIGDGNSLHSGPKGAAAVAAHAQATSTLDTLATSIGDKLRVRSHSTSGFHSNSFGPATRE >PPA03122 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:308397:309029:1 gene:PPA03122 transcript:PPA03122 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVTQPMYGYGCDPGYGMGYGGPCVAPVVAGGYYGGYSPYGYGGGYYGGGGYYGRGYYGFLLQ >PPA03108 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:258685:261143:-1 gene:PPA03108 transcript:PPA03108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-aqp-7 MVACDPVARLRAKLRISNPLYVNLLSEFYGTALLLVLGLAIVMQFILTSEKMNTWININVGWGFAITFCVYCCSKTSGGHFNPAISLVMVTFGRLSVKHFLLYSLVQTLGAFVGAAIAYGVYRDQFLHYYAEAGIEGDWIVHGLFGTAGCFCSFSKDYVSNYTNFIDQFIGTGLLALFVAVIIDKRNKIPDVAHPLLFGLVLIMIGCAYGMNLGYPINPARDLGPRLFAYFLYGGEVFTQGGYYFWVPIIAPLPGALFGAWSYHFFVGAHIPDTIEDREINYIKEAEMMPLKKK >PPA03073 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig115:32584:33918:-1 gene:PPA03073 transcript:PPA03073 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVGLIDSPCTESAVSGDENLKLFGYKKIPIRTYLFYILSVLSLGSFRLLCHWKVDWLLYFRARKSSLEDADYILVM >PPA03125 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig115:311088:312333:-1 gene:PPA03125 transcript:PPA03125 gene_biotype:protein_coding transcript_biotype:protein_coding MHWMLSKYRWFCDVTVTVCSVMSVAPWIGVAESYAEQDELYRTTGHDPLWLSANTVDNSAIYAIFAIVTMKAAILAHYYLEAEFMSIVMLRIDFMAIGLLFLVLSDVLDNLRTTLAYFSLNQFLQNFSATCVVTISFVLISLQQMGREDLLSRSAARISSLIVLICMLLALHFEAAKEEQEARENAARAPSELTSSESSTEEDEPVL >PPA03143 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig115:397600:398997:-1 gene:PPA03143 transcript:PPA03143 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAVATKVDTPTLAHALVYYERIVLQGRITKCNRKEIAAACFIIAVKICDPVGVETSFCFERLESTFRISHSDILSFELPVCLALSFELMPPRQQLQPHYEALQLSLMI >PPA03091 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:151127:153696:1 gene:PPA03091 transcript:PPA03091 gene_biotype:protein_coding transcript_biotype:protein_coding MWLQDAVRIVGATATREEETAVEGEMRGTAEIAGIEEIEAALATARVVMVTADEMREETTEEMIEGAATDVIVAKRADSIEIPGFPHPPPFGTAPDWSWYHALILIPAPCILL >PPA03127 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig115:315957:319949:-1 gene:PPA03127 transcript:PPA03127 gene_biotype:protein_coding transcript_biotype:protein_coding MYIDIGRGRMKYAGIEKVSTLATVFQKEKMYLGYVLAITAAITVSVELISNRNGQSGIKRGRNEPVFVSECDRYIHFSTCVIANVETSIAAVLNLLVVNTLRMFNQVFFLGPDYGQICNFLVYFSHVFVFAHSVLKAMQIINRFTALHLPFKHKTNTAFLREKMYLSYVLVITAAHMIGAAHQILWVIATVTQSSFLNSVAQYSARHKAVYIDIGGGILKYASTDKTSQQITLFNKERMYLSYVLVITAAHMIGAAHQILWLVATVTENRPLNTIAQYIRLSEHDHHIHFSNRTDSNVETSPQ >PPA03132 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:339800:342236:-1 gene:PPA03132 transcript:PPA03132 gene_biotype:protein_coding transcript_biotype:protein_coding MYENLKAAVSERDLISLAPIEKLPRELAWKLIEYAPESVLVLRLSSRKLRGHVDKYAAQNGMRFPLVNELRVFSTNLFTAPPPLVPLNRILIEVPSSKSDLFQLRLKIRNFPISSLRRTSHAGSTAYWTTLSEAEKDKEASLRDCFGVKIGAIILNEYSFLLNGAKQLINAGNWSSIILEMFSRKLDKLRIINHKYPNYLNTSAVDKLRQV >PPA03096 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:187214:190167:1 gene:PPA03096 transcript:PPA03096 gene_biotype:protein_coding transcript_biotype:protein_coding MIYASSASAFKAALGTAKLLNFQVTDESEMSHAELLTKLQSKTGAFIAVTTVKEIGQAAIEEKGKVKKILGAISALAGGIKAGSKAMKNELQEAVDDIERALEQMQYRLENYNPIKTMEKYMEDAINKSEDPFFMEEFLKNYNRSAVYHLVNALQVELENPATNPLQSRIKTDGFGGSRENMESDKNGIVKMIKKLLFVDAVHFGVTDKLASQWWSNAKAELKKGGAKKEELAAKYLEEFEKTYDHHIFDKCMEIEKHVTDFVDNHLENNDYWSKDIVEKLALAADKKDTAANLKGPLHHHLHGYIKIVAATDATFYIYKTRHNSSIFNPKSDEQKNSLSGLFDCDSSETVNLKTFKANAVILLQECHLEILRKPIHYWWLFVGHFTERHHGYTAEVTDTGSGSTGPGWWINGQQYLSAHANADVAEKYDAKMTNSLLVLGF >PPA03142 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:391799:396271:1 gene:PPA03142 transcript:PPA03142 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNILRVGFAKNAPEANWNCPNFPTLTPRMNSCPYPGLCTELIAYFARMANMTIVATVIDSVPDEVEWGTRREHGSWSGAFGYIYNDTLDTVCLMAQRNEMRAMDFDFTSVIYQSPIALVSRELTETMPPNLWSPYEVWMATLGGWIAFTLTLTLLEAWESGSSVYELIAINRTFERFQTIEEAARMVASGQLTLIDLKPSILITLLGEYSTTGTGLLRAALDSNPPRVEYEIVDTFELLQTGEYLVGEIVDSRFMMTARSQCNLFIMDHGLPELFAQLLWNRKSSEKLRRINKAIGMSGDFIERTRKKYLTLDELPFTVTRKNCTEQKRLLASQQTLGLQISHDFPSSTPRSSV >PPA03098 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:195704:199959:-1 gene:PPA03098 transcript:PPA03098 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPKRLRVSFSLAQGVLKIECANVSTNVRGWGSGEVEVGGRKWSATVFKKAVEGHDDRMKSLCCDLGCDDDTPIDVDIEYVAIGDNGNHTKKISATFLNGCDNSNMGRFFLDPEYLIEEELGIVKNDTIVVEIRISAKDSRAASPVDFDSGIDFTSAFDPRHDVTFIIGEERIYAGRQILSLYSPVFTAMFYGELREENDVKLEDVDRDDFLEFLRFMYPSKQMITGNNVNNLENNELHLITDLAEEFLIKSADDMATKLYVAETYRLTKLKDHCFNRITAEDFRTIKKSSLYKEMSDALKISLFEKLINIATRISIYQIRHLCSGFIAVFVGQLNAYNFS >PPA03107 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig115:256947:258131:1 gene:PPA03107 transcript:PPA03107 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPGGLSGSEIAPENEIIEKVNVTIGYDLRESMITGYLSVFEPRLALAIFWVCGPSGTFAWLIIFLGSRIHKKLKSRTHSMSETTRLMHRELMLALTVQASLTVIFAFSVFTYVLMQFNLVHGSVIEYSTHMLGEICLGSSPIVTMYYVRSYRRAITSCNFCRKRVTAAPQYRFDSEMPSFQPK >PPA03081 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig115:97779:99024:-1 gene:PPA03081 transcript:PPA03081 gene_biotype:protein_coding transcript_biotype:protein_coding MSPALFDISEFVTPVVIREWSRYPATCKQEISRNATVKRSNGKDKLQFSYKKVLLELRKNRSASTSISTPTTDSCDDEVESMKKINIESTDEEDFDAYSEEIRKMVSSFTEDSARSSGFKLPSFEPSHPMIVLDQIFVNFCMAEFTSEFSSTVIDTSEFFRLDAYKKTVEFVNKQKLIRKDEEEQQAGHNAFPIATI >PPA03137 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:358284:367102:1 gene:PPA03137 transcript:PPA03137 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDARQLPRLGDVNTDQHHAGSHFQQASITSVFTDKKSFLCPHVKPALHTGTSLADLSPEDIDVVAALGDSLASGRGLWAPSEVDFRGAAFPIGGDANIDGLVTIPNLLLEFNEDLEGVSHGMGSRDRLPDHQFNVANSGARTRDMPEQADELVRRVKLHAHELEDKWLMATMAIGTEEMCDTCSEPDVRSLRIAMAKLRTGIPKIFVILLGPAHVASSYSQNVNMMKKRCSCLEALSKNQYSSLLRKWANIFYDVQMEFNSLNYTTFGVLAIPQLPIHSRDPNSLFTDGEPQLNRRGHTYAAKWLWNRLLAGPSYNTSAAVFSKDAYYCPSVGCPYFRTPQNFEHCSILTQDDFEAQQSTTRRPRTTTVKTTRRETIRRNLAAVIVIVVFLALLAVCLLGGAFYCHGLRATKGRFEELSGTTLIPIVNPKLRDGTLKSKKIFIQSTEEVNKITEVMNFDEVDFFDFDDWSLLQGVAMGNGLSTWVSAQCKGVLDEPGLVERMRDEKFDVMIVENIDVCGAALSHLFKPKSLITTSGSVPIAWMYHEFGLDMTLSYNPNSIVEHLDVHSFWSRLSNIYAEIIFHLTWMGTRSQINRLFHERFPDFPGVQEICSHAAFSFINSEPLIDYAVPTLNRVLYIGGIGAKTPKKLDEDLDRLFTLRNKIVLISFGSVVVANKLPLKVKQTIVEVVSRFPDVTFLWKYEKPDDEFAKSVLPSTPNLYMLKWTPQNDILADRRLSAFITHAGMASTQETAVRGKAGLFIPFMGDQPRNAGMMQRSGVGRVFNKFDLFHAEKFYEAVKDLLENDSYRQNAEHLSSIIQKKPFSARETLVRTVEFAAQFGPSPALRPQSFDMSWIEYHNVDIIVVLSLIVLFSVAVVFKLVVMFIRKLFLSKVKRD >PPA03146 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:414154:420930:-1 gene:PPA03146 transcript:PPA03146 gene_biotype:protein_coding transcript_biotype:protein_coding MRLALFFALLGLINAAESYKILVFNPRYSQSLNNFLGNIADTLVDAGHNVTTLIPIINPHLRDGTHKSNTIYVQPNEEVNKFIEHMDFEEADFFDYNDFNMITSVPFGRDFMGWVNAECTGVLDEPGLVENLQAEKFDVMILENFETCGVALSHLIKPKSLITSAGSVPMGQQGTDLGMASALSYNPSAIISNLDVHSIWSRLWNLYAYFVFDVTWSTSRTEIDALFKARYGPNFPSEIGSQAAFSFVNSEPLIDFGTPTLSRVVPIGGINAKEPKKLEGEFDRIFNLRSKNVLISFGSIVQSHALPLQVKQNILKTVARFPDVTFLWKYEKPNDEFVKNATASTPNLHIQKWTPQNDILADKRLTAFISHGGMASTQETSLRGKPGLFVPFIGDQPRNAGMMQKNGLGKVYHKQDLYNADMFYAAVKDLLENESYYKNAAKIASMIAKKPFSSKELLVKTVEYAAQFGPSPALRPQSFDMSWIEYHNVDIIALFAGIVLIVAISALKSQSNGQHRSTGILTTVIPIVNPNLRDSTDKSIKIHVHPTEEVRKITESMDFEEADFFNYNDFNVFSGMPFGHSFTAWFNAQCEGVLDEPGLIERLRREKFDVMIVENFETCGVAISHLIKPKSLITTAGSVPMGQQGEDFGIESALSYNPNPLITHVDVHSIWSRAWNLYATAVFRAMWYTTRTEIDALFQRRYGPTFPSVYDIGSKAAFTFINREPLIDFATPILSRTICIGGIGAKEPKKLDKDLEHIFSLRNKTVLISFGSIVQSHALPMEVKKNILKAVARFPEITFLWKYERPEDAFAKSALASTPNLQMLKWTPQNDILADKRLHAFITHGGMASTQETAVRGKPGLFIPFLADQPRNSGMMEENGLGKVYHKQDLFDDEKFYAAVKDLIENESYHKNMAKIAAMIANKPFSSRDQLVKTVEFAAQFGPSAALRPQSFDMSWIEYHNVDIIAVLAVFSVIGAFVALKIASFVVTQLSSVVKFKLE >PPA03130 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig115:335033:336462:1 gene:PPA03130 transcript:PPA03130 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEHTFNSGSLLFQPIADATGLPVDRLNFVTCMLLSIPLAIYYNRRLGKASEGTRQLFSLVAGVAICCFCFGSSIKHLFANCLVNYALMHIAPAKHIHNRYSVANL >PPA03092 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig115:155961:157165:1 gene:PPA03092 transcript:PPA03092 gene_biotype:protein_coding transcript_biotype:protein_coding MVELRRRHAGGGVGGGTTNENGYTAEYGKLHDEEYKKPSLLGFRHWLFAIFVIAIVYGGVVFFHQKLPDVNPAGDFNEFSEVRARAMLKAITSLGPRPSGSAALEIPRPSGSAALEVSQKFMND >PPA03147 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig115:421588:422737:1 gene:PPA03147 transcript:PPA03147 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMNKTMIAAAETSYFLFYFSVISLLILTVLFIFYICYAVRRKEIERPNTVHIPHPHPACDIEASIATVRHAHCPRAAASRTRSGSATRTSSREGRGSTRDRALAFPMEQRRSSLDEWVVYFIPHLLSDRADRSRRLERRKMMDHTDERTEEEEVWYKSSPSMHGSTPENKLKTAKPRDETPEKSGSSKKEVGPRPSRHATREEDARRTARPRIRCRRRRTW >PPA03090 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:143875:148745:1 gene:PPA03090 transcript:PPA03090 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVMRDMINQLMGAQRAEEEVVVDYSIDVDHGRNLPPYDHHSVCRAYLFGCCPADILVDTRLDSLVLCRKLHEAALKGEYEKAQEKKDHFYDIETYETLGQAIRNVDVDINKIKDKLERDNKEHADSVEYMKAQKIHDLNEQIGKALARMEELGNEGKVEESMQLSQTVEELKTKKEQLHLKTMKEQLHTDLRMVGSLNQRQQLRVCEDCGAQLNLLDHETRLADHFGGKMHLGMVDIRAKHEEMKGLPPAPPPEKRPLWRETQRAATPTIEDRKKARNEAGAGGSSGGFGGGDRRDRDRYLLLY >PPA03149 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:423922:429542:-1 gene:PPA03149 transcript:PPA03149 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLHSGGYSKISLLLTALSLLIPQSEAFFFNLPGMSRCCQSPPPCCPTSSSSGFGSQLFSSYGVGRMFGPAAAAAAAGRYATAPAAGNAYPVPPAPHPWAAQVHQHMAAHVATAQQHWNAHQSQFAMISSYASLPAVQPVASMPNAGYQETTTPEATTTFDEASFFGDNRVVDEISSHPTPPPSPFAEQIDEETTMYSTDYTREPLRETPSGYLFTSAPSAAPPPDYLRVDAPAPPQAALESWMTTPAAPPVQVESASPRTEIASSGSYFRSKPPKQASKRSQVLPAVATQAVDEFSETATWSPTATTVAWAAASADTRPPQLAFSAFSADAAPATVQQSQPAAAAASAAAPQPRPQQQPSTDLTSEEVKELDDLDMLLITSDASFRPVTSQNPARPLTTEERAGFMSNDISTIADGLPPNLSDVIFWPIGKDITLLKRAIALRRRRDEEARRRMLRSNQEVPEIFQNKNRADPPAGQKHRSEPQRSRVSTDERVSSFLAHYFRRRAPSGDTRRVVSRGEGRPASGRRGRSAKSARDIFRSSILEKILAMEELLAET >PPA03150 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:434051:437047:1 gene:PPA03150 transcript:PPA03150 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNTTKHMFDADDNKASDGLKWGLMAGLFVVSLVAGNLPIFVLPIIKKYSSQATIILSCLSCFAGGVLLGVCLLDIMPDAMEDFGTWQELSGVEVPDFPWMLLGVALGFFFVYFVDGLCASVLRNRIGDIAHGGPSVASDGDSTHKCAMHGLDFTSSDSTTMTMEKGEERRDELTVETGPTGVVHDVKIGRTKKEYITALTLLLAISIHVTIETFALGVQDTTESFITLFFGIALHKLIVLFSIGMRLLEKYWRRPLLVFFSFVWIGTITFVAGGAGILLDPMHCRKKSLDQKCTGGLGEPAVECCGGLGEPAVADADLDEVAKSLTTACLSCFSAGTFVYITFFEVLGEETGLVIERISAMVGFTLIGTTFSAVAHLVGRISALTVSTEQCP >PPA03148 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig115:422769:423666:1 gene:PPA03148 transcript:PPA03148 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFPTLIPEKFSVKKKRIAENKSTSRSGNKREAKQSVKTGRQRTASLNTARQDSVNDIAFPVRSKSRSRSQKSALVSPAGSSGSRFTEFTLPPTTVSTQPEYVSERAPFE >PPA03133 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:343939:344697:-1 gene:PPA03133 transcript:PPA03133 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHYCKGDHCAEVMFEDRDEGKRISHELNLTCTRGFQLVLTPDMAFPNRTYEKTKMLCRNISTSRCWSKVQCEYNIINEETGEQFNRDISKLECQSVSYFYLRARANRPPPTATTPPTLQTNK >PPA03152 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:439503:441371:1 gene:PPA03152 transcript:PPA03152 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEAALKIQKVVKSEKQEYVTTLTLILALCIHVLIEGFALGVQNAAHHEPHHLQPTSESFLTLFIGIAIHKVLVVFAIGMKLYEKHADNKKFVAIAMSTLAVLTFLGGAAGILLQDANLDEDADLDEVTKALTTAILSCFSAGTFLYITFFEILADETGHIVERLLCIVGFAAMTTALYFF >PPA03089 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:140377:143388:1 gene:PPA03089 transcript:PPA03089 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNTYMEDVLVVEYDVLDHVFALGTGMSDGCMVHKTLPQEKKSRTNPFGMLPFDVLVEPLLARIREIAVAAVKVVAVLHEHPRALPVVRLHVLHRLDQIGRMFPRGSSTARLPVTVLRLYCSSAPSTSYSASRIAPATVSLSLRHNHAIASCTPEVARHFGASLVWIRGGQGKDWEEAEEVKGARTKHTDGSSGNSGGPEPIKPMGPNPPSKGKLFVMAALLSMAVTSLIFSTLIAESTVADSAIPADAPQVDFETFAKKYLRAGEVQKITYVVGKDKAVGTLFPGAIIDGKPAKSAQIVINYPHAAPQFWADVRAEEQGMGIALSQGVDIQTLNPVSGWRMIEFFIGCFILGWLCTSYGRLIFSLAYSGVLYKFAVIVKLE >PPA03138 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:367509:368950:1 gene:PPA03138 transcript:PPA03138 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTSRSATIHSSVSAPSLDLDFEPRFPKCPSLLSSRSNCLNFSGAYASSDDESLPSLPNLPFTLPVEDEIEEDEITSESEEYDGDYGSSDESEDDFIVDSIKNVSTPTVNKDENPRRRSFKCTNARDMKRNVDGDLFFTTNRTPWSCDLVIPFAEEPMVKRLSFPVNKKDLLYIGTMIGNDWAWKALDNKGFCDFVTFFRFRGKSYREGCLFRTTKKIMQERQQMCLTRFPSVDMEDEPALNVEVISKEKKCRKRLFEDDDAVNGSPLKRKKNRSE >PPA03154 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:448401:452285:1 gene:PPA03154 transcript:PPA03154 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTGKIGPAILQGHVYFAGHYSECKEIDYVVEGRERHFRADYFRTDIDAMLRPNSRNDSCKPVYPFVSLVDGFWHLGVCLPASCSSEELQRLLRPSLNATERAVTNTTMDSNAVVPNPVCNFTKPGDLTPEITSGYYITISIMGIIVGTCVLSGIVDFSFTDRLKETQLSQSMGWQLFMACSLYSNVASIFDVSETGKRDQIGPIHCIRFFSMVWVLLAHLFGSYAAVVANPTDILYLIPDLTSEVLLNGFFSVDSFFFMSGVLLTFLWFKGHKRQREEIMSARGWTIFYVHRFLRLSPAFYVLVIFYTFVFKQMIRESPISMNELVTQDKCSESWWVELFYMHNWVDFREMCLGYSWYLDADMQMFLFTPLLIIPLAFKPLWGLIVAAVIFTISTAVNIGLVVRYHWPAAPSIIFPRDPEMSNYENYNQVMYANPLIRCQIYIMGMLVGWLLQTRKQLRIHPIVYLLAWAVSACLMLTALFGLHDQTKGTAISLYGRAMYSALSRPAWGIGLSTIVILCHYGYGGDNYLTIFYVIHYALSMTNDEVYFSSFIEFFLFRVVAITAATYFLAVFWSACFELSFGRIEKLLICGVRAEKADDEVVLIPNEEDVVDVEERSDPDNSLLRVPESQA >PPA03136 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig115:354916:355160:-1 gene:PPA03136 transcript:PPA03136 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVMDGKIVDELTIFDYLPDTSTTNDLIEFSKKSCVAHGPTQLDNGDGVCVL >PPA03106 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:253529:255229:-1 gene:PPA03106 transcript:PPA03106 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPPPPYTSPYPPQTYSVPFDSQNYRMNDGVDPNALLAAGLTPAQINALSRMNHSVLIRAPPCSDFFKALTGVYLAFFIIAAILTVIVTIVCMKMIVEVFIKIKNEYVQVDLYWLLSSPMVSINHSFIIVSLPDQPRLVHLHPIT >PPA03116 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:282433:291870:1 gene:PPA03116 transcript:PPA03116 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAQAVLKEEKEPKPRSFRDSKHFQPLMVAFLCFGFATFLSVYMLYYMKALFLVWNAVNDPMMGYLQDIGCCGMTWIMDRRKVIMYCAPVFAFSYMIFWFPWSTTNTVITGIHLLVGLFLFDTILTLVLSAYCGILVEACSKHSQRVRCLVYAELFGILGGSIIFPLNVFSDEAKNFGRFQVGCAIIAVIGAASMSGGAYFLNTKKEHELHEEIEMAKDPEELPPKEPESSFKKAIRVSWQIIREPRFLCLVGAQFMRSMRTVATGTFVMILIGALVSRPGFMEAGSPSLSAFYSLIHPIGSILFMVLWKPLAVLGTHRMILILLGVALVNVSAALVLGRSDPLYVIVYIAIEQISASCGGHSFGMLLAGEVVDTDTKTHKRTSPLSTLIFTLKALFTKPAEQLSPLIFISLLDRFIIRVVDVKNEFCLFILTHKGPRRGLILREERERALQHLPLVSPFPPLPQTVGHIALGGFTEHRSSCLKETKIVSNLSNSTFTDVTTTAAAMLDVERPECTTLLDTMHSSMLYFTLFCTIGEIAIISLDYWYKQRVLKENDAKTNPLEMPLNEEEFIMKKRETSFRDSKHFQPLMIAFICFGFDSFLSVYMLYYMKDIGCCGMTWIMDRRKVLIYTSPVFAFSYMIFWFPWSTTNTIITGIHLLVGLFLFDTFLTLVLSAYCGILVEACSKHSQRVRCLVYAELFGILGGSLILPLNVYSDEANDFGRFQLGAFVIAIVGAVTMAGGAYFIAPKKEHELHEEIEMAEDPETHEKSKMVPDNSFKKAVRVSWQIIREPRFLFLFGAHFMRILRRTATGTFLMIFVNALVSRPGFLEAGSPALSLFYSFIHSMGSILFIIIGKPLSALGTHKMMLILHAVALANVIIAFVVGRGDPLFVIIYLGVEITFSSAGDDVEQITAINIDLHAESALHEAGGTARAIDLDHATGQRRLHRVPIKLHQRSSENWCSSQQLDTCGHHNRFFSEVVIISVDYWYKRRVLKETDSKANPLEIPLNEEEFIMKKRDA >PPA03126 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:314510:315553:-1 gene:PPA03126 transcript:PPA03126 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWTEFAQWYRRFAAFLAIRFFHSEAPQLNDVVDDEEFIGGGFPFEELPTELFQKIIELVPESLHDLRLASQSFKACVDKFATSFGNICLVKELKFSGGFSKYSFELNGQKKDALDQLLDYEGQIEEASLNGCEHQEELEIVSKILSKIKFEQLKFDTDCLSEPIV >PPA03105 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:248797:251804:-1 gene:PPA03105 transcript:PPA03105 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMALATTCTLFARLYGGRKGLSEYLLSHNRRMEFAAKPLCCCCKCLSSAIPTVKNIRLFSLLVDQTPFVRIVLAIALLIISMEGSPLNDPVVTTLNIIGVVSTLTAQYAAHVIMSMSENDLTESGFDLLFKCMNVVQTVYSLQRFILEMIGRYDGFPELPPMTPETISAFRIFVEFDLSSSIWFFVGMIGWYTVISIALAAKIRPGRSSFFDDEKHNKSTRTRMHMEQPPPPQITQIELTDRV >PPA03079 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:91668:94701:-1 gene:PPA03079 transcript:PPA03079 gene_biotype:protein_coding transcript_biotype:protein_coding METYPEKGEREEKKIHRRDDPTERRSNVLFAQASFRRSQCHMPRGQDANVSGWCGFHANDDLASDDALSLTNHQHFRLSIRSVLRVASHIKDEQIRSDVYQCISTPIVVSSICLYGMFYPRSTPGMSTISLAVNIETLKFFVLQSPVVRMVVVVVMNQIRAEGYCETTTITRILSWVGLASTLIAVYSSAIFVKMSNDHIVRYRLDTLIRCTNLTQALYNLLRFAVDSAALNHAVFVDTPFDDGSVLEARVKADFWYNALLILILVPISLSLSANIKPRVSALFDIEPSPLAGSASKRPILEARQPCYASITQCEDEEIRLV >PPA03124 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:310318:310746:1 gene:PPA03124 transcript:PPA03124 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRATATVPTVEEFSEENQCIFYVIITPYSGAGAYGTRTDTVVDRTRADTTTEETITRTETTRGDTIDGGAQLAVLDVWDGEK >PPA03093 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:158390:164544:1 gene:PPA03093 transcript:PPA03093 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQQHLELSQAAAGEVGLNRVEIDTQHPTGCFDLKFLSAFTLCYQNVTNKIARIGPAKGPAAHSLLLNCHIDSMPDTPGATDDAVSCAIMMDILDVLAREKKELQYDVVFLFNGAEENFLQGAHGFIENHPWRHTIRAFINLEGTGSGGREILFQAGPGNSWLLQAYLDAAPHPYCSILAQEVFQSGIIPSDTDFRIFRDFGRVSGLDIAYTRNGWVYHTEFDTEERIDAGSIQRAGENVLAVVRKVLSEEDLSKPGKAAESNKWVFYDVVGLFTVRYTVELGVILNVGTSVLTLFFTFMRMRKGTYALSDMLIVFFRPFSSHFWVSWTHTLPDSDGHRGRGDRRHRQSDGSRHVLPVHMFWFHGDLRFTYFS >PPA03086 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:124508:129619:-1 gene:PPA03086 transcript:PPA03086 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQSTTSFLVHRGTSDKYKAALRSRVFNLRDKKNVALRENVLTGVVSTDKFAVMLPEEMASDEIKKMRETFAKESIMEHQMSVTTGTPSDMFKCGKCGKKNCTYTQLQTRSADEPMTTFVFCMECGNRWKFC >PPA03140 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:371649:379451:-1 gene:PPA03140 transcript:PPA03140 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDAVRRSQIFMLMEKNVAVALSDMESLRFHLLIHDIYSSSDSNRIATENMTDKERAIAHRWVLTGWFATITRVSDAAGLPVAQARQVLEGKTTIIPIVNPYLKDGTHLSKKIYVQPTEAVAKITARMNFEEASFFEYDDFDLINSAPIGRAFMGWVNAQCPGVLDEPRLIERLKEEKFDVMIVENWETCGVGLSHLIKPKSLITASGSVPMGQQGWEFGLDSALSYNPNPLVSSVDIHSMWSRAWNMYAQFVFLATYYSTRNEINTLFQERYGPEFPSITEISSHAAYTFINSEPLIDFAAPTLSRVISIGGIGAKEPKKLDKDLDDFLSLRKKTVLISFGSIVQSYTLPLEVKQNILKVADSMITRFPEVTFLWKYEKPHDDFAKKAAASTPNLHLLKWTPQNDLLADERLTAFITHGGMASTQETALRGKPGFFIPFLADQPRNSGMMEKNGLGRVFDKRDLYDADKFHAAVKDLIENESNRKNMAKIAAMIAKKPFSARDITVDFAAEFGPSPALRPQSFDMNWIEYYNVDIILLFTTLIPIIYTHNRDGTLKSKKIYIEQSEEVKKIAESMNFDDSDFFGYDDFNIIHSVALGNAYSTWVASHCRGVLDEPGLIEKMKDEKFDVMIVEVFEVCGTALSHLIKPKSLITTSGSAPFGMMNHEFGLDLALTMSSNPSMILSSLDVHSFWGSLTNIYAEFIVHLSWYSTRTQINNLFHARCAIRRSIKEISSHAAYSFVNSETLIEFAAPTLSRVDRDEIMIVFRVIYIGGIGAKTPKKLNNHLSGARPSVRPPKQNRANLVRLGSCVTETASQSQADRCRGSIARNAKSSHAQMDSAKRYSRRSKIDSNAGMMQRSGVGKVFNKLNLFHADKLYAAVKDLLENDSYRQAALRNSGMIQKKPFSAKEILVKTVEFAAEFGPSPALRPQSFHMSWIEYHNVDIIAVSASFEFSILLCV >PPA03094 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:166211:173189:1 gene:PPA03094 transcript:PPA03094 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAEMAHFDSVMVLLATALLWMTHAGLASAFFLLNYLLFALLRDPILFVMGRIGLLKTVTPRAILAVQMFSLIPAMIFAAYAISQCVDFFVPVIGRLGMAINPEAIMAPLGLVIAFTFVLFTNNLVYISRPLKFGFRVSFLLMLGLFIALATSNLGVPYKFTDDAPRLRRLIALHSHRTIYSFEGKREAAENTLFIQSFDYRGTKDLPAHSFLSGSPPTDCHKTKDEYCRMPYYTAIHELFPPSESVWVAVPAAPTIPYPIQTKLLSRERLGNSKLLNMTFELNGGYDKMSLHVTALNGFELKSWSFTPLDIQDVNKRDTYFVFLTYGSETPPTRKFWLVLEQTGDSFPDVTKEASLELAVASHHAHGEHQNSETLRQLRSLISSRRQSTHFAVGWWKWGITLIGGVSEIVVRQY >PPA03118 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:299506:301385:-1 gene:PPA03118 transcript:PPA03118 gene_biotype:protein_coding transcript_biotype:protein_coding MITDNFVWKSIATCFMCKRGQGRITPTPETKAEQPENESADSKINKLVILADGGDAPIKNALEIPSNGISDDGSRMMAVESMAVRGVSSSDDAQEISDDQNATASKTQLISLAQTITVGTAESLPPLEIDARELDVERLDEKDDSKDDDNASKLPPIYESH >PPA03082 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig115:100617:101859:1 gene:PPA03082 transcript:PPA03082 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSHATALTGNCERCVNGNCGPVPYCPWPKKTEASKCSSSEEWCRCFPGGCEKQSGCVIVPVPSCPWPPKKQPVTPAKKNLTEALPRIRTINEQKLR >PPA03088 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:136653:141221:-1 gene:PPA03088 transcript:PPA03088 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNVPVMEHPCIIHGCGYSTNRRWNLFTHLQRKHQYPANLIESMKHVQANNRKRTRMLMQHGYDFHCGYCDLAYPSKKRLNEHVKRKHPERRNSENGAQVTCPAPGCKHVCQTRERLVHHAAVAHASAECKYMIEHVVFDNEDVFHSWKLDLEKDTESSFSINSGASAADSKRTYMWCAKANKVRVSKPLPDAERKRFGNYSGRTKRVQSHCTAFITACFHSNGEVEVKFCRDHIGHNQQIDEERKPRVKKEQIDDELESDDDDSLIDVGGDEIDHEEYVEDEGVHGQRMYLDEDDDEGKKPVIRFLTASDRMRPQLNPSYAMEEEYEEEEEEPGQSQPAMRYLPPTLHPQERLRMAYPRPVRPHMRNEEIKEEEPDLTSELLRQSHQRVRSMHGVITKKGRDQGRYNHHLQVPMKIVSPIRRVRRPTKNEPVVIVETDRQQLYELDPEDIGQEEVVEEETVYIEEPGSSYEKTTATANATAHAVSGVINVADGIENDGVRTLTKTVLVFPAAGVAAVGAVATTGAFVSDVVSTLRSWPFK >PPA03121 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:306400:306853:1 gene:PPA03121 transcript:PPA03121 gene_biotype:protein_coding transcript_biotype:protein_coding MLILATSVLGFIECLSKSDIERRVLARGWRFAAPTRALDAPDAPEADADAPRVRTFVRQAHLQWEHDEVDSRAVSENVDLDKVDDGGFERLRRALHSMHPRVGVRLWKREALPWGVDQ >PPA03129 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:327469:329928:-1 gene:PPA03129 transcript:PPA03129 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVLCLGIAALLNDIVSFILRIFNQVFYLGPEHGPTCLSLMYLSHIFVSAHSILKALQTALYIDIGGGRLKYAGIEKFSTLIFWLIATLLESRKLNNIAMYMFSYPNAIITFTSPIALILMSKPASRPSLLNDVALFVMRISNQVFYLGPEYGPTCLSLMYLSHIFVSAHSILKALQVINRFTTVFLPFKHEKVSVTIFLETWLKGPGTLWTLMFR >PPA03114 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:275548:277639:-1 gene:PPA03114 transcript:PPA03114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-aqp-1 MAASHHVIVDKARKRISIKDNLLRELLAELGATFLLVFLGLCNVAQFVLTQHKVNSWVSVQLGWGLIITFCIYTAARTSGAHMNPAISFMIYTFGQLTLKKFLLYSLMQLIGAFFGSAAMYAYYYPLFDYFDGGNRTVSGENTSSAMVFCSYPGAHMKDAIFGPFVDQFVGTAALAFMICVICDDRNEIPKYLRPLLIGLSVVMIGTCFAVNLGYPWIIQINPARDLGPRLFSFFVYGSEVFTKPTPVYFFAPVIAPMFGAPAGGKVRLFDPSLTDHI >PPA03110 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:266966:267241:1 gene:PPA03110 transcript:PPA03110 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLFVLPYIVPLLFVVNAKSAPVLDAASERFLNNPLISKLLALNLAESPQTNAESNSIA >PPA03141 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:382758:387983:-1 gene:PPA03141 transcript:PPA03141 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDFVFTILTLVFLEQETFANDSPSGLIEDSTVAGKACLKMANDSFFRARELYPDQAFSPWILEVVDNCDREMRWYIEYYKDKVALKPEWLAFEFLIFDDEGSVSLATWPELNDKLWAPYKNKDEFSFYSHQASYPHENAEEAAGVHCIKSAHGTFLSQPGSTDVYPKPKCSSGQSSLSAHRDGSVAIKYTFLFANEQWRPFKNEKGSWSFQSAHGTWLQAKADGTVSLQTHLGADGQFWLQSIEGPALVTSTELMLKTTTTTTVEIPSKNDEVSSNSNSNMNSIDANSNVIENASPDQLLPVERIEDSTAAGQACLKTAHGTFLRGRNRRPEIAFHQWDLEVADHCDDALRWYIEYYNQQVMLKPEWNTFYVLIAKLDGFVSLTMNPSDSERMWNPLKNKDGSWSFRSVHGGWLSVHKNGTINTVQNSNDSTKKFMLESRKEHVGVDGAGLSSLSIQACPRSVKCNSVDELVPFFHHNNNKNSNNDNNRSTINSNYYLFFLHHIHLYFNCSSFYNSWAFFFIFHNCFPIDCFLYITNYFTCNFHHYFPEIFSDNPSTAASVTLQPEDDKGAIIPSWPREFIVITVVAAILIVCLIIASVFFYRRDAMLKKEIKKNERKVESQRKLLPEINEIAGCGVQFGRRSYEAVNEFYRLQ >PPA03135 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig115:350523:351376:-1 gene:PPA03135 transcript:PPA03135 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLALADFGGVTCVGSLFGYAMLQGLVYCSDVTFSWIMGVGVCCCWYVSTGTCALLYPNNLNMTHNMCVAFVIPSLYIAYSLIVRWKASSFEASTITQKLQAQIFTQASIICFFNVTTAAA >PPA03078 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:85881:89115:-1 gene:PPA03078 transcript:PPA03078 gene_biotype:protein_coding transcript_biotype:protein_coding MNAILHRQARGYRGNRREERNSVPFCTAKREASEENVEKREAVEKRESAEESVGGVDTNVQCPRCSNTSGKREASEENVEKAVVSEGGRSKINGANKSHNIPYRQEKREAVAEAASGNCSCTPDLGCFNCPNGVCTKVAYCLNSMKREASEEIVEKREAAEEKHGRKQKRCHFHVCERPNAKSNRGCKKVPCDMEFDDVEKREAVEKSGAEETTIRKREAAFGFKSCMCNNGECEQCDDQGCETVASCTGKRAVSEEIVEKREAVKHSKMTHLDDFLNDVKKRDEHSDFIMKDDETL >PPA03134 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:348953:349503:-1 gene:PPA03134 transcript:PPA03134 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGCRSLIAHYCKGEHCAEVTFKEQANTKEEMKALNVSHLLNITCADGFQLLLTEDNNEHPNITYEQDKLLCKNVGPDDCGDYCQFEL >PPA03115 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig115:278085:279023:-1 gene:PPA03115 transcript:PPA03115 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLTVLLCLLIIRWAWVAFLECLEGLQVYCFPEPNIIYPPCAPPVIDACCDDLPCKPTLCEATPCPIPAPTTCPIDCTESTEMAPCPVPLQPPCSLDDICELIPCVIDELTYVEDLPRRPIEIEAVPETTQIVRRSEERMVVSQPEEIIQSSSLRSSARSSMRTIGVEHSIKMRNAQRTEHIERTVVSN >PPA03128 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:320465:326481:-1 gene:PPA03128 transcript:PPA03128 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPRLKKCFLCLESAPQTRRFPQSSKPDEQLEWLLRQNRDEEGFQLLLNRHRTMRPDAIAPPPLLTVPLRTSRPANLIHQSQFSFTTGSQSGNDNSSMPPSPSFSALDTMGSEYVCSQETSQGEEDDEEIEEEEDASGERGHYAIVEDACLLRLFKRCQECGAGVDQSLIEIKRCGSARIVRYDCLNPECNASVKWESQEKVGSGRSRVYSANHSIPIAAFITGTPLPRLCDFAQVLELEIPSDRQMRKTIREIGSIATERVFDGWQEISRELAVNVAGDKGLQVSIDGQYDSPGHTSTNGKVTVIDCETKLALAGVAKSKNDPGIDGVSCRIESEGAMEAIIELVDRNINIRTIVGDQNGMVNKRLREDPKTASIERVFDFWHVQKPMRKEWWKIVKVNPELTPIYQQFFNHLYYVHNKYTERKDRPYALELVRSFLMHIQGKHKWEKNDEFQLVTQCEHGRLREKDNGETTTLNAGTEEFEAVRKVLYAPRFKKAFLEAASLIDTITQIMGNEYSNRSKFEILEESIKVRIELSNTRTMRLLGIPEDDVFDVLNAWWEEKEAQWGLEMEWIEEESDEEEAGDIYD >PPA03103 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:238125:242337:-1 gene:PPA03103 transcript:PPA03103 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTDKKKLEAMIQLEDVSAEGPDFSAEEPEVSARERLLSISDPQRQDDFGLTGKMTKYLRASRENMDFERDRLTYEHTLVRYGTWLNVRRQVLAYAVLLFVGFIYKSHVDFYEFTSALHTINEGRHIHCCCEKPARVEYLRELDKNFNSHGFYFYIKILVPFAASLTCPLWERHISRHSVLIGSVLTAALSSLTLMFSLDLDGVQFVHVLQNLCMTSVMLISMITLTEILPYNLRFISVGLYMFVGGLATAVTTLHFYRGFSLTTLGALLSIGYFACLFVVLYVAHDSILHMNIRNKADIVEKIIRKREARLFASNPEGDIYYHTRVAKKVFEDLVYLDRDELKLSDFLKRLWRNSAMGEIVLILFQAISAGMLDYELIRFWNERFVGPYLIGAERIIGYPLVLLMIFMLRRFHRVKAISLILAATLLVASVHHLIIKFDNTNGCAEFGIVESKYAILAAIFNMLVHFMETAPSSLRMTCFMIVYFPYTIANMMTPVVLSGETYSTPSVVVLYSYHVAIIVITAFRARSKLPFSLYLFDLMPVSEREPDSEREESTEEDLTHKPAENVTSAVVSNIREAEGKKRFDPFASIKKMITRKG >PPA03151 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig115:438430:439083:1 gene:PPA03151 transcript:PPA03151 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKFAEKAALILSSISCFSGGILLGVCFVDMIPEAMADFDDWQKLSGQKVDFPWILCGTVIGFLIVYIIDGLSTYFLRRQEQCVYHQD >PPA03123 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:309325:309932:1 gene:PPA03123 transcript:PPA03123 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAAQPIYGYGCDPGYGMGMGYGYGGPCVTPVVATSGYYGGYNPYGYGGGYGYVTTAARVEDAAAAAADTTSDLDDL >PPA03101 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig115:230483:234640:1 gene:PPA03101 transcript:PPA03101 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVPSSIALIYVLIILVGVAGNAWVVLSVVRSRRPKSKTSPASPSDRLRSYIAVLAVVDLTALMALFVRTLYLVLPELRLVERYITIRKPFCSSIRKRFIQLTPVIAICALTVFSAALLLEMNNVVVTSDGLNCMQSTKKKVWMEISGQVVAASFIAQLMMISNNYSQIVRHVRRKFSKRKARVVAHSRQHESLVSEPRYMREMTSAILRVLLFHVVCWLPFCALRLVPIGDSISQLSASIRMFGNFKDYSLVSIGVFFAHILTYVSATGDWIFYAVMNRDLRNLIRLTTERRKRSTLSQQCSPSPLHKSLRQQVTSSLRFFQSIQSYRSSGAGCSFDDSTYGHSLVIQQGHGGESPKSSIFSNDFVPSPKISAISKYRTSLLNNDEVALLYIFV >PPA03131 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:336552:339358:1 gene:PPA03131 transcript:PPA03131 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLTWVHFYRWHYITEYSIDITGPFMISVQKMTTLAFSLHDGAVKKEEELSPLQKREAISRVPDLLPYLSYIFHFQTILAGPLTYYTDFINMTRGTHIVKNEKGEMPDPYPVAKVKLMKTFVFMLIIAFVEPHFPPTVLDRTDLNPFSWMVLFWFCFVLQRMPYYYAWYFADSICNLSGYGFSGYDEQTGEAKWDLTTNVHAWRVESATSFKETLDAWNTSTMGWLRRVAFDRAPKKYRTISTYLLSAWWHGIFMGYYLTFLTGAIMTLGGKAFRRSFRWRFQSSPSLKFVYDVITFIGTKMVLAYTTYPFVSLHWAPSIGMYKRLYFVGHILALFCAVALPILFPPPRDDKKTNGQVKEDKSAKKIE >PPA03095 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig115:185813:186770:1 gene:PPA03095 transcript:PPA03095 gene_biotype:protein_coding transcript_biotype:protein_coding MINSTMQYLHLPSKTQLTIQFQSSGVKVHPDVTSLLNRMGEGKKEFRYAIFKITDNEVVIETVVTQNELGPIDDDYADSSKGTFDKFAHHVKTRTSGYADCRFAVIDVKFTCVRTGAGVAKMGKLVFVLL >PPA03104 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig115:242566:245609:-1 gene:PPA03104 transcript:PPA03104 gene_biotype:protein_coding transcript_biotype:protein_coding MGALIMLVNTPMIIYIYTHHHLRKQKEVVIIGFVCLADLSNATAFFFAGLHRLDLVRTGQVSKMWTNHECGGTIFAYVFIASYQLIGASTLAITIDRVIAVTRPFKYRTFTWRYAYTVLGLGLGFVSLGFFICLYFWYTGPQMIVPAMCYTSTAYIPQVWNYMLYFRQCSIAASIVMYLPIAVRVIYLRKRALGQRSVIKTNANPHRRLVRTTVLIGITLLCEILFVAIPDFFLNFNLFGLKKYEMIWYLIVLSKGIVNIFLYSFNHEDIKGALVSHLPVGIKTRVARSDAHQTLTDRVVYHQ >PPA03119 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:302574:303809:1 gene:PPA03119 transcript:PPA03119 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQIPSFEISPLSTLIFTLKALFTKPSEQLSPLIFISLLDRGGFTEHRDRCIRGVPKFATGLSNSTVVDIPSFDNSTLLETTAAAVIEYV >PPA03084 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:104177:107075:-1 gene:PPA03084 transcript:PPA03084 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSPYEEERMAHSYHSYAVIDATAQNFGWIFDVAVAAGRTWDVSIDEVDFRHWDGDFEYNQLVYQKYEPEQLQRVLNNPWALWKYKLMIVETERHRNKIPRPPHRESIATFQRNFYRVMFGATDFNVCNYKPGGRPEGIPSILRTFELSCAFQLFVRVMVLSTFYVRWYYCYLRCIPVLSNKKTGFILRCLALLTPKLQLIEMIFAFLLTCLQQDNDKPYQRDDVLTVIFLFPFVLIGWAISVCIYMLVFTLMSLIDEGSKHSSRLAQIRLLCLTICVLCAPIWIQNHLPFIEWKSCFTEVPYREALSEYATVLAVIVFVGTQLYEMRNFWGLLSCTDHDYCVELNSEFESKYRPAEVEIRPALNKNRLSSIKGSDLFEKVFRCLSHGSDGCMDCLRICHV >PPA03139 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:369993:370484:1 gene:PPA03139 transcript:PPA03139 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLEQHLQTPVSTREEGIALVEENMAAARAALAVYEAARGQQGSIDPNGANAGAKAEDSFSANEQAENEVEKNPEA >PPA03085 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:117571:120635:-1 gene:PPA03085 transcript:PPA03085 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFDNEEHKNRELAELESTKEDLGRMPAEDMKDEIEHLFTTIRLAEEAIKHGWYSLFTCHKATIAAGMDLIFARLSSDF >PPA03153 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig115:441955:442431:1 gene:PPA03153 transcript:PPA03153 gene_biotype:protein_coding transcript_biotype:protein_coding MGHREKAFALFSQLGHNGQRLHRFGSATLQFFASVGDIRIYAPDERLTSENTSDEEKERLLFWDPRVQSSYVSAAYSMC >PPA03075 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig115:47899:57643:1 gene:PPA03075 transcript:PPA03075 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLFDITRSVSVRPGESALFDQVLDVIRRMFVDGLSKCFESSPIGMDGVVNYNSVHQDNRQFGGFCMDNQEIVVRELDELLMEKWQILPPHTFKCIIEMLIQNVSKQYRLNQFHEAASEVRSTIFNVLFTVRACPITRRLVRYREEDGSPSTAVANVFIRLYDGKEGEFSWSSIADVTVQALKNDRSWPVTLAIISGLAKVLEYTEMVRTAGDKSILALVEALLNVTVRAARNDYFYKEFDETMIEKSRKEATQERLRLLPIVLATLIGFTNENRSENRSHELCRQIVDYVKQGSVEAIIASSIALQRVPDGFASFGPLFVELLSSQEPVHGRAIPVLEFFSDAAHVEQFHKFFLYDHYRQVTDCLAPYTIVEYYNPYIVTLAHRALMMWFSLVPTKMRPDVRIMMMDRVHRAMEYYYPKGMSRQPSGDGRNEASSMYVLGGAPDTPPPMGGAVTARASASEEISEEIERALGAFFWLGKIDDVVDDPATEKMVEMAQEHFLVNDHILSVRTLAEKEEDDVFTPEATSSSSTSTPTTSSAAAAATTERGSREEGRETPKGLMMLRGNAVFPMRLSGREQAI >PPA03077 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:85253:86860:1 gene:PPA03077 transcript:PPA03077 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTHSIHLFNSTKFFYLLHIDPQQPIPMWRVARHEIDDFFLDFHEELLSRVNMELDFDATAPFSDGIQYQDSFRGFSKKDQFLLTGHYLLLCFDIKEKIELERMDGNRREGLIILHNEITMFISLLYVIQKIIEPHGLFTPQDHNTRQCNQERADSAKLDRNRSISNSTSSSSGRSTPSDSGISSDDEIESKKEIDIESTDEDDFEAYSEEIHKMATNTSDLPPIHDCDWILEVLTKEKARRERLFDKFCEAEFMTEFPLSSTIIDTSVFYRLDAYQKMFFFLL >PPA03112 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:270918:271283:-1 gene:PPA03112 transcript:PPA03112 gene_biotype:protein_coding transcript_biotype:protein_coding METEKPSTREEILEIACFAWACHFKNTKGCRAECNKLAGLRLNVSEEDSMDAYDGDDAKLCTAE >PPA03102 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig115:235554:236774:-1 gene:PPA03102 transcript:PPA03102 gene_biotype:protein_coding transcript_biotype:protein_coding MIVNIPMLIFIYGHPHLRQQKEVVTIGFVCYADLINAMGYFFAENDFWLNLNCTRVVFPYFLLSSYLLIGASTLTITIDRVIAVTVPLKYRTFTWRYAYGLVGSALALVPLRNFERNHQHLSLFLQSRRYQRSTTKSFAVENETTSDQVRFPSRIG >PPA03109 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig115:265678:266649:1 gene:PPA03109 transcript:PPA03109 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFLLAFILPLLYVLDAGPVNSDDNPFSNMSELETRMLEMMFSEMPETQAESFSLSCFFTYCHFDDKNGCRNECNKLGKLRLSEKERILRDNGAEHIPYYGTDVKLCAAQCMLMMPEGSESEKVCENVCVMETSHPDREKWIQQAKELREKSRQRHLQESQKE >PPA03117 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:292305:297401:1 gene:PPA03117 transcript:PPA03117 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRSLDKIAETIALQDEREKKESALFLVWNAVNDPMMGYLQDIGCCGMTWIMDRRKVILYVAPVFAFSYMIFWFPWSTTNTFITGMHLLISLFLFDTILTLVLSAYCGILVETCSKHSQRVRCVVYAELFLILGGSIIFPLNVFSDEAKNFPRFQAGCFVIAIIGAVSMAGGAYFLNPRKEIELPEEVEMSKEAAQAADESSFKKAMRVSWEIVCEPRFLFLVGAQFMRTLRTQTMMTFQMILIGALVSRVGFMEAGSPALSLFYAIVQPIGSILFMFLWKPLSLLGTHKMMLILLGVALANVIVAIFLGRSDPLYVIVFLGVEVICSSTGGRSFFMLLSGEVVDTDTKMRKRTYYLDL >PPA03111 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:268831:269286:1 gene:PPA03111 transcript:PPA03111 gene_biotype:protein_coding transcript_biotype:protein_coding MTDIAIQSIGCFAWYCYFEDKDECRNECNKYIELSVTVDVQTEDILNAYVGNDAKLCAVFCMKFAKSGTESEKVCANLCRMETSHPEREKWVPHKNETMAWLAALYKE >PPA03080 pep:known supercontig:P_pacificus-5.0:Ppa_Contig115:96863:97392:1 gene:PPA03080 transcript:PPA03080 gene_biotype:protein_coding transcript_biotype:protein_coding MCFCVAKNKCEFCVGGGCKEVKKCPPGTQFGETPKKREIVKREETQMCFCVAKNKCEFCVGGGCKEVKKCPPGTQFGETPKKREIGMGDDEIVAMPCEIVASIRHF >PPA03156 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1150:179:1739:1 gene:PPA03156 transcript:PPA03156 gene_biotype:protein_coding transcript_biotype:protein_coding FIEHVLPSICNEALKSVVSKFNASQLITQRQQLGEAHRPSTCFPRPSRMNQLVSLALVGLLVVAPVLSVDIEEEENVLVLTNDNFESALEAHPQYQVLVEFYAQFAPDFTDLTTENIVSFNERFLAGELKQDLMSADVPEDWDAKPVKVLVGKNFKEVDKNSGKGQLVKFYATWYVEIAMKTYTFVRTVQVARARLGGTRREVGHFRQDSHRNVDPNQNE >PPA03158 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1153:1956:2745:-1 gene:PPA03158 transcript:PPA03158 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVVAENRYFKDVYAVEFFLSVFEMHRENAEGVITAENMTTAEKEMVRSHLPDFLHNTGICFRGIFSDTPRELLSGMVVFRLEVLPVLHPFISRYRSWYAQLLSFMIRNPSHALHKHPWWRGDFKFTQ >PPA03192 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:217183:234267:-1 gene:PPA03192 transcript:PPA03192 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGSASGLRKAKTEVIVLDEDEPPPCQQQPAAKKLKLEGEPFLPPPPPPPPDELVDLQQKLLKKEEMFQRACRAAREAELRAERAETLLDSKQGIRQDSNQELDSELEKSVIQIGRTLDPIGGGEKKRKRIHARERHAQAGVDPAEKACVAANYSCRTERWDCSSSKQQGTRPDSRGRSPQEGTGSSEKQGAAEESNERTARENAALKAELEITAGRLAESKEASELARLKDVIKSLSGFRDQFEGLRRQADADRTRDKETIDSMAAKVKKYEKQRYEAMKQLLPPLRQKQQPAAGLQLLAAQQQQQSGAGLQLQQLHAANLQQPMAVQSLHQAMTVRMQQLQQPPQQQPACVPAPKWPSHNQPVRLFVKFADKADPINILEPYRPSAKDSFTLDSAIAHIQAKKNLASDNGRRTYHMYYPDKAVDELECRVCSVTRGKRIKRMHIIYHFLSAKHLDKMRACKAAVSLPAVMYWLQQLQAAADTAAVGEAACAAAAANMIVPAGAQPAAAATSTAAAAATDGLAAAAAGKGWMTGQFRVFAIKMTRIMSVRTPKVKSAGISKALLTPEWIRQWRMENDASSGSSSDGSESEEDWELSCICRLKEDNGETVARAYQEDRAPPPWEISCICGQKEEDGEDMVRCDQCLLRWEHVGCIFPATKQVPEGPYFCHVCRPRATELTPEQARAYQDEVQKKRKKRKAAGGGGRRRRPSRSSRSAALNAKKRLSPDGLISSSDLRKAEKIASANSSSYREIRNCEYSEQARGLISNDRSPLLRRANADSVALIRDKPRAGEMIMEPNVFGLVTTEEVREEAYIAELVGHMITEQECLHRDLAPGSLNDHTFLIENGAGKVIIDARQCGNFAKCLRRSCKPNAVLEPILCGTQIHIMIKATQKLKLHDEVSIPLEEGWKAKPRPAQGCGCNKSNKKRGKSCELEQFFKRETIFSDDISSSSREALPVNGIAHKPVLGTASRARSLGAATSVSTSSDCFGGEPPSLDCHADAAGELHEPQAPADEAIDRPLRRSSRFAAQAANEQPAVEKGGKRKERTPKKRELTTSLNEESMDEQPAEKTVRVDESMPDPPSPSTPSSAAGDKDMSQGARREAELRAERAESLLDVKSSREDSSDLDKELEKRLAPLTVQLDEARRNADKFARENDSLKVKLVKLESRESGKKLRNQQDKITKVKVELDTAEIAAQAPTANELNLAQENAALKEELKAAAAKSADEIAALNNKLDMAEEMEKIVNDKDDALTQENRLLKKQLREMKKRGGKGQEEMKSREDRKLQAAIERIERQSKKSGSKKEGEIISGEEKKDRNEKKGEVATKSFMTRADSSNIASSSRKSRPANGITRKKIANDDSIGEQSVAKSKERLSKDHDYVGANPSAKVAPETSQFPVMGGVTDPCQFRARLCRSHNIHLHYEL >PPA03221 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig116:499747:503932:-1 gene:PPA03221 transcript:PPA03221 gene_biotype:protein_coding transcript_biotype:protein_coding MHKIVLSMNIVQEKIQKNDLDEVATLSSKLCSLSAVARPKAVKLQASNLTITQEKSFLRFEMRYNYQTYFLGNSDKLESQITRVSMDDHFVEFIEQITSKTCASLFMTIIRSVMPGEFTLRLKTPAISIDQDFLIEYANRIEFPYIMIDIENSSILHADDLFMASLWKFKILDMPNLLVNSDLLIDALFTRLRCRRTGCWKFAVSRNIDEREFTSALGHDLKCTRDDRSGTTIFRIDVIGTARVYFARIVGDMPAAKIVGAISDYIRGDSAAPLDNLAGLQKVFGD >PPA03183 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:117113:118000:-1 gene:PPA03183 transcript:PPA03183 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTTSSTGATIGKFYILVQRYFILKSISFDEQKWSGKIMRRLLFVQFFFPFLLSFIYGFGTYKSKIKDGVLILNGASDTTTVTIKVVNNIIYAVYVFFGFSFIYLYRRSFKRMKRHAESGTTSNLLNKQRVMVIFVLGCTITHLIKAIHQIIWTIAAAMGSQTFVEAVYPLKNQMVTCRG >PPA03184 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:126090:155143:1 gene:PPA03184 transcript:PPA03184 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEEPSLDSSESEGGVVVAKNASGRCVLSADRASHFCGLDAEVSEPPILPHDEGLCRVSKKSGREICFPKYSDLDMSCNDETSSSLSHPPKIAHATVHAMAFVPPEDLKNLLRQYYRKHRMQAPKNFTPPTRAFLVAKMACEHGYELVDEVNMIFCSGKEWVRTLPQCRGLGACANENGGCSDSCLSPDGVNVECHCPSGKILSEDRKTCTIPIPTELCRNLAGCSCAEVDAKQYTCRCPHSKNCLLMSGPPKIYIEPAGPYEIVPGGNINVTCSAVAFPFPDIVWQRNNETVSLPANNNGRVQSSKILIIKELNGNAEYSCFANNTEGSAERKINIIVKGPGSPPVIRAVRPDRTAVSIKWEPPHIVNRPVTGYTIYYTTNKHQPIKNWKTLQVPGDATEWTINDLRPQTQYNIRIRANDELGPGKIGQPVTMTTRDPATRPAIVIPEGEELRVAPLTPFVISCNVTRADPLPTITWEHKTRNGVTLTRGRPVNAGQKSTFITLKSEGLAENTQFACVADNEAGRTTKKINVTITGPSAPERVRYQVDGDKVNLQWNEPKITNGPMAGYDVYYTDDPSLPEDQWKVHRIDDPNARSTTIPGLDEQEPYTFKIRGRNRLGEGVPTPAFTATTWLKGKGKKEGEGKMITLISARSPTVSVAPEGRIELDPSTNELTIECEANGVPKPKIIWLWSGGFVEDGKDEFRVYDVTPIDSSDSTKSKLIASSTTRSGTATCQAINTEGSDEAKVDVHIRGPGSAPLNIKPTPTSDGFDVAWTPPKRTNGRIANYIIYYSKNPDAPLSEWEKLIVDGDQRNATISVGDEDTPYTVKVQAATDDGPGIISEAMDVTTGRRQVPLTVRLEIIDPSVTEGVDTTVEPSQPIHFRCIVEGRPRPSVSYSWLPINTTNESGDEPVPIPVEQDSPDEHRYTSIQVYSTTSTKRILLCTARNPDGSVEDKHVFIVNKPGSPPRDIETIVDDDNRVTINWKPPKHPNGDITSYRVYLTGDPSKPIEQWQVFDVTNPHDLKKEFLRGELEPETPYYVRISAVNPDGEGVKSDPVSFTTVSGAPKDSPKDVVPTVGEDNTVNLTWSGPSDPNGPIQSYTVYFAPDDGTANDDDYKQWQKIEVPSTDDHGGITIPKDEYGLQPNTPYKIRVTATNDLSEGPASDPVTFKTGSGELPPEITIDAPEKPAKVAPKGDIIVTCTAAGVPNPRVYWVLENGDVQEGPVLRITGITRDTSATCHAENNAGKTQSVLPIHVTGPGSAPNEIVALPMPGQGINVEWTTPDDVNGKIDNYVIQYGEIAEGETEPKEWKEAIVPADDVNHQLPDMKPKVPYAIRMKAVSDRGEGPMSQPIVVRTLPLAPEPIKDLTANVHPNNSVTLKFTPPVDPEDPTKNIKDFVISYTTDDPPDDTSEWKEVKYTDPDPKDGTVELMMDGDNFKPDTKFHIRVTPRGEIDGPPSEPTEFTTGDGVVPPGQPEFNVDVPEDNTIKVPPGSDYSISCSAEGFPAPSVRWMDENGKPLSDGPTLKLHDVRKGVKVMCVAENKGGRTERPFNLFVAGPGSAPENVQLNNDKPATIGVTWDPPTITNGNVTKYIVYYTPLDDQNPASQVGQVQNKPINEWMAQHVTPDDPMKGPMKVELKDFVQTDTAYAVVIQAINDNGPGPYSNQYTIRTMSKKREGPPRNLRVEPDGQRSANVDWQEPETMHEKPLSYEIYYIPGDKSIDVDDAVSLSDWTKITVPDPSQLKHRINNLLTPNTDYVFKIRAIYPDGPSVFSEPCLMKTLPDGNAPYIVISTGDSGVDGTSTIEVLPGSAIKVSCNASGTPLPHVRWIKAGTFDIDPSTIREGETFASFSLDVSNITEDTTFNCLATNPLGIANWTIYVNVQPGLKPNWADDLVIAKTDGDTPLLQFTDDLPAYLKPPADWEIHYTTDPSKPRDEWEKVTSDGAPLNKVAVPGMEPGTPYWIVVNSPSKGIETPVIKIETPKPPTDLRVGQNMNGDDVLDFKPAIAQLPIKSYTVKYWPVNEPTNAKQVSSGPDSTQIVIPDLKPDTDYNFQVIAEFHDGSSLPSETSELKTPPGDVECDCAHACTFEEEDDGSLKSICFCNSGFELGDDGKSCSPSETTSVAENIFELSTERPSTGEEPEEIPTTPIGGIQDLIQGTDESGAFLGPDASPLPTDKDGKPVFVDQSKEVEPPTDSSGRVIEPAVLPDGTPLKITPAGDYIDPFGNVVLKDDDGNPLGPNGEALEKDSDGKWKYPFVDKTGQPIPTDESNKPVFNVVDADNNPVTRNEEGQWELPDGTTIDVDEWGRPIGPNGEVIQPNDEGQYVVEDAGGAHVITTSIPSIPKMERMKIVEEDDDGIWKDEDGNTIPTNDEGKPIGPDGKLLPKNEEVAEGGVITPTQKPSVIGPNGELLPTDASGSPINPDGIPIKTNDAGEPLGPDGNVLPKDDAGNFIYLAVGPDGSPLPTDENKKPVYPVVGPDGQPLATDSTGAVVGPDGEPIPTDASGRPVGAEGSPLPTDANGNYVNVPKEDKFEEHATDESGRILYPIVGPDGFPLATDSTGNYLNEDGSPIEKNDEGRPLGPDGNVLPTDASGNFIYPAVGPDGSPLPTDANNKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDASGNVLPTDSNGNYIQPAVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDASGNVLPTDSNGNYIQPAVGPDGQPLPTDSAGKPVYPVVGSDGQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNVLPTDSDGKYISPKTEGDDEEKVVLPIIGPDGGPMPTDHSGKAVLEDGSIYISPKTEGDDEEKVVLPIIGPDGGPMPTDHSGKAVLEDGSIVKTNDAGEPLGPDGNVLPKDDAGNFIYPAVGPDGSPLPTDENKKPVYPVVGPDGQPLATDSTGAVVGPDGEPIPTDASGRPVGADGSPLPTDANGNYVNVPKEDKSEELATDESGQVVYPIVGPDGKPLATDSTGNYIDKNGNQIGRDEEGRPVDPNGEVLPTDSTGKFIYPALGPDGSPLPTDENQKPVYPILGPDGTPLTTDSTGRLTRPDGDPIPTDESGRPLNIDGEVLPTNEEGSYILPEESPDCELRSINIASSSDILFVIETTEAAFPFIPEIRDTLKEFTRVKTTVQGPKIRLNHGPSDVILALKTAVREAESSGRTVVVLHFYATPLSDDVRSKIREMQSDKVHIMNLPENAWSKVSDPSSKISVIVCSKVPEEAKKTVPLIRPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDASGNVLPTDSNGNYIQPAVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNALPTDSNGNYIQPAVGPDGSPLPTDANNKPVYPVVGPDGQPLATDSTGAVVEPDGQPISTDASGKPVDADGNVLPTDSNGNYIQPAVGPDGQPLPTDSAGKPVYPVDDAGNFIYPAVGPDGSPLPTDENKKPVYPVVGPDGQPLATDSTGAVVGPDGEPIPTDASGRPVGADGSPLPTDANGNYVNVPKDDISKELPTDETGQVIHPVTGPDGQPLPTDASGNFIKDDGTPIEKDGEGRPLGPDGNVLPTDASGNFIYPAVGPDGSPLPTDANNKPVYPVVGPDGQPLATDSTGAVVGPDGQPISTDASGKPVDASGNVLPTDSNGNYIQPAVGPDGQPLPTDSAGKPVYPVLGPDGQPLATDTTGAVVGPDGQPIPTDASGKPVDASGNVLPTDSNGNYFQPAVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNVLPTDSDGKYISPKTEGDDEEKVVLPIIGPDGGPMPTDHSGKAVLEDGSIVKTNDAGEPLGPDGNVLPKDDAGNFIYPAVGPDGSPLPTDENKKPVYPVVGPDGQPLATDSTGAVVGPDGEPIPTDASGRPVGADGSPLPTDANGNYVNVPKDDISKELPTDETGQVIHPVTGPDGQPLPTDASGNFIKDDGTPIEKDGEGRPLGPDGNVLPTDASGNFIYPAVGPDGSPLPTDANNKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNVLPTDSNDNYIQPAVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDASGNVLPTDSNGNYIQPAVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNVLPTDSDGKYISPKTEGDDEEKVVLPIIGPDGGPMPTDHSGKAVLEDGSIVKTNDAGEPLGPDGNVLPKDDAGNFIYPAVGPDGSPLPTDENKKPVYPVVGPDGQPLATDSTGAVVGPDGEPIPTDASGRPVGADGSPLPTDANGNYVNVPKDDISKELPTDETGPDGEPIPTDASGRPVGADGSPLPTDANGNYVNVPKDDISKELPTDETGQVIHPVTGPDGQPLPTDASGNFIKDDGTPIEKDGEGRPLGPDGNVLPTDASGNFIYPAVGPDGSPLPTDANNKPVYPVVGPDRQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNVLPTDSNGNYIQPAVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNVLPTDSNGNYIQPAVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNVLPTDSNGNYIQPAVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNVLPTDSNGNYIQPAVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVFGPDGQPIPTDASGKPVDADGNVLPTDSDGKYISPKTEGDDEEKVVLPIIGPDGGPMPTDHSGKAVLEDGSIVKTNDAGEPLGPDGNVLPKDDAGNFIYPAVGPDGSPLPTDENKKPVYPVVGPDGQPLATDSTGAVVDQTESHSPQMPLADLPVGADGSPLPTDANGNYVNVPKDDISKELPTDETGQVIHPVTGPDGQPLPTDASGNFIKDDGTPIEKDGEGRPLGPDGNVLPTDASGNFIYPAVGPDGSPLPTDANNKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNVLPTDSNGNYIQPAVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNVLPTDSNGNYIQPAVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDAEGNVLPTDSNGNFVRPSIEATVKPVSEGEMDCDLQKGVMDVVVVMSSQDASSDQLASYRISVNGLISRFDMAPDVTRVGLVQYGSEAQVPIPLGNYNEKSEIEDAMGEISAMPDRGEPPRLSIGVKAALEQFNQFGRAAASKLMIILANGKDFE >PPA03206 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:363874:366306:1 gene:PPA03206 transcript:PPA03206 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPAKEDTWAFQPIGAPFPENPVKVLGQQNMYVALWYKHGKPIHGRAWNNGGVVECSFPYLKAELTGAKDLGGQIQVLQYKGDHRNLGYWYNWIKYSQRFDGEHREILRCGDSIPLLWANRPQGAILGYVDNKTEIARFSHDGISEDKSGGELADMMIIVRELKGGPPNCECPVCAKLPPKPVIRVMLNEWADFRAGDPWPARKMVKALDKSLDTLAGESPDQYVALWYQAGEPVMGRVWNEGGKIAANFSWNGKEFKTNIGSIQILFELSEHVRGFDYGWIPYQQAATFGEKEWHPVHVAHHKGDISPAVIVIDGKQILGKVDIKNERACAGHGGKEVMHVAGAVHSSVVLCRRAKPGCKFD >PPA03169 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:30928:32135:-1 gene:PPA03169 transcript:PPA03169 gene_biotype:protein_coding transcript_biotype:protein_coding MIYHDHVYTPHGVNPNLGGGGLPSTGHSTLIQPPPPLPPRTFRNGRHGDKPTITVRPYANERVGSSKGDSGESLNGSFPKQRKGGHRGSESRGSDHFDMSRSIDGDSSAMRDLEALRRIGLPLPDNHLMMNGGRDGREGRDAPPPLHRRVRGRDGMSRGEALIEERLPLGDDWDGARNTPMTRIDHAVRNEQEKRKRQAAGGGKGAMKVEMNGNGKIAVADTLLSPVENDPEYMTMRPRGIPSGKSGRERTMNGLGSGESQKRPLLAAAEDDESSEGTEAPPSSRPPLPAHGEKEVEDDDSQLKGKKYLCFVE >PPA03210 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:410304:411697:-1 gene:PPA03210 transcript:PPA03210 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQKYFPTNKPAKLQDLKSTVDLLTSITFFRMKVLELSSPPRASVVVQECAKACMETTYQLLFDSCCDTGGPREDSVKFWFDFLDYMIGAIEEDKGVYTLVLNQFPQELDVGKLSASTLWTLYKTDLKLALDGT >PPA03208 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:399957:406551:-1 gene:PPA03208 transcript:PPA03208 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAYLKDLSDNFPQTSEHTKFSNSVVDVFTQLNEALKLLKQMDCPNPEVYADMMKRFSKTLNKVLLAYADMVHKDFPRFAANEKLACILMNNVQQLRVQLEKIYENMGGAELDPQASDVLTKLQKKLNNVLDKLGREFAVYLEPNIKEQMIKLGNLLSKIKGQQLQKTQVAAEVDIVLEPLMDVLEATLQRFSQQCEKTVMKYLLKEMWKITVVSMEKIVVLPPLDNKALLKQLPNAKIGDMTKLMSTKINDVKGLSSVKDMMDIAREGSERSLSPKQCTVLDAALDAIKECFHAGGQGLKKSFFEKSEELQALKYALSLYTQTSEQLIRTFITGQKNQDLPSQEQPVGEISVQVDLFAHPGTGEQKVTVKILAANDLRWQTTSVFKPFVEVHLVGPHLADKKRKQATKTKSGNWAPKFNETFHFFLGNEGEPEHYELIFQVKDYCFAREDRIVGVGVLQLASIVEQGYERYNQAGCVSHTPHMGNDRNFTDFALLGSRLQIDDDGLILLRILSQRQADDVAKEFVK >PPA03227 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:528166:539277:-1 gene:PPA03227 transcript:PPA03227 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDEELEQLQVDAKGSSPDRLREKQKPAAGRRGTKEQDAFFSAKGRDDDDTEGEYCTFAISGAGFTEPTPNQARTIPVALAGRKYGRFCAWTPFRIARPQRLQYNRTATQPVRSRRSVVWLEEEEEREERRLLSHEESSMHQPELRWTRMIEVLKPAPLSSSTAIDLLQHLIANYELDEKERLTKHDERHKGQDWPFRRELIDWLLCRDANLDISSAIVAVCSYYPKREIVRPIDRRSSPWTNQECRMRVLVRTQFDGEKEKEKERNPRPDTLIREMVEAVVEEVARDSTNDLTQLRRLCVLQRLTTRVKKEKIKVDEPTPAGGSSDILNESILSVRREMEVEGMDGLAEMRWVRRAANGSKGTASMLSIQRFCSVCSNTKRSLTDCRIWKLVGQILSSAAIIVAGTLWVFYKEMSADNEITPRDTTMTFTCFVLFDMWNALSCRSATKAIWEIGILWSSIELMYFPDSNRLGIYNPSTDTCLSGHEVDRMSDNELELVGDPIRDGVLSCVAPPQVENQASNRAFCLSVSGSLMCQLAVIYWTPLQHIFQTEALSMFDLIFLTALSSTVFIFNEARKCTPSPYSTLYRTHDIVIIVRVCTN >PPA03199 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:289158:294759:1 gene:PPA03199 transcript:PPA03199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vps-53 MTNERDDSTTGDELNPFYSEETAKVVDELCSTRESIVKPDKDLIEQINELFPTEQSLSQLDSVISSVESEITSLDEELARLVEGQSEAIFEGEKALQEAQSAMTELEKRIDSIREKTQSSDAVVREMTRDIKQLDVAKRNLTSSITTLHHLHILLAGVENLGAWTERRDYASIARQLPAVLNVLQLFERHSAVPHIAAITNELESLKSRLSRQLAADLKHSFKSGQLSETVTDMCRVTSALEGGVQADFCRWFVDQQLSEYALLYAENEEAAWIDRIEERYKWFVRKLTDFERTGMGAVFPSDWEMGRRLAKEFCALTADIFTRMLNRRRPDLDWKLLGHAIQHTKMFEALLMKRFPTKKEYNFDKVIWKVFDPFLDVFISAQEKTLNDFLDECSTRIRNGTECPSRETSLMASPFPSSADMFLLLKKVITESSKLSSQPDALLKDVISVVRTCLRNFAVGCLTAFLPSTNANTGNANASGATASALFQSFIRDDSAPVRLTPTQQFFTCCVLATADWCAETTAQLHEKLAQRLPGSEMNSEQETFYSIANSALSVLVNDLEISCDAALQAMTKINWSNVDSVGDESSFVSSIRNHLKQNVPVVRDLLADRRKYFAHLCLKLATQLSHKFVGALFRCRSVSTHGAEQLLLDTHSLKTFLLQLPSVESSVNTKPPTAYISAVNSVLGKAEMMLKIVMSPINSPDEFVENYVKLMPESDVAELQKVLDMRGLKRAEQTQLVTTYRSKTGQSLPPSTSEGLTTASSLQTNAMSQLGSVAAVGLDIADTSMRRLEKLVKKKL >PPA03230 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig116:557180:557601:-1 gene:PPA03230 transcript:PPA03230 gene_biotype:protein_coding transcript_biotype:protein_coding MTQWTDYNIKSIEWQLRRTTRRQQKTKEDGLLEQLVEAEEEDLYYDQVYLKGRFLTSMRDQMTNALDDALCRVKSRIQRESILTSTSHSTHSTIVGTTISSHHSHSI >PPA03228 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig116:539689:548690:-1 gene:PPA03228 transcript:PPA03228 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPSSRLSKCAKKGADSVKRRSEERLGYVDTNCYDCKKRPHVIYYGYKICNECFDAHSMLGSQLGARGGSVDEGGASLYNIIKIRKPQSLEMFDQLFDVLWKLSADTDQNVRSGAELLNRLLMAESLGEAQKTTAKYIKKAENAEKALDALKTEYEKLLDEVEQKNKLIQQYLITLHGDQESDTGDVAPMPHGGRNAERAGARDARADAAAPMVRDASGDTVVQMGGELARVQNMGSRYLKKSVASTLEDKIAAERDRLLVENGEAYYSPNILTRITFFELLERPIYSRAKIAK >PPA03167 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig116:24788:25209:1 gene:PPA03167 transcript:PPA03167 gene_biotype:protein_coding transcript_biotype:protein_coding MREGNEMLHLPIGCIPTDDQMRVDASFNTLFVLSRTLVDTPESSSRFRAHFSSRLSKPPPALLIGMPGEFSSSQAPAILLSPSANEKSPLAVS >PPA03171 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig116:48903:49183:-1 gene:PPA03171 transcript:PPA03171 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAAYGGIPSPFDPGENNEAKRSGSLEQCS >PPA03194 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:239591:255358:-1 gene:PPA03194 transcript:PPA03194 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFGVVYMAFWVLHLFKADPVSPDYRPLIARSFNAAGGAGFFAIGLTLMMTTEMLMQLVGCVFAVAYPIFFMRDLAIPSDQRGDRIWNAIKIVLEAVAVIYVIHFAIPPLIHDHSALRTLLIAAQAGADFYFIEDFVRIIDPCQAVVALTEFGLIYMAYWMFDLFQNQASINAADVGGLCGFVLTYLWPKEMLGKFVFVVTAIRCVLWLVMIVRCLTFAPLLAGAAIGHLHTGFEVVGCFLAMAYPVLFSCDLMIPSDQRADRAKSAFWIAVGTVVLIYVTRFTIAPAIDDELATLIMISARSGADFFFLPDFMKISDDRVPSNLRNKYTATLCVHAFVVVVFVVFAVSVSLCLAAYLTTAGAMQACLVSFAGIVMTAWLPQLVPRIRWVPVVRMHGSMGAVNPGQDDDDDDDTPNYKELEQYALGVAAKTAVGAAALEAVTMVVFGVSIGMLVTAARTVVWVPMIVLKMCVSNEFIGRSLHVSRLRNRAMQQTEEECPESLYLYEGVVDCLMVAGIPRELVREMHEPTLERRWYETQAAIRLCRLEARLRPMHRDFIAHLNVSTSAYQEVTNSLAAGEPREQVRAARERTLVVMRNITEKTVRLCEQGMPSLAGISAKCRKYGWLYLDVWFGYQVANQLDWLITLLINTGIFEIFGDFDPLLIASCAKFLFVMVWSRCIAPYLHDFSQTKECPECSGCKLYREVLEEMGPLARSFHQDVVAWLAAGIDREQSPLELVIVVMKLTLKKCEKEVKKLPIHAEFITEMEEIVRILEQTLIFCRPANISIMSPRKRKCT >PPA03176 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:84398:87223:-1 gene:PPA03176 transcript:PPA03176 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGMMKRKSCDALDFSVHTQPKKDRAWYDQEHETDKERIQGKKRLSFIGNIFSKMKSSSKLSSSTSSLHKPGSRTASDENSFRSTSDHSTISVESQKYKKNTHLKTFINNASTNNLDFSLLDMLNRESELRLSSRSMPAPATPHESTVRRAHSTVSGGVGDSPSVWLRRKSLRLRQRKIEPEMEELKEEEEMENALDETQSSSSNPSHSASFHGTNTATPKSIFVPSSKIDRSRSAAAYSTRQVLQKRAEESPICFSTTGTPDVGTMPKKLTPSGSTSTLQKFGAAIKRGFSSGSRAQRTPRNGSQTSDALVTVMRRQTMDKKRSHDTSKLGVRRMSDVSTIGDISMLHTPRNIDESTRGGFFDADMTTLTGEFSTKVTVPLELEKVVPSDFDAVVVDIAHDGTPVSDISRLIVTQLGIENELKAERSKAPIKTSGIFKREGNPTYVIWVMMSDSDRGRQLRVGYESALNVAFSNQEIGQLMLPQLFCSTADLMEDSLEKFGDSIVRSTYMAFVRGDNYTKINSIVIVGNDVACAVHLNDCLDKLVSEKVSGKMHIGLTGSSFRR >PPA03181 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:104270:114064:-1 gene:PPA03181 transcript:PPA03181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-egl-45 MPPAFFQKPEAALKRAQELIQVGKEQDALDTLHDTIKARRHKQWSQMHEQIMLKHLELCVSLRKPHVAKDALFQYKALTQQVAVESLEKVIVHLLSLAEAKTEEAQKTSIEKVEEIDDLDNADAPENLLLSVVSGAAAQDRMDRTVLSPWLRFLWDSYRNCLELLRNNAQVEQLYHRITRKSFFFCSKYQRRAEFRKLCDLLRTHLAQIQKHQHLAHKCRDVHGMMQLSKDKDRRTVKPASYVNYYDKLALVFWKAGNRLFHAAALLQKFIIFKDMKKTFSAEEATEQATRVLLASLAIPDGADLPSDLTRHLDIEDQHLGNIRLLSNLLRLPIAPTRSGILKECARFGVPETASEEVRSLFRLLENNFSPLGMTKQACAVLEKIEKPEYAQYMDAIKAVAATKALKQISVIYEVISWERLLKIIPFYEELEMERFIVDVSKHRFVKAQIDHRGDCVRFGGAAEATLAGGVDLEDADGFTGDDTQLGVEGIRTHLELMYNKLKSTVESLDGQTLREEALETVKRHASIYGMCKQTDYERILMRRRKIETYKESSERVKAEKTAKAAEEAAKREEQKRLEEKKRLEQENINIEKRKREADKREVEEKVRADQMRKLLSTSFGMNILREYGEAAIAEMDIEQVLKEQRERLDKERQQQQQRLQQQERKFDHWVRALHLEEVLERKSIQEKRRRELPIKWKEFETNRVQKAIEEHAREVDVFNKLSAVEEDAEEWIEDVKNSHEDDFNKKMDEWCEKLDAVKAARLAERAEYRRKERRGEWLAKMALEEKRRKEEEERQKQLALEEQRRARRGENMDSRRRERDDRDAESSMAMNDDNWRRGAAPPPSRGMERRGPPREFERAPERTPMRNEPPPSNSDRVDNWRSESRPSQPPPPMAAASAPGERPRLNLTGPPRGPPRDFERGPERTPMRNEPLSNSDRVDNWRSESRPVQPPAPMVAPAPGERPRLNLVSRTRRDEPEAPSRDDFGTRRVVREERRDDGPRRDGPAQSAASEGRWERGATVRRPDPAPAPSAPSASSGQQDDDEKWETAGSKGRGAKDAVPTPAASQPWRPRGGDGPRGGDGSRGGDGSRNGAGGDNWRLYEPFFEDYVPYDLN >PPA03161 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:9162:9610:-1 gene:PPA03161 transcript:PPA03161 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mif-1 MPVFTLSTNVPSSKISDAFISELSSKLADLLHKPESYVAVHVIGDQKLSFGGDGKSPAGSALLKSIGSVGTSKQNNDIAKVLHPLIEKNLGIKQDRLYIEFVNLAASAVSHNGQTFG >PPA03160 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig116:7854:8523:1 gene:PPA03160 transcript:PPA03160 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDDSIIEGISHMLEIRKRNGKELKEVLKAVAFRDTGTIDVTDKGVRIIVDDQHNQLGIAYLPNEAFYAVLLKEEIVKFSIPLNALISTLSIIDHHESSVKLTYNGYGEQLRVMVEHDDSIVDTTINTLSLSPDLAFDFQKDHLKARVIMKASVLKDAFKVRNKE >PPA03182 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:114513:116140:1 gene:PPA03182 transcript:PPA03182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rnp-7 MTQFLPDNLLQLFAPRPPIPYLPPAEVLLCDKKRAKMQGMAECVGDFETDPAPPKPVVETRDEKRARRRKEKEELLAYKIEQGIAMWNPAENPRATKDPYKTLFVARINYETSESKLKREFEPFGKIEKLTMVADKNGKPRGYAFIEYSHKSEMSAAYKKADGTKIDGRRVVVDYERGRTQKTWLPRRLGGGKGDTRRARESKAVLEARGEYVAPENNNRDRDDKGGDRGFGGPPRDGGFSQS >PPA03219 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig116:495918:496666:1 gene:PPA03219 transcript:PPA03219 gene_biotype:protein_coding transcript_biotype:protein_coding MSINTESRSSSKQDRLGKVRCIKGEYQENRNPKWVPHQDKKTDNSESGSGTGSEPDSDNESGSGSDDDEELLAPVVA >PPA03190 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:206987:212667:-1 gene:PPA03190 transcript:PPA03190 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLSLPSADAPSAIIAAPPPPSTPNASAEMEKLREELAKSQDMFQRACRAAKEAKLRAQRAETLLDTKPPREIADWNGMENELEKRLAEITTRLEEARRKIDEGHAKEENLSVQITAVKAQLETAATAGVAAAKEIAALKKSLATSRRLEEVANDKNEYVPKRESAVDKDDHQTEKRMKVDDNESLPLAPPPPSAEVENLRHALAQTMEMLEDATSAVARENESLNLELARLRSEDEASKRVIDDLTNRIVELQGELAAAAGAAQAPTAKELDLSQELASVREELEAAQRNAGQVNRMKDDLARENGRLKAQVDDFARENGRLKAQVDNWSAKAEEAANTCCELVQEISSLKAELNKLNETRKGTEEEQRRDRERIDELSRALAKFEEAKQPEQPLQEPDNREPVIQEPGNASESGNDEKGIEELLADKSVESMPQLDDYSKDDFGYMNDADFDDDGDDEEMNDEVKVEEVKEEKEGENGDKEKEKDEKMEKMEEDAKVSALCITPI >PPA03177 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig116:87657:88700:1 gene:PPA03177 transcript:PPA03177 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKAGPRAAGTDGTDYLHRQRVAAHYQESANNKFLLKFFFAAHILILAFMWAKVGSEILKKNFDVEFQFFKKLDLPSAYPWECAIYMYCISFIPIIFGLLSFPRNKVQLINKCYYGQFLLGILPIMIGMGSQIPEVFMYFSDPEGSNTPTFKGFFPMVFIWYIFFLIALQIHIFVMYFCNQLSSAWTPVKKND >PPA03224 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig116:519084:519859:1 gene:PPA03224 transcript:PPA03224 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKECQESPFCECHEKRGEVGKCRCHLRPEAINLAMDQASNPQRYARPQPQSDDEDDSDEDHDEF >PPA03223 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:513899:514444:-1 gene:PPA03223 transcript:PPA03223 gene_biotype:protein_coding transcript_biotype:protein_coding MRVETADRTTLQWLFSLTAELKDEHFDSSLDSANYAHMEMYYDDTENDDQHSWFHIQQFHEFIEMTW >PPA03229 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig116:550485:552316:-1 gene:PPA03229 transcript:PPA03229 gene_biotype:protein_coding transcript_biotype:protein_coding MPFYDPAGTPSLESGRARWPAKVCINKYIVSGFARASVEVAWGDRRRMERKDQSILALP >PPA03218 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:491931:495738:1 gene:PPA03218 transcript:PPA03218 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNTSYSLIVFSSAFQMRLAAYTAETYGYCYMREYSLTNEITEEHLDKLDSTKYDWDNDDGTTIIMDIDTIRSCTFTQSVKRNQLRIEMNFRGPLPAESGSEPGSGDEERVMSQIVDTTQRNATPMADPEKRPKLENFFSQLPRDCSLSAFRLVNRKTLNELECVSKEVFELASDPSLSKIKTRGYLYIKELPSGCRNFQFTLPHYTLLSYESGGPLSACGIYRKPFGQQPIPNHMLEALSDLAKQHAFDYIHCQAIRIDNNFIDKLLPLLNGSVSLRIQMTHLDKSLDFQKLANFLLSSKSKSIELTFPRMPDDVDFETAFLGIFDETFWTTFANGCVEDALKFWLQYRNPKVWPGGWDVHLKKSLGRFEASCPFKYTQ >PPA03173 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:53191:56854:-1 gene:PPA03173 transcript:PPA03173 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFSFTAPLYNFSFVENGRSIAKCDDLLRPGVPLLSECKSVEFRVIGGDTDSNGVSSKDRFESSSHRIGNFVFLKIEQRKGQQPLNRELKSHYNILVRAHCTKRDSSKLETITNVAIRVIDVNDQSPLPEEDIVEVSIDSQSPPFSLVKCLAASDADASINGEVVFSLAERNQEYTIEPLTGCVRTLRSPLRHNSTTLKVKIEDRASRLFYYDEKTKVEATTMEVKITVVDRRRDRLNVLVEKRGVNRGEEAREGMQIAAVIRVEEDRNDEREKEPKYEVVIVDEHGSGGLFDVRRETPSRWILLTRAGYEIPEKPKVVIRAGSDSSHDRNTTAEIIVDTIELHNITFEKSKYELYVREDLPIGRPIGRVEATVERREDKKLLKYRIEKTDESDLPFSIDEESGQIRVNSWLDYEMKTEYSFNVMVKLTGYGHQSMTSVSVHVEDSNDHSPTFPVKLGRLSSIPIPKDAKQGFLLVNVSTVDRDSGSNGRVLYRLISLPPSKGELPFRIDPELGNITLGRLSSNESSWIVGVIASDRGIPSRSSQLILAFHKNGTNPLKVPDIRVIGNTNENAPILLDEEESFEVDEDIEIGEWNDL >PPA03159 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:2590:7050:1 gene:PPA03159 transcript:PPA03159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mig-10 MLIDERWTVVETMRQLADKHHISLSEDHCVVEEFPELLIRRIYEDDENLVENIKMWVDGSGNKLTLTRRPEKYSFIDRPETYLVTEKTANHMEVPKGEHWPLDVKSRFVEDFFSCDPFVPPELEGWMYLKGDGKKVWKKAYFVLRPSGLYYSTKGKKCTKDLQCLMNFHSNQVYTGFDWKKKYKAPTTFCISLKLTQLQMKRSSYIKYICAEDEMTYKKWLVGLRIAKNGRQMYSNYVSATERRLASMNANVPRRVDVPRVENERRLDSSSVCSSSSRNDDLSMGGSSSYTGRTSSRATSHRHLDVDCSSIHSGHNGHPIPSHSDHSHTPSVLSGSFSSLRDPMPISSMDVTPRVALREYEEDLTGTIKRAPVQVTDVLRRSCCVEEEDSDEESLPAPPPSSSLSNTHSIPPPLSQSPLPPPKPPLILGTPGGNGNGPPPPPVRVTPIRDEYATIQKRAPPPPPKRADGTRLTSMTSPGASPTVPNMSELEAALRRRQQKMGQAN >PPA03178 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:89287:92024:1 gene:PPA03178 transcript:PPA03178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nuo-4 MASKLRPVGCVAVKKLLGKSAGLSQQQVRTVVHRSLLRLPENYPQPWDYKTKGFNVSDMFNDRTQDHFHANSKLFVVEGNIGSGKSTLAKELAEQLGMLHMPEFKMDDILIDRYGNDMRKFYHLFPERFRIPDVEMFYKNPMSDMSAVMQERIFSCRFDQYLNALAHIMNTGQGVVLERSPYSDFVFTNAMRAKNFIGPEYFSHYYYQRKRALPELNFWPHLVIYLDAPTNTCLQNIKRRGNKGEIACVDETYLKTMEESYKDSLKEYSRHSKLLAYDWTKPGDADVIVEDIERMDLDFFEWHSGEVFEEWKMLIDEIGWAEARHKVTNKHDARINAFDGIDKFEAGELYISPRDAGHWLHVMKTQVLKSPYGYGYNRHLGDEQAGLGIWRTGQTLPEPWYDYWYKEAYYDQAEAQETSLDPDSDSYDPDYVHHHH >PPA03217 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:460524:463215:-1 gene:PPA03217 transcript:PPA03217 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNKGITLSGHSDEFNTYVTVKLQNVKSTTIAVKGNVPCWEQEFIFETNRMDEGMVLELWSKGVLWDKLIGVHYMPLTSIVYSQAPGEGKSITISRRGSARPWALVGPPVTRC >PPA03172 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig116:50771:51396:-1 gene:PPA03172 transcript:PPA03172 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVSATDEDGGENGRVRYELAEWNTIVDADGSLITVDEKSGEITLNGVLDREEAESHRLTIMAYDGGSPSRISFANLTIIVEDVNDNPPRCAHQVMKVSVSEEWPDGALVGCLAVHDEDSGGNGRLAYAMEMEKMETGERMNY >PPA03166 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig116:22951:23238:1 gene:PPA03166 transcript:PPA03166 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSTSRFSVLSLPKNNGEVKNEHLCKNMQAIKSLMENPQMVAYLHDELPEKYQAIIEMMEKAKK >PPA03187 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:188096:193512:-1 gene:PPA03187 transcript:PPA03187 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEAQTSRGRRRSSRGGAKKDTKGKKKGVKRGKKEEDEEEEVEESEADGDKAWEEEVKGAKKDTKGKKKGAKKGKKETEEKEEMVPEEDVTEGDKQAMEEVAVEPADSAVDANEEAQEEKMEEEQEEMKEKDEEEMKEEEEKVEEEAAPVENAIEGDQEEEEFEPAAVLVEYVDVGEGESGETRESMADMYDCWQRFGPDMKRLENKERELAEVASAAGDNELAARHMAAVVVLLMAFFKPEDRVIKYGRLMKLLVKGTPAATSDLIPKNMKSAKQYLIERFDRAVEMDIECIVLSDSDDEVVDDRIRRWGMANADRPAKNTAVLPVVSDKDKQDAPDVNLDGSTIEVVTMDEEPAVDVVPEQSVPAVEYSVLDEHSYAAGGEEQTGDGPRIDEQPTGTPPVEDGGDDVEMEQQLQLQSAPTFSAQLLQQSIAAMKTLLQQQSMRAAGPARKAVITLHREVRNPGNEMIMETENRDESEENGIVVKNINDRAKKTRKKIVSERDDTKEVTVEKEEKIQTRLSSWEW >PPA03213 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:422412:425464:-1 gene:PPA03213 transcript:PPA03213 gene_biotype:protein_coding transcript_biotype:protein_coding MRREADRANALLAFSKSFKKVRRARSAFPRRKKRGRKRAKRRTKSLPNLKLCEPPAPAENGNAGIVRQRSSSLHSNRGRLRKEKRVDSSDGRKSFRSSAVALEVAAAKCNSRNGSATHLLPPPSPHLPPMCPVSPIPALAANGSANGEIQIPNGLALFKKKVQLLDVYDRRRLMQGRSASTQNQSSILDGDGRSAINAFYKSIDAMPNMNVARTKTSIPLVSELTMATKRAQAGLANNAKTTFADEELVHLRPTASIFRRPSSLRFAHYLASLTAERVCEMKRREV >PPA03216 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig116:450370:451387:-1 gene:PPA03216 transcript:PPA03216 gene_biotype:protein_coding transcript_biotype:protein_coding MHCRVGPLSPILDAQEENTEELQAKLHALNQLIETDQITPSPNHRAPFIHSGISEDSDYTSDVNFPIHHAAGGNSQQVRIGDGNAASFL >PPA03163 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:16475:17499:1 gene:PPA03163 transcript:PPA03163 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLDDIRSLNDVSSLSEDLRTMIRMGQQEPKSLEPQTAHIPAYLGASPLGRAPPIEDHDKHLNALEMALQRIPQPMDSERPRSYLPKMPCTTPAFYPQQAPSNSDSMEYYLRLSPETLFFTFYYMEGSRAQLIAAKALKKLSWRFHTKYLMWFQRHEEPKQITDDFEEGTYIYFDYEKWSQRKKEQFRFEYRYLEDRELD >PPA03165 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:20494:22630:1 gene:PPA03165 transcript:PPA03165 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSSKEGEKGNKKCSLHYLFPMFRQPQQPMRPPGIQPVQEPKKAPEVPVSAYIRYCRKQWHNVRNDNPDLQMWEISKVVKEKWEGLSEDEKSPFNHEYEMEMVEYEKAIKNMNGGSAVHNAIKASLQNRVKGSGKKGEVGSTSLVSTTTNEDEQPPSELTARRMHALRFDRNHRLIVDLFNPLNVVDSRLVVPQKRVDLLQKQAFNLNIHKTKLEEELAKMNSEFEERKRSFQKSTEEFNEKLKKVVDERVEVSEEKYGELVNEWEGKLGEAYKEYKKKQDNMEKQLAAERERLAKTTPLLYAMTMGDEDPDKAGKEEKREKIEEKDEKKEEEKNEEMSEEKKEEEKNM >PPA03180 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig116:100902:101437:1 gene:PPA03180 transcript:PPA03180 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIAEGRNIDTSPTDENEITEKLDEIGDEYFIGLASGGPDGPAREIRLENNRMKPIFSVTHDKIKFEKYKSNNEMDN >PPA03200 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:301747:307032:1 gene:PPA03200 transcript:PPA03200 gene_biotype:protein_coding transcript_biotype:protein_coding MTITPSCSAAAPLPSPLEAEVIAKLRSRLRRVIVDGIPPDLDTDFNLLRWIRGHNGDTKAAMTHFRNYAASREAAGFVGNDLYERLHTRFPVSQYLPHLGHCTLNDSVWSVEHNAFLFVERAWSQPKEKNNAFLFVERAWSQPKELIKSMKTSDYLLHCFAFSEVLLQLILKREKCQSAGKGPVQVIVIFDLSLINITDYLNPVSGYLKLWQLRAEMWQYWYPDIIQRIYVVNPPRMSGILWKLARLFLNERSCRLFEVMPAGKEGVRELLKQLPKSFVPGDFGGDFVYTGSDGDSAGCSLRKKITTADHYKSLQHYKRKGVRRARPTHKELLPSESFHIPIFLLEGQSLCWDFLSSGELDFHVYTNEDEFDMVYPLLRLATSKLPEEGTLENLVTGEYVLRFSNPSQLFTVQLDYSITLVGEGRWLESP >PPA03198 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:285331:288435:-1 gene:PPA03198 transcript:PPA03198 gene_biotype:protein_coding transcript_biotype:protein_coding MILTLYFIIAAATAAVVVYQYFLWQSQYWKRRGIPGPSTRLFYGNMKELNNFDDPMPMKIHQWTKKFGNVYGYKEGVRNVLVTSDLNMINEVFVKQFDSFYARRAPPLGQDTDNNPRVHLFESRGSRWKRLRSLASPSFSINSLKKIRPIVEDSAMRLVDLMEKRHAGGESFNVARFFSEYTLDAICRLVLGQKESNLFNNPRIDVVQALFLQNLDRPLFHLATGIPPVGRAIRNLASKFNVQTNEHMNMISKEIATTVNQKVEERSRSGPPSAPADFIDLFLDNAAEFDYQNRGEFSTLDSVTKALTVDEVIAQAVVFLLAGYDTTSNALSYTAWMLSRNPEIMKRCQEEVDEVCTDSSISYEDCQNMRYLDAVCRETLRFFPLAARAVARTCMKDTTVGDYDIEQGTIVLADTFAVHFSKELWGEDADEFRPERWLESDKRVAAINFLTFGAGPRLCIGMRLATMEEKIVLAHLLRRFDVVADENVSELKLVGSLTTTPEAVPVKIRPRV >PPA03205 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig116:361089:363805:-1 gene:PPA03205 transcript:PPA03205 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNCSQIERGRALILFSAHVLRQRRDEGGAFTPHSAAWSGLSFLRLLTCARPGEMVMWDSVVYCVLAALICLVLLLVYQLAHTLLTDDFSFFEGIPGFFGLCRFGQAVDHAFFDRLGYCKHRSTYKDQRSSFQSGADVHLLRVGPGRRLLDAVGRRPGARRVQNALARPGLDVRVGRHARAPSLEKIPRLRSKIRDQRSKINPVPPKCLRGLLPL >PPA03174 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:70063:72844:1 gene:PPA03174 transcript:PPA03174 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQRRLKIYDAQQIKRKREKNEGRDDGIHKPPPLPQKSSDNDKVGQKKKPDATVEERTQHDNEVAAAAAQALLKKNMKPTSTPTSPNVTSPAVPSPAGSCERIDNSKGDKDQSESGATAKSIKDAGVSDHFGHFLDQIAGKGWSTVAEEFKAGYRTNAEVNRTTRYVIGFNVPPDGDFYDANRVELELSKTPANDPRFILAASTPSLVMFVENYEEEKKDSKEKPFVPWNKGDTKDYGKMTVNNKKTTTDCTMNAMLEVLPEGCSNSIITRFVQVFKWPETVLGDPDGSSRNAALHAVRMIKDEKGPILIVCNNGCGRSAMFIMLHAILTKLNARMKVNMPLILGSLRSDRWGAIQTVEQYLTLHLLILDYIRSKFPRLKDQSSHMKKSLNEYVEKTYNKKK >PPA03175 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:73260:76330:-1 gene:PPA03175 transcript:PPA03175 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAWELKPMGEDESFDEDKLITFKEEKRWVKWVQWAFAVAIIIGAHIYLGFSIAYSFEGALFPVVMFSLIYIYIACYLIYRYIVKPVYAKNEEAFDNCGHGIERVFTWSLFCNIPVLPSVIAIIVLGGFFGWIIWDASGNEARLRSFGGIIMYVVLCVIMSANPHRIKWRPVIGGVVQQFIIGLIVLKWDGGRDALSWASDQVVTFLDYTMVGTAFTYGFVSDPPNICGFGSIFLYTSLQIIIYFGAIVSVLYYLGVIEAVLKVVGLLMQYSLGTTSAESLNAAACIFLGQTEAAILIEPALETMTSSEIHAVMTAGFACIAGSLFSAYISFGACPSYLLSASVMSAGASLGVAKLLYPEIQVSSQKKISDFKFKKMEETNILECISNGAVHSSKFVFEIGANLIVYLALLAFLNASIAWLGGLVGYPELSFNEILGYCFFPLAYMMGASDAPDPQVNLDETLKVAQLMGMKTVLNEFIAYQSLADMIRSGTLTGARAQMIATYALCGFSNISMIGSQLGILGGMCPKRKATFAKVVIRALVAGSVTCFMTACVAGVLVDIPVSCPPNSNDDCLNLDAVVEYFKNKQNETASFF >PPA03215 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:449285:450199:1 gene:PPA03215 transcript:PPA03215 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRHHVVLLLQSQVVAPASAFYNIPPRRTAAASAAPPVLPDPEDMQDPEGGELIKYIRRFHFSILALATGGLLSPPLSSILSLENPRPSAWATRRVSPTWTIKRRTQDGFLSAQVIYGQETPHTRRLLREFTLNHASQDFGGWMIYFVAQDLSTTRDGQSMP >PPA03201 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:307083:307749:-1 gene:PPA03201 transcript:PPA03201 gene_biotype:protein_coding transcript_biotype:protein_coding MHPYTRKSFLCVRYRFGSGFAWSDDSVLNYSNFGPGEIAYTQSLLGLSSGLWFNEQCDDYNLPYVCTKN >PPA03195 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig116:259771:260489:1 gene:PPA03195 transcript:PPA03195 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIKKTCDNHEDLCRQFAECSLQLRQNAAQLEILNETASFLKTRHATIRRQIAAQSEDQNELKRLEEELGKVNNQVQMWMRELDEVNDCRTELEITFVRLRSALQKSVTSVQLASIDLDLLQNRHADRWKKFLADVQAEEAAAAKVAS >PPA03204 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:356072:358438:-1 gene:PPA03204 transcript:PPA03204 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKKNFGKPAHTLAEAPTTTAALMSDHIRLTASITRMKEALTLTSQQSTDVEVDGLRWFLEAAQVSDGEGTPSFLAVALRTRENKSTIWRADATIAFLLVNAEDGVKSVKFECAHTFDHKSAGALKKMIEFDRLVSEAEGFLRDDRFTVEVRFAVNNATGIRRSTRFDFTVESDERHDVCLVVDDIKLHDDTYLALHSPVFRAMFYGNFDEKDKKEIELKDVNCEEFIQLLNVIYPSFHKITDANVEFLLVLGDRFEIKFVIDECERVLISSGKYTNIGKLRLADQFRLIELQDHCLGALAQTADISALQYSTEFEELSSDAKASILHHFMRLTRESH >PPA03220 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig116:497254:498371:1 gene:PPA03220 transcript:PPA03220 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHKRPRLVAEEGAIACGRLALHEFIFAISKSDIRLCYRIFRGEREGKRTKETVFGIHLDS >PPA03196 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:269954:271670:1 gene:PPA03196 transcript:PPA03196 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTGIVIPSSLSPSIEDDSTDEEKKFIRFVHSGQSPSELFHLLTQKMDKMNIDLQSVFFIDSEGDRCCLRSVEDLETAMDEAREKTILLSYDALQSSTKRVKKSSTSSFSVLSESSSDSSNSSESSEESDSDESVKDEQIAKEDLSDIKLLIVTPTTEATTIIMARIVPIMADMDTMVAPTTEATTIIMARIAPIMADMDTMVAPTTEATTIIMARIAPIMADMDTMVAPTTEATTIIMARIAPIMADMDTMVAPTTEATTIIMARIAPIMADMDTMVAPTTEATTIIMARIAPIMADMDTMVAPTTEATTIIMARIAPIMADMDTMVAPTTEATTIIMARIAPIMADMDTMVAPTTEATTIIMARIAPIMADMGIRENMDSKFNSTNTTLIQTTTAIDPIILLIYSLTVCTLFTISMYGKCI >PPA03170 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:35104:48647:-1 gene:PPA03170 transcript:PPA03170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cdh-4 MNVHLIDVNENRYPPSFEDTVLEASVYENEAIGTLVINLVVTDADGSGNSTLEIVGGNGRAAFTVVGGDVRTAQILDRERKADYWITVRATDNAPVPLSSHLFIYIRVLDRSDHSPILKQPFYTAEVMENSPSDTVVVKVEAEDADWPPTGKAAQLRYAITSGDPQSFFNIDSSTGYITTGGARKLDRETQKMHELEVRVTTSDEKEMNTGIVRVFVRINDDNDNSPIFVHANKHEYEVPAGKKGRLCRIVAYDADEGMNAELHYTLKTLEPGFTIDNDGFIETSKELKDGQVSTLDVIVRDGGNPLRESERRIVLSAVKMDAIDKENNHAPKFEKIDTYKFDVSDADQVGTPIGILSATDDDGHRLWWSIVQDESSNYSSYFDINPRTGYLILAQPIDRLPQEINKIRLIVRATDGKAETDAKVRIQLARQPLSRPLFEQTNYEITLSEKTPIGTSILSPIVKGYGSRPIEYGIHTTESSRAATTIGVDPRQGSLIGYSMLTVSVEDENDNPPVFTQLEYEVTVVSNTSAGTVVTTVTAFDSDEGENGLVVYDIISGNELGFFSIDRESGEIRTTKEMEHSEHYESILTVRGSDKGGETGALTSLSTVRVRTTDGHNNNVIVPTFERSLYHLSVRENTPVGQLLLAVRASSTDGHIRYETGKKCNWIEVHPVSGAIRLKRWLTKIRSKSINCTIVAKGTGDGEATTKVVLRIVETNEHSPLFRQQIYRGKVRENATGGIAVQSESGGPLVVSATDKDTGTNALIGYRMLTDDQYFTVDLLSGTIRTRRSLDYEKLKEWRFYVTAHDMGHPPRYSSLPALVIVTVEDVNDSPPSFSSPSIEIDLVLPSVEGVKLATIHANDVDTVGEIRYYMRGEDGGRGLFRLESKSGDLLLLTGDRKNFTEKSYKLDVLSTDGVHSTSQEVRIKVKNGSQSDLRFSQSVYVATLEENPSIPSPVPLITVQASLISNPNEEVYYSLQNTREDFSIIPSTGLISYVGESIDREEKDHVLLLVQARAVRSHQTTQCIVRVTIGDVNDNPPRFLSLPYHTAVTKGMKKGAKILQVHAVDDDYGVNGTVTYSSSDLPSLFDLNTKSGKITLKNSIEKNEDVMEFCVTANDGGTPPLSTSTEVTVEVVDSMRPRFTKKEWKGRMKRGVMKGEGVITVEGRSEAERARLIYTIEEGNDDGLFEIDMDTGVISLRESWIGMDKNELELKVGVRDAVRPSMRDTTIVKIEFESSTPSSLSFSNSSYRVSMNEETPPGTHLLSLTLPHHEQSIIYSLSGPNASTFRLDSSTGILSLASPIDFEQQQIFVLKATAERKEEKEKSNPAVTTVVIEVEDANDETPQFVHSFSSASIPDSAKSGDFVTLMSVIDKDTVSSLPEGARLLYSVIDGDDTLFVVDKHSGVVRVGRDIEEEDLVERKKMMNISVSDGLQSAFGRLTIDITVSGQRSRIPRFEQSQYVVSMKESSMRSPSTSLTRVRAIGGVAPLHYSLGGGISASWPVGMDHLTGKIHMKTKIERNQNDYIIPLIATDSLGRRAFSTLTLRIVEENDHSPQFALTQYSCSISSGAKTGESLVSISASDEDEGDSIEYALMGETKGLRIDERIGLILVGSESRLSSMAGSTISLIVRATDGGNPPHSTSVPVTLNVLPSDVPIPRFANSLYRFTVAEDAAVGFLVGRVQQIASDMGEVRYEMQPSSLPFTVDKSGKIVVQSLLDREKQGSYEGMSVREDAPIGTSIGVFSATDDDISSSGKISFSLLDSPSSSDVSMDSSSGWLSVSSPFDREKKGEYSLTARVVDQGGKSTELPFTLKIEDVNDEPPVFDEKNYTIRIDPSILADSQTIGRVTVKDADLPPNNITRLYIVKGNEDGLFSIDNSGRITVAERGTVGLIGAGSRSIDLEVLAHDGVHSRTATVTKASYSIIPSSSDSILPFQIDEDEGIVKTKEKLDREKMSQYSFTRRMASSGMECEEKVRVEVEDANDNTPVFEKELYEIGVKENEVATEKERIFIVRVEAKDEDKGENGRVRYALAPHSDNGPFRVDEITGVITLIETLDREKKDEYSLSVVATDGGEPERSAKTTVKISVADQNDNAPEFERKEYATRIMESESVGYEMLTVKAIGGDEGETVKYELVEGHAHNEYVEIGEMNGEREEREEEMIHPLSGILKLRSPVDFEKDKSLVVKVRATDSGSPPLSTETTITIEIMDENDNLPRFEKDKYEMNVNEDEKEGAVIGKVRATDLDSLHFGTVEYSIDERIEGEEKEGLRKGKKEKKRLPFEIGRENGEIRLSGKIDYEKTKDYRFDVRATDGGGRHSVVEVKVKVEDANDNFPLFGECNLTAIAQKSVETGHIMLTLSVSDADSKKYGGPFKMEIEGEGADALSIDDRMNVITTSKLAMVEKERLQLKVRATDKGGKSSECPLIVDVKEESTHPPKAKPLSIRLITLYGEYAGGDIGWVEGMDEDKEDSVRYALVEESIRSPGGRCHHFRVDPEVIEIGEAAIDNTMVVRVESTVAEFYARHKKAFKTTVANALNIGEEQIRILSVVEKAGVQAHRQRRSISKVVDVAFIAYRRPKHNSGLMSPKQFYLRASSKGEHGLKSPFSVQGDLCGVKSCRGGECRDEIVFSDGSPRKYTIRDEEEKEGITSSLVVPPFERRRSCKCPQGKGGIDCEEEVNGCARSRCSRHEMCIPLESGRGAKVDCVCPPTTTGKDCGHKDEGVTPTIHVDGHAFFEVDLPSSLDESMDLSIEFRTTTRDGYLMYAEGESGDYHGLQIKSGAVAYSWESGRGVTSVESTIEVNIHRFHPLITVADGSWHTVWVNRKGRSVEMRVDEGAKIMGEGPAGGNIVNLWRWSRVLVIGAKVGMDDGNQ >PPA03226 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:524799:526927:-1 gene:PPA03226 transcript:PPA03226 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSPSSSPSSSPLATAPWPWSAKRDTPFSMDAIHISEWFDYICDHYFAEFSFDRSQIEVQWSHNMVWYSGKTEYPTASSATIMMNKKLLEMLPLEETVETYIHEAIHAILHVTKREYNGDPDHGDAFMNMKCFVGSPDATYNLQREARRIQAINPHLDHLHIATPDYMEQVNTIKKYHWKCSDKCARTNIPELAGYVDAGGWVSRARNKAPGKGEPRWNDHVKLCGGTFVSKRFRRGYVITPASK >PPA03179 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:93227:100094:1 gene:PPA03179 transcript:PPA03179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ogt-1 MNSQQQQAVVQQAANLVAEQQRQAAVAAAVQQQQLQQQLQALQKQQQAQQQQQQQVAAAVLHGATSQQTATLQQIQQAAAVAAAVQQAAASVYGGAPVVQQQMQQAAPSAALAAVAAPQQLVRVPTVGGMQPMNTGIDINALQESANREYGAGNYATAEKHCLYIHSLDPKNVAAMLLLSSIYFQLKKLDKSLQFSSLAINANPMCAEAYSNLGNVYKERGELQNALENYKHAVRLKHDFIDGYINLAAALVAIGELEPAVSSYLSALQHNPDLYCVRSDLGNLLKAMGRLEDAKVCYLRAIETQPQFAVAWSNLGCVFNAQGEVWLAIHHFEKAVQLDPNFLDAYINLGNVLKEARIFDRAVASYLRALNLAPNHAIVHGNIACVYYEQGLTDLAIDMYKRAIELQPNFPDAYCNLANAFKEKGMILDAEAAYNRALHLCPTHADSQNNLANIKREQGKIDEAYSLYRKALDNFPDFAAAHSNLASIMQQQGKLQDAVMHYKEAIRIAPTFADAYSNMGNALKEMGDIPNALQCYARAIQINPAFPDAHSNLAGIHKDSGQIPEAIQSYSNSLKLRPDFPDAFCNLAHCLQIICDWSDYDNRMAKLVGIVADQLSKNRLPSVHPHHSMLYPLTHAQRLAIAQKHAQLCIDKVVTERQRREGSQMKHLPFKYPARNELVSSGCRLRIGYVSSDFGNHPTSHLMQSIPGFHDRHKVEVFCYALSTNDGTNFRQKLMDEAEHFTDLSTMLDNGKAAEKINEDGIHILINMNGYTKGARNEIFALRPAPIQGGRENEREMRMVMWLGYPGSSGSPFMDYIITDEVTSPLRLAYAYSEKLAYMPHTFFIGDHAQMLKHLTERAILKEKSGAIPSSDTVTVVNATNLEPLLANSKANVKSYVREADVVHGPGKEVIRTEVVMPVVEVPTTEPVKQMIGNGQVATSVVDGINVQNGLAQFQTHTKAATGEEVPQTLLVTSRQQYGLPEDAIVYCNFNQLYKIDPPTLDMWIEIIKQVPNSVLWLLRFPYHGEANVMQYCVDRGLDSKRVMFSNVAVKEEHVRRGQLADVCLDTPLCNGHTTGMDVLWTGTPMVTMPLETLASRVASSQLLALGVPELVAKTREDYVAIAVRLGTDREHLASIRAKVWMARTTSTLFDVKQYCTDIEHLFYKVRKGEKREGWKMVDDMSISLDVASIRTKSRGRSHHRWNCRII >PPA03209 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:408900:409626:-1 gene:PPA03209 transcript:PPA03209 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDLDAVTRDHAKTKKCSTPEYMNLYFKVKGFYFKYVVDIPPFNSCIPEFPTLFQREIPILMSES >PPA03193 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:234824:237352:1 gene:PPA03193 transcript:PPA03193 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDQPQVTSILPPSIIVSSISSIVDRQSSIVDRHSSIVQSSDGSSSSSLPSSSMLPVSSAASLMPSTQSSPPFVNSPLSSGVHSSVAHLPTGQSHLELLVSALKPHLVAPSPISHTVPRVKNGETQEIIASLVEAANEAEKVKVGRGSINTVISLILERIQVLVVQETSPGFSDSFKKVKALHSLAGGGMDPQLLAAAMTLTASNSNSLPSISKRRRPDSPPSFQAREQEYKSTSYSRSHFPAPAFNTKFTCFNCHQRGHKSPECPLPPRRVIASSFHLISQQNNRVTSSVDADFVAKQLIEWLQSGAIVEAKADEELAVFPLTVAKNDTIEELARGVGWIRHDLEQAGMNVNEEKSKFLPAQSREESSLHSPFLQQTVARMEDEGIRAIAVSQLELVGDAKCGSVCGSQQSEV >PPA03168 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig116:28555:30193:1 gene:PPA03168 transcript:PPA03168 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTQSWPVYITLIQYFIALKLILWPILFAFFAKTAKAVEEEADVIWKYQMYGLVQEFSIRPPLPPPLTPFFFLFIAFCRKGLQTAQSLSSDHPDMGEKTWSRPERGGVYRNPSVPQRGGSDATWKEAAIKEWKKSRAKIEERSEEKEELGMIAEQLRAIALRNSMEKKRDKMSRSLYSSSSSISRLLVPPHLSRWEIVLPSYSPPFYSKLHDEFPLHQQNLVDSTTQQSMDELRSHWRSRQSTSLLSSWSSSSSPSSSILLSSSGLPLNPSGRRGISGRGNHLKFGPNPLNIYIITMDDQTEATVLCIRDETGRGESSPVKVLSRISPCEDDTDNAWREEEVWKVGLRTRVVTTEMIGYSWISLDTASSQLSKSELEILSVASSKSY >PPA03185 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:155883:180243:1 gene:PPA03185 transcript:PPA03185 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTIHKFMCKRKNDRDLEVSKEIKLMLITTDDTTNLPSYISMSSTVESTDDINAETIINKMITECKSGVLTIPRAPQKFVPEDSVTKKPSREDDSEKISVTGPDGSLLPTDSTGSFITDEGKIIGINDGGEPLGPDGNVLPKDDAGNFIYPAVGPDGSPLPTDENKKPVYPVVGPDGQPLATDSTGAVVGPDGEPIPTDASGRPVGADGSPLPTDANGNYVNVPKDDISKELPTDETGQVIHPVTGPDGQPLPTDASGNFIKDDGTPIEKDGEGRPLGPDGNVLPTDASGNFIYPAVGPDGSPLPTDANNKPVYPVVGPDGQPLATDSTGAVVGPDGQPISTDASGKPVDADGNVLPTDSNGNYIQPVVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDASGNVLPTDSNGNYIQPAVGPDGQPLPTDSAGKPVYPVVSPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNVLPTDSNGNYIQPAVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDTTGAVVGPDGQPIPTDASGKPVDASGNVLPTDSNGNYFQPAVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNVLPTDSDGKYISPKTEGDDEEKVVLPIIGPDGGPMPTDHSGKAVLEDGSIVKTNDAGEPLGPDGNVLPKDDAGNFIYPAVGPDGSPLPTDENKKPVYPVVGPDGQPLATDSTGAVVGPDGEPIPTDASGRPVGADGSPLPTDANGNYVNVPKDDISKELPTDETGQVIHPVTGPDGQPLPTDASGNFIKDDGTPIEKDGEGRPLGPDGNVLPTDASGNFIYPAVGPDGSPLPTDANNKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNALPTDSNGNYIQPAVGPDGSPLPTDANNKPVYPVVGPDGQPLATDSTGAVVGPDGQPISTDASGKPVDADGNVLPTDSNGNYIQPAVGPDGQPLPTDSAGKPVYPVVGSDGQPLATDSTGAVVGPDGQPIPTDASGKPVDASGNVLPTDSNGNYIQPAVGPDGQPLPTDSAGKPVYPVLGPDGQPLATDTTGAVVGPDGQPIPTDASGKPVDASGNVLPTDSNGNYFQPAVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNVLPTDSNGNYFQPAVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNVLPTDSNGNYIQPAVGHDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDASGNVLPTDSDGKYISPKTEGDDEEKVVLPIIGPDGGPMPTDHSGKAVLEDGSIVKTNDAGEPLGPDGNVLPKDDAGNFIYPAVGPDGSPLPTDENKKPVYPVPLPTDASGTFIKDDGTPIEKDGEGRPLGPDGNVLPTDASGNFIYPAVGPDGSPLPTDANNKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNVLPTDSNGNYIQPAVGHDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDASGNVLPTDSNGNYIQPAVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNVLPTDSNGNYIQPAVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDTTGAVVGPDGQPIPTDASGKPVDASGNVLPTDSNGNYFQPAVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNVLPTDSDGKYISPKTEGDYEEKVVLPINGPDGGPMPTDHSGKAVLEDGSIVKSNDAGEPLGPDGNVLPKYDAGNFIYPAVGPDGSPLPTDENKKPVYPVVGPDGQPLATDSTGAVVGPDGEPIPTDASGRPVGADGSPLPTDANGNYVNVPKDDISKELPTDETGQLCRPDESQSPTDASGRPVGADGSPLPTDANGNYVNVPKDDISKELPTDETGQVIHPVTGPDGQPLPTDASGNFIKDDGTPIEKDGEGRPLGPDGNVLPTDASGNFIYPAVGPDGSPLPTDANNKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNVLPTDSDGKYISPKTEGDDEEKVVLPIIGPDGGPMPTDHSGKAVLEDGSIVKTNDAGEPLGPDGNVLPKDDAGNFIYPAVGPDGSPLPTDENKKPVYPVVGPDGQPLATDSTGAVVGPDGEPIPTDASGRPVGADGSPLPTDANGNYVNVPKDDISKELPTDETGQELPTDETGQVIHPVTGPDGQPLPTDASGNFIKDDGTPIEKDGEGRPLGPDGNVLPTDASGNFIYPAVGPDGSPLPTDANNKPVYPVVGPDRQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNVLPTDSNGNYFQPAVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVVGPDGQPISTDASGKPVDASGNVLPTDSNGNYIQPAVGPDGQPLPTDSAGKPVYPVLGPDGQPLATDTTGAVVGPDGQPIPTDASGKPVDASGNVLPTDSNGNYFQPAVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNLGPDGQPLPYRFRWKSLSTPSSALMVNLSHRYYWKQPLGPDGNVLPTDASGNFIYPAVGPDGSPLPTDANNKPVYPVVGPDGQPLATDSTGAVVGPNGQPIPTDASGKPVDASGNVLPTDSNGNYIQPAFGPDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNVLPTDSNGNYIQPAVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDTTGAVVGPDGQPIPTDASGKPVDASGNVLPTDSNGNYFQPAVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNVLPTDSDGKYISPKTEGDDEEKVVLPIIGPDGGPMPTDHSGKAVLEDGSIVKTNDAGEPLGPDGNVLPKDDAGNFIYPAKDGEGRPLGPDGNVLPTDASGNFIYPAVGPDGSPLPTDANNKPVYPVVGPDGQPLATDSTGAVVGPDGQPISTDASGKPVDADGNVLPTDSNGNYIQPAVGPDGQPLPTDSAGKPVYPVLGPDGQPLATDSTGAVVGPDGQPISTDASGKPVDASGNVLPTDSNGNYIQPAVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNVLPTDSDGKYIIGPDGSPLPTDENKKPVYPVVGPDGQPLATDSTGAVVGPDGEPIPTDASGRPVGADGSPLPTDANGNYVNVPKDDISKELPTDETGQVIHPVTGPDGQPLPTDASGNFIKDDGTPIEKDGEGRPLGPDGNVLPTDASGNFIYPAVGPDGSPLPTDANNKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDASGNVLPTDSNGNYFQPAVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNVLPTDSNGNYIQPAVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNVLPTDSNGNYIQPAVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNVLPTNSNGNYVYPIEKEKIVPIIGADGQPLPTDSEGNVIRPDGSFVGRNDDGHPIGPDGLPLPTDQSGNLIYPAISAEGKVLPTDVNGRFIYPVIGPDGELLPTDTSGSSIRKDGAIVPTNSLGLPMGENDEILPTDRSGTHIIPPPTRRPSPYCMVSSTIDVIVVMDTSSNVKILDYRVMKELLKNFLSEHFNLGRNRVRVGVVKYGDCSEVPVSLGDYDQPEELLARIGETRRMKNSANLESALREAAGDFHLSGSDDTPRVVIVFKNGKASTDAATEATRLRDELKAMIFVAEAGEDSESRAQNGAIVGSSYVDSRVVYVPQWRGADSEVLGPIADQICKTVPNVDSGVTQQTWPMRKTTLPSQTMTPRECTHIDFEADVIVMLDSSDNFSNEDFDQMKEGLAGLVDETFDLSPDVVRVGFVVYTDKVAVPVALGHYEDKIELAEKIIGAEKIDEGQAIALYGFNAARQQFEQHGRKNATRMVIMVTNGVNRGNAAGAAEELRTSFNVQVFVIAVNAQAEQLASLKRVVGDHPERVLKIGSSREITDRNVDLGRRLCGFSTPNPTSVRPTSPAFTKRDADDGSTTISSSRTTRALIDTSALCSDGIRRPYQMNVVIDVTARSPKGDLTAVLGQFSSFIQERFSDSPNLMQINVITVGSHNVRESFDDVNVADIVDLFEDINQNSEDTVSAKLGEAIDRSVALSNSNYIAGATKLLVVISADGTSSDNAVSSAEFASEDFEQHVITFSVRKISSDNLVKVAGGVATRREENGGDVFRSWTAYAICDFMKASTRIPKRKTTTVIPRETTKNEPSNVELHALSPTSISVSWTCCTNTKSNYTIMYTPDASIAREKWQRMTATCRESFGLPLSSLPSDTTYTVCVLSSDETRNVTAISSTSNCAHISLDKDSTPPPSLALIDSVAPCNCLCKNGEARLQPCNAVTARPVATLPPATLDECPCKIQSHSGRCPKGYTLNAAACYDVDECLIHNGGCSHGCVNTPGSFYCACPNGMLRDPLDSFKCLPASQSFDNLAAALTQYFHENRPSPGDIAVERDDNGRTHYKYLSNEERVSIRELTQATLFESNQKS >PPA03202 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:351984:353454:-1 gene:PPA03202 transcript:PPA03202 gene_biotype:protein_coding transcript_biotype:protein_coding MTQHADRLRATIADLRSLLAEKQMEFDEATHSFRTAIHIKNQRIKQLEEQLGRSKVNKLEHQVDRLRDERHAIEGNSMASEIRKLLKDLRVMLAKMDSITRNLPDIGGNGETSSATSGTLRARFTAISKLATNKYSNSIKVAGMDWAIMICSKADGPATYLSAYLSNISRDILYTWSCSASFTIKLLRHSSKDNPHSVDYSGIVLTPSRASWGRQNFIGFEELLNPANDFVKEDSILMEIDFAIYPTH >PPA03207 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:393042:398369:1 gene:PPA03207 transcript:PPA03207 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEPDELNGTLFSNVTIGARRDAASEYLYWLRRYSRHLLPLLCSIGIMGNCMALLLIRTNFWLKKLTSNIYLCALSICGCLFLSTVLVSWADSVYELPLYNSNDVGCKLFTFLAHFCDFICVWMISWISLDRMIVLYRPQGIHRAVNSKTFARNVVIGTVVAAVALYGWFLLFAGLERQEENGAIFCGLKGNSSLFGYTYNARQFYIHLTMVDTIICTIVPSILIVVVNCFSIYRYHQCMKIYATGVLREETTAKRLLLSQTCASTTQATNASTRSGVSHGKLRSSDLQLSRSLLVVTSTFVLLNLPNYVFRIADFIFAPSGHLFSFLFYFTFILYYLHHTVLFYMYIFWSPQMKKQLGPTAMRLLECYCFKAVPEFGHRSTSLQAFNR >PPA03186 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:181151:186001:-1 gene:PPA03186 transcript:PPA03186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cri-3 MRIIQFIIPWSTFISTVLAQISNPTADLNVPQPSFVVPQQNPSESYLGGIPSQSEHRNSRALTVKSFVGVEKSAESSTISPSTTKKPEDSEQVRLIRKLLEETLAEQRNKDTSMTVSTTTTTQQPTTEKEEKSSLESVAELALLDRLNLNETEKNAIISRVEELLRAEIARKLLSEVSPPPPPPPPPPHAEFPSTTSTISPSSTISSTTSSPSTTLSTSPQSTTESTTITSPPKTTSQSIVTTSSPQFEAAPVITADGASHEDPVSLLRVSTVQKEIREAQPRLPSRFIHNTKKDFDEDLDLIDRSGGERRPDTVAAASLLTSARRITYPNDYEEEETINHKNDFFQPITGAPLDLTDVYEDDEDGGLVDIDSTQRRREQFRAISTRSPFSLRTTARPFHDETTTVTLSSSSFPFEPINLETVTTPPYRNGRYGQHRATKFEVIPVRDGSSWWFIASPLAGTPLQLACRSLVSSLQRQHVDYSFLTHNKSVIIGDVRLFSTSPRVEWMQWRVKIEYPTHNVIAYNVATELSEALKHEIDAEKDLEAQHPAASPNMFPGFTVTTKEAEALSGLIGRYSVRLTKSHGNESILVVFNVNHSVEIAEEFDDPNNTPSPVALPPFSIEITKGDERLCFHLELVEAEQPGEYDFQVEEFYLAPAVKGTEEDVPSHVYATSGKYIDSTIQELLYVRYLEERGFDGEFLKNLVAFASSHEHKLYINLLNKMKAFIAK >PPA03211 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:416038:420034:-1 gene:PPA03211 transcript:PPA03211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-13 MRDRMKIQERNKPEIFETIRTIFSVDERTQQEALKQAKSSILEGSSKWSAKITLTVICAQGLIAKDKTGKSDPYVTAQVGKVRRRTRTIHQELNPVWSEKFFFECHNSTDRIKVRVWDEDNDLKSKLRQKLTRESDDFLGQTVIEVRTLSGEMDVWYNLEKRTDKSAVSGAIRLHISVEIKGEEKLAPYHVQYTCLHEHLFFSHCILVDGNDEVRLPDAKGEESWKVYFDDTGQEICDEFSMRIHFGAPYTGLVSWVPNFNR >PPA03188 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig116:199424:201732:1 gene:PPA03188 transcript:PPA03188 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSELEVEKEKKLSARERKLMEKDGELTSNADYARALKGDPNDAQLWIRYMSHFIGKDELAKARATAEKALTTINYREDAELFALWCAYVNLEVSFGDVESWTGVFTRACESADSFKIHKHMAATLAENEKFTEADAIFDKLVKKFRAQSDEVWTLQADYLYSNDREEEARILMTRALECVPKTRHVPLISKFAALEYTKGDQEKGRNLFENLLATYPKKTEVWNTYVDLTVKYADVEQARHVLERATSLPLSVFKLRPFYKKWIELETREGDEKSLSEVKKKAMEYLTSLKDVLDE >PPA03197 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:277375:284700:1 gene:PPA03197 transcript:PPA03197 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVVDRIGVCSSSLPLPPKSLFIIIDNVLPELYDVVFKYLRHFSSFHEFSLSGEDDELREFAHSRLPQCCEEDVIHASMGSWFYVDQVGRALDYGLLQPDDLPVGTEQLLKSLCSSPSLPTRLSIYLMLIKASRRPPSHSDLLSVGQIMVNDVLPSVQKDLEDLSPILSCSDPVILSGSWVDFEGDLAPYHTAWADHYKVKTRKSPQDLVELAYHLAHSLCSIDESLLILHSLGASRLSLSCPVFDESTTALLERVGCRVERIEDQEDFVFLCSLGDLSRISELLMDVSSAPSSSSISLGFLAAASRGHVEVCEMILDRRPESIHFSSSSSSEGQWNALRAAACGNHLNVLEMLIRRGVPVDECGNGGRTALRAAAWAGHRQIVTCLLKAKADVEKRDSEGRTALMAASFMENNEIVEDLLRGGADANCVDTSGATALHLVLSNGCKNERHRLTVNTLLNFKSNVNLIDSHGRACIHLAAYHGDECLETIARHADQIDLSDSDGRTPLMLASSQGQIDSVQMLVSRGANIDSIDDQGRTALILGAINGHLSIVDLLLSMGADEGHKDNDGATALHYAVRHKSLELTRALATTATVSTCDSQGNTPLIVAAEYPNVEVIGELLQCGSSPSAQSLHGQTALRVAALAGNVNIVKMLASRVSDCDQKDVEGTPLLHSLLISNQLSMASTLLSLSASPSSRDIHGRSAAHIVSSRDDVAAARLLLRTIRDGRIFEERDEGGRTPLMTAVWAGHYSIASFLLEVASVDPNAVDKQGASSLSVAVQLGHRELVHLLLRLGADPSIRDKAGRTAHDVARITGKENIRVMLKSATGSADSSGFGSMPSSPLEVDINLYASTAIINVIRRLRSRRDLTFFKNINNDR >PPA03212 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig116:420096:420857:-1 gene:PPA03212 transcript:PPA03212 gene_biotype:protein_coding transcript_biotype:protein_coding MYRCLRCSECGVKVHEKCKDLISADCLQRAAEKSSKHGEGDRTQNLIQGNKFNYFD >PPA03191 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig116:214182:215077:-1 gene:PPA03191 transcript:PPA03191 gene_biotype:protein_coding transcript_biotype:protein_coding MHPIQDHSVHLRGAAPIVTPDSGQKITPRGRPTIGLRRDIPDDGTSAYSMMNTQQGIPPSKPISTKKTAEDTRTREMMKAAQNALAPSSAIISGMSVQPPLPSHPSPPHLHDPPPSHHDSLVLPPHNRPQRQKIVNYDSTREQSVAESPERLSKKREMAIVDSGESIQGQQMGKRARVDEDEVCRTASCFIEKLN >PPA03203 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:354409:355799:-1 gene:PPA03203 transcript:PPA03203 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTAALTAAGFLLPVFVPEHKQVGQHLQEYDYFDPDDFIANTTTDSSGSFYLNGSHTEPILELGNYDLAAKGKIECDESTIQSYSGQVIRQKRGHD >PPA03222 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:508787:512141:-1 gene:PPA03222 transcript:PPA03222 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQEKRRKIQEETKVDFFSQLPRDCHISVFRLLNRKTFNELECVSKQMGESVAEPALKRIKTRGALLSKNPRIYNTIARNTGSRLFIPLGFFGTLTGERFALITETSHAIQFLCDDWGARYGVRCGEQRGHWSHCAIRSESQMPLVLSNVAQLTPGGAKPMPAAIWTALARLFKQHEFDHVYLERIRINAQFLDEFRPFLTGPKHVELVISEVFIDESVNMSKFSEFLLSANLRYLRISRGRQALPSDMAGFLGIFEETFLTAFAAKCIDESPSFVLGIFSGMNVYTLFDTTRSYANEYDDFEEDEEEEEVEIWKQIQKNGTSRSCKFNRYVDTNTNELMVELEFSVRPCGLE >PPA03225 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:521295:524505:1 gene:PPA03225 transcript:PPA03225 gene_biotype:protein_coding transcript_biotype:protein_coding MTHEQFHVRIPLGTAVVDQFLGLKPHPTICQATYIWIDGTGEHLRCKTRTLDASPTSIEQYPIWNYDGSSTGQAVGRDSDTYLKAVAHYPDPFLGGQNRLVMCETFDKDMKPTATNHRAKCAQIMKGIAHQVPWFGMEQEYLLLDRDGYPLGWPKNGFPAKQGPYYCGVGANKVVGREIVETHYRACLHAGLKIFGTNAEVTPGQWEFQIGCCEGIAMGDELWMARFLLHRVAEQFGVIVTFDPKPAITMGEWNGAGCHTNVSTAAIRAPGGMKHIEAAMKKLEAKHQDHMRMYDPNGGRDNLKRLTGRHETSSVDKFSWGVANRGCSVRIPRSVAEEGKGYFEDRRPSSNCDPYAVTGMIAQTCFLGL >PPA03164 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:18208:18585:-1 gene:PPA03164 transcript:PPA03164 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMRSNIEKLTERGDKLNELTLRADALHESTNQFGKTSHTVIQKTMVRKYHGRPYFFYVYITLLILSLSVAIYYIYLSMSASPKLK >PPA03162 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:10716:13562:1 gene:PPA03162 transcript:PPA03162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ntl-3 MAEKRKLLAEIEKCFKKIDEGVELFEETMGKMSESNSDNQREKLQDDLKKEIKKLQRLRDQVKNWQNSSEIKDKDKLTSYRKLIESKMEQFKDVERDHKTKPHSKQGLCAEEKLDPKERARHECVEWLNNTIRGLNDENDKFEASLELLATGENGRRRGKGKEDPKKVEKEKELKTLMEGVKFHIDKLELTMRLVDNESLDPKEVEEALKEEMEQLFSQFETEGTLYDCEGAYDELDLESYAATFGKNPSLDDEKHDGSSFTGTRETNYFHFIESSYTTQVNVSY >PPA03214 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:438156:448709:-1 gene:PPA03214 transcript:PPA03214 gene_biotype:protein_coding transcript_biotype:protein_coding MWREQISHQNIHVTPPLSRRENKGSFNDTALQASYEDEEDAYHSRMQTEEPLQPHHSRHYGDYGVEEVEDAPHYDDEDEYGQQEEEGERYYHHPNGYIVDQDGYYDIADTEEDLIPSHHYQPGGSQYAPHPEERLDYHVEHFREDADDLPHDSDALIDDDGRHYQPGHGRLQPGNSQYDEEEEEEYGDYGQQQPGGSGAGQYGQHTPHQYTNGSVRSGGTGSATLRQESMDYESQREYESDRHYDSQGPYQSDWHDDEPLSYNSRPPGSNIAPTRDGAQLPDYDWRHEEEEEYHEQHPYPDDEHDPHHYDTVHHHDGYAGDFHGTSAGQPHLGHTTVQQQQPPDAFDPALAARRSGRPHPYTRARPGGPQEGIQPPDAEPQLQQQSMQHLQQASHDYQDVYQDDQGAAQFEDDLGASQFEMMPQRRYDSSIGDSMAGLDHAAAGNILSLKEGEAPNPAYHEPNGYHDPYHPDPEDYPDQQHGASGYHDREVEAPSVSFSDRHEVSNYERDEEEPHGHDVRSSTIQEEERRDYREMWHGAYKKVCKNLGFKLF >PPA03189 pep:known supercontig:P_pacificus-5.0:Ppa_Contig116:203641:204961:1 gene:PPA03189 transcript:PPA03189 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLVRVIVRSEKEKKGAVTMVLSPSLQHNDQSSALRGLGRFRRRLGTEMCEIVPGLYLGSLRDATDEEQLKRNKIKSIVSVHDLTAIHPLHESLRVFRLPLSDCPSVDIAKHFSETNEFIHSSRLRDENVLVHCLAGVSRSAAVVTAYLLTVTDLSYFSAISLVSARRPLINPNFGFRMQLAKYSEKYVKRERDRLRSSLGAASFDLQFTADKLALQGANRGRSSSATDVFSSSYGSSSPSTGFTSLGRASTYGE >PPA03231 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1160:313:1359:-1 gene:PPA03231 transcript:PPA03231 gene_biotype:protein_coding transcript_biotype:protein_coding QPPWEPPTSPISFDDDVVWNYADEVLPKRFKKEVSTKTTTTVVSQGSEYVYSQETSQGEEDDEEIEEEEDASGERGHYAIVEDACLLRLFKRCQECGAGVDQSLIEIKRCGSARIVRYDCLNPECNASVKWESQEKVGSGRSRVYSANHSIPIAAFITGTPLPRLCDFAQVLELEIPSDRQMRKTIREIGSIATERVFDGWQEISRELAVNVAGDKGLQVSIDGQYDSPGHTSTNGKVTVIDCETKLALAGVAKSKNDPGIDGVSCRIESEGAVEAIIELVDRNINIR >PPA03232 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1162:956:2375:1 gene:PPA03232 transcript:PPA03232 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVLVKGADQLTLIDNSMITKGARSRGMTITYKTKKGLSSGTYIDIGTLTEMSGKKKQMEEETKGKKKRGRKRKEITVGFTVHPPHGGMMGDGEDNEEDPLGDNAMMEEEEDQMESKRILRGRVHRAPSMREDSPHIESDTSPHSSPNRRSSPIHRPPIRRSSPIPHSLSTTLQKGRTHGSVEERDRNITNNLEKVAANLEKVVDALPETSNDFDYKYVAKDIVDLHDANENLLIFATRIDEIIFPNERHLRLEMRDPRKVKWLYEKKNLPRSRQNPKDETSEE >PPA03233 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1163:420:1926:-1 gene:PPA03233 transcript:PPA03233 gene_biotype:protein_coding transcript_biotype:protein_coding VATAVNDCLLRARSTAELAVSSDMDEIITPMNLKGKNETLFNIIDDYRNRKLKNGAVPGAFLFRHSYAYVENNWFSIAHPSDLSFHYYRNVSYEQKVVYVPDRVFRGHVHDTVSFERKQWATVVIDPNRARVLHFRQIASPAMQRAKVVAGSVVLREAAARWQAEYTKRVDTAVEQAENGMPLKGAFDLSFASLAWPNLGEQVLKEIEQCREENYKIRKDKCVTEQRCRSTMQTVQPDQWVEPDSAETWV >PPA03234 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1166:685:1272:1 gene:PPA03234 transcript:PPA03234 gene_biotype:protein_coding transcript_biotype:protein_coding KLDESEVAIEPVLHDAIPAAAAATTIKHLPNRLRDSAHLFGEMLRSKFLLSSAFILFLNKPKVLVRLTAMFPAEIKEGQGSRNLRALTRGATDTKNVQFVFHA >PPA03351 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:473034:476421:-1 gene:PPA03351 transcript:PPA03351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-kcc-2 MAGSNRSGNLKDAGKSIPVGTLAATLITSTVYISAVLLVGGSVSEMLLRDKLGSSALGKLTMAQLAWPSPELIVFGSLAATLGAGLQSLTGAPRLLQAIAADDVIPFLAPFREVDRRGEPLRAIFITLLISELGILIAVIESIAALITQFFLMCYLGVNTACALQSLLKAPGWRPGFKSLSLVGAFLCIAVMFISAWHFALIAIFIGAGVYKYIEYIGAQKEWGDGIRGLGLAAARFALLNLEEKDEHSRNWRPQLLVIMDGVEGESVEGVLSVTSQLKAGKGLTMVAQCIKGDFTVDSEDAEQVKEAMKEKMKQHRIRGFSDVLMTGNIEEGLSSLIQIAGLGGLRHNSVLLSWPQDWASTHFGHRFVSLVRATSAAHCAMIVTKGSENFPTNKERMNGFIDVWWVVHDGGLLMLLPFLLKQHKVWRSCSLRLFVIATIEENNVQMKNDLQRFLYQLRISAQVNVIEKLSNPWERILYSLLARFRYF >PPA03255 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:60500:62053:-1 gene:PPA03255 transcript:PPA03255 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEMREKQKEGERLSEQIESLERKISQLRCGYEYDSVKRNISIIVESDAEKDVELFVTYQVYCASWKPTYDIRATTASENDQPSAVTLCYYGLIEQNTGDMWKDCELILSTATPSLAGCAPPLPTLAASLHKTNYQRQRHGSSRRKQPLSAASEEDMGFGSFDYNEMVDAAAMHRLNLHSSEENSTVSPMRHLDNVACTCFSVPRAVTLPSNGIEHKVLVAQIELACAFFHETVPSKCSSAFLSALVTNTSHLPILPASASVYVNNSFVSKTMLGGVSPGEEFRCTLGVDPAVKVEYKTPLRAHEQVGFVSKSTLLTHEQMVSLRNAKVGSAVQITVREQIPKAIDDKIKV >PPA03317 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:302586:307096:1 gene:PPA03317 transcript:PPA03317 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMISRGLKLAKLSALFNFQSLITVILLLICTCAYIRNFVPKLIDRNKEGLLGVFWKLARIGERLSPWVALSYDYEIRKRVPNKPKLAPNHFYISTKLNPQREADRIENLLRNEMREVHIHGMGVSIVKAMSVVARIEQKMGSSIGKMIRTGTVTVKDDLFPLSDEAELSTRARLFAVSSLLRRLPSSSIDVIEAAPVPFGLVKNCIHSFEKMFSTHEDRLSLFCNLRVGQGPKEISLDDLRSRYSAILLAYGANRARRLNVPGSDSINVLTGSQVVGWYSGYPSSSPPLLDHSSAIDIPDEALELIRKSRVRNISIVGRRGPGDVSGVEMDKEEEGKIRREKEKRERPRKRLMQIEAGLVIASLGYEAVLLEGLPKNEKGELLMKNDSEVAMNGNGGRVFATGWCTGKARGVIADSQVSATRVATVMGEEIKEGGNDEEGEDDDGGFKQVNDDDEHQARVMKKMGGGHQTGAKGVIGDFEEYQKMKALKAVEANKTNLGRAKKGDNC >PPA03334 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:405138:408863:1 gene:PPA03334 transcript:PPA03334 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cpsf-4 MSQLRKAEMTAILARVPLSMEEYISDIPSGLFDVEEALIQQRGSKEVAFNGMDKTGRAVCLRHIEGYCPLNNFCPLRHLVGDKAVVCKHWLRGLCKKGDACEFLHEYDLTKMPECFFFSKYQACSNRECPFRHIDPESKVKDCAWYERGFCKHGPYCKNRHRRRVLCPNFIAGFCKDGPDCKFAHPSFNLPAPDAVVLNNKRMFNMAIICHNCHERGHKATHCPHLPTTAQTQGFRTVDKAPIAPPNETFTEKKALSDVTCYKMGSKSRSGAEKRSKKRKEIKKELSDDDDVEMKSPPSSRVKLEVDDDQPCSSHSTIIPMVKRGDGSSRPMDTRKEFVLPLEKINGQITCSVCGGYFIEATTVTECLHTFCKSCILAHFEEGHTHCPKCDMTIHQSHPSHYVSFDRTMQDIVHKLVPGLLHEETERRKEHRAARRKAAGKEDIVKEIKEDDHATNRCYPDDDPRLSHHRGDDQLIVRLIPERGLDPVSRPVVRTSHMTTINTLKRYLSLIMWNDSSKYNQLDIFCNGELMGRDYSMRFIQITKWRHHKAGTALALTYRPHIEF >PPA03293 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:199109:200597:-1 gene:PPA03293 transcript:PPA03293 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIRNNPDNLAVGLNNVDISVTDESKSSASRRDKGSQLKMSSGGTSSTKYAAGVPPPIDKYDSSKLKTYGSFPFDFLTNITKEWKCAYSLLSIKDHDPNLELGRSDVLVLKCESSRTSNSSSQLVAMRPAVNIVKCAHLSCDSCWQK >PPA03354 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:484857:490003:-1 gene:PPA03354 transcript:PPA03354 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSLLNDENAPRNNMPQNEKRKPLESPFSAFSPAQRLAKKKGRILCEPGDSNLEASMMEESILHADHTDSTKPLRPKKLFGEGDGGNDDDDDDDDETEDSLLDHTTIDPTQVDGDRTFSAETRPFVPDEVDPNLDQTYADMTMNQTFSHVVCPSVPSSVDPNLDETLADMTLNETFSNVVRPLVPSSIDPNMDETLAETTINETQYSVEESMFVMAGNEDDFPDRTLGESNEEEIGKIEKEGNSILDELDAEKEDNGGGTLGEGKTEMGLISPNKSQGVSLFEEMNTIKLTVPIDLCVKDEEDSVVEASQENSLMKEGGESREASLFDELNTHEKEEMSMNGSRIENEGVSLFDEMNGSDDDCEMDESVMSTMTAMGMSGGEARRLFYTDMKKHEDQCTPTQMVGMISPASQISNGTYMNNTLFDSPSTPSKPSRYNMMNDSHLSFIMHEAEVTNSVYTELKREMKVLQDELSKSRENTVERRTGKRSEDTFQEKVEKERDNAKKEAEDYVFMMELQKKKMMGDKSDLSRRLFELENELSEARRMSNVVEEEGRKVEEMERIKMELEEEKKRLEDKIIEENEKYAVEMKRLSDKTEEMERQIEDERKKANEEMERRLVECRIEMERRIEEILIQKNELITQLEKMECESGEKEYTNEENERKLEGEKAMMEATMSNLLREKKEMEDELERVKIERDEAIRKMTEVGAECEGIKNSIREIDELRNAAFEDSRIANGEVEELKRVNKEMKEGLEEIKRNMEEKEKEVVSLQSRISVFTASEGRIGEMEEKINELEEEKNEKLTEMEGVIEEMKNGLEEKQKSPVVIGGDDDCEMDESVMSTMTDATEGKMGEMEEKINELEKENNDKIREMEGLIEEMTKGMEEKQKAFNDPEIVSLQSNVSVFTASEGIRGEMEERIKELEKEKNESDMIIKGMKMEKEMSGNMESRMEELMEKLMKKTEEHYKEKESLHEEMIELKRKNMESEMEMKTKEKELESSEMIRKRMEEDIEKMREEGRDKIESMESIYNSLKKEFDEMKHSLAVANENTLRLESKLRVTEEESAKKMIEMETEKEKEMNEIMMENERSK >PPA03297 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:227581:241859:-1 gene:PPA03297 transcript:PPA03297 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPTIFLVVLISFLSLVEASRECSSINVDPQVQKVTYNCRLDGYTYPEGCTGVIHCINNFVFKKVVHRDAMKDSHKCVGGMEGWLDNVNNYKNPGQAPGKCDPVCKQILPSDLPANVAIKIAAQPVKVNGKDVLLAGAGIQFQCSKESKYPDGKSIQQFVCKGGEAGYMDLTWNTSPAVIKGCDTMGCTRIDNSNVDPSSSVSYEGCEHGSAAFKDGCTVTIKCINKNSYFAKPENSAGMNVTCREGAWINPLNRTITPTNGKYGDSCVVGCPVLSESDMRNAEYVGDLPSGANQLYYNGDSKIYAADVKLTTKCKDGFSRETPSDDVNEPNVFNCASVDGWMNTKTGRRDQKPGGCIPSCKISTTSIKGSAYSFNPSDIITSNGQQYLRAGGSFETKCDNGFEAPSGQSSPQKITCKEGSLVDANNNSPVGAPMTCIKSGAKGCEMISIPNGEAVKEGCDVEDGLMKEKCKMTLSCSPGIPSLSPSFSSMISSGFAPSGSAALNDGKQILECKAGNEGWIDQRGNKNVKPLECVPTSTDRADFVDSPDKKDVVNVDGKEFVKSGATASVKCKNPFVGKTEPERTADKIEYKCMGGNDKWSKPGTGEIGKTLGDACVSTCNAITPSTGQAIVLSPPVFDLNGASKVIEGGKYRLVCKDGFKFPSDTKAAQKNMQNIMCMGGEKEYVDGETNEKIKIESCERGDQPGCSPSIDPSSLPPSKTVKQSEKCSTSNDRSSVGCKIIIECADGYYPKNPENQNENGQSLECKDNGTSSYWNDPKNDQPTTSMLECLPGCKDGQIGKNAQVIRTSGDKTNGKITFYKENAKFGSPSSPNPEMTYTCKGGANPWNNEEQSRLEKMPGELCVRVCEAFDAPAGIRFTSTPPISTINGVRAVKADEKYSLTCANGYHYPPDSSPAKNDNKQILVCDKDTGKFVDSITGEKNGPYGCVRDQGLSCPDIAQQNARTSDECPQASKGNIGCNVTVVCDKGFIPASPYDKDGKQILNCELGHDWKDQFGTTVKSKLECKKACVVDSNKIGKVAKVTKNASNTKTIDNIVYVENGEVISVKCEGEGVIWEDNASETPNDHSYTCVGGSEGWKKDNDLNVVVNPGEGCINTCLVPQNVVSTTVNLYLKPKIFEYNGQSLVADKSKFIYRCINKNFEYPRTTPCQQEETVVCNGETRNLESNKKKGTPFTQPEECERKKGLNECKIISQDTSKTISQTVNCGDGGEFVKTGCIVKMRCADGFYPERSDYHTLSGDQELVCGSGGEGWKDQYTRRVNEPLSCISGCVNRSSTNQEVEVQASTYFNTHFNKTAHCKKTRIKVTTTGSSTRYSCPPANPSEQTTDNGCDNTCNALDTNLPNGVAIEKMAESLPNLTALVFGEEEYVFKCQPGFVYPSSSPHRPNGKQILRCNGAKKTYEDTVNGVTDGKIEACEKPPGCKKPSTGDHTVTVVGPECWVGEEYLNDKCSVEVKCEAGYYPEDPLILYGEDTKLKCDESIEKWVDVKTGNPREDTSIKCIKGCVDINPKTIANMTNNVDVTVNTIKYLDPITNTFLICIDVITSKFVYEKVEKIREHKNKYFCEFNPNTNVKEDRDTPFKITHGEMDYIASPATYVFTCSDGYQYPTDSPYATDKKQTIKCETNGSLIDPDTGKSNPDVFPCKKVEELPMECTTGCIGGSSTKKDTGDGQSWKITPVAVGETIFQCNYSKNAPDTPNLPVSLDAPKTAEVAPILPGSASVPLSSSTASKYLCPSQSTSETVTCTDTCQKLKIADTIVTTKLPDFFENYVNNGGKYEVKCNDSATVFPDTGKPTKSVVCESTTGKYKDEEGHLIDGELKDTCGAPKPGCNKLMNITNGDLIVTCSEDGLTCEANVTCKEGYEIVKVDSTYKTRQFVCDVQKKEWIDKDTQICPEFSTENAEVSYSNGLPISGGSKATFKCKDGFAMIDGSTTKKEKTFFCDVKNGWRDHSTLAKLKDHPNDAKCVPDGTQPVCKKNVTLTDIDVIVTCTQDSQECTANTTCKEGYVIEKIDSTISNRFFMCDIEKKKWVDKDTKISIDELNTTAKCVPDIVCKKNVTLTDIDVIVTCTQDSQECTANTTCKEGYVIEMIDSTISNRFFMCDIEKKKWVDKDTKISIDELNTTAKCVPDIVCKKNVTLTDIDVIVTCTQDSQECTANTTCKEGYVIEKIDSTISNRFFMCDIEKKKWVDKDTKISIDELNTTAKCVPDIVCKKNVTLTDIDVIVTCTQDSQECTANTTCKEGYVIEKIDSTISNRFFVCDIEKKKWVDKDTKISIDELNATAKCVPDTPCGRNFTIKDGSVTITCSDNECQANMTCKEGFVIEKIDKTDKSQLFVCDVKKKEWVDKDTQISIREICAGPPPQLKPDQVTVTSNCVMVGDEKRECTFKMECQPGMTITSSTTSTKTERVECEKGVWKVYKNSSDSYDLKIEDMPAINCTANNKTCDSSTQVGNANVTYSCQGENRVGCKMEIEKVCDKNVTVRNGDVVVSCTNEDESDCKANVTCKDGFVIDKKDSADTNQLFICDNLTKTWIDRDTQLSLNDRNDSAVCVQKICAGSPPKLNPDQITVQSSCRMLADLTKECTFKLECEPGSTITSSTTSYTSEKAKCEDGVWKCPEITKPEHGTVTYDCAGYAVHTNTTTLPFKTFACDEVTKTWKDVDTKATLTENPRLAECELGSTTPSPTGSTTTTPRPCNNSTEVENAIVRYSCQGENRVGCNMEIVCKPGFVITTKTTTVPSKKFVCDVVSEWKDVDTNLNLSENPISKCVPEVSTTPAPTGPTTTGPLCHPITEPGHANVTYDCAGAVTEGCVMIVTCEEGYVIHTDKSTTTFKTFACNGETKEWSDVDTKVTLTENPKLSTCELGSTTPAPTGPTTTALPCSELKNPEHGIATHTCKDEITNGCEAEIICNEGYVIDTTTEPTRKFTCDGNGWVDVITNVKLSERPKLATCVSSKEDPRRRADKGIRETRS >PPA03271 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:120884:121704:1 gene:PPA03271 transcript:PPA03271 gene_biotype:protein_coding transcript_biotype:protein_coding MYLADAIYLVLKGYDVNKVNLRDNDLKKFPKKLVTKFPHMTIVNAEGNKIEEIPEEFAEWKELRGLNIANNKITSFAESLYSLESLAVLDLSGNSIEEIDVDRFFSSFPKLIQFNISGNPLKSEIKASLSEKRPKSMTIQF >PPA03319 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:319914:320162:1 gene:PPA03319 transcript:PPA03319 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYESYVRDRLMPDKLKAQEAVNELVTEMQDYQSLLVTLDTIVDNELKEMETRVNMGNNMFMQANV >PPA03380 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:611427:613442:1 gene:PPA03380 transcript:PPA03380 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVPAFRLGFMGIFNLGDDFIPTNLGLHDALHALQFVSKEAEDESISWDAGFMFILMSSPLLPFSSFTQMFDLPPGVDVVIGSTAREMDVPVKLQTHPGDLAFVYSYQQPSSHNYHSDDFSFIAGDIAKFYPELFSNFTLIGIPSPDWMSMNESGSYFSIVVDANSKRCIDRQATNEYRGIYASN >PPA03258 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:69196:70247:-1 gene:PPA03258 transcript:PPA03258 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIRLAAKHTRQLVAATSSSFLPTASYHEKVIDHYENPRNVGSLDKNDPNVGTGIVGAPACGDVMKLQIRVDDNGKIVEAKFKTFGCGSAIASSSLATEWINGKTIEWAEKVQNKDIAKELSLPPVKLHCSMLAQDAIQAALSDYKKKQTNKTHVLFLQCEKEAFEL >PPA03299 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:246123:249256:1 gene:PPA03299 transcript:PPA03299 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSLQSLGRSISQHIEERDDDWVLIDEMEGLCLKVLPGQMIKIRDDQVVNNAGGFVFAVSDVTRIRRFLILGVDGGSYYSSEKEMTLDNVNALKDIIHRGKADLILNEIKEISLAGRNPKQDPIMIALALCARYRVSDLSKKAESKTGQLYQEYLKVMHKDAMRLVNEVCRIPTHLFSFVKFCEMVSADVKVKTKEEIKKEKEARKETGDNEEKMDIEIVNDEGGKKSTGWGRLMRKVIEGWYTSRTPEQLAMHLTKYGQRDGWSHKDLFRLCHPIAPKGDNQLVYEQIFHYAVKESFEWNKDGSRNLNERKRRLPSDSDEAKAAKVKYIEEQMKNEEESKALVLIEKFLSLTKETPDEEVAKAIKEIGLVREHIPTEKLNSVEVWEALVQKMPMTAMIRNLAKMQTIGLLAGENVVKVANEVKDKEALKKARVHPIQLLLAKTVYDQGRGEKGKLTWEPEKEISAALEAAFYAAFTNVPPTEKRFCLAFDVSGSMGCQIAGTCISARQASAAIGLNLLKVEKDVECVGFCHELVKLPYKGDWTLEKVSNHMDSLRFGSTDCALPMEWARQEKKKFDVFIVFTDNETYFGEVHPFKALQDYRESSGINARLIVCGMTATNFTIADPTDAGMMDVVNWIRFGSS >PPA03363 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:539511:544718:1 gene:PPA03363 transcript:PPA03363 gene_biotype:protein_coding transcript_biotype:protein_coding MISSNSQPASTTEATVRSQLDTFNINDAPSFSRNNSAGDVSTAEESSLGSPKAASTDGKRKKKKKNREKKRMGTDSVTPRGGLRTGLYRSNENAKENESSQDARDIPCLLVVASGSLSILSDGERFLLIPLVSTNDSTRSESPSKPDWSPCDGYDRLRCLVPQNPGSYLSLKDGFSSLEDSSTKKTPAHARLRIRPTVGLYLRATPSAVLSRTKGAGLSSHCDCDKERRPIHWPETPQMTILKEERHSDTYPILISAQKATLINIWKLEKQKKNVDTRGALGLTYTYVPMTASIPVEWVNNSAAHAAVDEDSIVVSNENEGEGCCDDGLREMRMERRRGEKELPEHKEKFIDSHCHLDFIWERHPFTEIQSLRDKYNESFLPTFKGCIANFIRPSNFWYKEWIQKVAKDEQVLGTSWGIHPHHSGEVSHDNLKELKTYIIENRDLLKIVAIGECGIDLSGRNGVSLEKQLEVFKFQVEMAYELDLPIIIHCREGKGIDKDPEDVVLSVLKERPNHPVHRHCFTRDAKVAKKWMQTLHDCSFGFTPLVVNSEWFDHLPEKIIPIDRIHLETDAPYFRPLEYKCFNNLPSNVSLPSAVFDISLK >PPA03323 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:347065:348006:1 gene:PPA03323 transcript:PPA03323 gene_biotype:protein_coding transcript_biotype:protein_coding MFAQSLVPAVPLQYLAIGFSTDKIMGGDMVSECVVSETGVEGGEVFLSFNRGKSNDRVHFDDLEAEVVYSDIRSSVVNGRISPFVLNSILLMVVV >PPA03295 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:213736:214287:-1 gene:PPA03295 transcript:PPA03295 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLAFFLIYSTLVSVQSWVIYRKAQKQRLVCREEKEWTNSTTPPDEIINVRSKRDVMIDPQFKEPIIFIGEGKSNTSWYVDDGDFDMVSDELSNEEIASVKKKVIGMCVNLLSPNRSKKRRAKD >PPA03379 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:607586:608013:1 gene:PPA03379 transcript:PPA03379 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFSIEESEADAILIHSRTINPSDLPRERRSEQVYVWMCHEEPGKCGKGDRSIRSDTIMDMGK >PPA03288 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:179896:180322:1 gene:PPA03288 transcript:PPA03288 gene_biotype:protein_coding transcript_biotype:protein_coding MIIMIIRQVTKKKEIIHMRKKKKRKKHLKFMRNMRFILIIISKRIKMEKLKKKRMKIIRAVIGEEVVEDNHHQHIEKRNTDHHDGDHHGRKKRNTSHSRKRREGKEREGE >PPA03304 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:261936:265042:1 gene:PPA03304 transcript:PPA03304 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSVAHMMLYKNTNRLGQRKVDLKEQCRRRLSNIRLSSFSKNRPNLEQEMNNIISLTVHADKELTLEEQIKMAEELFQELLEEEIENDENLKFSRAQEEAEWMETVPVPCPNCDHAEMIFETNNSRQSNSITEIDVLLLSPFILTSMSLYDDDIDVPVTKPSVPFHTTPVTAAPQPQPKSSSNAANISMKFLQSQIQAKRNSDKPINLLSSVPKPLSSGVVDLTGRSRAAPLKQLRPLTAKAVAESTLPMFINKAAVDDSVHMFGEITIEKEYQPAVPNDYYFCKKRKEEQEAREKAAREIAERLEKEHKDEMKKREKGAAFAPPTFMEPEPEPEPVGPKFSMPVAPFKPFGQSGKGLGVAASIMSKMGYREGAGLGKDEQGISTAITLERKGKSNIGTIVAPEPSAAPPAPMSQQAISDAMKNATKIVVLKNMITSNEVDDELEEEIREEMSKYGQVTKVHIHKMSSPREGDEVRIFVEFTNVAQAIKAFIVQSGRFFAGRPVLAAFYPDDDFNDKIYE >PPA03336 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:414125:415702:1 gene:PPA03336 transcript:PPA03336 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVIASVMVMVKQFLPDSIVFDTGMGRIKYTHLPLNACLISFIASLFGLIRFVVPLEILLGTQLSWTYLRFLSPHETEAVIGDPSEHFAWASLFPSAFRPFCTLISKGTFRTLVKCGVCKRRQVVSESVSPSTSSSLPLLSSSSDPPIA >PPA03285 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:169424:173889:-1 gene:PPA03285 transcript:PPA03285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lov-1 MAAQMERLMGILEDTLVERMTIPGSVSYETDGNSMVVTAGRADQVLKMNHVVFPEKVEYLNTDAIVNETEIRVSLICYKINPYAYVDNANVLVSSGALSASLKTMKTGMILDMHTFHTVQWNSSVVIEITPYMIRREEDPLTEVWAFVGFQRLPGPFEGDYDFKIKIDKRYKSSLFISSWDLFNRTGLFYVGIGVRSLSSSFGNDGDFVTYPSTPSDVNGTWVFSRSVSYDYRLRAITKGCYYFLMGIEKWDASGIETGNYVGDVVIKCKTFHMTYFAGGIYTPEVPNDFSYQYVEQSFPKSCLVFLSILFIIVHQSVVTWMANKHTRTDRSKGQLRIMTDNYPLDTYKYIVVVDTGFKMFATTDSEIHVNIVGEEWEALNRTLKGGNERIPLGLKWGTTERFLMTTQYPLGQLKYLSIWIDSVGLQHRESWFCDRVIVYDLQKKEEFLFKVDNWLGTQNGDGKTERTVGSSKKPFFLWEAMWKHRLYENIAYIAMYTGGGSLKRLRVTRPIHSGIVYFGLFGFSMNEDMQNAFTRRFFIMIAVWILVTEPLKGLIITSIYSIFHREHYYINRYEKSFMRILPRQEYSKAPPFLIDVEKSAKLAESKSERSEDQRMRDEQLFNTARQVITFFASIFILLGLTYFCRDRFGFFYQQEVNSLFSINGNPYDGKSFQGIQTIDHFYNWTLTKLIPGLRVSWYDGKPAWDMRGFMNDKTSRSMGYGIIRQVRSKSGLDCKLADKFKPYFDSCQGYTSRSHEDNTEAYTVGWGEATTKNSNFTRQEYIYRSQEELQGKMIIGNMDSYTGGGYAWDIIGTLSDLTEQMNRLQKEGWIDENTRAIAIEFAMYNAQVNYFAVIQLLLENPPDGSLRPIHYP >PPA03338 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:420994:421904:-1 gene:PPA03338 transcript:PPA03338 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDGGISDLATLGFCNLTEHRRNRGCNPYEIFDGFTNQCTLAYAMQFEDQDTDLAMKILYGILLPILVLLVIITNGVVILILRTQRIICMEELPFFFNTIITFLTLLLGIQRSISSSFI >PPA03302 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:253869:255638:-1 gene:PPA03302 transcript:PPA03302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ikb-1 MKKEIRAQITLTDRFDNSILHYLAESHNDDIYKEVLERSLDISHFEAKNNEGLNPLHLAKGADANVEDEPGMNALLLSNKVGNYVIMGQLLDAGADAYKETKNGEKLADSDDEMVVKIIGGERTAQVFKVVENDGIEQRRGELFGHSSHGGPLVEELDELDDEPGPSTSRERGRRTGLSLGNDDVSCLDYLTRLRLSKVLDLQSKWEVLARELECEHMIELISICSHDSSPTMILLDQFEQLPEASITRVRGALERIGLEEGVKLIDERMVY >PPA03301 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:251451:252254:-1 gene:PPA03301 transcript:PPA03301 gene_biotype:protein_coding transcript_biotype:protein_coding MARILRQDCSLEENYGASQEGYNTGVSGVQLPYYPSNHQSYLTGPNYVSPSQGYVSGGQSNAYDAYSSYGGQSNAYDAYSSSQSYATANQQRYNDGVYHLNGPIQGRRVVIYKKILRPVRVHTYVTVERLPGGKVVDQWAEGGYSSDLLNG >PPA03332 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:394891:396655:-1 gene:PPA03332 transcript:PPA03332 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNGKSVKKNQNLAKTQTAAGGLSKLLYERLFKWILQKCNDAINSSSEGENDGEYIGVLDMAGFEMMMINSFEQLCINYTNERLQQLFNHYMFVKERKEYEDEGIEWNCENYALDLEGTISLCDQNLGIFSLLEEECVVPNGQESRFLDKMLDKHKTHPSLIRVKHSQKSTVIKHFAVSHYAGQVDYNVDRWLEKNKDTVDRSVIDMLSTSIHPLVRELVAAEEVSSTLPRNGNRRPSMRAPSCNTQQLSSLVSLLENSQSHFIRCILPNRERLPLKIDRPLVLHQLRCNGVLEGVRICRKGFPTKLPFDQFISRYRFLSTQSMRLNWKGREAALCLCEEILRSEQDSYRIGKSRVLFRVGVIAKLENQRSLRISKLISGLQSNIKWYFAQKRLNKLEEERDSLVTIHHNVRLFSQLSK >PPA03348 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:456455:458280:-1 gene:PPA03348 transcript:PPA03348 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGDIEEFRARKVALITGISGQDGSYLAELLLEKGYKVHGIIRRSSSFNTARIEHLYSNPVTHTGGASFALHYGDMTDSSCLIKLIRSIEPTEVYHLAAQSHVKVSFDLPEYTAEVDAVGTLRLLDAIHACGLTERVRFYQASTSELYGKVQEVPQKETTPFYPRSPYAVAKMYGYWIVVNYREAYNMFACNGILFNHESPRRGETFVTRKITRTVAKISLGQQECVELGNLSAKRDWGHAREYVEAMWRILQHNVPEDFVIATGVYTTVREFCYLAFKEVGIELEWEGKGVEEVGKDKETGIVRVKVNPKYYRPTEVEELLGDASKAKAKLGWEAKVKLPELVKEMVASDLALMKANPTA >PPA03290 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:185069:186497:-1 gene:PPA03290 transcript:PPA03290 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTITRTKKIERKAAEDMFLAAELEVMEKMEDEVKESRPPLKIVWRNVGIFIALHLAALLGAYQFVFEAKWQTCLWATFLYIASGFGITAGAHRMWAHKAFKASLPVRVYFMLWNCIAFQNDVIEWARDHRCHHKWTDTEADPHNSRRGFWFSHVGWLCAKKSDKLKEMGAKIDLSDLYADPVLTFQRRHYYPLVALLCFLMPTVVPVYYWGESAFISFYVAAVFRYVFTLNCTWLINSAAHMFGYKPYDATISPVESAWTNLMAVGEGGHNFHHSFPQDYRASELSYYTNWTRAVIDGMATLGLVYDRKTVSDASIKSIIANKGDKTGHWHH >PPA03371 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:579973:581594:-1 gene:PPA03371 transcript:PPA03371 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRVSALHSGYSRMSEERGGGGSERAHASRSRHEGREARECGEARESPDGESGTAALNVRADDPLLQVYSSTQEKLDAVSNQLKKEQSKALKLHTALLEKVAPLIRKDCNFANLDKVKRDAVWNDDEGRWILPEVAITRTTLPGMNGITGLGAGINHAISNHISSAFEDDESKLRKKLAKSTEDAAKNYFQPSKKMDLATKYREDSKRHNAVGEK >PPA03292 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:194994:198305:1 gene:PPA03292 transcript:PPA03292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pept-2 MDIMRIINQRTIFFLSIVYASGQITLALASYFQADSSMHPGLDFLGLVIIAIGTGGIKPCVSSFGGDQFNPAHTRMISIFFSLFYFSINAGSTLSMFVTPIFRSIPCLGQDSCYPLAFGIPAILMLIATGLFMIGSFWYKRLPPTENVILRVVNTIRKALMNKRKSSEKKEHWLDHALDDHDCVNDIKCMAHESICAQGSRWTIQAMQMDSRIVGNIYLLPDQMQVLNAILILIFIPFFQAIVYPLVERVGLRVTMLRKMAAGGLLVALSFVLCALVQLAIQLYDDKTDLFVISTSPSIPSIFIRGEEGKNCPVNVPLTIPIAPSHSFAVFLTPSGYSSTVSPVVKPKKGGGEFGLRSTFIWNEDKISTYSITPYDGKESTRSIVRLPQMDIKPATYSVHLINRVNGTVVDDYPIPSVSLFFDEMGSVYTAVITEKGLSALHRSVPPNTISILWQIPQYIVVTAAEVLFSITGLEFAYAEAAPSLKSVVSALYLLTVAFGDLIIIAIAQLNIFSDMVQEMLSFAFAMVITIGVFILLSIYYYEYAHYDKDEEEEEKKD >PPA03274 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:126290:126918:-1 gene:PPA03274 transcript:PPA03274 gene_biotype:protein_coding transcript_biotype:protein_coding MMIACSIPSLLFCLYIVFSRLNSEMEEKVIMIPALLYLLYSFFSLTAVPAKLHDEITKTKSAFYENTQIWFPYRSSVYNAAVAFCSHLEQSNLGISIWGFALLSRPIILTTLSVMATCLALLLQFRGYKFGGNQMCNCSTI >PPA03267 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:108671:109413:1 gene:PPA03267 transcript:PPA03267 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQNLLLGGLATVISVVCFGSVFVPIKKFEARDGIFVALMMSVGQLIPCLISSFAYSTPTVFPLALLSGVFYALGVGMAVGGLVWNVVTAITGWAIARFGLFGTPAEIPASNILNIIGVCVLCLGTVGIGRPFFHETKKEGTPIATRFM >PPA03276 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:131034:132597:1 gene:PPA03276 transcript:PPA03276 gene_biotype:protein_coding transcript_biotype:protein_coding MNDIEPWPANSAQNLLEVIDTLFGLFVFATILGSVGSVVSEFNEERTAYQTMLDRAKFYMKYRKVEKRLQLRAQNCLKYTYDHNQLDNEKETLSCLPPRLEGMLAVHVHMTTIIKAKLFENCDYGFMYELVLKLRQQLYSPGDFICQKGERAQAMYIVKRGECVIVDDKRSQPRKKLSEGSTFGELSIVYVPGLSSETRDIALKALGYADVYCLSRDDVSLVLQEYPEERIKLMKQARLLHQSEQEEEEEKNEEVNDDDGIMETLSFDDKVAKMFEYLGGIEKDIDKNSRF >PPA03358 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:503395:508751:-1 gene:PPA03358 transcript:PPA03358 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKEIIQPEYTNQTVFKSVLIFPDRDDLVAFVGKHNAWSPHVGIVTCSHDDEIKRGVYCTGYIELNSNSESTESSFKVTKLKYPEGYCTNRDQRDFEGITRNIELAMEEANILASKGKGPVEETRVIPSQLKAEDTGGFYEFTSHNERVVKTDLNGPGWVKRKSRKEIADIEKASDFIKHNRFQYFIVYKERERGPGFVGFTPVIGSVVITSQSHKTTDQRVEVGQTLNTRVEYNKHSCSFVVESIVEIEKKPLIRCMTLDLKGFTLLPKCYNGKRVGVVEHDTLKKVLVSETDALNERWKGKEVTAQLIHYEGIENDTPDRIRLILRYIERLSDDDPSNDSSTYVDIKCGIPDEIESDDEEEEDDDNDEKCEKMDRIESASPSNTFKQYYGKGGTSVNEKKEKKGRGFNDYEGYQGDNGGPFDNNYGGFDCSESGGYGDNGRRGGYGGNERGQGRGRGRGRGASDYHSHGFAPREQQRKIHIGRGILVYYSMQSSIIYMMEHRLVEYNGDANLILNSTWFKEVVRGSLKRISNLVDYKMILIPGCYLTPNRKTFECKPISDLNLDPHTTMIKLSNGEFVQKNQVETPSFELEEEDEIDREKKLLHERNKNESRTTRSRNGDGDERDSRDGRDSRDGRDDRHSMRSEPNTQWNRNGDDRDYRDDRADKYSIRSSNSGDFSSRRNDFSNGYEGSETRYSVPSRQSSKAPSILSASTQLTSTSTTTNEKELRRELSVVKRMLKNVWGFKQIQQIVKAENYFLYESVDDFMIKEAEDKSENNQ >PPA03360 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:513624:516286:-1 gene:PPA03360 transcript:PPA03360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cpsf-1 MHSIPSPLGGALVVGANQVIYLNQSAPPCGVAFNSCTDAFTKFPVKDRKSLSMVLDASESAVLTPSKISLCTREGKMILVHLETDSSSNAVKGIDVSLVQDVTIVSTMTRCAEGHLFIGSRLGDSQFMNYTITKEEIGLDDGPSTAKKANLEEMDDLDRELYGEEEDEHTEVTASGRDLQGSMCIMQRTIRPNIISSISKELINCGQSESTIVLELEDEMIEIEQPIFIVDEQTITAGELADGAIAVQVTTQSICLVGGDAEQLQCLEVESNFPVMAASIVDPYVSILTENGKVVIYQLVTQPTVQLKELDLSTTQLGIGNVTAMSVYKDLSGLMIRESGGGRKKRREERFKRDQPKGSEKEVKDEEDDLEDILLYGESKNKKREDDHSASIFSRKRRRVMVTASVMGGEESDAIDPNTMMPTHWIVVAKRDGKMAIYELPNMTLVYQVHRFGLMSSVLCDTTAADEEKERKEMSEYSTSSPPLL >PPA03345 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:448565:449296:-1 gene:PPA03345 transcript:PPA03345 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIFITLLLFSIATQSIDARFIDRGIERRSDRVVSVYDRNCFFSPMGCHFLQGRRAMTFITPSELEEEQRFHRSLRMKETK >PPA03280 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:148444:152146:-1 gene:PPA03280 transcript:PPA03280 gene_biotype:protein_coding transcript_biotype:protein_coding MFIPFIVTNSSFCIIGCLNRYSHLSISITSTKLPHINYTNRFDLTTDGYGEFSIRTKGRLSLPPYPSDTTSANLYMSVICNDQVFPLITLRLDGGNRVSPRFYGLPYAVSLPRKSPVGTEVNTGIIAIDWDPSPSYGVHFTIMDDWAPFSLVVRPVSSNSPSLSLPLQGWSTDQFPPQIRLKVIGSIDHLPNEFDLNVAAMDSGEPVKMNTTKIHVNLLGDYRPLAKMGDQILVIPSVSSVVDEEIGPVIYSLVDKKMKDYFEIDSEKGSLTLIEQPPLTIKSIGVMITSTENPPRSSIVEITLQRDAQFPRFSSCDFSVSLPENSKPGTHVFEMHVIDKNHDTRIRLIDPDETFSLDQETGIITVNDPTVLDSEHFDLVQFVIQIEPSNGSIAPPSVCQKARVTVHLIDQNDNSPLFDQSQYRIRVISPLPNNTLLRSIRAIDYDKGDNGRVEYRLMDSDNLPIRLVSTNGTAELIYSQRPNTRPLIGRYYVTLEAVDHGEPQRFGRAIIEIEADDLSGLEEGLEKKLREEEREKKKEEKRVAKVADHTKNEETKTTSSNLIDGTKTTIEISSTVTREVTTPTTEKTTESTTMKTSTIPQTTTTSKKTTERTTKSQSTSIISKTTTVAMKATNESAIPSSTTPITQKTSTSSTKTTTVSIKTTEKPSTSKITTNDEKPSKMTTSATPSTTRVTKTVKESKKKVEIEKEKISEEEEEVRVKTVRKHINHELDEAEEEEEQMEVRREEKMKSKRKEDERELDTREEEEIVSRYHRDEVSTPIIGRVTASPDAMFYAMDKKAANYFKVRDNL >PPA03251 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:47420:48294:-1 gene:PPA03251 transcript:PPA03251 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQNDGNNVEEGKKNRISAREKTMSAEQTNGMKKSSLESPNKRTSENDDDSSERKKSERGSNEKRGSKVYGITHTTVLNSASQEQRSRNEEKSKGRRGSEEKRKSRENRKSEGRGKSKENGRNEEKRGSNEKRGKSKEKREKKDDQEGIDNGMKEKKECNGKRPRVRQPTIPRGNTLKEIEEAKLNQRKGKLPVPNLAKCDSWNDDLFEEILKVWMNFSINERIGFCEQMLKKAINLNGI >PPA03375 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:596277:597408:1 gene:PPA03375 transcript:PPA03375 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEWSVVAGLLYTEIAVTILLLVPWIKPKIWRTIFKSRIGHAIGQYAKQSALIVGALLFMLFVARTAAADAVVHAHLFHSERNEYITGFSLLLFLVISRIVKMIHRMSDFERSAENALRQAHATSKSITESQGESEKKKVMKRELEGEISSTRAERDNLLNQAKVLQKEYDELLSKLKKHQA >PPA03240 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:6837:7775:-1 gene:PPA03240 transcript:PPA03240 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRFIVHHSSDPWAKRQFFLCILFVLITLLIHVSGLSKYLSPCSSLILSFSSAWALVSFAEVSDRSRMDSSENGAMGVKVMFELYPVISLTLAFSFFPSVRHGLRLLLHPPPRPLSLFPSPSDAPLPYHLAISNTFPSSNRIAPKSSISHTISSAQQILPPPPYSNVPSMHNHEMATHCPSCAPPSYNHPTPIYPSVYPAAQVVIYHEEAPAYAPMDIRLPGQVETPRSRPRGYI >PPA03365 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:555672:556918:1 gene:PPA03365 transcript:PPA03365 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRVEHVDMQTVQPSRGSIGHRKKEESYSERTTDSISPAAINDVLSLFHTNANICSTQVSGNAVENFFVGYENVKSLRRLIYSNGSLSDMLRGTPPKPCKVGIFTRLRREALRVETALMSQLLNTCRMYSAFTFDQKEIIFKNFAVFMTVIEKHYITMKKGGIEENRG >PPA03329 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:383308:389891:-1 gene:PPA03329 transcript:PPA03329 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNAPMDTLDKLSRLVEALNSKKDADKTAIFKKIVNIFVSTTSWSAVAKELSTLNKKEVTADKFKQLSLMLVELENSDKVNRFEDVVLPLLVLAVGLPLKYHTENDPFGKQLNYCLRFAVHFEDSELEILRSSFWELAIKVIKSVDFDMTNETTAIFDQLNALIWNDNGEDCTKAVWRSSNPSEGKSLIMSREEVCAYIALLRGMHRRVLVNIHLKSCLRDVIKNLMKSISYRKSSQDCMDLLNLLKGWIKKGKESPFDVSLVRMMIRDVLEAYDSYLAKSPNESMELTTKSLLSLGQETLAVINNLKSVKSSLESIFDRVNSTISKSAKDTKDTRDLLSEYVRFFTESIDFCDDSVETKDIPWFVLFRAVFVRCFVVKMKMEWMEKINIISGVLSTIVEELKDNMIEPLTEIINEHPPKTTLSQFESFFIVALTVDISTEIRESVCSLWKKWYSSRMDELSGASITDELMGRLKWFNLPIPSNTINAKFEVKLEGGSPKKSVFSSSLKKGSIFSSPAPIKKAKNANDALKIIDLMDEDSVQFYPVESPKKKQRMTEKQKEMMMEKNDKKEEGKELKNKDIEMENVEVKKEIVPKKITDDNIEESASSSCDSMNEEEEKKGSRRKTKNPVKMNIKTREERSPSRKKLEAALSMNSPRTNSPRRKSRRLGKIEENEKKDDEKMKKENGKNEEKEDKEKESHKTDKKDKEETSEKNEEEEKKTEDNKSREDMKEFDEDGSVVVLNEKEGILNNEKKNSLTPKREEKIVITSPDSALICFDDSIPIVQSIGEDSSPIKSMEGEMAVPSTPANRGSFEPVGILSSSKRKRSEKKKGGVHFDLTDEVKIEMIEEEGEKKEDRGIEDITEKMVFAPLRDNEDSIDSIILKLGLNSRMIKKSLEMIGVKTVGQLASLTSKEINGIMGIKPPKMLTVQKELKILLSRSEKPSSQPLPPICTPEKKKENRVVSPEKKKVNTLVTPEKKRESTIVSSNMDTSLPLHDLSPVTSYTSPPKSKSRKRLALSDSPIRVKKMKEDEDEKKEENPKELMENEMIEEKKEEVDDIVNEKLIEVSLEKSDLIEKKDEKVHEVMEMNEDKENKENKENDKKNEVEKEKEIEMTKPSITSVQGICCVIHFESEGRFSSIIP >PPA03341 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:431620:433418:-1 gene:PPA03341 transcript:PPA03341 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPIIDSRFNSYGTTKAKVTKEEETRRKVKFIQIIHVPQSNSSLQFELEVESQMSRNLWQSASISDNMEEMKSFRDAWHDQCNFTLHYEGLIEQLRNEKFDAAFSEPICMCGYACLGTHGDRMNFFERVNNFFTHLIVSFFFPGIRDPFDQMFKHKFGDETMGVETWSSILDKRKKNVLISFGTVAKNDARLSLFITHCGQGSTIEAVTAGKPLIVIPVLGDQQRNAQVIKRIGTGIILEKTSLKSSEELEQSIRIVLETNEYSNKAYQVGEMIRNRPFNARELFVRNMEFMSKFGPLRLASF >PPA03373 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:590200:591551:1 gene:PPA03373 transcript:PPA03373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acc-2 MDIYITERWNDPALNFQNMNPCKQNLSLNHQVLERLWTPNSCFINSKVAQIHDSPFRSVFLMIFPDGTVMVNYRLRIRGPCNLDLSNFPLDIQSCSLIYESFNYNNKEVEMRWMDIPEPISVLGEIRLPDFDLVMINHSHKEELYPAGCWDELHMQLVFERRYVWFFMQAYLPTYLTIFISWISFALGSKAIPARTMLGVDQINEKRKMNEKKRE >PPA03253 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:55432:57728:-1 gene:PPA03253 transcript:PPA03253 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDINKAAEQRKLMEFKSSTEAASSMEVDPVSLSNVVSKLENKFRRSLETATVRASALSRLTPPISIPSQSIPLLSTPVDGDSTSTSQPSTAHIEYQTLAAKIVDLEARLSNSNAQSSPHSKIVLPPITLQTFDGVDITKWPAYKYQLDMLILNQPQYNEVEKICYVRSTLRGTALSLISTIPTNKDFLAKIIARLETEYSRPNLTQATLLQSLLKVQSKSNKLEDQLDTVRIMINLVHSISDDCGLDGLVMQQQIADRIHPRFIPVIWRRRSATLLESLELIEETLRTELEDVTMINAISDRNHHQKSVVVNNYSGSLSMPRSSVTNSSPPSPKAPRGPNKKCAKCSGEHHRSICDKPSNTAVNVVSMQQPERLFTTTATVADPPSNRSISVNTQTSYTHPSERLFTVDTQIANPNPAVDNSVTASILLDHGAQANLITRDLADRLALVPFDQRELTISGFNDEPVQSSTYDIVKLDVVTDCDHFPIEAVVVDKAPLSSIHKQPLDIADLDVINASLGYVPHHLTTHTATRSELLLSVGDTIEMLENSEVTKLPSGFHLIKSSLGPFVVGKTRKRIKRIDPLVSALTSNPHETRPNVHTLSPKSSVPQSLASSKKVDEDSKALIKSDHSVTEHSMPTFPLIVLQ >PPA03238 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:2090:3615:1 gene:PPA03238 transcript:PPA03238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-xpc-1 MQIIFPYDTKPIGEINKYKIYLRKFVRPINTPKWYEKMGRQIKKGEPPCGEKQVTNPMNGETGTQGLYGYWQTEEWNGGEVIELATELSKDFVPAVIAWYYKGGTTIPLIRGAVFIKEDLVELVGAWKVCYKRWKDEERKIRSERCIGRWKKLIKGMMRLAAMRKEFEPIEDMSKGGMMMLFGKKRRHLGHKRNMEEIYGILQREWTQLQT >PPA03327 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:374398:376241:-1 gene:PPA03327 transcript:PPA03327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tut-1 MSTNLFTRGEKVALGASGGKDSTVLAYVMKTLNSRFDYGLELFLLSIDEGIKGYRDDSLQAVERNKIEYDLPLKILSYKELYNWTMDEIVAKIGKKNNCTFCGVFRRQALDRGAHILGASKLLTGHNADDMAETVLMNVLRGDIARLERCTAICTSSGGEAQLPRAKPLKFCFEKDIVMYARVKNLDYFYTECIYAPNAYRQFARTFVKRLERTRPRAILDLIRSAENISVKKDVAVPTLGFCTKCNFISSQPLCKACLLLEGLNTGDTTIGVKKKTNKMPEASVGESCSTNGGCAYRDYGYCMYL >PPA03270 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:116200:117756:-1 gene:PPA03270 transcript:PPA03270 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLEDILCTLQIIIVTFLFKSSSSNLFTSGRLIKVLLAFLVFIGSTISTSTKYAVYQGNYQHGNENVTTPLLDKFHGLEPLLSLWGAILSATALSVYGILHGIIEDTIIDFNNDLKTASDSKTLSTRIDYFSIRHQSILIFARTLSKKMCTFATYSMVTMIVVNFASMYLSIAQKEGTGGLGILCFIFWQIIAISSMVVVLFQAAAIQLKLNKSCEILVADKEIWIGDLAVAQQAQMMITKRQTTETTVSLLKCLKLTPWIANGVMLVPPIIGGTFALIKVFFLH >PPA03241 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:10725:11600:-1 gene:PPA03241 transcript:PPA03241 gene_biotype:protein_coding transcript_biotype:protein_coding MIILMIAIPIYSHFTPHSTMSNTTDQAVFNAKWRLFWAYLYMIIPSIRSIFVIIRAIGELDPNWKKNQGQLLLDIQSIIDLAGSLLYLIPVYFFLPAYRSCLFFCYKSREMNPHFFFVKVIPIKPKDRRLSFNKVMPADAGGKPIVELQGEKEKGIVNGDS >PPA03239 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:3957:6261:-1 gene:PPA03239 transcript:PPA03239 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDPSEDLQLITELYKFNQSNRLGFYEYVKKFILEQSQCAIITKPYWNVDEGKGGEVFTIFPDLECTICDGVERFKCKDVHKFTNHVIFCLSKKGSLNGEEVATFYHEYGLVPTAVQNVVQDARKERDHLAVCIAPVLDHRHIKELADIQRKERDKITNELAREPKEEKTMGPTGPVLKLAKGAEMPLDRSVWIQTPLSSELAVLLLAGMQPIRHSPTGIHLPVVIRDTGHTKVIHLHVDRFEELASVRLAEQNWELMQSELQQVNLPMNGYGKGQPRTGVTSADPNFTFDNIMGNGMSSKPLLTEQMITQKIVADVNKERARIEREKKRIETA >PPA03340 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:426261:427230:-1 gene:PPA03340 transcript:PPA03340 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSIESEYPLKTRYPLVNAVSREVRWFILDVLDAFERKEVSVVEGEDGELIQLYDPKQSLSVVQLRKDLKRLLAASRPLLEAAAAGYDLLMWVNPVATLLLVLVYMYSIWTGFVWALFFKLLLLQLTLNYFKAIHNVDIGLNFLPRKEIPMPKLDMSSGQLLIDLAKIAQWAVKFTADVLEKFER >PPA03311 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:286016:287663:-1 gene:PPA03311 transcript:PPA03311 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSTVAGEDLSDESSTSHIEVSLSQVQLEEKDPEEDEEERQLRNLAEYQYQLFVLSQYGRPIFVSSGQEDKLCTLFALIGIFVSRVKQWGDTLESFSAGDVHVHFLHKPPLILGVVSKRPEWLASQLEVLFEQIVSTLSKTQLDSVYEKKGDNYDLRRLLRGTDRCMMNCVSTWRSDPALYLSAIRIFPLAASDREALSSHIASAIGSAKIEGVIFGLVLAHRQLVSLKDVHILINLISNNQSLRNGETWTPICLPKFNDTGFLHAHISYPWEKTDACLILLSTRRDHFFSLSAVKEDIVKRIESNPTLHSNLVKSLEKPITFDITQIGTGNDLLWYFVYKNRRSQQMCLR >PPA03244 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:32489:32975:-1 gene:PPA03244 transcript:PPA03244 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKIEHNWEGEQWDWPLQHNDGVVKVHNDKDKFEVALEAQHFTPSEIQVKVVGNILDIHFEHKSRSDSFGDVSRTLPDDVDATTVKSTLSARGTLIITANKKA >PPA03346 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:449630:453111:1 gene:PPA03346 transcript:PPA03346 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIIEAQRVFFKTGGTRPIEKRIERLENLKRMINENIERIAIAYEKDLGRGLKVAEMERSITLSRIDHQINNLEEWSKPIELPLSPPLSTENGDSLYLVKESLGVVLNIAPWNGPVTSLASNMYAIAAGNTVVFKPSEMAPAIASLWEELAPKYFEQNFFAVITGGVEETTDLLKERFDHIFYTGSTAVAKIIMGAAAKNLTPVTLELGGKNPVFIDDSADISHAVTQLLGGKQMGAGQICILVTPKAKKEIVDEFKKRISNLGELNKNEFFGKIVHERAFELIAHRFVENTWSGGVTINDVMIHTSSPLVPFGGELEGLWESMDSILLPTRKELQ >PPA03254 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:59292:59742:1 gene:PPA03254 transcript:PPA03254 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSIFLPVLSFFIPAIALIIGSILSCINPDLSIICLLFHNTHSFFICLIIAIYGKRHHTSPTRMVTDVCRSLRKKEMDEVKLIPNPPNEVTKEQNM >PPA03278 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:139554:141775:-1 gene:PPA03278 transcript:PPA03278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cdh-12 MVGKINVHDEDGDEIKLEIQPPEYNNLFEINEQNELELRFPASTLTDQNLFSFIIVASDDGKPKQTSIANVKVFVRNGGKKGGFFPIASSASTNTLINPIPTSLTALSTPNSNESKEIETTTVKVVKEKTTTTSHSTTKEAEKETTTTQVELVTNDFTTVVPPIEKVTENKSELSTEIEEEEVSTIKNEVNQVENNEDDEDKLKDVTTTQDTTTVKFSKKEYEFEVKKDARVGTIIGTVNVDGYDSSSLEFMIGDERVVTVDEKGQISLTGPGRILDEATLVIEYATTSSTTSIPPSSPSSSSSSSTTSSSPSPSPSTSSSFSSLSRSSTLTSSTLPSTTTVLRVEGGTSPRDSPSVSPSIVLSTTTSSVIPFSFSHSIYTAYMPEGAYMNGSLVQLTPSNIHTNGKDVTFALQDSEGLPFVLNETTGELAMFRVDREERKEFDLIVKAFDHSSNEESTAKIHVEIIDVNDNSPIFESVPSIIGVRRNISVGSVIGRVSAIDGDNGVNGELSYSIQPSQYFAIDQKRGSIIVRTSLLSVPSDQLTMTVVVSDGGRPSLKAETEVGNI >PPA03282 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:160924:161378:-1 gene:PPA03282 transcript:PPA03282 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAERGTAALKAFRGILTELKKSDKSFSTKSPQYEYLKTEVRNHHTTQRVWSKAPNEVESVADMYAQYLSSTRRVLELQKEYGGGERTVEESANLVGLTLPKKHEQI >PPA03315 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:297536:298913:1 gene:PPA03315 transcript:PPA03315 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDDLTLSPISFHIPRINSGEGTSPYSVNYLPRPVVNFPPIPPSVNDVEDYYGRHVNLPEGGDQLVPTVTKNIEERALNDNTALSFQTILFTLLRYPALICVFFAASSLWGRGMNAWSRHVLCMLGVGGAVVTIVFAAMSIGPLQGEKTSSCPTTGQ >PPA03353 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:482946:484588:-1 gene:PPA03353 transcript:PPA03353 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAESALTLMTTQKEEMERRMMEVVGQKEEMERRMMEVVGQKEEIMRMMEEMKKEKEEMRMRMEKENEIEMEMMTLRLNEMENEKMESEKKIEAERERNDILSATVRQLEDEVDRVTVNGGVNGGIDEGQKIRLEEELKEEKENVMRLKKEIKRLEDECDNFDDIEYKLKLDCLEKQKRIDALEGKAKTVGPSLLDALKPTKNEIKSMNETVVDPKPSPSPSREVAGKEEKEDEDMKEEYGTPSGSIMEEEDRKDELMSGEADETLGMLRDMMSMMRNMNRLIS >PPA03377 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:602785:604211:1 gene:PPA03377 transcript:PPA03377 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGIAAILAGGPVQKKKSFKHKLKKFLQTFGLHGGLVVCCIIYVCIGALLFRALERPNEMAIKNYTLRALDELVEELVNETEKLRKEGLSSEEFTQRIELLLDEYHQDLFHMFEHPISSNVMDSLVSGDAYLDLWTFPSAVLFTSFGLVTPLTFAGRLLLVCYALVGIPLALVTMSDLGRFLCDAVGKFFGEITDGIYYSSITILTVGFGDMSPPIPVPFLIMYIIFGVMLVTISVDVVAANAIHHVHFMGRQLGNARVLATRMITMAQKISITKGLGMGMAQLGAFARIGMMQVWNY >PPA03321 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:321774:323272:1 gene:PPA03321 transcript:PPA03321 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAHIYVSVQDFWNELDTEIVDFRTSTDDIWRDLVALSPRNKREAYGSGGSSYGSGPAGHSVGVNAPQAPAHMDSSPPGTALASACNCRADNKCPKGPVGPRGSPGSPGPNGLSGLDGNDGIDADDLNMDNMPMDRCFYCPPGLHGPPGTPGRQGLRGMAGPDGAKGSDGRDGQAGMPGGMGAPGAIGREGYPGPPGEKGMDGRKPIGRPGPKGAHGPAGMKSFEYFQ >PPA03249 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:43490:45067:1 gene:PPA03249 transcript:PPA03249 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQLEIRSQFAGNGAGAADENDTLALQIQYRGLTFSVGGDMDLDEHVTLANILKKFNPNIFGFSVKTGAANVWASAQLNAAVPGARAVNLTDQANDLVQRFRDHPDKVDIVNDWKLIHIFIGGNDICAWCDHPENESAELFGHRIGDAIQILKNNLPRTIVVITGMLDITVLREMDAKNSRCEVMHRTECPCEQNQKFTTEQLRGVCKEYMQQEDDLQFSGRFDDTDDFSIIIQPFFEDYDHAPLLPDGTADVSLFAPDCFHFSEYGHAVVGKNLWNNMLQPVGQKSMVANLTDLSTPLSCPTASCPFIRTTKNSQDCSKYLTPVAI >PPA03367 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:559750:563233:-1 gene:PPA03367 transcript:PPA03367 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDFLKGKKEKAAATVVRVGKKKPSEVPVEGMEIDNESPSNLPLEGSRFTLDIDNHPSIDSPNVNVLMGVQFIRGKWLFEGKLDGLANEAEEYSKDDGFPDVLDLSSYYGEGENHSIPPGYSLFALEFDRLNGRMSEGSVEMKSGSGGKEEEKGSSSGEDFSEENDQRIANMNKEEIEKARKEIEEKLDPKLLSWLRDRNKKRQAAPSSAESGESDREIRRPPKKVSRFKRERLEAAASSSSSTQSTTSPIPEEEKEISSDATPCASSSNLKPVDEMMGNLEILDEYGNREDEEKYDRLAIDAVRMELASRSMRFILPRQQANAIKLFDIVKEKKSSSSDPLLDEARSRMEEIKTLYLEVIERDDDPPQIRFATGSSPLTDSCWMLVPIRRVLDHFQNSSSSDPSPSHIDTIRLSLLWSHLLFIDRPSAWSTYTDVAEVYVRLAECFLMGPLIYKDDTISCCISRLFSSYLLPSALNGLLTIRTTLRIAGLDAFAPFWDELLGAYESHSSGWIPFSSFLLLPSYLNGSLSDGIQLRLSLWSPQRNVVRQMTVTGSEDQHMVSLISAAKRQSAESASEMEDALFVPYAQQLGLYMAALRDDVVVRGRNEGLFNIASNELGRFVLRHSKEGDINPDPNRAQEFDALINNSLCQLANTRWDNR >PPA03262 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:84923:88176:-1 gene:PPA03262 transcript:PPA03262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tram-1 MGVETRRLIKKANKNSPPILSHEFVIQNHGDITSVILMVVFLGFMWQHTSPIAQVFVLPQYNETVNKTIGDNQVGITEGFRVGLRDVFTVLFYTVACVTVHAVIQEYVIDKLIKKICRDSKLSVSKTKLVKLNESGQLFVFWAYTLAHAVWCMMEFLQSQTDITKLWLNYPEEHRLMPLRTKFFFIIQLAYWLHSFPEFYLQKYKGEEMKERTTPILIHIVAITAGYVLNFNRITIVVLSLEAFVGFIFHTARFLHIIECKNISHKLFRLFNIVFVISRVAETLLAGVVFWHGFKINEIPYMDLEAGNFNTSFIRLNALFGVVVLQGYQFALFALFHFGRLRESWSKKTKKAQSNNVVSTTKNTKSKKEKKEE >PPA03269 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:112170:114365:-1 gene:PPA03269 transcript:PPA03269 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLPLITFFSSLPLSIVSAMTIHPIALYFFEGLILLLQNHLLGMLLILFQSFLLAYTTVKMLGYEKTTQNTHFSHI >PPA03250 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:46000:47371:-1 gene:PPA03250 transcript:PPA03250 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFQNALFVETDRRVWTKLHECFRLLYAQCEAEYYMNICERMYQTVAASAADKLDKMGWQEKSTDNVDDAYIRYICADLVLFDCQTENIAKLYKTYEEMKFSFVSPLRIAVWTRVVREDDLSNEKMNLLQFLFEESKLPRVKLPNEEYGTKLQHLIYAASTSKDNIFTKELWKRLVTEKEITPADLVFVFKGTMIYPSARKRCLDLFMEEAFFREKIPSDSPEYKEILRLFANSCVREAELLKIKDHVMADFAFTDADKKSFDFEMLEKRMEIFVKGVAHLHATMNKYLIEDRDFLVHKK >PPA03247 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:38949:40913:1 gene:PPA03247 transcript:PPA03247 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFSFLLLFIFSLSHAFKIAIFASDITSSQNIWNKRIADTLSSAGHDIVVYVISSYNTKPPSIEFVKGIKAEYVNASVSHDIHELMKEANDITFYDVPFYDNRQKARAKIFTAMAESCEPLITNSTFIDSVKSEKFDLAFTHMYTYCNIGIIHLTGIPSWIWLNSAPMAEHIGQSIGLPMPPSYCSHMMQDAGEEMYFIDRIKSFFAHLLTVPMVKMGSSSIETAYFRKHFGEDFPDLFELGKEAPLVMVNTVELYDFARPTLAKIVNIGGIGIDVNEGKKLTGDFARLVDSSDGFIVMTFGSIAPIWKKSIATSFARFPHIQFFIRYEKESDDFTKSLPKNTHVSKWLPQGDLLKHPKCRGMITHAGYNSLQDVFHSGIPTITIPLFGDQPKNARLAEKLGVGVRVTKKEVSE >PPA03252 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:53428:53857:1 gene:PPA03252 transcript:PPA03252 gene_biotype:protein_coding transcript_biotype:protein_coding MEREYAVWVKKQSIYIIVELTPFLKAIIAGLALSITLLFSFIIKLYWRMHRSINLQKESTSVATRHVRFCIDSYTNI >PPA03260 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:76337:78918:-1 gene:PPA03260 transcript:PPA03260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sas-6 MVSQSVAINSNGLFNESRKMTIVYTGDARFGIAPFRKNAQSQTLKLKIYERLDTNESEVRTELSSPTDDEFVYAGGVTKATFPTLVDRFSVQFDFERYAHGLVTRPGELTSDSIPLELHVLKGEEFVSYLKTELRALRKSNQYLQSARKKEQEKFAAVEKIAEKVPGLEDKIEDLKKDINRLRSELQSAEDKAEDNKIDLNEWQQKFEAEREINEELNEKNEDLNEEIDDLYDKIGKAMDGEDEAKKEINGLLDEIDRLKSNMADMEEELNDVRHQLRDAIAQNDSLDRKLKRAAKTPAITEKVMEIVKKGEVPLQSCDDRKLRELELDLKEKNDLIDQITARMTELMKEKDQSAESNKLLQSEVAKLQRC >PPA03313 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:289121:289639:1 gene:PPA03313 transcript:PPA03313 gene_biotype:protein_coding transcript_biotype:protein_coding MIGERGNDRGSRMTRGQPPHHKLRQRQKDKQRKISNHRADLGALVVLPLGVKKLRVGMADAAVAARFLLSSSLNFFLLCLDPDRSSSYSSS >PPA03296 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:215346:225215:-1 gene:PPA03296 transcript:PPA03296 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSGMKLLLLFCTILQWVLVNAQCSGGFNLEGKMYAVSSYSCSANNFIYREGCYITVQCKMNYYFTDKESGRNGQRVTCSGGRWKVNGREPETTCRPGCVGLRDDIEMKNATYVSVRPPSNYYNGDLVIWPANTAVKTKCKEGFLFRHKYNQEAGTDDYKCYGGDEGWTDNSDPNERTKTGMGKAPGLCNPLCAPLDKRDFPLGVKLFFDPLNNPKLYKEDAKGNKLLVPSATYVFKCDNGYKYADGKEKQSLLCKGGTEGYEDADTNKRSATIKKCISDGCPPITSTNVDPSAQIERVNCTGTEVSNGCEVTIKCKKPTHYFSNPLLANGYKLKCSNGEWVDQSGQPVKPNSEGSFTDPCLGGCPVLSSSEMANTEYSVPAPTGSSNVFNNGDQQIYAGGVRVTTKCKDGFARPNEKDRKSDPISFTCDANSNAWTNTATGERGSPSTCQSGCKLSNAAEGTRYSNVPDGNMFTSNGQKYATLGSRLVVQCNTDYEDPKTKSPSTTQTLVCKGENNGGWVEEGSQLSAQPMTCVRSGAKGCDVASLNNADATKDNCEIIDGLMPENCKLTIKCKEGYIPSDPTAVDGNGEQSLVCVAKNDGWKDSKTGKTKVAPVKCVPVCTLNDPLEKAEYVKKVADSDVVTFNGKKYVKQGTTVSIKCKDPYVGKTDSDREGTEIEYLCKGGSDGWHKPKTSDINRTPGDSCGALCDPLNNLPTGTLQIINPQVFEYNGEPKVSEGGRYRFKCGNGFKYPDGTPEAAANQQILVCAGDKKGYVVEGNNATQNVQVLTCVRGSSPGCDKLDVSNPPPGLNVTQSNGCALSNDKTRFFPSTTTSGSQPKQALKCVDNGTSSSWVDTNTNVKLELLKCEPGCPALGVSPNSVLVTGSTPSINTPQGQFFAVGSKVTVKCADGSVHRSGSTENPSENMEYVCRGGSASPWSNSKYPGFEKLPGDGCLRTCIAAQLPPGMRATESPATTSMGNDVVVKGNERYKLKCMDGYHFPAGSPYEKNNEQILICDNNSGKLKDVSNGNQLVDGPAKCIKTTGPGCADLVQQNTKVISEICPDGKKNAANCTITLQCQPGFIPVDPAYHTTGKLTLSCKDGNDWVDSITGKTITSGLECKPACRIDSSATNPSAEITKNSNKTVTVDNIMYVEEGAVVVITCKGDEVMWDSDSSKTPNPHDFTCAGGKTGWKREDDSKLVMTLGEGCVKTCPPLTLDPSTTVRQFTSPKVFEYNGKQYVAEGQSYTFKCANDKYQYPQSSPNTGNQQETAKCDGSKRSYVSPINGKPFTKPEECSRKEGLDECPRLLQNTSVTIAITGYYPSDKTYLNPTGEQKLVCRAGGNGWADQENKKIIEGLNCVKGCVNASAATSNSETTTLKEIFKSPKGVEAVCESKTKTTIVTGTLPDHPYYCPVDNKNDTSYYDKECTNACRELPRPLPLGVTIFKGPEYTIPNKTYTFAEEQYILKCAAGYVYPKGSKQRDQGRQTLVCDGTTNKYNDVDDGRKGIQPLACEEAKGCSEIKQPKEAEVSKSGCSFNDPYYNDKCELTINCQNGFYPKDLDAVVNQGQKIVCNEEKDTWTTVGGEPIKTAIECIQGCKEINLKEYIEWNNSTERNTILTFYNLDGKQYKCIAGTLLEKAIAQIKKSLTDNNRYYCKKTELKTEDIVNLIVDDTVCQDTCPLKTFNLPNGVILEKTPNSFIHEEIKMVAKDASFTFHCKEGYQYNPKSPYASGKKQDITCVGNGSYFDPLTNAINPQVQPCEKIIGCTPPSPSEGVRAVKDPSCVVSANIAVPPCKYTMECEQGYYPLMEDYQKKPNQSIVCTNGVATANWNDAASQKSTTPLTCAKGCLPKPKLGSDTQTNKDTQVETYILSGAGAVVCVSTTINSSTTENYKAVDLPFYCPTNESSTMTNFTNTPCTNACSNITYASTLIPKPPTLLVKGLVPNGYTWMLSCPGDKVFSDTKAKSREFQCDGSTKTYNDLSPSGKTRIINVDDNCIAPEVTTTTTTTTTTKPPTTTTTTTTTTTTTTTPPPTTTTPYPCSSPSSNGIDFKKGAAGQKINDGDTIEIACKPGFSPAEPSLYSKPSQTLKCSGGKLIDSSDPTNGNPKVLSCNEGCVKRQYNVTETSSDTSSTTSTVTLPYAPPNEAKRNVTVKFENGSFSPCTNACLAPLPGDSGVSKTLAVKRQASPLAQLTNFKVNGVVYDFVLDKEKVEVSCATPGEKFSNDPSASSLTMVCDSKTGQFSDSATGKPLYPSNSLPTCGNTPNPKPSDACPSLPSTATYTVRKQSCGDVFDGEKTGCKIFIVCTSIAMGMPV >PPA03330 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:390224:391784:1 gene:PPA03330 transcript:PPA03330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-npp-19 MFNNSLMSSSTSSPSQASQENSESVPPSFLFANQNKRRSVALSNANPYLNSPLSYSGGPSTDIFASPTPIPAHVKESASSSGKSVHWSPNIVDDATHRSISRLGSSSVTKGPPLRSLREDMDSPVTKLPRVLPSSAPSSLANKDSPAGADHWVTVFGFPPNFTDKVIKLMSRHGEIVSQQVPSRGNWINLRYSCTVHAEQAMGRNAFLIDGVVRIGVVPCTDTEAIESANRSVLNLSHFEEPMEDDSRRTLPPPIAPTNETSFNNSMLNTSVRENSVSRAGSFRSSSRAGMRSLAATTRHNARMQE >PPA03325 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:367784:369771:-1 gene:PPA03325 transcript:PPA03325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lgg-3 MDKMWDLGVEYMKGGQIEKAAVLFLRYVSVNVEILPNHDLYPHLEWDIRNKINEKANEGMEHTTKIRVCLGRLYEEEAMRLFNDIIEKSKFGEEVLKKHKDGQTSFLAMVNEVRSLEQQEIELNGQMLKMMNRHWKVNKTLAIPSDILDKYNSVVGKEDIVGVLLGKNPHTHYFVVSHLLIPGKQHTGANAEKFVHKVVEAATSEGLMPLGLIHSCDDKFVCQRLKCRPAPTVATDGNAPPSITYPPPPIDHHNQYSACHHLFAQHLYQLINPTSVTFIANPKAENQCVWLTPAGQITAARRSLYNGEVTGKAEHVQMSDAIHTIIVDLRGKEMEMKIENPVRRVTVKDRKENMMDTRENNENSEN >PPA03335 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:409705:413007:1 gene:PPA03335 transcript:PPA03335 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEIGSGDTASDFGFVYGVSGPVVTAERMAGAAMYELVRVGHQELVGEIIRLEKDYATIQVYEETSGVTIGDPVRRTGKPLSVELGPGIMGSIFDGIQRPLKDIADMTESIYIPKGVNVACLDRSIKWNFKPERSLRVGSHVTGGDIIGLVNESVLIKHKIMVPPNMCGTITWMAEEGEYEVDKVLMEVWPVRQPRPVTDKLAANHPLLCGQRVLDALFPCVQGGTTAIPGAFGCGKTVISQSLSKYSNSDAIIYVGCGERGNEMSEVLRDFPELEMEVGGETTSIMKRTALVANTSNMPVAAREASIYTGITLAEYFRDMGLNVAMMADSTSRWAEALREISGRLGEMPADSGYPAYLAARLASFYERAGRVKCLGNPEREGSVTIVGAVSPPGGDFADPVTTATLGIVQVFWGLDKKLAQRKHFPSINWLVSYSKYVRSLEDYYEREYPEFVALRSKCREILQEEEDLSEIVQLVGKASLAESDKLTLEVAKIIKDDFLQQNGYTPYDRFCPFYKTVGMLKNMIGFYELARHSIETTAMSESKITWALIRDRMGDLIYALTSMKFRDPVKEGETAIKKHFDDLNEQMQSAFRNLEDGA >PPA03283 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:162452:164624:1 gene:PPA03283 transcript:PPA03283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sma-6 MKKHLRWRLSINMGVLLSRKEAELPISLSGSGSQGGSMNQRTVAQDLIMKAEIGRGRYGKTLYTTDEESWRNEMEIYHSEMFTHENVLQFVAADICSVDSITQMLLITDYHSHGSLSEYLLSQEKNGISSDESISLAFSIICGLEHLHSSVHGTGGKRKPQIAHRDLKSRNVIVKRPGVCCIADFGLAVRFGPTMIPENPKVQVGTKRYMAPEVLNKSLNPMIFNHFLMADMYSLALVLWEILTRVQPHANSPLATGQEDLSDNSGLDGSTPKFNWADSSSRSPFDGMVTEDATLDDMIEVVCVKKQRPPISAEWTSSKTTGLSTLTQITQECWHHFPQVRPTALKVKLDLRNVLDRLTINKIAKPFRLKDDRKDSGCVSQTATSSLPSSNQ >PPA03357 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:499216:501509:-1 gene:PPA03357 transcript:PPA03357 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEHDLGKWRVLRSIATGPFSDVFIVSDMSDSRQKYAMKVEKQHGNIRAVLKLDVMVLSCMQRRNVVGFPRMIAAGRTSAYKYLVMQMLGPDLGKLRRSLPEKRDVKAPNLVIGINDDEDNGQIYMLDFGFARRFRDAEGNLIPPRASAALVGTFQYAPLAAHAHKDQSPKDDLESWFYMTIELIKGPLPWGGFKDYHQMGDYKRAIRNEKRADFLSGCPDELGTIMDSIDRTQFFEEPEYELISRELRSAAARADIDLEMPFDWQINRWMLRRAHFVGDLGESNMASERIANATTADEDDDRSSLPVDTTPPLDSR >PPA03343 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:437789:438152:-1 gene:PPA03343 transcript:PPA03343 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNSFVESSMAGVPLVTIPLMVDQFHNARQAIRLGTGVGLDKTDLSEAKIVEALKKILLDKRYKERAVSLKGKLRDNPEKSERTLIESIEFAAKYPVSQKNKLYE >PPA03309 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:280343:282798:-1 gene:PPA03309 transcript:PPA03309 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRHADRRQRIRPRVDHFKELARKFKETDQNLEKFAQAHNMTALNVKSVLRSVIKNHRALARVLGTDAPDESIDGVRITRSKTRALQDSSNQGVDMTSNVPIKRGNNWVDFSFNDDDEYDNDYRPDEDNSDDVGSDSTQLGSSDEETDHLSDFDGEGEKEDEQDIHQNLMIVDEFDDDYAVEIELESSNIPSRPRSAASLTLNAMMGEDDEPSIDYKVFVHNLNLDEIPYDEDDNEEDPEYMVPTDAIFRDDRDDFMGDYWSSTIPQKEMEDLIEDIVPSERLVELAKNLSPPLRQTEPTKTATAVEKRTVSPIGIPISNEKAGVKGGVFTENHRSQLRTQLEQVHVQLLTQSFVGCYFEPLLQEEKEKAREMIEGLVVISSNTWHLGKKSAFHISNLSYAVDTCNGMVDENLARPLRPLAKEHLTIRIGSSGWSSSEIILFAMALNRHSEEPVKHKRPRYSK >PPA03378 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:604897:606016:-1 gene:PPA03378 transcript:PPA03378 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDLPAIIDKALMISGQDKLYYIGDSQGTLLSFLLLSDRPRYNNKIKSLFLFSPIATGHYIRGIIQLVISGYSAFWPVTEVYRILMGSQEVLNRNQLIIDAATVLCSLPTKESRMEMYVSNFPAGTSTRNLLHWGQISSRESIARFDYDNPIENTIHYGKPHPPIYNYTEIEVPMYFYWSRNDWLTTPFDIRKDLIPKLRKGLIKGTFEIPEYNHLDFAIATNTAEKLYNPVLSIIREDMKKNP >PPA03316 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:301237:301929:1 gene:PPA03316 transcript:PPA03316 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKAPTIRPDFDTDVLWKPVRLGNRVRTTSMESTESVDSRRQSIGETTAMLSGDIPPAGRTRRLSISEMIFGSPGKGGFSWGQGNLPTSTSGGAEKKDSVTNDERFKELLKRESMILNDEGTFSRKNYMKT >PPA03328 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:377260:381625:1 gene:PPA03328 transcript:PPA03328 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ptr-14 MHGKSEHQAPLVVRYLEKFLHRTGLFVADHHTSVLVFTLVITAITSVKIAFTPQEDDIKTGYTPFGARSLHEMDVYNAFFAHKGDPIAAFLLISAKDGQSLTRPNYMEEVIRALDFVSGSVTHLDKSFYDLCTDWCLVNEPIRQFANGMNIRALSNDSSDPLVRVNLTFPIMEVLGKQLDLSANFFGVQGDEKGSITFLRVIGAQFRADVPNGWTADDVKAYERNVSTKLHSEFNSTLIDAYGLSLTYTADEVDEKRSFIIVRTGMTIFPYIAIGFLIMCGFSIVTLIISSIYLRQSFKHRISMAILGCVCPLLATSSALGLLFWMGFRFGSILCVTPFLILAIGVDDAYLTIQSWIRMRDLPISHRDKIAAVTVDVGPSISITSLTNFLAFAIGIYTPTPEIQLFCIGNVVALTMYMAIVSISGEKEILEEEAKKEEMKNMDKESRQVAIVPPKVESCPFYSCLLQLLNRIHTSQSSQSIEIPSFDLPRLCVLKKEKEELSRLLEAYSDWLSNGFTTIIIFLCLLVYWWMSIEGALSIKILLSPDKLVIHDSKLLQMNYLRDTYINPNYTTVNIFVSNPGDLDDPSQLKRVKASIEAFEEFPECLGPKFSHFWLRDYEEFIQAGGNEETEDYEDGSADSNGTSSIYSKKMMRPFLEWPEFQHLNAFIRFDENEKIKSFFATVSYHGAKLGEFDEQTRILKKWRTTADRFAPLAVDIFSDNSQFIDQVDTILPATISTSFATLFCMIIVCFLFMYNLFTVLVASSSIASICIGVFGLLSYWGIDLDPISMATTIMSIGFSVDFPAHVTYHYFREGLEDSQAAPSKRIAR >PPA03298 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:242673:245182:1 gene:PPA03298 transcript:PPA03298 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVIVVSLLLSLWVTTIDAEAKYLSMDNHEQIINDHQVVFVAFCADWCPFSRRLKPIFEEAGKKFKEDHPESSAVFGIVDSQAHPALNDKYFVNKYPTMKVFVNGELVTKEYRSTRSVEALTDFVKQQLQTAMHEFSSEEQLMSNLDRSKRNIIARFADSSSVGYTNLKKMSSILKEECQFFIDSIVFFDPDTKDEQRFTGDVSNYDFLKQWLTDKCIPLVREVTFENVEELTEEGLPFLIYFRDTDKKEDDKIFIDQVVRELGDQKASVNALLADGKKFAHPLRHLGKTAKDLPVLAIDSFQHMFMFPDMNQLTTPGKLRQFIMDLHSGKLHKEFHETMDQKMIDLAKFNAENPEGHDHGTAKDGEARPPSVKPGHTSPPPSVFKELKPSNKRYSLLQKSEL >PPA03350 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:470781:472607:-1 gene:PPA03350 transcript:PPA03350 gene_biotype:protein_coding transcript_biotype:protein_coding MHMDDVTRERKLSKINEEDTKIIAESHLKDSDSGDEPAQVSERPNLEVVIEDETKDEDDEDEKPAKNNGRGVRFSEEDVLPIDNGQPMKEPKVPYPIPFLVSLPYSLKATDLMRRRRRYNVHKMHTAVKLNELMRSHSSDAKLVVVNLPGAPNSEGESFYMDFIEALTESLHRVLLIH >PPA03369 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:573314:574013:1 gene:PPA03369 transcript:PPA03369 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGALNPLSILLIVLIGISFVNGLSFYVGTSGADGSAGFSDAEYMRLRAVCKNYINSAQKRSGPASPQIAQICKNVMKRSEQPQKRSKSPLDSLFDRIHHKGSLMGERKESYSVE >PPA03337 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:416133:416667:-1 gene:PPA03337 transcript:PPA03337 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHIWNLSTLRWDSSAMSTARTVCLRSDVFASLPSNLRIRPFGDTTDEMRQEMKESVEGDETTIHLIQSVLQLSQVSFQAI >PPA03284 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:167192:169067:-1 gene:PPA03284 transcript:PPA03284 gene_biotype:protein_coding transcript_biotype:protein_coding MIRILRFNRRIAVLALTLEKSASSMGGFALIYILINAAFDSALYTLLYRSIMFLCFMLTGTIVLVNMFVMIVMYEFEAVRNDKDNQTNEYEVVQHISSKLLQTMGMYERSNNPNTDFPNYLEHCSAVDALEKQVNHLDLLIENMREVNDEDVEEAKKGISRNVTL >PPA03333 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:400237:402726:1 gene:PPA03333 transcript:PPA03333 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSSKPVSKAPSVEEKKRTLAKKPSTPATRSKDTPKTTPEKVTPEKTARRIDRTAVTSDPDANATTPVAKRKRDEGENRTAKEIVKSKESQKKKKKSVGKYVDGNFVSAEGPKKKHPTPRSTPKTGSSEERKKNISTKSGSGKKASSASSEKSKRRVKKPETSVEKSTERTVDEKTQDSSGRRKRASRKGKSVDVGRSAEDLSKKTEQTEQTEKTKDENTVPTFEDDNTLVSDAPKRKKYSEDKEENVKAFLKLILGKGVKGLKEEFAELKKEIIVVKKDEVNEIYSEFSLPINAPKNRYKDVPRLNESKVVLKDRKDDQSYIHANYCSTPKGEKRFICTQGPNESTYNDFWWMIWHEEVEFIAMLCNFIEMNKPKVHGNKWPETVTIRKLSVTKKDGDKDHTVHHLHWESWPDRGVPPIDSSIFKLLARVSKSTKPIAVHCSAGIGRTGTMVVVQIAMEMLSTGESLSGGLAPILKKLRGQRAMSVQTENQYIFIHRVLLDYFKDAIDDDETAQFCAEYDALTK >PPA03286 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:173918:176694:-1 gene:PPA03286 transcript:PPA03286 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSGCGFIKYDLPTTTSVLTTQSTAIVTRTIDHNLEIIGHEIKNLIDNRCNGTNGTNLVEALTKLKDPQSFINTLTKLAGVYGVIFGTIKGPKAQVDGNVFYVKGDIRSAKFQDIERPITITNNVRMMAIENMQAIGFSAEFGMSPFENYTIAGKRQQYKIIGDTQMTVGSGMIEPENGMNLWNCSFNPLGAEKQQLKNMTFTSWVKVSNATLIIEQTASTLTNLKLGQLIPSISLKIFTDFGDMNNMTYLGGKVQLMEMNANFVSFALSGGSFSPSPTLMVTKSIVDPVRIEGISTDMLLNMDSQSGNSKATLNILPAKGYTMMMITGFNERNMVIEDAKWKIINDGFTRIVITGGYFVDDGMEHTQTVLELSETSRVVIDGGTIIRNASDGYLILNGQITKIGDIDGGLTISPQSLMNQDAQKEILKILNNTADYLLQNGKNMTDDDLESVAKSLLSIFGKINRDMAETLSNPLYSDLMKNLAYEKANYDDIFNSLPRDSSKIRYVEER >PPA03364 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:550433:554613:-1 gene:PPA03364 transcript:PPA03364 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQHEDSLGIKQQFVYLISSFEYELNSEEEQTIAAEFNLSETAFPFPREDGTNFENGNKNESLHFHTRSGILTVKKRGEEVEMNFPVYDITSAHLKHLHDPHQGLFPMFNAPSFLIDLIRCVIPESVAVRSVAYAAKAKKLIVEIDEETTKFELLALSPNFSEMLSIHEDGSHVRGFIVTLSPKRPLQQGFVDVGEIPFDYVCRYFAPWVGIREDPATGASMTIVRGEMVVKNDPTFYEPPPLNL >PPA03322 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:340404:345088:1 gene:PPA03322 transcript:PPA03322 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKVTTQLREADIHVAEILPTDHPLLTRALNDSDSTIIVSLLNKTMLFDTLSRPSINHIDKLWISIPIEGEALSTQQQTSILPDQSTLELISIQPRFIELPQFRDYFLRVLKNNYKSYALLTTYVEQVYGCKGDSCPTDRETLMAKYTQARTAEAVIRMTYAFAAVGKIIGGDEEKYAVCEHATSECTTLIMNELLNLDFVFGLSDPSELIGQHLRFFRSREGVILAEGMPIEAIRISNGGENIYKVLEYRTAKNPEVISSPMKPSGERELFRSLFIPSLSDTRFRSICAPFRPFCGQCQTVVPVNSARHFLSVPQHYPLYLVALFDMHEGQSCNGMDNTDISLPMAFVHTVWTFKQRFPQLSVLQNLDLGALLVDTCSSGKQAVETVVRAESQCFRFSQAGRNITIVPGSVFGYVSSLKAQSGQSLRGFFSSGDANTAFVSIDRYSLSPSSFPCLLSVNNFAIPSLLFLHLVLKSLHFFVFSIIINGVSSLSHWLRMWAESRDTDAESLGLFSHFERLAHESEVCIAEVLSIKNGEEMPKGGSTNVTLIFSPSRLAASFIESSSALIHVLIGDSHDFSIHTQKIFPGTISVQPKDIVYEDFKEWAASTTPLSLPEMWFWSYIEKKHECALSQSSKFVYGRMCSGDELIDIKNLGRMTRAGYLARGVERLLFSLDAVYRKLCPQQIGLCAEFYAKGRKIVAETLAKAKAEDEIEIFEWMGEEFIPIGNWTTRGGLRMAKMSRSSSTPIVSHCTPPLCKCFLDRDFFQRPLDDFSLVESSDVSTGSYIKRQPVGELIAYPSITDHLTKGIWRRQPHNLVLLLIVTFLLIVAISVLLLILIKIYHRVVKGNQSLGITLLIGIISLYSTSYFFIFDPTDIVCRLRATLHGLSYSISFGVMIAKATQLRNAETLGFANIIHISFWNYWLLLFFIVGVQIALAVRWFAEPFMASLTSNGDMMCSLGREEFVLANIYVVILLLLALFLNARNRNIKRNYKETKWLFFASVACTLVWVAWIVSYFIVPFQYKDATVVIALLSCGSILMAFLFFPKI >PPA03264 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:94005:97202:1 gene:PPA03264 transcript:PPA03264 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-egal-1 MSLEDARNMALLFFIDHLVQKNGRRTIHDLSCQFGARGFTEEMREAVGTTQEGLTDFLQSFPSLFTVDGDQVIYTGFDDMNGANNPILSGGSRNRDYEREAVQFFVNKLTKFGPELQIKSLLGHRSQAAPEVRLVSGRHLKDFCEFLASQHDHFVVEGDRVRLKNMPEPSEEAIEMDDEGKPLAGVKAKQAAVEYLKSVLEQNEDQPVPLDAFYVRFCERFSHVIRQDVATNPKELLQFLKLNRQIFFIRSNKVSLVKNRPPEYGSENGSDDGSSENNNNALFPLDQNALSRIHFVKALKPAQELVDRLLSEVLSAEKKGVGFDLKTVQAGEDVFLSLAVVSTTTQIGVFDLASSDVILLESGLRKIVESEQIVKVMHDGRRVSSILAHRYAIHLRSVFDTQVAHAILQHDKFGKPLHETRPISFVNLQRVYYPQSIMMSDVTPRKLSQAPNWGIRPITDDMLLSIVEESHCLIGALHQQLSNLIPVHLRGLFEDKCLEALLASPSRPPPIAAPGGLLASTYRPPSANGRRSQLSANAPVFVPAAQLVKKQTRDMGKQCNSYHCSPN >PPA03248 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:42032:42352:1 gene:PPA03248 transcript:PPA03248 gene_biotype:protein_coding transcript_biotype:protein_coding MMLYIQEFALHSLTHEKRRSSEKDKVISVIKGKDCDEIINHTKRCSTTVNFPLHSTEYSRIFINPIIFKNFFQSR >PPA03362 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:524907:526795:1 gene:PPA03362 transcript:PPA03362 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAKGLVGVSIGGGHPYCPCVYVVQIFEGSPVDVDGRVKCGDEIVAVNGKSVKGERKSNVAQLIQKAANPVKMTVNHLEVEPERGKTMDIVMKKIKHKMVEFMETDTADALGLSRAILCNDPLLKKMTILENNANLYRTLVDELTFLLRTTTKIAEMQKVFGDAFCEVGAHESEVNVAEALTQFGNSHRLLEKRQEEAVKQLTPLVKDLSTYVEHAIPDTQLTVKKYLDVKYEYLSYCLKLKEMDDEEMELLSLGEPLYRVETGNYEYRVMLRCRQDSRAKFVNMRNDVMVKIELVDQKHVRDMAMQLSRFAQSFKACQSDCATIVESTASMPLEIDIAQKIADTTVNKLDDEVIDEAEEALPDQMDCVNLLDIGDDESTHQYQPLPNTGDDLIDIS >PPA03344 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:440745:442077:-1 gene:PPA03344 transcript:PPA03344 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLNSIVTLKGSYVADHLNNPFVENSNWNPFNMGFTKFIETSEQLCDLILDSSEVASLLSSNKYDVALLTGYDFCPFALTHHYNITKVVSYVPTPALVLDTLHGDRSSFARRVLESLRTFKDRYIHYTAYTSMNEKLRVRFGDDFPDVREISMNISMDFTNSHPLLEEPKPISLRLRYVGGLGLPIPKPLKKDLNDILNLSKKGNVIFSFGTQIEPDKISKDLQKVFINTFKRL >PPA03381 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:613903:616276:1 gene:PPA03381 transcript:PPA03381 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGDSLEELISKKCSEDIESASEKNTSSSRKGFVLLLIGVVCLFSTACSTCPQTLGNTNLVDGQCAVHRTCVSCTSTQVAYSRSGQCLIASVTCPNVLPSNSPRVRLLKADNTYVDIAYTSALSLSCTSGSSWTYIDGTGTPVTNIKKAICFGKMENTQESPIDLNTNTAVHTSLYNASQFKVSYGSGIIDHISVARTYGGFHAVMKANANAFFTASHLDARYRLVQYHGHWNMDTLMRGSEHTIDGIPFNAELHFVHMREDFTSFQQAVAEGGVAVIGILLHNRVEDNPVLTPLIDAIDNWLTNNPPGAPEANITAGAAVLLQDPAVFFPVDRSYLAYTGSLTTAGFDTCVDWNVLKTPIAISNNQHSWKIVPFERSCFEEYIAR >PPA03291 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:192829:193918:-1 gene:PPA03291 transcript:PPA03291 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIDERQKSQIQDCILYIVAGLVLNLIGGGLLYYKAQMDKDVIRNEIDKKLSEYGVGMNGTEPLSLEEIEESEPSSVILVLVTMAGRMANVVGIIKLFKGLEIFMSERRKKKLRETAEKLHGGETDTDWTELFSECCAF >PPA03272 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:123859:125046:1 gene:PPA03272 transcript:PPA03272 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSNGAPILNKNDVLTTGVRGTILMQDVVFMDEMAHFDRERIPARVVHAKRAGAHGYFEVIHDITKYCKADLFSKIGKQTPLFLRFSTVKGEMASADTVRDLRGFALKFYTEEGNWDLVGNNSPIFFILDPILFPNFIHTQKRNHQTHLKDANAAWEFFSLRPETLHQMMHLFSDRVMHGFGSDTFKMINAEGVPVYVNFHFKTKEGIKSLKPCEATRIGEDPDFYIRF >PPA03342 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:434175:434950:-1 gene:PPA03342 transcript:PPA03342 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVDRMRLKSLPAALQGMDREERRQFMEQLNKKEEEMKKKKDLLVKELKKEKKEKKKEKNGKKKEEPKENKKEESKKKEEIQSTVSQSKEGPLSRALANEDGFWDIEIVDSMIVLLFLLINRLPRIM >PPA03294 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:204597:205194:-1 gene:PPA03294 transcript:PPA03294 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVTRLEVEGKENEEQHPKILVPRVWTNPRNFNFDHVGNAMLALFETLSYKGWNVIRDILEKRQGPWAVIFIHIYVFIGCMIGLTLFVGVVIANYTENRGTALLTVDQRRWHDLKARLKMAQPLHVPPKPSESARIRTYFYDVTRSSRFNTV >PPA03318 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:318924:319510:1 gene:PPA03318 transcript:PPA03318 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLLRHQLVMQLHRYYYMMEPSSRYPIGVPKKQLPDSLMRMIKASKLIHFQFEGFVADITALELEKSSYGKVAKKLSLFLILAPLLNGQHHIEDMMYRLNATRKTILTVIDVYSLIIADFLRPDEISALSIY >PPA03263 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:88966:91232:-1 gene:PPA03263 transcript:PPA03263 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSPSNLFLRLLLVVAVVNCKLTPIKNEKCKSCSFLVDTFNVGLEKTANKHFAGGDTAWEEKNLGKYKTSETRLVEVMEGVCKKRTMENTDKYSGVKELEFKCSALFEDNEEPVEEWYKSQQDLDLFSHLCVDNLKICCPDGRFGKECAACPGVEKGAQVCFGHGDCHGDGSREGTGKCKCSDGYTGHACQHCDANYFSTKKTETEIECSKCHDSCAGGCTGSSAKECVKCATGWIREDEECIDVDECKADGDNERCTGAYEVCVNTVGSYRCDCDTGYIRNKDGICEVDVVAPSHLPLLPPHRLLRLVAYSGLIAVITLIYSFHRSTLCVIFTIFSVVASILIEVYVNPDTIPDGAKTEAPKPVDSDTRL >PPA03287 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:176955:179332:-1 gene:PPA03287 transcript:PPA03287 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRSLLLCLLLLYQTDAWKVSSSLSSRDRKVEIDKEHELGMKTDDELEFNTDRKELFLNFKCEEEYKNVTFLLINSSTVTERIYRGESINGVEDTDRGLIQFLGIIKGSHSRVKRESNVVDCDYLNPDYGATTIEPTTTVELTTQTPINCDYHADMTTIPPTTEEATTTMDPTTTPADPTTSVNTEMCEYFSDFMTTTQEATTTASTSELTTERTTMDPTTELTTTIETSTIVTTTESTTTMGTTEQTTTVGTTLLTTTMSTMEPSTTTQSTSPSTSTLTTMTPSESTTSIPSTSSLLSTTVNTFIPSSSSSTTVPSTIGSTTTMTSTPSNGETTTTMSTSTMTTPSTTGPSSSLSSTSTMTSTGSSGMSSTTSSLDTTTRASTSDKMNGMTTTLIGDTSTPLSSSSSVTSSMSSIQSSTMTSSVSSKNDDPTKTTMDNNEGKTTMGSSSSTVTSSNSINGITSSEGVTSSTKMNPSTPVTIPYGDFENSISMTTESILTTGKNCVLSMLNLNISANSNNPSVVYEKNIVVGSNILVAK >PPA03339 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:423693:424035:-1 gene:PPA03339 transcript:PPA03339 gene_biotype:protein_coding transcript_biotype:protein_coding MVITIASLAIAYINMFTVLFKVNRRLQSMKEKIDMAEKLMSERKFPDELLGLLSNVLKIDKEADEAMTQSKMVGL >PPA03359 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:508934:513561:-1 gene:PPA03359 transcript:PPA03359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cpsf-1 MEEIVVELTLTGMGINQCRPVLFAVLDDTLIAYEIFPAHNENDGHLAIRFRRLPLTLPIRSTPFVGVDGKRSTVECTNDETVIRGSFVIPFERVGPVMHGAFIRGPYPSIVVLSSINGFTVHPISIDGSIRSFTPFNNENAPSGFLYVTEEHEIMRVASLQSDFNYEMSIPLKKVSIEKTVYVVVSSRPVRSNRICTLTNEEKQLETLPRPDSFVCPSIDEYSVDLYSDEDWKAVPNTGITMEEMEILYDKEQKGPLTSLCSSDGFLITGMGQKIFIWQYKDNDLQGIAFLDLHYYIHSVSAIRSLTLACDVFASLSLVRFQEKFKALSVASRDTRRDAPPPLTSAFIVDNEHLGFVLSDEEGNVSIFNYLPREGVSGDELTLRGAVNIGSAVNAMIRVKGHTFSLPPSPLIDKEEVAGQQTTIWASLDGSIGYTCGLNPKGARSLRPARPCVVGESTKNVVDVDIVGQFMHLSVNDKQELARKLGGNRGFFHRSSFSSSMFPQSGNGFIWDHNGLMREGRFETTIFVPESKKIFPVSISTRDIKK >PPA03243 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:29232:31706:-1 gene:PPA03243 transcript:PPA03243 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYKMCSNMDARTRFKLSDTGLWEIIWDSADIQLKNTQLESEIQRNAEWVNERKEYLEKFNELKKDEWEVERRFVDVDWSVELGNGAFGKVYKGTLPAEKLPSKSLESVIQVSELKKNDEKIAVKMLHESADRSTVLAFLDEIELMKNIGYHERLVNLLACVTESEPRMLIVELCIKGDLLRREYMLSHRMDEVTDYNLVITHKHQFIFAVQIASGLLREFLSHRGFVHRDIAARNILKSILLHLKGGRMPIKWMAPEALKDYEASSASDVWSFGVLLFEIVTLGGSPYAGWNIAEILLRLERGERMERPDECTDQMHEIMQSCWKFNPRERPDFTELRMKLGKALEKLDGRKEYYLVSNERKEKVRNEKGTLPEESEL >PPA03289 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:181365:183317:-1 gene:PPA03289 transcript:PPA03289 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTTHTGMGDSLPLDDMFLAADLETMAKLEEESKESRPPLRIVWRNIFTFSLAHFLALIGAFQFFFVAKWETCAWTILLHNIGIMGITAGAHRLWSHRSFKATTAARVIFMLACTLAHQNDIIEWARDHRCHHKWTDTDADPHNSKRGFFYSHVGWLLTKKNDKLKVMGSKIDISDLHNDPVLAFQRRHYHPLVINICFLLPAVIPVYFWGENALVAFYVSSMFRYIFTLNSTFLINSAAHMFGYKPYDSSISPVESVWTNLQAAGEGGHNFHHTFPQDYRASEFSLLTNWTRALLDGMAYFGMVYDRKTVSDDAIRRQKERKGEHSNSH >PPA03275 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:128874:129285:1 gene:PPA03275 transcript:PPA03275 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIVRVETTHRNERNGGFAAVVRNAMVISLTSCPFLHFFPLNFLPSKHARQFIRTLAPPTEELSEADRTHHLVFGNVGSAEAPAMDHHIEVPSETHVETTGLALIKKFFKKIYL >PPA03257 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:67918:68556:1 gene:PPA03257 transcript:PPA03257 gene_biotype:protein_coding transcript_biotype:protein_coding MHCVPDILRHLIVTVDVSLARIRMRKGEDAVEILGLNTLEFAYSFYDGESHNVALVNRASRTLSARSLHVLRASDQPHALNLVAAITTAYSKLLR >PPA03273 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:125084:125636:1 gene:PPA03273 transcript:PPA03273 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDQAENCPFNAFDVTKIWPKGEYPLIPVGKLVLNKNPLNYFAEVEQAAFCPAHIPGIEFSPDRMLQVSLTPPLEKEVNLFNGRIFSYNDAHFHRLGPNKNQLPINCPFRSRAFNTQRDGVASYNNQGAAVNYHPNSINGPQEVKCAKVLSN >PPA03303 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:256385:260355:-1 gene:PPA03303 transcript:PPA03303 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDVQPETATEAEQPKKNRPKATPLRQQKLAAWQPILTATTVLPTVFCVGIVFIPIGIALVLASQGVQETFIKYSKHCEGKITCDYEFNLPSDYTGDIYFYYYLENFYQNHRRYVKSRNDQQYLGNLKETTDCAPFEKVGDVPIVPCGAVANSMFNDTFKLFTKNDVEVKTTKDGVLWDVDKDRKFKNPPDNGNPNLCSRFQNTTKPPNWQKPICEIEDGLENVDFIIWMRTAALPNFRKLWRKLDRTQALYANGLPAGDYKLTIQNNYPVSKFNGDKGFVISTTSWAGGKNNFLGIAYLVVGGVAIALGVIFVAIHVRFGHSYMLPMREARLRREYVYRKSIEEKQRAIEEDRKKVTDAVEGSKRIANHLKKDALNLQKGAEWGGQVNEVDDEYRWAGTKDPKVVLTTSRDPSSKLKMFSKEMKLMFPGAQRMNRGHYDVRHLVQACRANDTRGRPDGLIVCHLPFGPTAYFNLSNVVMRHDVPERETVSEQYPHLVFHNMKSKLGLRFTSILKYLFPVPKPSSKRIMSFTNSNDFISFRHHTVSVDGPETSLKEIGPRFELRPYCIKLGTLENISAAETEWQLRSFMRTSKKRQFLAAPQDIESDGEDEEMEE >PPA03237 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:125:520:1 gene:PPA03237 transcript:PPA03237 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKKKEVEVRIDTKKKLNAPWKAKWIRQEVNREVRQRCENIHKPSHRVERRKEFHCQSDLSHSFHSNY >PPA03361 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:518969:520677:-1 gene:PPA03361 transcript:PPA03361 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEWSAFFTLATLLTAISREVVNYYSGLNLGKFYSALTGKDENAFWSLISLVGPFGVGIIYGYFIVGTIISRLLLPPITKWAARVERAEVFQSFFNFFGGSLSYVIQVFPVFVFHSYDDVDPTQFGQIISNSSLK >PPA03256 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:66107:67745:-1 gene:PPA03256 transcript:PPA03256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pas-5 description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:H3E0P5] MGPNSKKVMFLTRSEYDRGVNTFSPEGRLFQVEYAIEAVKLGSTSIGIRTKEGVLLAAEKRVSSKLMVNDTIEKISKVDDHIGVTFAGLIADSRTLVERAQVESQNFWFTYNRKIRVEDVTQSVANLALQFGDDDAKASMSRPFGVAMLFAGVDTEGPKLFHLDPSGTFIDCLAKAIGAASDGAEQNLKEQYHESMTLQEGLKLALSILKQVMEEKLITANVEIVVIKPTVDEKGRQVGVFERLPDSKLDELIGSL >PPA03281 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:153735:160745:-1 gene:PPA03281 transcript:PPA03281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rfc-3 MAEDEDRVDFAEASRVIQGTSSIYFKKVDYVYEDVMAFRTVLAGQKGKKGKKGANDNGEEDEGGADPADIAPNDAIVLIDYSKSKRSDITSLCLKSGPKRSLTVPLMPMSLMPLADYEKCNVPMYARKKSKEVIGKKDDFKMNTAYISEEGAILLDLLNRNLIGKFSRKLDKENVAPFSQMLGVSLNTRGSTQVVASSQPLPSIQQMGSQSYRSYGSAEADAEVEYMMGRRTSSLLPVEQLRDGSMSRACISTMDERRKTELLRPSTRFNEHMSVVNEDFEDDFGGGGFDESMGGGMDVEMENVMPPPVKKEEWEEGEDDPIEDYVVDLYNPVNRILVNSTPFMSISHRWRRAPPIQTNTRMNTKKILAAREKEARTKDARKRAMETLEYLNEFIYAKNMRKQRGSEENWSKFALSRLTEQVKKAKKEVEKRKKKIVLQEKAALQRERDNEVGEEVYPMDGADDEYGGGFDDDYGIHDGMNDLPLATSSPKTKRGTAIWGDDDGMDGRMDRDGESDRMDMVDGVDDEFDARAFDQMANADMMVFGMGSLYDVEQIYWINEDDVARRREDGEEVKETMNMRIQGWSNKVLPLLEEEEGFKEFDIHHYGNTMLQVFEDVGEEKTLPLVSFFGMSLWVDKYRPHDLPSLTYHKEQAANLSSLVQRDDFPHLLVYGPSGAGKKTRVRCILRELYGPGVDVVRLRTMPFTTPSGKKLEIHTMESNYHIELTPSDVGIYDRVIVQDVLKEMAMTSQIDIVSQKTFKIESVMSSVCSIEKVSLPPQVTKNIVHLAKGNTRRALLTMEAMNPHINIIKPNEDIPIIEWEKYLDETAQIIVRKQSSEALLDARNRLYEIISRCIPPQTIFVHLVKALLPHSPTSIRGEILEAAAEYEHRLTKGSKAIFHLEAFIAAFMHIFSSAKR >PPA03268 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:111151:113472:1 gene:PPA03268 transcript:PPA03268 gene_biotype:protein_coding transcript_biotype:protein_coding MPHEKIPLREPPKITIEEDEDDDEPDIDELGEDDPLPPRSDSMKKRDSGHLKVDGGNLKKSPSLSSITSYMKDINARIQRLKNNQIAGVVFFLVIGLLCSVCSYLMSVYSAQLNKELIEATVKNVGSLSKSMKKAMVEGPSQYLIGALNFGGRMLSSIGTVMLVQVIVTYVKRRRYNLVLRSAAGEADQAFN >PPA03326 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:371648:374182:1 gene:PPA03326 transcript:PPA03326 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARKTDYDDSDCESISTHITLDDDMRSVQGTDETEEIIGAGLVDSLCEHMDNATHKKLVTLADLATKAIKKTDEECIVGSQLLAVLSVQLGEELSSEVPEALLLLCPIMTDCARILGVRSAAALSIGITAYFACETEDVFASCFKALSDTWGAFKVGTQYTSLFCSSIGAWCLALEKADNQQLTAAIALQPKLVAFVEGNQLEMRVSAGEALAFLYEVVGEKRSSYKFPNHEHLAHILGELASESSKGKTKKDKRVQKMTFRQIYSFVTEGEAPSLTIKLDKENLELDSCTYKMVYDQLTELLRGGMRRQLKKNELLREFFDLGAPVEETDERMNKANRMAIQNSINKMRDQQRAKLRDKRSC >PPA03370 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:574287:575343:-1 gene:PPA03370 transcript:PPA03370 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKKLSTTTEDVEIQVVTKQTKKGESSSTSESMEVEEEEIFGVVQSTTPPPYFVTLLTDRSRDEFYRVAKNPFLTKAELLYRIRRWASKQPKLVREAIFDIEHELIRQQSSVRKEAGAILEALPLAFLQYTNIRDNVALTKQEEQEDLDALYKAMPRKTAKVLRYVLMIAGDSFDTARREENKLRQFSVQSFRSTIRDTLG >PPA03266 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:107984:108517:1 gene:PPA03266 transcript:PPA03266 gene_biotype:protein_coding transcript_biotype:protein_coding MAVENVSSTCVQGCHLGGLEIKPLDEVRPVGYRYCCNVSDDLILSHFDRVPLLFSVMGGNSTMISLLFKAVPSNISLNGTEPLIGQNIPNAAVVIPQKGVGFDVKVYRSVDQQEISTNTSDIKPIPEKTLCKSEL >PPA03307 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:271470:274815:1 gene:PPA03307 transcript:PPA03307 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKRKSEVENGGENWKREKFGAKDFEKQYGYVGGGGGGELESVLDESKNHDKSGNFPQEIVTYLKGIIEMIEGGEERNDMLMSRCMEECSGQEDRLLSYHNSCKVVETIFGPSHVAASDFLRSVSRLKERRILDLFFSGCSAHTIEQLLYAMNPVNTNQDIEILHKFCELVCDNLMDIIVDKNASFIPRALLRITCGLGREASKDERGFNRSIPQQDKSEFASKEMEEELRKTRDRLVIMTTDYNLLSDRLDNSTVSLVVQSALSGDEAVKGKAATRMAQGVIEKIDVNEDAFIASLKGKNSSRVWEKVISLCDEQSRLQLWQMCVGGSKVAELAKDNAAFFFIQTLIKNTKGEELATDIMDDLSPLISSFLDSHKVTIVGAMIKCVEKFDDLQPPLIKALRRYFDASKASNKKDFFFNVLTWKSVNSGEMDVEKSNVHGSVLLQSLLGLTHCSSLQENIRSFPPSIIKELSINRISSHVVQAILQSNAVSDDTKNYVIKAFEKDWQSLIESPIGSFVFDSVWNSNVFDVSRKQNLMKNLVSIFSTSKSWKCTLYKCDAGLFKKDIKGWVNKWKQSGQKSEKSVNRQVKIEKKKEKKQMKKEEVEE >PPA03300 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:250274:250869:1 gene:PPA03300 transcript:PPA03300 gene_biotype:protein_coding transcript_biotype:protein_coding MMVNPPTAWLMMKMGGMEKGDWIIQNSANSGVGRAVIEIAKEKGYHTINIVRDRPNINELKDELIRMGGDVVLTEEEVKNEGKKYKEV >PPA03355 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:490706:492817:1 gene:PPA03355 transcript:PPA03355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-csn-4 MTTPEAITAVFQRHTEHRLQLEELMLLFPQVLPENLKAFDADKIENLINFIKNVVNHETGSMVVSRQLVNIVVSRLEGEAIEGGMGAYGERCEPVESSLLKLALIKLLGVLQPRAISYEDQLAQVSYKLAGIFEGESNNKQAAFYLRNMNLEQAQRGIADAEKCEIYLRTARLYLEADEAEEAEKAINRVSLIIQNKDKKDDEQTIIYKALFARILDSRRRFLEAASRFYELANTQSLPQSDRMEALGNAITAVLLAPPGTARTRMLIQLYKDERSMGTKSFALLEKTYLCRIIKTQEIAEFENSLRPHQKTNEAGENIVTNVLLEHNVIAASRMYETISLKALGELLGLKNEEEAELVAAGMISDKRLDAQIDQIDSKIIFKHTNPLKKWDENIGYLCEQVSKVYDGILSVHPEFVQAVETKM >PPA03372 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:582037:583849:-1 gene:PPA03372 transcript:PPA03372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-osm-3 MFPLRKELFQEVRDLLGDTKNTKLEIKEGGDRDSSRSHSIFTVYVEAMNEEGNIRMGKLNLVDLAGSERQSKTGATGDRFKEATKINLSLSALGNVISALVDGKSKHIPYRDSKLTRLLQDSLGGNTKTIMVACISPSDNNFDETLSTLRYANRAKNIKNKPRINEDPKDALLREYQEEIERLKAMVGTGGAVPVSSTFDVDAERNKLRAEFEEAMNELKNQYEREQTTKAGLQADLISLKEQYERANANINEVAAHGGTNIDADEAKRRIEQLEHTLVGGEQANNEVLKQKRLAKIKESEKKTQRLAGMEMR >PPA03374 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:593033:595690:1 gene:PPA03374 transcript:PPA03374 gene_biotype:protein_coding transcript_biotype:protein_coding MATALEWIFATFYAGGVLLFLQYFIPFVIRVFGRRTQNETVKLFEKKWKRDTVYLYQMPGTTTMPSVSPFCIKVEAFLRLHKINYERRYFIVGRGLNGKLPFIEFNGEHIADSQIILRRLGFHFKLNMHRRLHDAVGRFSDEEYRELTRKDLTTYQNILADKKFLFGDKVTTADCSVFGHLAAGLYLDQHCHPVYLLKSHQFVPLRQYVERVRDTLFGGKFAM >PPA03349 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:462253:469991:-1 gene:PPA03349 transcript:PPA03349 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAIAGRIKRCNHENANGAICSDTQFVVNSCLQTTRYDIVPSFPILFFNHLLLLSSSSNASHSFTCILHYSRFDPNAPIGLTFDKNAFNLGLRAVKVADVTEGSLADKARFRSGDTLVAINNVPVRHERQVVRLMGTIGDLMILVERLLEDGDEERGIDEDEVIIRGKSDDGPDSEFVVLGEGKMGREEEAVILFLDVIQCHNFLLLLLKRQRYVKKGRGNSSMMADSNQLVFLMCSYLILLLRLEEQDQKMMEEIFEKRVENPIERSSTTSDMRMDEMERELRNFNERLIDGGDFEGRDESTLTPGKSLAGWDSSASIASSSKVDEESGNQSESSSRFSRNRNGSKRQRLRDTINAGKKRVFDLMPSSSKRRSNAMIVDDSRHSPSPSGNGSSKTPPGSDKTKRNDKTKNDASAASSKGDIQSSPPPPSPIPSLPSSLSTRPVHLSPDVIWGQSLHFSINQTNQTVASTSKPPRFLNVTIHAREIRTREGVEPAKPVLLGYTSLFLPQIVDDCQLTLSNAHREVFHLKPPSSSPLDIPSTSPPLASFAQRAGFDPRLCYGDVTLRFRYFPHGLPKGSGINPSCNQGDEDGIKKEGEGSRNGTPPLTLPIGHQWKAISCTRNSAMCALCRGKIWTKAGDTEVVSRRAKLKSKMSGVTEKWSHWRRKKNEKGEYEKTEDYDATSRHSNSSMTDSIISALPIESELSDLLPFIEGSPHINEVYFQPGNAYNEETIANAKVLGKTIYAGLEEGERRAKINEQIDRIRDAISETKTIRLSVMKGESTPSTPSSLPSLSSSHLSSLSFEALEQRMQALAVLMLHYCAALQDCNEESEENDITLMRSRVREEEGMNRETATPSPHSISPTPSQAIEACEEVMVEP >PPA03265 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:97422:100411:-1 gene:PPA03265 transcript:PPA03265 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthase [Source:UniProtKB/TrEMBL;Acc:H3E0Q4] MSRNTFLFTSESVSEGHPDKMCDQISDAVLDAHLAQDPNAKVACETVTKTGMIMLCGEITSTAIIDYQTLVRNVVKKIGYDDCTKGFDYKTCNVLVALEQQSPEIAAGVHKEKDEVDVGAGDQGLMFGYATDETEEAMPLTLQLAHALNGKLHELRRNGELSWVRPDSKSQVTIEYNLDGGACIPVRVHTVVMSTQHSPAVTLQQLREDLEHKVIRTVIPPELMDDKTVFHLNPCGNFTVGGPMGDAGLTGRKIIVDTYGGWGAHGGGAFSGKDPTKVDRSAAYAARWVAKSLVKGGVCRRCLVQVAYAIGVAEPLSVMVFTFGSSALTDREVLEIVHKNFDLRPGMIMRSLNLKRPIYERTAENGHFGNKAFPWEQVKTLEMGEETKKKLASPALANGTSLIDRFR >PPA03314 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:293642:295376:-1 gene:PPA03314 transcript:PPA03314 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLALSLGANLHVQNRQKLTPLTLSAYMAKKMMMEFIIEEERVVDWTYGKVRIVQTVLLFMFVIYMLLVTILLINMLIASMTNTYQQKHFWNIQIKEYIKCNKQI >PPA03242 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:28282:28991:1 gene:PPA03242 transcript:PPA03242 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFFILLAVSAIIFTSAFAAENNSSDPVLTRVRRQCGCFGQVFPPFFELSLLWFDLF >PPA03312 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:287851:289006:-1 gene:PPA03312 transcript:PPA03312 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIDSLKKDNEELQRRVSHLELEVKSKENEKITALKKQQAIMKELKKMAQDEKKRADSLEKSREGSATPSLVLSDAASYRSRSMTDGQESVSSISVLESDNIELINRIADLQRHHGEALHKISLLESTNLSLSKENQEKNLVIEEWVRSRPLPSTSSQRGSSSSTTRGFAGLRKLIGMPENGAASHADMIDMNKKLQRLLEETLSKNILLQRVLPFHFDRLIHYSDLRTFKLFWSGLRYLSE >PPA03306 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:269588:270570:1 gene:PPA03306 transcript:PPA03306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-let-60 description:RAS-protein [Source:UniProtKB/TrEMBL;Acc:P91782] MTEYKLVVVGDGGVGKSALTIQLIQNHFVEEYDPTIEDSYRKQVVIDGETCLLDILDTAGQEEYSAMRDQYMRTGEGFLLVFAVNESKSFENVAHYREQIRRVKDCDEVPMVLVGNKCDLAGRAVESRVVQDTARAYGIPEVDTSAKTRMGVDDAFYTLVREIRRHKEKQSQKPKRKKRCTIL >PPA03331 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:392130:394562:-1 gene:PPA03331 transcript:PPA03331 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEMEDEMKDGEDMMAMLEKRFADQHAKVVKMNDHLREYERKAEILELEKTELEKEVKRLTALLEKEVTERKIIEKEYIELTKCQVEREELIERLKRQTDSINELQRNIADMSSRVGRADGLVNEEKKKRRKVEGMIEEMKEIITDREGRITKLKEKCDKMKEVGREGERTIKRLEKKLEEKEEVMKECLEQLKSAHKQKVSELNEIVDDLKRKNSTLEREKASRRRSSSRLLSRQASFSSMSVSSMGSLRTLSRRMTEPMPEVPPPLMRSPSALIETERKVADLERLNQNLTTDIGLARREIEVYKMTISTIEGEKNQAVKQVKNAVIRSDEAERKLQIEEGKTRLEKSQADVEEWRRRWDEGNNGHSEEINSMRKKLMQKIDTLEDEKRKAEHRCGMAERANEDFRKDIDRLSIQLEKFKDKLEQADKCTNSHTVVGENWQARCYEAEKDDDTSHMVSHMETKIDNRD >PPA03259 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:73168:74266:1 gene:PPA03259 transcript:PPA03259 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIAMSKIMRVDDNGKTVEAKFKTFGCGSAIASSSLAIEWINGKTIEWAEKVQNKDIAKELSLPPVKLHCSNGLVKGEDRVNRCADYCSYSGVQARRGGTHQFRFVPLPL >PPA03261 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:79151:84122:-1 gene:PPA03261 transcript:PPA03261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-qns-1 MAGFHRRTRLAVCTVNNWALDFTGNLERIVKTCAESFTQGARLRLGPELEIPGYGCADHFFERDTEIHSWQCLKTLSEKSKQWPELVIVTGMPIRFRGLLYNCLVTLKDGCLLLIRPKLALCDDDVYRESRWFVAWSEKATTYEMKIDPEYGFEQDSVPFGDGVVESSDGVSIGYEICEELWTAQSSHIDLALRGVDIVCNGSGSHHVLGKSNRRINQLVLGGSGKVGGVYLYCNHRGCDGDRVYYDGMSTIAHNGRLLVQLPQFDIEDTCVSSAVVDLRETQSFRSRIASMRNEAASRPALPFIPFNGKMVDPFISPLDPLPTEIEPVQLSPTEELCEGPPAWLWHYLRRSKMGGFFVPLSGGQDSAAVATMVRLMAEKVTNSVKKADENKTDDPSYYLNGERVGTNADELTNKILHTVYMASEHSSMETRKCADDLAREIKASHSSIFIDTAVSALLGIFKLAQGFMPSFTSSDNRETMALQNVQARIRMVLSYLFAQLALVTKGRTGGLLVLGSANVDESLVGYVTKYDCSSADLNPIGSICKSDLRKFLHLASEKYGIPSLKAIVESVPTAELRPLVDGKVAQTDEGEIGLTYDELSVIGSLRKPGLMGPYAMFEALLSLWSAKYSLDEIEHKVKLFYRRYAVNRHKATVSTPAYHAEAYSCDDHRNDHRPFLYPDFSLQFDAISAKVKDLKEQHFKTE >PPA03277 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:133756:135143:-1 gene:PPA03277 transcript:PPA03277 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLTGLVTDGVHHIKVPIEIYRLSTQHHLVKLTSERRHVDIDAKKLESEINNATSLDVHILSKQPFVDHNGIVDLRKSFLFIYALNENHIPMRGKELIEWLSPHSASLYQTSGKISSFSAAQPFISISFIQLLWILVALLVLVALFIVCCVACSFVKRRRLRELEKQYMVDGMRPRPYDVENIPRSTAQTVLSSRRLANAIPDDDRMSRTSNRSDTTFVFANSVKDENSLRRSVGRNTKVQDSSLS >PPA03279 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:142945:146313:-1 gene:PPA03279 transcript:PPA03279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cdh-12 MVKIVVDDVNDNPPILNQREITVKLPSDLRKGSTVAHFKATDKDSKENGEISYAINPPSNTFAIDIDNGEVITLGPLEHAHYEMAIQAFDHGSPRRSDIGRLTVNVQGTNPSAPQFDQFRYDITLSGPVKTGERVVSLHASDPDPGDEGIVSYRFATPKNGKESRDQSRFSMNPHTGTVTTLTPLNSFDGPFLFVVEAVDHSKEFPRKAETLLRIRIEGEPSLRFFALPSTLFISSNKGIGSVLLKASASSSEGTPITFSISPPSQFFSMDGPNLIVTKSIEPKEYNITIRAETNGATIDHNLHLIVMTNRDKYPVFSRLFYDLPVSLDSSFPSILHTFQAQVQTGSVEYSLFPPKAVPSGLTIDKHTIAPKSVRTGVEYGITPTNIIGIHSNGSLFVSGPIDIEAMPVDEEGTLTYTIWAESGDERAVSTMRVKIVNVNEFAPVFRQKTFRFNVDESSKPRVILGRIIADDADFGEKLIYSMKSESRADSIDILPNGSVVVGKAGIDFDSDRAFDLVVSVEDSTGKTDEATVEIVVIADEDRMTKVENDSPIVWNLTSESLSPLPITAVDSNEKDSIEFKIVNGNERGFFEIERVNRTAVLLKPVSLLPPSSHFTLTIEAVDSTKISTSRVIVNVAPSSSSPSIPSTTSSPRVPLFSQSEFAKAMKDDTPVGTALISVQVWSPPQDGQLTMSSNCSFLNVRK >PPA03352 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:479147:479836:-1 gene:PPA03352 transcript:PPA03352 gene_biotype:protein_coding transcript_biotype:protein_coding MKERGFHYEDYEKGGRQKIGQMLKNFGGYNETLPTSEEMETSSKKAAQLGTLMGVFFPCLQNIFGVLFFIRLSWIIGTAGILQGLLVVITCASVVSHYDID >PPA03246 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:35658:37473:-1 gene:PPA03246 transcript:PPA03246 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYVGEAFRTEDNEIRFYFFQDQENLRMTNIRNELLFLDGDKWQPVTYRCICPLATILSQCTVEGHLGVASFTENADARLLRLRTKHTGTEYEIAVKDGRLSPSHSYPHQFGVCLQPVYFSADWPAFVQYIEFWLASGATKFYLYIHSATPKVRETLHHYERLLGDSLEIIDWSDLPVESRHKGDFYADPNTRIFSAGGINGNAWELKYSLRSHVKFLGVIDLDELIHVPSGRNPIDVFETLANAYPDAANFALDWQYVQDETNTRKVVKPSDLHFGALRYLKKPYSKSIIDDYVTLKKVIHRPERVIMADVHNTIINDLRNPYSSSNPIRFDTSVSISPVSVPALDERFDQVIINDSIASVLHLRRFNALSKVNVPYNTSVSYDDIREKSRVMDRIWRQRINNGTIKGILHLLKR >PPA03310 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:283449:284386:1 gene:PPA03310 transcript:PPA03310 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTTQLNLDSVRSQLNEHVRLVAVSKYHPAESVRECYEHNQRHFGENYVQELEDKANQLKDSCPEIKWHYIGQVQSNKIPKICSIPNLFCVETVESEKHCAAFEKAAAKLNSNNKLKVNTSREEQKGGASPEEAVVLGLYVRRECHHLELNGFMTIGSVENSDKIPNPDFDVLADVRKKFAEAAGVDESSLELSMGMSSDMDTAMKQGSTSVRVGTAIFGVRNYN >PPA03308 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:276124:279286:-1 gene:PPA03308 transcript:PPA03308 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDKPLRAKLGWTMDDWEESSVPPWFDLKSMDRRKEELIGRRKGSTEAETTQTEKGRIEEDDESDSIVIIDDDEEEDNNEMDERVTSGQIIESMEEEENGDEDEDERGTTKEKENMSPAGPSHVKTPPRRRDRSNTPNEKTIFPPTPGKRIASPSEESMPWMDMIGLTHGFDTPPPCQYSPTSSRIKTPGGTVDYVDSLMGYFGSPEREIEYNKGTLTISPSKRANTYSNLFGDFDFDESDGDEEIVNLSVKEESVKRMVELEERPESSVITMEYEENTIAGSRESAPVKQKHKRRTREEKEKLGMAAMKDIALRRRIMNALAKKTAEDIRARMTMHSTHSKGGTENVLSTLEGVLSKDPLLLRVLTEYYTPAVPPKKSRVWESIDLRKGDEAFNIFKEDGRAERIDLCEVMGAALTKRRLVKARKPLSSLMLNNDGEPLLRNKDGKYKGIAVWSEHQIEEERKNKAMEKEIEKKTKQREKTKERMKAVKKAKMVSKREKRAREKKKEKERIQEEEERKKEEKEAPPIHPFTRDMDKALLLLYNEVQKVTKRAVRKMAVDIPYSSNFTLEQLQSRLDFLLALAKED >PPA03347 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:453819:454314:-1 gene:PPA03347 transcript:PPA03347 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEVVAAVPAEEVEEKNEEETPKEETAAPASEEAPKAEEETKTEEAAAPAEEESCPAAAEEPAAEEAAAPSEETEAAAPAAEETSTETLASEVEVANRLIHSL >PPA03324 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:366474:367384:-1 gene:PPA03324 transcript:PPA03324 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKRGKTKTNEGRKRKGKEEKEIPSKKKLDSDDDMEMMDEDIDSIEDDIPSTSKYNGKRKERGGPPQFVIDIIEKKSNRRESDDEPSSSRDRREIPQFVVNIIEKKAKERAADEMYSSSGVFDDEGEKKEATMRELLTLLRILSRGRYEEISEGQTAMAISNTGTDERRVAVEEEEIEPSSESEDEWEEIELADPEREHEKSKNDIEVSQYILKIIL >PPA03376 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:597958:598297:1 gene:PPA03376 transcript:PPA03376 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACSAEEISEDNSSSQRTILLQSLKLAQFIRSESSSSSSFRRCCHYDC >PPA03245 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:33620:34766:-1 gene:PPA03245 transcript:PPA03245 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSILITGANRGIGLGFVHHYLSLPTVKYVFATAREPETAEELKSISDSRLHVVKMDVQNDDSIVAAEKEVSSIVGQNGLNLLINNSGVATRYDLDAPPNRETILSTLDVNVAGPIIVSQIFLPLLRKASSSLSSLPISVNRSAIINISSGAASIQDNKSGGMVVYRTSKTALNSLTKTFSIHTAKDGILTLAILPGYVVTRMTGNNGELTVEQSVKMMTDAFDKFGEEHQGGFFSKNGEPFPY >PPA03320 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:321108:321454:1 gene:PPA03320 transcript:PPA03320 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTDPKLKAVLVKGLINNHRLKKGGNPVNLSQKTLALITALAEKLMKESIRRSIALCRQNGDTKVSLEHIQRVLPQIMLDFSV >PPA03356 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:496240:497524:-1 gene:PPA03356 transcript:PPA03356 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVEWDKLTSFHFDYKELFSIAFDSANGFPEQRARAFMHDHWYFVVQCSIAYFFLIFGIKFIMRNREPFDLQRPLNAWNLFLAIFSTAGAIFMAPDFFGILWKGGLRGSYCDLNGMMSGTNGFWMWLFMLSKLAEFTDTFFIVLRKKPLMFLHWYHHILTLIYGFYSYPVSPAYNRWGIYLNFAVHSFMYSYYFLRSIRVPIPGAVAKAITTGQILQFVLSIVVLVFCGVEYYILKSMGDCTFDVPSFWLAVLMDTTYLILFVNFFLKSYVIKGGKDKYKKLDGKEGKKKQ >PPA03305 pep:known supercontig:P_pacificus-5.0:Ppa_Contig117:267473:268916:-1 gene:PPA03305 transcript:PPA03305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acl-3 MTGSLQDKSLKGGFKFPWPFPSGKPSAFYRFKSYLAMSFVGILSKSMFVGGVNKMVVKNKDTFMKVLKDRSRPLITVANHRCNIDDPLMFALMTRREFADTLDHQRYTLTAHNICFTKQSHTTLFSLGRCVPCVRGEGVNQKGMDFCLEKMEQDNAWIHIFSEGKVVEEPGRFKWGVGRLVNECSKPPLILPIWIEGMNKVWPNHPPYYPRFGNTVNVFIGDVIDTKDWLKQLKNEDKESGRKKMTDLIQRSLYTLGEKSGCLPIGSADLVESNDHSRKKYYK >PPA03368 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:563738:566327:-1 gene:PPA03368 transcript:PPA03368 gene_biotype:protein_coding transcript_biotype:protein_coding MFTDLNDDDQHLYFSNFWYTDCHDSEIPPPEFAKQLGGESYANLFLKAQLNAIEHLPQLSEDGKKIVTEYRKQIRENWIDHYKDKEVDEPERRFIQLADLHKVVQKLSTVEESMRQRRALSQLENVSDLSNPSTPEKRVDTANSIKEQKTIRKARHAEIFDEGYEFDDVSNLMKTPLLRKTPEPRFFAGVSRFSRLRVSMSSIPSLKSTKKDSPVISPSARLSKRLATCKIQKITSTQSTLKKTLSHLRIRKNVNSEISQAIVEETADES >PPA03366 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig117:557160:557570:1 gene:PPA03366 transcript:PPA03366 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMGMDDLDLAGLAVWILFDPDIPGFPSEHRFQIDQARSVVHRDWLRIYEERGVPDGQLRIARCLALLPVVHLRFSSLSVLALISD >PPA03382 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1171:809:1247:1 gene:PPA03382 transcript:PPA03382 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLLGRKKEVKQEEQEEHKKEEKIDYNNLALDTAPVSNFKKKEDRRKNLALCPTCVLVAQDLYEPWMDEKR >PPA03383 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1173:818:1766:-1 gene:PPA03383 transcript:PPA03383 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKRKEKDMDDETRSTIAALHRQATEGDAAEVARHQGDEAIHTKHQALKGMSKTVSKKNGKEQLQKWMGQILEMELRDGRIVTGHLACTDREAKIKHRTMAG >PPA03384 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1177:444:1388:1 gene:PPA03384 transcript:PPA03384 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLKKVEEMKNELAAAHDLSDKWKRERDQYFGELERLNQEGATSEGRCVSRATRKECRIQCTWGPRCGPRMEEQQGRRREGEFLYGRALTEFNALPEEYRDKSFRDCLEWLKDRLMGGSRYAAIDLERRLRELKVGSKKVEQGGICGEKAVCQSI >PPA03473 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:551607:559610:1 gene:PPA03473 transcript:PPA03473 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-62 MMHPHEDGGESDSGETIPYGDEEETTTTTMPTTITYRVYPSTEQPSEAPDSTVIMNHSKNAPRTMNGSIRPPIHSLADIKKTDLMQECRQRGLKCGGDKTTIMMRLEGLKDEIIAKFKEENARLDALALENSPQISNERALSNTSSSSSPSTIIEDMSYDVNGMARIDESIAHRRHSLPSNNPHQGIARSLNPPMRHLSMSSGTHPQATAAPTISTLSFSQNPQHGQMEKKIQMKTANGEIFYATLPSTDDLPIPRDGKPIGCKIAIINGKPVLQFQQPSGPIEPGNVGADGIRDTLLFAQNGSGGQVTLGPHQKERTRSNSLNRKSTYSGECNSISSLLRSNSSNSTLPVMVQRTQRSQSTSTTISGKAALKAHLAIPREVRKSSAIKQVISPQELPPPAPKEPSVQAQVPQGQQGPQETYQPPQEQSLEFFQPQEIQQPQESYQQPQEIIEPQEAVESQEPKEEVKQELSEAEQVAAHAQAINKLLNREIKLTLDAKTVLEHEQALRGQQRMIDSMQKELKKSLTALKMQQQLIVSAKKAQSEEIQKMTNNKLKNIGEAWLFELNLKRLHKSNSNFFLTHKQQEEELQALTEEQKRIQKQNINIQLSEASDSAIKDITKFIGANPKTALLIVQLLKKYQNDKSQAANQPTQTFADIPPFEVSERTPTARTIRPDAIASHDYIMESQPGPSHLESMGHDDNANLGGSQEAIVIDDDSNDEDVAPQQQGRLRLAAPPMRNRRKDQRVRVTQVDMEAIFRTVLDGSKTGNPQVQMHSGSPADGNMNKLGEHSSPHSVSSVPSSHSMAGIGPSDSSSSPCSSSTPPEMMMAHQMMQPQMHYVDPNLYPVRAVSDGPMDYTMVHPVHVPPFEPLGRPYVSDGLGSSADDNPTDILMEAVESSGIVDDSIGGGGGDEPMPSSSHSMQQMQPHDHMMMPIDDNIIMNGDFQVVGDHLMMGDQGYDFLNDASLFNNFDPAAFEGFDPRVLDPESEPVVQPAPDLFERYRDICDIIQKDDHNHEKRSPDSKKVAYNGTEELNKVRDEKEEILGPSWMDMGEPSTSGDHQMHPMALEIPGANMLPHDDAFEDVFAPSSHVVGTSQSTTSSSLLAPPHSPMITDMSWLNDEEVRAALSPKGPPFGDFDGFDPTNP >PPA03398 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:89126:89787:-1 gene:PPA03398 transcript:PPA03398 gene_biotype:protein_coding transcript_biotype:protein_coding MTCDYWSRNPEEPLPYWFTRFGYEDTVSFYYKTKVRMAKIKHFVWKMNPWRKEVHMVSNFEYVPRQDQIALPKQPPVPPRLFFPVPLYLSISTLRASIRRPSGMPWPKP >PPA03461 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:473380:476389:1 gene:PPA03461 transcript:PPA03461 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGAWERNERRWEGYSLTIDITAHLSTTLIVSIPHLVSFSEQTMVLIGGNGMQSVDVTFTLHSVVGGPPTHIFVKDGAVADHHEYEMNSHLTVLINLGMGLQECFKNNLKVEVEWDQQKRLWSLSWADIGRSLIYIKQMNNWMSTIAKEWRSQSHRPNNSPWVKNANCTSAVAKKIVTLAIVNPSALNKCYPGFSSMVYGETMLDQMEVIVDKLRLTKDDVFGDLGSGVGQLVAFVAAAARCRCFGIEINPQPARIANKLKEQFEGKRISEERTTTERVVDENGVTHFVPISRTLTARQLSKSRKLKEE >PPA03423 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig118:217734:218738:-1 gene:PPA03423 transcript:PPA03423 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKQRTAKEKKASKHRGRKRHNAQPELSGSSNEFERKPAEDCQEVHEVVKRDMTRLFELKFAYLENAVYIRPTIYNPDDINCTQSMREERILEVAVDMEKKTFTKMARELLEKSAEAPTVFRTVQNVLLESVFLIEVQLDISKMDMMIVALRKAADKKEKEKIIQSQRRRQKEKKMKKLKVN >PPA03472 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:548460:548805:1 gene:PPA03472 transcript:PPA03472 gene_biotype:protein_coding transcript_biotype:protein_coding MMDNSDDQQEDQRGRKYGRVEARTQPHPYARMDATQPSPAKGRLKGPDSKSGAEYTSLIDHRHYLLH >PPA03469 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig118:532603:533481:-1 gene:PPA03469 transcript:PPA03469 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKIALIALKRKFSISNKYGFHEGGAIFQIKEFDLLSDPGEYVCKVDNLTTGNKIKQIISVGGHQNARRATCVNHCSKFCTNMFPVFV >PPA03449 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:399877:400645:-1 gene:PPA03449 transcript:PPA03449 gene_biotype:protein_coding transcript_biotype:protein_coding MLCAASSCKNKITPTQSQCVDRYITDKDSTILLNVMKRERGTEWASSTHAKNRLHVDLDTDNLYDTIKDDENHYESIPILPTYNELSFDFR >PPA03410 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:150562:151351:-1 gene:PPA03410 transcript:PPA03410 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVTVESAEKDTLLSTWDFLRSLQHSTPSILHLQLFRSSPLPVLSILVKLYLTNSNRAKISHNLCV >PPA03445 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:385230:388496:1 gene:PPA03445 transcript:PPA03445 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRCAHVLSANKRAERLENIVTFIKGASQSQLGSKLQDVWESPSSHISELRMLLTLGANPAGLYEGDSGRKTIEERKKTGSLCEACSLQFEDFLDKAGVIYEEQFEQTPINIVRGRRLAEGLLPMCCDGGGMRGLVSVVCLMFASRRLLGDETLTNYFDWLVGTSTGSMLSLALAKGANLKEAFFLYWDMKKMIFLEGSTMGRLFGDQVQTQTKNINECLERTFPTEMMHACPRRLTVPALDISCSPARLHIFRLGYIADHGSLA >PPA03468 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:529591:532282:-1 gene:PPA03468 transcript:PPA03468 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERAAKRAALTKIKRRIGSRKPELNQVDSPTVKIRKVTTDSTEGGGRHAATAFFDEIGDDCTRGVFRLLNHADLDELEILNRRMNILAKETRPRARKKFMKLKLESQLNNIVSIERFGGLHKALEFVGNAAIRFYFDQTDEVAPLFEIERARHHRKPCSCSEAVLVLVPCSCSEAVSSKKDFELVMTRSRQEENGQWGVSTTEFLEEDMIRASLDSTMKVQDPPPGSNRQHPAYPKHVVREADDHLLRNTVIVTFGFYDPEHLR >PPA03432 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:267586:272249:-1 gene:PPA03432 transcript:PPA03432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rbg-1 MILGNGAANPGCDLIDFIRWHSPKDFDEETGELSDRMRITDNAWERCWREATPLPAIHQQPHFNEATVAEGILSVFEHATVAQIADWILPILFHQTALQLINEDGQVIVEAEGASMCSLVSRATRDGSQPAKQSYYQALHHIRKYERLAHFHSHLWKTFWRQQFLKKNKEEDVIRQLVKDIMKESDTERASDAMFRTGIRLRGGASHFLGEIIGGDFQGQNGLIRKNQTPMSGSIERAVFFMNEELRAVLECSRAFGLVSYSTSANLRQHRIRKPSNLLGNDE >PPA03415 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig118:193418:194497:-1 gene:PPA03415 transcript:PPA03415 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSIRKPPSRPIIERTRKERAEPFEQIGRGRFNHSIVVRGKKDNLALRWKVGKKDAAEMNELYKELVNLGRPNFVKIFGYAIHESADHGNKSIGFASVMELMTVSLDEGPVVRGQWPGPP >PPA03414 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:188268:190474:1 gene:PPA03414 transcript:PPA03414 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLLGASRMFWRDTYDRPDNAPYSEQAQKVWYAFQMASGRTSARFMSALSQRQIFVIFVLMAVIDERWTWLNAELLPPRTILKEIEQLLINRATLAIPINTLPSALAALSRISKVARVRSLAVFRLEDDDFLSTLMTFFREMPPSQGGFEDVEMIRTEEVFRPDDEERGQEESTTEGEKICKERDTLLQLMNTRLARCKVLSEKARSIGQVIAHLKSISAERVPVPIDFNWTIHHVVQMSPEFAQRWPAGGYDGERGEDWWTAVGGGEFLKDFHDMVCYPRHDLTIRRQKKRARSMSPRRSSDSTVRANWRATLRLPDHPLRREDVHPLWASRAAQQARSIGNRPMPVVDIWDRNMEKKDEEGYQAGLDLRRWRMTVNAPSNGELPREMAAELEQRRLSRMDTLICQSITRNRNGQMQFGPARGGRIQGDGAASSLYLDSASLFSHSSTFSNDWTAWRLTRPEPNMGKHHFFSLIGGKPRMMSGRLSAHLPLD >PPA03395 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:67187:67695:1 gene:PPA03395 transcript:PPA03395 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIPDEKVVPIEQLVPRKKREFDASEFEEPFPFIDDMTYSEEKEMKRLLGGFSNYPSRKCGNSSQYSTERYSDRSK >PPA03439 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:324543:326771:-1 gene:PPA03439 transcript:PPA03439 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEMKNVDYTMSERNEEGPTATHTLVLGSGRKINIDLFFDERKWTLQPTSIVTDVADLTFIFDLPQDTVMVTTFTLSYKEIAFKNYPFQKRSARFRPGAALAMALGESKVVGQGKTRWERSTDFLNMICFEYVGFQMGDYYTLKESCSVPSRSPIYTRCPISKI >PPA03427 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:242199:244321:1 gene:PPA03427 transcript:PPA03427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acr-9 MVADMTPPTSEAVPLIGAFFSCCMLVVSASVVFTVLVLNLHNRKPETHEMSPMLRQCLLIWLPWFLMMRRPGTTVFSRQNFKMIRAQEESRKLTAQITTARQTDRNQSADSLGLLRSVRVEKTSGFHSPPDPPEYSMNGSYCTHTGVVTTTHNCMKKVNPAKVHQTLALPVKSPMDSSDSDNSGGLMSEMNRCMQKACVELKNISVQLGTMRKRMEEDERDEQAENDWKFAAMVVDRMCLILFTIFIVVSTCGIMFSSPHLIA >PPA03425 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig118:232040:233856:-1 gene:PPA03425 transcript:PPA03425 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQDAHHRAVVNNGRPRNTQPMRFVPVSRQTRVIMGVIDMVPRTFEQGHPRVTHSSPGCIVPMNHPHMSLPNQQAASRPSATQSLTLSKVEAQLKEEKERKLREALAIPIKFEATYNREKIEQRRRLEEQQQMSPVDPNNRFFFLDHSGHLRKACPGMSKKSDKGEIPRFGQGVTKGGHSKGISAPVTKSHDSQLTPQHQLLNPLLPALPSNIIKFLMRYVLQHP >PPA03446 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:389433:391477:1 gene:PPA03446 transcript:PPA03446 gene_biotype:protein_coding transcript_biotype:protein_coding MTCACIGYKRKRRSMRSIIRSSIDMKTICLLLSAPLLILSQSSYGVPNDSPSTGYGPASVAAAPVFPFYDQARHDILRSPRRHHHHHRGSSSSSESREAPYPRECRKLKSKCNRDDENCCKAIIKNDVIKCKGNPGEFVQLQDGEGNLIDYGFGEVEVDAKCEDRRWRVRNFEDKKKKVKSVVCYHFALPAIVEMSSFETPRTTPFDLDTFFDHSRVSDAINWSAAVFTNDKSLLDDKEVQKSLTNLDNAVFKTTP >PPA03434 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:281766:288753:-1 gene:PPA03434 transcript:PPA03434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rbg-1 MAEIEPNDDDVFEIDDFTVVSPLETLVSAVEVAIHDMNLEGSRANYDVTKLPRDWELSMAERRVTYESNTFLVRWYRPNAEIVEEPKEKKDEEDEYEVKSEEEEVTNLNHYSSAAHQISSPTLDFCTKNNLCAMYGVLEFLVLQGEHSTNDRIATIEQKDFVMSAVRIALNNTNCELPFFVLCGAPERELYFGSAESRTQTMSFLPTHLHQISPRHTNLTGLIGLMKEKVRSQASVVDLEEVRASVRFEYQIVEPDRPKKSFAGDEKKLIKDCRDCRHALEMTLICLWKYLPETRVHENESFSNLEPADSSHWEITANFHPRHQPFFHITHILKTTLAHCLNESSPLAKELFVLPPPSPSDEVNPIKETTKMMDHMSLNKEDWSNLLGAEVLSEVSDDDRRYPSWATSSALLPQSTNDPNLAKCLEDLRHIKSAPPRSIVTRLAVQISHVIDDEADMALKWISFVRLLRQHWENNKELPG >PPA03459 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:453531:460017:-1 gene:PPA03459 transcript:PPA03459 gene_biotype:protein_coding transcript_biotype:protein_coding MESDESPSASRHPNRRKAALGFRSHRSNIPHVLPRISDVSYDPDGPSTSNAVRSPVVGLNSIVPDRTVPSSLLFSDSEDSDNDEQQIRERLRATPNSRKRRTLTTIPAKANNKLINSSSSKPLRESKLLEVPEIIVEDYDSKKYKKLVRLSPRLEEDSPDKEICENDAADSIKYELVSPVNGESLTFNISDDTDGSIVESELVMHLSHVCMSDDRIKDEFEREFGKDFSNMQLQWRNYDECMAAFDRLNRMIANFTVPHTDSKTSNKWFSLPVLTAEQCKTLAMMVYNRAVKKASVLNTCYEPFSSQVYGETSFEQLQIIFDHLKLTEKHVFVDLGSGVGQVVTYAAAFSRAKCVGIEINAVPAKMAVDMKKEMMRMMAWYGKDCGDIEMRWSSLLNFKHRGLITKEADVIYVNNYAFDPPLMHCLKELILLAILTVDMILDAVELPLAEAPVSWATGKDVQFILYTVNYLKLEELFKNIPEVNEQSDENRVQRNHSQGRGKESLSTKYRSIGSQNSRNKDIRKMKECNRNLTRKDVHSHSTLPNEIGAAHGTRRVMKRKIENEQRRNNLSHCSRMKKARVEMDTDKGEDGSFDNWVYKDELHYLIFSEYFILNGGFVEGDDRGVFVGSYFTGGRDCRTVQKETYKKGELFLCKNKADAIVAWPAKILRVEKVHGRNRYYIKYKGFTSKDNHFIEPYETNLEMEKYSREKHDHYKKVNKDEIAKKTEMKKISTITRDQKKGMKKDWDRTKKEFDSIINDPFPLSNEAQNDDDEKAANEVEKKERKEWKAFSFNTTPVSYYPFHVSDLLKIHKQMPVGAEGIMWWENVYYKVTCIAKATKNECEKLILDLINGDRTLDFNPPQEASRGRRNKRKRESKAIQKKARVKGRDSENDDGEIDTTGKDVL >PPA03409 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig118:140174:142803:1 gene:PPA03409 transcript:PPA03409 gene_biotype:protein_coding transcript_biotype:protein_coding MANNVPINDVCEESIDDSVGLPRHFYYLDDYESREPCRPLDSEDFLYRRLNDNEAHQMLIETLIRDYSTLPINCEDEVIEIIAKQASPLLTIGNEDGFTTITVECQTDEEDVSEIPSDVMYRQYSAMEDHGLRSCVSVRALLSHIIIFLFLISFVLCYKAQDGEDRHDLRAVSSNIDLDEQNPYEEDERLLRVLHHVGGFTGIRNTWRK >PPA03397 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:82466:84055:-1 gene:PPA03397 transcript:PPA03397 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLTDNDYLDNEPPLIDFHADPIPEASPSSPHSPTLILSIRDRANQRGSKTSLRSHLKKFGSNLTKILLKLICCCRSENDEEMESLIEDTDESPAHPGEKETTETVEEEEIPYSPVNYDTHTLEYLETVSMETFPPTPPDERPNTRRVKTTATMEPETSPSSPPDIQSETVEADSSISSSPASSEMENTATEDDTPSESQKEEETRPLAECRNGGHLGQFVNGVYVLPEHRAQNQEKEAHVEVRLGEEGRLVEKIRREVNPLAPRSPPPSPSPADDVPAQDDQEARPVVFEVGFETSEKADEWLTSSETSFA >PPA03406 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:120770:128932:-1 gene:PPA03406 transcript:PPA03406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-git-1 MRRRLLGYIGDNGDGSREEDGSTECRREHRRKPLYVVNRVGTVIECYRSLSEPPPQCADCGTEDPSWASLNRGVLVCTDCCFVHRNLGRYISQIKSLKKSHWDSGQLELIKLLHRSGSNRIWEHALTQPQFLTSVKKPIPSDPVFPTKETFIKAKYVDQAYTIRQGKDDDPVNVEDLNRQLWSCVRAPHVDTTLRLLVLGADANYYDPEKHNTPLHVAAKEGQLLQVELLFIYGACPTATNLAGQTPSQVAKSEGHYELGNRLTELCFDITNKLSWYLSGKKPEHQKNQHFLIPDLVGKGRDELKPVRLSLQQLPNDRFESLAEDVYDEVERRENVAAWESSREKEAKHLASEQCVAVFLPLNPAWPAMRNQQRQKLAKYPPRLLACMIIDILKESKRRHLGIKLEIEETDGEDKKMFASTNEFNRTFNSSRDYDEVAEYRARSSGGRGSSQVGTNGLVRASMGSLKSGELLDKDLSRLPSDDVLELRERLSDCEGAIQMLMSTNNTLNKKIHSMQTSIDRNSADYRDLQHEYMRLNEAMNNVNMAKRMPSPAIPSSSVMSASTVSMNNNSERKMSRPSILETSLDNNNRFPRRGGGGMVTSPTPMMKNLPPSGRMSVDHVREREEERLQIHPMTVMLNNSLRIDQVFTDAGFPDNLIRETECLTTAIRQLLSDAQKGLLASVAEKHAYNIGSLISHIIELIPSDLHSQSITDTVDALASATALLSAKCNSPQLDSEETCHAAYNVAKAAKSLLVAVHD >PPA03401 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:96491:100814:-1 gene:PPA03401 transcript:PPA03401 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKLCCCRGSREEEIEEGTEGTYSRTFDDVETGEISSSSILPSSTPSSNDSTESPQETCPRCFGQASLNGEACTGAGGRCISQGAEIRDDRYNNDHFRPHVPVALPNGPRRDLPTARVVPFGAPVPSPRLHVNQIENARLSNGERPAIDDHGHPPISPPSPDASYCVPLVEPLGRHFYPSGPRSSQPPTRTGSSSSNPSSNSVDELRRLSVALDRLIEDIDRRWRQEPRREVTRRSEVEVRPPLPPLSLRRQPTLAEQLLWSSHRRSILSTTEEVLSSGSSPSTPPLSITPPSSSSPRDRPPVPDGVTESVTLRQHSYRVHLANRSAVDGIPTAPRAEPSRVVPRLFAALPSNQGLTGDPPSRFSSQSPGRGIAPPARSQPASSHNHQPPQVREPEYVEISFCPGTVTFQSLKPIN >PPA03460 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:471063:471903:1 gene:PPA03460 transcript:PPA03460 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDLLILVLLHISMFTVVSSFKLHRVVNLNITVRLECISNPTAEYSVSLMEKDPVADDRVIHDRRVKLVRGRGEVQLIARAADAFVAKCLEPYLEIETTCTDETSVVDKKLIGSDVTHTRTGKEEEDMGQKQV >PPA03436 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:290522:292343:-1 gene:PPA03436 transcript:PPA03436 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGASADGDKKDKRRQSSIFRDTIESAVAKEMDVQKDRYKPERMDVLMEQTHFSKCELKYLYQCFKQNCPSGYVTRDQFVAIFRQFFVMHKKSDAGPYAELVFNTFDDDGNGRISFSEFAVQLSMFNKGTLDQRIDWLFDLYDKSRRGYIQEDEYMCVCSAMYALVGVHYYKEKHFPIKLKRHLKHQFQKLDKNRDGKITRSEFIDGCKDDTQICESLERLRTVW >PPA03466 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:501770:503096:-1 gene:PPA03466 transcript:PPA03466 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSRSSSTILLLLFLLPLIYAGFHEIPLNQSVLIGEDVLFKCSAQKNPNNYELWSQWAINTGALLGFHDSGKLAGHQGRYSYVKESPEELHLKIERVSLEDDGRFECQMLRPGDGPFRAAALLNVIVPPTTVHFVNYQRGGVIEVNEDSQLNITCTSPNAKPAPKLLWYINGQRIDDDVERFDSYNLNKTSTAYASLVWKPRKEDDGKVLTCEAQHSSRTEAPPLRANISLSVLYIPHFLPSEKA >PPA03450 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig118:404486:405923:1 gene:PPA03450 transcript:PPA03450 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYFAPQYERIHTLHSQIRQSASDFHSLIASCSEIKDHADHESKDNMLRTAFSGLRTTVQSFDDAMDRLQHKVNRMATETNNQKAEKTKEDGLLEQLVEAEEEDLYYDQVYLKGRFLTSMRDQMTNALDDALCRVKSRIQRESILTSPSHSTHSTVTQSNQFTQSAIIHPDHSIANFISNDLPTILTRLSGPQPPVITLTPLDGEYTQWESFYSQFTSIIESKSHISDHEKLVHLRNALTGSALRAVQGIPTEAKNLKPTIDRLKSVFGKSKRSNTILINQLFAIRPKSSSFEHQLECTQDLINKIHQLDDKSLVDNFALINQIAGTIHSKHLEKMYKEEPSTMMEALELIESDLREIIEISKLKSTFSSTRSDHLSYQKQKGPIPVGLGSVGWSSFLVTVAMAGERVLLPWIPIGLLTE >PPA03393 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:58004:59199:-1 gene:PPA03393 transcript:PPA03393 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFGLHTTLFEEDTYNNLKAPLDRNDRIAPCINADDNVIERQDVILPAPPRKSVFQRLREWISKKRKEKAERSREKQIAQLKMDLIEAKKKMGRIERMIYFAGKKDFQ >PPA03467 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:521901:525298:1 gene:PPA03467 transcript:PPA03467 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGAVITFMDEREGLNCSLCPETPPTQIPRTACAIEVKENSLDVNIGCFPIPLLCIDELRFRFGSFFMPSLLPQAFASPRQSFRRPNPTLSVPSNPSTTSTSTFPFNIAAFFEGTHPKRSTATPSSTTPSSTTPSTSMSTTTTTTSTTTTEAPHTEATTRSAPAPSPTPTTTTKATVAPMKSPKLIEFTPKIEFSKDVELKDVSDSKIEVTNSILGGKRIQSSHEHTISVDTEISPEEEILFANAHKVKELLNPRIVVKPLPLRSTTMVPSTSMTPRPSTTTRAATAHRITHPAFPSAPVFVNETVMASLLTTETSTKAPLKTTRPPTTTTAKIPITTRKMTTTRPPTTTSPTTTSPAPQLATEVQITMAPSLVTTSEPTTTTVRLMMNDLMEMEMKEKKREKANGTLHTTHRPPTTMKQRKIDLNMEFVFEHSTNERVLRKRKLEKRVRQNATQPPRTTPKPPPAPTKLLSNLKTTPKQQIKCEDSHRLCCFWAVAGECDTNPYWMRVNCAKTCGTCECRLAEAEHCVSTGVNCTLPTTTSTTTTTTTTTTTTTTTTPRPTTTPTSTTTTTAPTTTTTGKHGFKKVRATSTTSRRTTTKSTRRTTTTPRPTTTTSDPCRDYSDHCHFWAALGECDKNPFWMRPHCQKSCKSCLENIEDVFAPLPREVCD >PPA03390 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:39354:39711:1 gene:PPA03390 transcript:PPA03390 gene_biotype:protein_coding transcript_biotype:protein_coding MVADSKKDAKKEASKQEFTRPESARAARKRKEKEMVCMIRHYSRKFEICVAFAMRAKRERLAHEKAMEMKAI >PPA03405 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:115014:116576:-1 gene:PPA03405 transcript:PPA03405 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRRLSCQKSQSSSARPKNAQACKQRAQHSTETTKPKATWEMSESQFERVQMVWMDERDNLRARLKYAEKARKEWVQKFEAMKIEAANKEFKLRSEVKYLTAKLNTNGWKLCKLGAEIKKRQGYHQARYSWEVERKRLEENERFEYNRANKWRDEAKKFMAKKEIEKAKKVENEELGVEVIEGIECILASHLPRNGPIAPRIKVEMETTSEKKVGTHKAVEREDQFDL >PPA03455 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig118:429365:432022:1 gene:PPA03455 transcript:PPA03455 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTINVEEINSYIEKYPLDGGVGRRVELEVDMAVLQNRLINLHNSYMHIENDTAKKFPKLAIARRIIACAPSETTGKESLVRILGNKVTQKKAWAVGVEKRKNGCSKGRSFTGTLAGRNCATILIAQSLAFAPPLCSLLRVRTCAIKGP >PPA03462 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:476935:479855:1 gene:PPA03462 transcript:PPA03462 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSFRSSKIPSRKEIVKRGLTQFKSLPKDLVDALSKKHREMDLGDMQKMWWDKEYYPAILVAKGSKEVSGVVTVKNASLLAVTLTGERECEELIDDIAAEKRTWESLNIPNISTSLTNLCLYQVAGDHSDAEEAVEEEDKDDDERIIESSRKRRAADGVKEKRVKKSYYLVIPSCKSSLVLRCVKGKFHEYQESTIGAAFLTQTERYLSLAPMYYRDAQAAIVVYDITNQILDWFIVLIVYNFGGGRYDLFGCERGRREGKATFRYSFLKWG >PPA03428 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:250403:253337:1 gene:PPA03428 transcript:PPA03428 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLRTSSPLLLLVLLLRNALTYSEKCPAVCNCTVSSFYCASLNASTLHNSLLYLSAPDSITILNVTLRGGDVASVKYFPFMQNLEYVPIKFHVLSPLSADRKHVKWRDLIKIALPMTSSNRFLDISGTSLTRVDFPSEIRFPSLRTLLLNSNKIEELTEDSFAAFPNLEVLSLRDNNISTLSYEYLKLIKLRRLDMSHNSIKNLPTRVFRLLSNLEYLDISMNEMKRAMSSDFQSQQRLRHLNLSRNSLVQFDFDTFAKNVRVVNLLTLNISGNPIDKISSWDFEFDRLQIIVASDMPSLRVVDASAFSKAKDLRLIDLSINPNLSYISPSAFENCSSVHSLDVRGSGLEVLFASNLKDVAQVKIAESSLNCECMLAEVDNIASSTIDDWEDVTCTSSEGNNMKLSAAKSGVCEPRPILPFGSRLSAQLGSTYYLYCGARSEKDEVYWKLPNGTTMRGAEPMRFVNQESVNPKHVAL >PPA03416 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:195613:196066:1 gene:PPA03416 transcript:PPA03416 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPVVQNGKKTFMEALDKIKEDYNRIEAQTVSQRSELERLNMEKMQVAQQQMIAS >PPA03411 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:153151:154046:-1 gene:PPA03411 transcript:PPA03411 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDFFAGKGSNDIRFVHLTVDTPVDIEMSLRVLKAFSTTHAQVTYTLMTVDGEPLQGPQAPPTLLGHDLAQKTRRGGHTEF >PPA03440 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:328359:331480:-1 gene:PPA03440 transcript:PPA03440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tsp-21 MKLSSLVTQPMERKKSIFCVANCFFLVVGVCSLICGIWLYLEKNDFVELTPSSFSALSAAGLCAFSGATIAIISAVGSIAVLIEGKCLLITYTCFVILLMLIQSVTGLTGFMYKESTREKIRYDLMSNINRSTATTRAGRIIRLNVSWDHLHESLECCGVDGYSDWYHTVQWPKNDFVPDSCCDPHQFNGSMHGCGKIGRTNMWFSQGCYGVFADWLFHHVQVVSALSLILLSTEVVVLGATFLVLCYKNPPSGTHTPSQKSRSRGSSYRYDSEHDSVLEGSEGSQDDEEDLNLPVNAKP >PPA03394 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:60648:61585:-1 gene:PPA03394 transcript:PPA03394 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDTSASSKRRPFTAPLSFRHRGSQGYFQANPPDLPSRGHTWRRKQRVEMDRSIKARIAHQLFRLRCWIHETASRLNCMAEENELECVPVDDLLEIHYSNYRVRELNEEAQRRREAMENAKNEDKEGLI >PPA03407 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig118:125778:126289:1 gene:PPA03407 transcript:PPA03407 gene_biotype:protein_coding transcript_biotype:protein_coding MSISEFPFIHISIDTISSPINSHGGVDENNNSTGDALEIVKGDRSTSTEHQPDIAKEN >PPA03454 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:424520:426216:1 gene:PPA03454 transcript:PPA03454 gene_biotype:protein_coding transcript_biotype:protein_coding MKLADLILTNCGLQIRYKREAWPFVNEQHNILHSGAALISNRDVPVSSNSEDEQELVGDSASKKIKLRQRRKKLSPKAPSMLHSDPFPEGEWCSPLDHGDRLEQSEGRLNDFQEDGSAQRFLFTADFVSEGHQMCDTISDTVLDAHLAQDPNAKVACETVTKTGMILLAGEITSKAVVDFQSLVRNAVKKIGFDVQVSYAIGVAKPLSITVISYNTSPLSELELLSIVNDNFDLRPEMLMKDLGLKNRIYEQTARNGHFGHETFRWEKPKELKIKPELLAKLKARDVNGMGGQEA >PPA03387 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:15944:22469:-1 gene:PPA03387 transcript:PPA03387 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLEILTAIHTDEQVQKIKDLAFVAKPVEVSSEDYSKKKAEKAALKEKKQREEAAKKVAAEQKAKEKKERQEAARVEKEKKEAEEAVKKVILEKERAEKDAARKAEKEQAKQANAGKEEQQKAQKEADKAAKAAKEAEQKVEKAKKDAEKKEKEAADKAAKEAKKKEEEVRKAQEKAEKEAAAAVEAERKAAEKAEKEAAAAAEKERLAKEKAEKDAADKAAKEAKKKEEEERKAVEKAEKEAAKKAEEERKAAEKAEKEAAKKAEKERVAKEKAEAEAAAAVKKAEEEQAAKEKAEKEAAEAAAAKAEQEAKEATAAAAAAAAAQAEQEANEKAAKEAADRKAEEEKQAAAAAAAAEQEAAEAAAAKPALEAETVSKDADVEEVFELKREVNQFAEAEGKKGGKKNKKNKKNSESEVQYSHPKATVAPPNLPASVQYIHLASVSSVGFHDVPNGQTVIEDVQEQAYEQTVIATEEASAGEPAETESKKSKKNKKGGKTEEPKAESNGHNGVEEIDYATKIDAPVSNGDEHQFETAKGKKNKKNKNKGGKQASTEDQEDAPVSQASPPLQVNEITSTAEENVTDSMSVNL >PPA03431 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:262536:266751:1 gene:PPA03431 transcript:PPA03431 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPLTVFDCIRRACRYNLLDAYSPSDMEYQLAERILAHASLADLLRWRTVSVAFRKAATKRISSYSKMHIRVYDGLTELRAKSKCKSEWPCSANVLLCEMDTDSVGICIDSKLNWKDAKAMISLIAIFRHSAEQVYMDSPVVDLLLKHLNSSKVHSLLQLLTQKRRQSFSLPTPISYFLPLDDTHNELVLPNKTAVESGPFFPRLKKFTVTADKSQLTHLSRIISYCVAVESVFEKEDVDLVVLGESWCRSKQRLFRHVSSFKHWLDASSLGVKYVQQFQGKPKRHRTMSPAKC >PPA03402 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig118:104116:104907:1 gene:PPA03402 transcript:PPA03402 gene_biotype:protein_coding transcript_biotype:protein_coding MATVALNEEFTLAPIVASRTNNSRNTMIALPEYLFFALRFISFPIAVEHVSIHI >PPA03444 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:375491:382237:-1 gene:PPA03444 transcript:PPA03444 gene_biotype:protein_coding transcript_biotype:protein_coding MILRLHSKGKGYESTYPIAESCFSVPVSQLSDLPNALVPPAVSQRDSQHLSLHLPLGDAQSLLIARSECLSNSLLLGRTKRKEARIVKSLEVSKPQDNQIRLQYEPLDLLPASLLPFFPSFSDISSLKMMSTASSSLTSTGATHSRRRTSIADYDMEDYTKEKKKAKREREKKEKKKYEAYLQAAILRNEDVFGTVPCDVKISESQRTQSAHSVRSSPVLVLNPLSESTEPFDSTMISSLTNTSAVHRSNIATQTPLLEMSKQISRTYFPARQEEKGGEDPMETEEKDDSKSEGSSMTLPNSSTALSSIASDASAHEHDIEPLQVDALLRNHDLPRGGESHDDSMENAMADPEKGEVHDSRDSSASLPHAMTDSIDLVRKISESARDHQLSPPTSSESTVSSLSISSNFIPDFLDVNENYVTTTSYMKSILHQRPSKRPRRVLADSTRMNAALETVENESDEGTSALRGNHKRRRSSGEEDGIEDSSEEQKDSIRAENAPSKSLREENEDDEINNRGVMDQIYYGHEENEEERDEVMGVEAREAEEERVPDENDENSHPAAVSSNIGTTEGEFLPKSRSGRALKAVHKMGAAEKKEIDEIEEADKLSAIWSMLIDNLKKRPQKKRCCALKSIARRNRKLFDVLPSSIGGKGLFLKKNIRIGTVITYYDGFFGTLSELRRTNRNQSHYMMVGKVGYDGHPEVFAEKGGRKLVGQASMTNHSRTAYNCVMKNVRGCGGECNSLVLLLVANKEMEEGTELLWDYGRHYRDIECVPCKRRKGVFESAVGPCIDDSCPSGSSCYYGQCIPSEIAPKMPEPEKNTALGACRYGGMCDKDQYCYHKECYPHPKLD >PPA03408 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:133229:139201:1 gene:PPA03408 transcript:PPA03408 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHPPSLVLEDVSDDEDTFPHLFAHLLGGLSTQNHLLPSDGNKIQQGNGIEAEKEESSSSLDSSLDGKVPLPPTSFLETVNNDVQDTWPPLSLHLMESPSSLESSLDGVVCLPPSGILESVSDREDALLSIPLHLRVSPSYVVSSYRAERQARTVYNQGVNTPFLLASIPYIDCDVDDLLPPPPAKLCDRSSHYLSDATDDIEVEVRTVFNHHIVNDEIESEDDLPAPPTIVHVNGGLSSPRVGLRDVQLRRKEESEDDLPPPPPCVLDRSSHYLSDATDDIEVEVHTVFNHHIVNDEIESEDDLPAPPTIVHVNVQNRRKEKSEADLSPPPCVSDRSSHYLSDATDDIEVEVHTVFNHHIVNDEIESEDDLPAPPTIVHVNDRSSHYLSDATDDIEVEVRTVFNHHIVNDEIESEDDLPAPPTIVHVNGGLSSPRVGLRDVQLRRKEESEDDLPPPPPCVLYTVLMASIPYIDCDEDDLLPPPPAKLCDRSSHYLSDATDDIEVEVRTVFNHHIVNDEIESEDDLPAPPTIVHVNGGLSSPRVGLRDVQLRRKEESEDDLPPPPPCVLYGPFTPNRSPPRMGVRMIKRRASVGVYPHGMSIGRSQSIRVIPVCRIHPNLSSYHQVSGCFGNLV >PPA03386 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:13128:15363:-1 gene:PPA03386 transcript:PPA03386 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVFLHAQKEIESPTQFAKEVEKLVSETLKNKYPEISVTDDTMKLTIDAQLLKLAEQPKQPLIEHKPIVLACDRLVLSRPSTPSRYLLDPTTNQSRSARSSEERDSDRLYKLLPKDIIFCSTLIDKYGDDFNAMAADESNVYRETSRSLQRKIRIFKESPHFTAYTAAKAEGKTVGQWLEENPE >PPA03435 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig118:289776:290202:1 gene:PPA03435 transcript:PPA03435 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFLQSYSHTKESVQSLTWMVERHRLPLELSFMRRFIEAHDKRQRVELE >PPA03412 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:177918:181397:-1 gene:PPA03412 transcript:PPA03412 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPTSIYFKGIHVAVVTCDKKPADALASDVETTQRPESTEPKTKENFEKRLLEMAADYGLSMREVSALVARHAPPPRRSAPCRRVCCAAPAGLPAAAAAAAVPRTQKMEQQRRMDAPQEEKTPLHYFGPIDPTSAADEQMSMEMMDTNGVAGPSHEVFFPAIAAHPMRMADPRGTARQSVIREPQSPYANPYAYSSPFRMIRRCNSTTNATERRVPGRARILRRGHSEIGFEM >PPA03451 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig118:418657:419292:1 gene:PPA03451 transcript:PPA03451 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRLDIQKITNCSKKQYSILLIEMKNSDSSEEDYAGWPVAQVQRCRNDRIAQAKKIGDSLDENTFDYLSSSSHDFVRISK >PPA03443 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:370507:373943:1 gene:PPA03443 transcript:PPA03443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ceh-8 MEDQKVDPDEDAHAARMRLKRKLQRNRTSFTQEQIEALEKEFERTHYPDVFAREKLASRIGLPEARIQVWFSNRRAKWRREEKMRTKKPGQGNCMDTTSSSNGTPAPTSGSAPPSSHTTMSSSPASTPAAAAAAAQAAAAQSSAAAAAAAAAQHQRNFPQHNFIQNGANMYSLGQHMDPYGYSFGFSGTLGPMPPQQFPGYDMFANSYARESFHPYSRMNQPPSFAATTMATPTSTVPDLTGLSSSIPVQAVLNTLDQTTPSHSVHEISELQPPTDHYNWQ >PPA03420 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:206338:208665:1 gene:PPA03420 transcript:PPA03420 gene_biotype:protein_coding transcript_biotype:protein_coding MREISWRAFYIQNCNVSQSTALPKKASAKRKSQNDSFSDFKEQSDEQRTLKLDESSQEYSMEEQCKQLTNQLLNKERELNELVMKFKRLEKSSNKTLEKEKEKRRKAEAHFEKVQKVWEDERKCIDARRREAKNTAAYFRLEKVALKWKLKEDLAAAETNAEKARKAWDEEREQMRSEIANLTAQLEMTNKAKEEERNRLEERDRKNSEVAMKWKGRADKMKEEWEMLSFDELSGGREEEATERRPVENEEREREKGLLEEEVAAGRWLRNEAISFNNERDKNSHSNGGRTEQWNLLRSDLLIVFGKQYLN >PPA03433 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig118:275693:276129:-1 gene:PPA03433 transcript:PPA03433 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPMTEDMVQAHAEHISSLREGSERTEAQLGLLRSDMQAFKTLADSVNILC >PPA03470 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:537827:540160:-1 gene:PPA03470 transcript:PPA03470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-zig-6 MGQMTAAFLVTIAVLVGATTTGNAGKPLPTDEVRGSGIRVQLAPNAATIQRKIGGEVSVMCTASGAQEDNYGLMWTKHNGIDKTGNVVVKKLDARNIVMEITNATVADSGFYQCTASADGQYVEKSVDIFFLNELRFVEMNNHLDQIPSRTSVNISCRVNKRAKWCSGLWRPPIPFYSGSIPGLDTALRPTKAPERGIGSDKITRGLPQDFVCLEYGVEGEKLRTTWTKYGEPIPADG >PPA03396 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:75530:79530:-1 gene:PPA03396 transcript:PPA03396 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLAVAEVTREEEKTCGTKGALRRSLSESDLKETKGDKEDKKQKKEENGKEMETDDNLEVVEENNLGMEMDENEKRESDEDNGAPIGDEECKVLSKEEEELRVKEMEEWKTQMNEVILNHEHILRHFFSKHEWFYRYAKLTDSFYDEIEEFVKKNLAIAAQDLAEARAVYRQAMGNVAGRFNPERLKDICQREAEKLWKAEEKFFRMLRLALMDKEQSHGEGLHLIDPLPESDESDNDVWASAESGLDSIDREELVALTVETKTIFQKIALNVEKVKTTVEAAHKKLTKELGKAQKRGAALEKQMKKSESEASLKLEEAMEALDALEEATAPKGLFGKMRETYDNVCDALGRWKMASSMAGMVPHLHQSTVFVNVATVVNDVNGDAPEEEAPPVVPPHGPIGTGSDAAVTPNDGDAPDVVTQAVPVHRVQLRLPTAPPVGPPFQCPPPFWASRAGETSAPTSGSGTTTLPTRPLSTVLEEIPHESVPDGSGYIASSSKIVNGMIEMPTRKGRRAHSRSGSLLTGATETISRPPIPNGDVPHEQPAPPRQTRPGIVRRAMAWVRRRFTRGERQTAVGVTENHYEIIPEEENEKKEEEKKEEEEEKKDGIDAEKKNETEKKE >PPA03456 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:435202:437435:1 gene:PPA03456 transcript:PPA03456 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLTGEGAAHSYVENVQKILALLKSIVADDKRKGYEMIDEDEQQLNYFSESLIRTIKDELSSIDSATIKLALKWLANSPISADFKTKFGFELDQLLDSGCLVNSDRFCLLTGFCAIPKFIDATEIARSIYFLMNENSSEDLANPPLIDTLFLSEDFLLLITAQVCSQLVTTLSHQEIHVRLRAAQSLSMLARSEFASDEMKKHQDAIINALKTEKDASIVGLLIECLYGLCDHQNTAQIVYEILQYLAMADNEIKNLCLPIFINKFFEPNLMERRTVGIPLHFVLPD >PPA03463 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:483441:489565:-1 gene:PPA03463 transcript:PPA03463 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKAFDSAINNSLTGRVNVSIADSGMKIQALEGKWADVTASTREANNTAKSMNIYSNMSLDSSYERRLVLSAVDRLYDMEAILLGARVRTTYGDVMIDIDPDYRTARIYTNAHTIELKTTLSPFGIEFNNERMLISAPFEETTARIQKNSSDVHFIVGQLSQLRLTRGGGDIQLGGNKAQADRINVTSNSMNMDLFSTREMDARTNQSHISMMTNSTTASLTSLMHHLHVTGGVPQMGLYTGNLTINISTKPAVSIQPTLFPGFTAPADKFANVGPKGFPGDTGTNGQFSRATTAPGIDGSTGGGDVTVVTEGATSGAEGTTGANTDSGVTEGVTGGVTEEDADRTVGYRTPSPETTPGGEVVVTVNPVEGGLTGGDSTTEATLVVSSDWTLPPTQGELTTELPLVVSTDGSETQVSTDGQMTGGVSAGETQSAEATTQFVIGEGTDSVPADATTGAPGETTPSAELSTVPIGGEVTGGPVAEQTTVPSGGEFTGAVELTTVPTGSETTAGTVDGQAITAGGDLSTVPDVTTVSGQGESTIGGGVEVTTVQPDGSATSQGVTGTGAGQVEGELSTVGGAGETTAVPGEAVASTISGEVTVAPGEGSTAAGGEGVTVLPGEATTVVGGEATPGQGDGGRSTVAVGGSEATLAPGEAATTPGSQVEGAASTVPSEVTDGGGEGTTGGNGEATSVSGEGVTVVTEAPGGEATTAGGDSTDPSILLTTVLTVDGSTTGQPIPSDFPTPVTLPGEESSSPVVDGGVSTENGASGDSTTGSSVVDQSTPEGVRTIEPDFATPNTLIPDDFSTTDYPTPGTLITAESGGFDIYATIASVQLPVAPTPLVAQQAMDITEPEALTSLEKRSPISRTETFRVRLRSPRKVNASDSSFTRELTRNVIEIANRTLESTHAIADRRKRNVEVDPIILNVTDVSQFDENEAEVRFAVGLHSFDDIDSLIDALKRSIPNGTENGIVIIERIEVYATIDNGSNNWILPLILIVVALMIVVLGFVAYKMLNASALLKVSIE >PPA03447 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:395079:396463:1 gene:PPA03447 transcript:PPA03447 gene_biotype:protein_coding transcript_biotype:protein_coding MCTPFAMHWFISTTPDWVSFECFGCRKVGKFNCFSLACTNDPDIHLFCPDCAQYHDTRLNFSCPACLLRDEVDNCKAEAAKRLIANTPLLTVSHLRECRITSKEAEEKIEKKMKYEEEEKDYVLIDMNDVEYQK >PPA03438 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:316261:317662:1 gene:PPA03438 transcript:PPA03438 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIFITVIVLCPLLSSFLHEKGVDINEREENSSSSVDVIGNSTDSTVLSEDTSTEEFDEIRSDDNISMISMNDTTTVTVICLVYAGALSALALMTALATFIGFLTSFIARWIVIYSSASIMLLFGLKMVFDAYRMTPQRGQEEFEEVEMQVDYYADF >PPA03391 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:48547:49207:1 gene:PPA03391 transcript:PPA03391 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPLPAPPPPPLPPQDVPLPSTWPVVPVEKRRVDNGTFSIDQLMSPKTTESRRNGAKVEGSRPLEKLLGVSDRQKRVENLEKIVDRLRWTRLEQEATMKSMKEEAARENRNARERERRAKARATRKQKGLIGPKGQH >PPA03417 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:198677:199948:-1 gene:PPA03417 transcript:PPA03417 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSQGYRISHQPTHRLPVMPTKISTQTNQDHDRALFEVFWGLDKIFGQSKEAVPIYQLAVDGIPTLLQETRVIHFRFCPFYKTVGMLKNMIGFYELARHSIDTTAISESKITWALISSLIDRMGDLIYALTSMKFRDPVKRVKVDW >PPA03471 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:541339:542332:1 gene:PPA03471 transcript:PPA03471 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKIAPAPPPHLAPSLPRIAFLPNQQPVLLIPKSYTGQVSIPVRVSPNNERSHQTFQFIQPKPPMQLQEDAPRGSNSQRMDDQVEFYRISKKQTW >PPA03453 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig118:423326:423826:1 gene:PPA03453 transcript:PPA03453 gene_biotype:protein_coding transcript_biotype:protein_coding MQFDHSGEDQDDLLPPENVNDLDWTYYVKKEILYIVAKALEDRCHRYNEDLCEELGSKDERSRKTGDSYKVDFRGIVLVPNVVCYLNDMQTTFEMRLQFCQYIDGKLQPISMRK >PPA03400 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig118:95679:96415:-1 gene:PPA03400 transcript:PPA03400 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLARIRTSRSQLGLVRLHPLARSAAPPPPPAPTANRRSFFRGQHRSDASEQEQETFL >PPA03389 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig118:38570:38845:1 gene:PPA03389 transcript:PPA03389 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSTSALPVSEAPEEVPNDPIPTPVERVNVQFVLHPPIRPLLGEIFENPWV >PPA03392 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:50439:53109:1 gene:PPA03392 transcript:PPA03392 gene_biotype:protein_coding transcript_biotype:protein_coding METVMAELLAQERRRRDSGESTTFSMLNQPSSSMSGSTMIYDIDEPNNESLEFTSSNATSVVGPFSQPKFTPSLFLLDPQPLHSVASERAVRPLKLPIPSAFMVTLHFNFLRVPIIARIAQTLNNQPIPSRTPEDVGSHSDSSTNATAQLISSNSTDQSITSLGAHQTTINASGITINFPWSFTNVSMDQLFSLAQPDPISRESLSAHIQAMNDRSSSQSSSSSLSLESSAASTSSLFSVPSTVLNPSKNLSDLLATGSIRSPLQSQPQANSVIVTAGNAVSRPPDRMPSASHRELRADVDAVTPRIATNDSLRVSTRRTEPINQGTRAGQVSCPSSSRSFSRPAISAYEARMVRYARHAQLMHAKLREETRETISFEDAKEKLDELLEEWPFSASSALHQETLPSILIDYVSYKKAPSRWKGPKPRDQMHLLLAHVKTWMADQLIRGTLKVERANASQSTVIRSMQKEKRITEVGAHRISWRVSRVLVLLRRGINRILNL >PPA03457 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig118:438547:438962:1 gene:PPA03457 transcript:PPA03457 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVLLSLVFIGGACHTKVGGACGPYLRSHKEEGERSSDRNSNRSARTDGSILRIHIKYGNSSSINHPIEDQ >PPA03399 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:90758:92624:-1 gene:PPA03399 transcript:PPA03399 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTSRAKKDRSGKKDEPLYEVSQITGLKYINGKKHFHVMWKRTGAKLCKKTSRSFEPVENLQKCWDLVIAYEERERTKQFIKNDDGYPAAKLESVLKEAIKILREMLKLNKEMLKKRKHWKMVIEFDEMHREYARLNAYVAKRIPLVIALPNGVDLHHKMGKLAFHIEWNADEL >PPA03388 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:33336:37381:-1 gene:PPA03388 transcript:PPA03388 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKAERVWADGCYDMVHYGHANQLRQAKTFGKKLVVGVHTDEEIRLHKGPPVFTEVERYRMVRGIKWVDEVVEGAPYCTTVETLDRYNCDFCVHGDDITRTSEGTDTYEEVKNAGRYRECKRTEGVSTTDVVGRMLLLSRAHFTRDEWIEKHKEDARTLATHNDAASPWTDVSRLIASSRTLEEFSQGNKPKPGDKIVYVSGAFDLFHIGHLSFLEKANVLGDYLIVGILSDHMVNSYKGENHPLMNLNERVLTVLAYKCVNQVIIGAPYDVTDEMIDQFNISVVAQGSRVPHHEVDGVDPFAAPKRRGIFQIIDSKSDMRTEVIIKRIFDRREEFEQRNRTKFEKEKKINGHNGV >PPA03458 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig118:443804:446672:1 gene:PPA03458 transcript:PPA03458 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDYTILFDMNDFKGQNEYHIGDCVRGCQVYLTYAQDKDGMADKYRFFDLMRSQQEDGQKGFFDIPEGTIDFTIKNPNADFDVRPLALWIVPYKTPSNGAQITANEHRVVFMRVEPFTINADIVNPDYELYVYTSPIDMISTNECEYVFKFNNTIGPGFSLPVNSPIISFSINSGFDIALSATIDFDFRELTIQNVSFYGDLNTPKTVPILLYDGDTDDEPLIIFGTQLENTTMWSYDMLTSSFSLSWDDNASKGKNSFMIRYEVNGTTSSTRTTVANPKTSTTPKPTDVVSQPSHQCEMGKIISKRNVRLHGGKVVPETRIFRISRDKL >PPA03385 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:9055:11811:1 gene:PPA03385 transcript:PPA03385 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTANAAQAMTAGMELLNIHPSASKPSLISSFWSLHVQLPTCSKLDVNENQEAMDADLRCASQTSLPDVAAPQSLLPTDTNKNRMSKSLTDLTNSAVDPTLFHRECEPPSARSQEDSAEKQRRISFSVQEWLRSIDEPIPSIQMTNA >PPA03448 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:397645:399612:1 gene:PPA03448 transcript:PPA03448 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSVGGADEKITGFSFPRLIAARNSLFTSSYVFPTSSIVLMFTHSFRNIPHSVRLVPHSPFPSSPPRERGNRKRLDVGRHKIQPEKISMYDFALGTFKRVLEEVQQGVGIVLPESFKEFTEAPKNPRPKGLALRSTKKTGRYDPQMELLLTPEGTFFRIPKSRERKMV >PPA03403 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:107036:108792:-1 gene:PPA03403 transcript:PPA03403 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPRGQTTMNSSSTSRSQPISESARQVVDLSMIVLRAMKQEVVEDDEIAAPSQRQTKPDSSWTLPALQNSNARVLDVSMKVLRAMKQEVVDDDVSRSPSQNDSTMAAPVYSSTAHLSTACTIPVKTKIDQETEIPEEEGNHSKTLTNKKESENHRGTKRRADGDDDDEISLRRIKTEVIPVEEELDMSGIPPKYRQQFEEWQTALTTSSGMMKDIMASGMDLTSLMDGLTEEQKEDARKYMSMIKEGLTAFKWESK >PPA03421 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig118:208712:209682:-1 gene:PPA03421 transcript:PPA03421 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKQRSAKANKSKNNRDRARCEVDHSGSINGREVFTSVEDAIEVFATSKQDIKEMYILDNAVEMQQRTFYRMAQEVLGQHLKPSLDLHQKIQKLITESNDLIQVQLYIDNIDKMIKALSKSSEEMEQEKKKISERRSKSKNVMNLQEMELLKYYIF >PPA03424 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:220425:230749:-1 gene:PPA03424 transcript:PPA03424 gene_biotype:protein_coding transcript_biotype:protein_coding MNEAQEIGRRIGAYLSDKFMRSINWAIAVADGKGASKAPSKHGKWRAFKNTGNDEDEESDHRPPKLTKEAPIKPGGSKKRKTAHSDRDEQGRRRKLDQPAPTRPTDATIEYEPRRAPQNSVPPAPVAMLARPIDGGHPIFVTQPNSMGSYNDPSVDEFSAVSSPVAPVPRKVIPPLHNSNTPKAPAFSALAPARNAHPVDIPHANQAQQAPRPQYGAGRVLHRSALNMIREGEEQYVQSGYWSPAKGVSHQVPENTRLNFVPESHDDEHEEMEMDDGGLNMFFVQPPVPNSSTESIEGVITHSDDEVEAPTAPIVMRPALKNTFSSVYALMVDEDSNLSENSTPPTPIIIEESNYDADDEIGDGDEQKIVDALKNDKASVLSGVHKEMNKVGLLHNLDHMSEEQQMDYILNGTSYDHEEIFEDVNDEVDEKRPTDPPSHSPLISDHSTRVIPPLQEPPSKESHDDDDEIEDADGGLIMFEQDDIMEEEKLAKSLEETTVSSAVHKEALSLERYIEDEQIALGIAESLKKVNVLAETTDPAPIAQSLKISEQSIHVVPPLQKLPSEVLPQPVPLVDGVAGAVDLASIVNISLYVNESNIGDYTAEAQQLIRVLLEIVRKGMPESKALATGGSADKVSTEQHSPADSALHEPRDVSLPVAQPASIIPLHSMIQRQIYSSRSSSEENDDTQGSSLGGNSASSSPALDLGEESATVQGGYGGEEAESSIISTSGIDDDQPNRPTIPITDKTVDIPMDVLLASADDLINEQSKSIFTLASQTSIDEKVIEEVEHEKDRDDIIDNEDLVEEESITITNSKSLPIIDDEDMEKDGDEKDVEETDHIDNEEKPIEPTEALRAEEAKAKKFGIRGRVSKAMDVKEREVAKIAKPVIAKPTRGRCRPRKTAVEEESQQQSEEPHKGRSQLRTMEQLSQDEDPQLYLLLVKDERQKTGAHRGRSAQVTHSKRNALKMLENQYKQMPQVDSNTKTYTMSTLHQIENQLDISCLPVDSDGNLVGQPLAEPDNTVPTTRPIVNADEAELDSVIEREDETSETTRPLTTETTERDREIRPPGGFSIIAPRRENVVEVVGSHFEDLFETNTAPIRPPPRPPLQPRTEYATTPIIKVNTKEEANSDKLRQCVENLPICMLRGIIEAMSIDLEIFSAESLHKNHPKQRVELRTQLKFDDETCMTVSGQETWEYKSMKKKSSISEFMANYRSSIQIAVEAVLGSGKRTMDGTQIKFGTNIDMTRQQMKFMREFAELDKLPAFCRMSALIDLLRYLEHKVPGNRTPAHLENNNLSSVNINIGPGTCTWYACDFKYWGYINEELEKRGINFLRGSWWPDSELLLSLGVPVYKFKQYPGDIVVVGPGSAHWVQADGWCSNIAWNICLNTHTQLTMAIHQYERNFHQKYESLLPIQYFFWILAMKNIITDPKVFALVKATLSRSLANCYTMVDYVEKKLGRKLEPLARAKGQKELIAHRTCNWMRKFEGEKAYSSCEVECFNILFVKKKKESSSVAASDDKNATPVASLNADEDSSETTKIDNVVDRSKNGKRDDKNEEKVEENIEQAGGLNYCAKCIWKAGKGFDDYDMLLEIPLETLQRIYDNMKLKTAEPDSASSSSSLPRLPMGKKKATPKKKNI >PPA03404 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:110168:112566:-1 gene:PPA03404 transcript:PPA03404 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPCRILIRRHCNARVLLAACIKNITAKQKAQLISDGERATMLAKAARIEELAEECIDLNRTLHIKDQEMEEHIALLEKISRTEFDSFVAPSNRFSARHRLHEPSAKNSQYNKLLEKEKEARNHYEAQLELAKQVWNDERANINARRKDAKYTAAKFRLDAVALKEKLASAIRNAETANTAWEEERQQLEMREKKTMEENAQLLLNNSALLTHLRTALDSVKTTKQQALQLELERNVWRFKSETLEEQNAKKTEEIETLRKELEAALNLNK >PPA03465 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:492945:499749:-1 gene:PPA03465 transcript:PPA03465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-syg-2 MIQETRNVYSFIAEATDHLASYECRSKNREGIPAVTNHINIRVAFAPAAVEIFGEHSIKEGAITSIQCRSKPSNPPSRMSWLVNGHPVPASVQSEHQQINGVVSISNLSLNSNEVRVHIVSEVLNSKHKIVIECVAKNEEGSTSGQHVIKILSPPMPPRITGLEDALHAEGERINLTCEAHGGNPLADITWYRGFDKRFKKKAKWHIIPGARSSVSGDSVISTVTLNLDRAMNNQRIKCEAMNTALDEPLHDSKQLSVLYPPRRVSIRTPEGSQRQQLISGKESQLLCSAPGSNPPAELHWEFQPNGESMPIVLRGETTMNETTRENGFTVENIISFIPTDKYDGTLVRCIASHPLWTITRNVTLPLNVYYSPRLLVDSPISIVIAEGDSFKENLTILANPPVSAWRWRKNGIPFDQTVGGIFTSRSMLSGRQIKETDAGIYSLFAVNNVGSVNVTFQLTVEYAAKVLQITSPVIADQGQEVVLECEVEGVPKKPNMVKWMRKGYEIASEVRGDTKAILRITASSENTGKYTCVANNGIGEPSESAAYLLMKTAPQINHMPSLARGAGPLGGKARLRCRVHSVPDAEFAWYRGTELIKGNTSKFSMASHQLDYSTFESTLWVHNLGPDDYSRDVKCTAFNRMGEDHIVIPVGPLAPPETPLHLHSTNSTRETIALSWTPGFDGGADQTFEVRYKREGEDAMYAVNTTHSHVRLAGLIPASSYIFQVRSHNARGFSSDFTRPSQMFSTLTEDGVDMTAISSSKSSLLNPRTLTFIVAAIAFLIFINFCLLCCYQKRQRRKKIQEKTEIIRSSQNGADGVRPVQMYGAMGVLDGRPSSGQTTIKEDHAGSDDDRSVRTMIPVAILHATELSEAPTPTCPTP >PPA03413 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:181033:183493:1 gene:PPA03413 transcript:PPA03413 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHLDVRCQRIRGLLVTSDHGDMDAFEAERYLLIKWIILDSNKNYNAIQKDKDLRYRKKHYDLMRQLLENGKEAFLTNNCIRDKIGQKCIQDPISIDCEKISKIPYYSWNLRKPLALMDHDYKRIMDFLKLLMMKSRSKSKTKPKLSRSHAILEKIEHNDEVLKKRDSGTVALPLLVSVSQLGLSTAVSILHEALFCCSILIAYMQHTIVAARAWELLKEMTIDDW >PPA03430 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:255132:257977:1 gene:PPA03430 transcript:PPA03430 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFSNSLYHPDSQFVPARPRIYPSKEWLRIDVVAEKDEGEYECIVKRGKQKVTRALSLSVERVAIEIETLSTGPHYISLSWNQTLAIQAVDRVALRLRIIDAKSNERLIQLSLHNPWTTYNVMRLRPNMNYTMCLEYSLAETLDKPLLSSCIHAATPQIHSLFSSVDTSVIVIFLGIIALFIGFNVLRFVWNYLHIWQQTKKRSRMAASLSGQSIVSHSSTINGVTYENEQMPRDR >PPA03418 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:200102:201155:1 gene:PPA03418 transcript:PPA03418 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGVRSGLMQIQALQMKIRSLCSDNDSKIGKMMREDPQLRDIKHLLDFWHLIKGINHDLRKISKLACCSNIKHWRRQIVNHAYYVHSKFGKSRQRGLMYWMGIKKCMHSRLRPSNSHIIKRDSVEYQELKAVIMKPTFLAGFLRASPKKNTSPNENFNSIINMYAPKSKASSRRWYGERIKLATMHFNHLALLNLLNLREEKGSCSVDVIGRESKAVKR >PPA03442 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:362554:365376:1 gene:PPA03442 transcript:PPA03442 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vab-3 MSRWRGGEDGSRVEYYNGHTGVNQLGGVFVNGRPLPDKIRQQIVDLAHSGARPCDISRMLQVSNGCVSKILCRYYESGTIRPRAIGGSKPRVATQGVVEKIENYKRDQPSIFAWEIRDKLISDRVCTQETIPSVSSINRVLRNLNAKKDQVMQFDMFDRLRNTTASQWYNGCGWPVPMPTAAVNFATFPSLQQCAMIDAKKDNSSAN >PPA03429 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:253753:254706:-1 gene:PPA03429 transcript:PPA03429 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFLLLSVPFLACAALQCYQGFNVYGQPSRRKDLKLVECPNKGDCCAIVWQWYGGAFDCFSAWDEACPTTKIKHTSGQYCIGAWNGHSWDGPIEGPHWCGCNGTVGHCDPEFPDLSNRVTDLICAVFYC >PPA03437 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:313151:314798:1 gene:PPA03437 transcript:PPA03437 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQSKNSGSSCKTQQTASSVSTTQKDDYNIGRNQDGSYNTKLINVRVVAGEVLVTVKWEVSGETEDIPARYYGMDNHENKRALTEFVFRSIVKNPEVEFAKPVEEYYKSCALNIDKMRAGQRLQQQKTLQQLQQKQQAQKAQKAHKGPGIAPAIAPTKRLDTDKATRTTTRSKPAQKLKSPSKQKQVTPKQAKAPTQAKSQAPGTSGNVRPAASNSSKKFKRALALAKKKDEASSKKHKKATREKRK >PPA03452 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:420849:422548:1 gene:PPA03452 transcript:PPA03452 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEAMRTVTGDPEGEEAHIPYKLKISDNEASLMTLSRFYNKRMVQLEEQIRSDFYVDKELFFKKTIKLIKRPNHSDLAEFKELICIKMKSMETLLEQVKDFIHYITNGELASDWNLVNYTTATQLHNCKY >PPA03426 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig118:239640:241972:1 gene:PPA03426 transcript:PPA03426 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRMHNYDPYERPVANSSDPLQVSIKLYLQQILDVDEKNQVITLVAWIEYTWSDYKLKWDPSEYGGIRDIRIPGTANAIWKPDVLLYNSADENFDSTYPVNYVVSHTGDVLHVPPGIMKLSCKIDITWFPFDDQICHLKHISQLILIPSFSPQFGSWTYSGSFIDLRISPPNDTVSYEVEGMDRQYFVPNGEWNLLAVPAKNETKIFDGEPYPAVFFFLIIQRRTLYYGLNLIIPSLLISLMTVLGFTLPPDAGEKITLG >PPA03464 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig118:489957:490659:-1 gene:PPA03464 transcript:PPA03464 gene_biotype:protein_coding transcript_biotype:protein_coding MHPELVHSLNSNVSVADVVVVVTSSDSRIDILEKKLNLSTWATSSNVSFDTFDTFVNYTATAAETFARMQGVELHAQAGNSEASAMTIHL >PPA03419 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:201981:205278:-1 gene:PPA03419 transcript:PPA03419 gene_biotype:protein_coding transcript_biotype:protein_coding MADEWTVDEVNEAVDVAPSFELESDLPEVKLFGKWNTQEVLSMMLRNRTALSTKTNLPQQQTNGPVKAVDPPAKRAASKQLAPAKEDISVLEPKAKRAALNELTTPNMADEWTVDEVNEAVDVAPSFELESDLPEVKLFGKWNTQEVLSMMLRNRTALSTKTNLPQQQTNGPVKAVDPPAKRAASKQLAPAKEDISVLEPKAKRAALNELTTKLSNGLVLDSMRKGLGIRKTEKLAPKAEPEDILEDELIDEKKKDPCPDYDYDSENMNDPYNLPEFAFDIFVYYRNRETAYAVGDYLKKQSKLSMGARAILVQETFELNHETLYFSVKLVDTHLQRTTKKVKLEELQLIASAAKGQSKVNDSDHETEV >PPA03441 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:336862:345586:1 gene:PPA03441 transcript:PPA03441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-65 MSSSSPWNTNSSFLTPSQNTALQDLGAEALSPEFLDDYLPKNRPEVKKEVKEERKTSMEQRIPNGNGGEMGDQRSPQQQGQQQQQQMYYASADPRMSQERYERYSQQMMVSQPSGPSPASMQYTAEQQQQMQARTPEMHNGTPQMAGQQQLTVTYVAVDLEHEEGRIIAALEQQQQLSAQRQAMMQRQYSSPALTGRPQLNGNDQRSKSSQNLMVNMQQQQQQQQQQRPQEVSGQPMNGMVATSTQMAMNQGGFISPTYAHAQQTPNGQSPQLVRQVSAQQLAQMQQLQQQQQYQQQLQQQQQQQGSPPMTMSMQINSQMTQMNIQVNNQAAAQQQQMQLSQLQQQHLQQQQQQQLQQQQPQQQQYTPAQVQRMQQLQQGLPDQQGHAQIHTAPAAQTMQQMQQQHQQGQQLQNGQYAVYANQMGQQGGSQMMPQQQLMTEQQARLALRQRQHPHLSAQPRSASMGSLPMNQQQPPAYQQYHYGQPRPNGMNPFTANGTPVPSNWQMAFQTEMPPAFLNYYHPGKAHPYFDHARRNLQYPYPMGTKDLGDRLVEPLTFGYLPDSIYFNPANDRRSGKGADPNFGGPSTSKAPKKRGAGAAGGGAGAKKQRRTNSDQADDARFVQPYPPNMTAQQQQRMASTTSSVVYPSGSGPQSFDGFTQPALPVQQQQQQMMQQSTPHMQLQRQMSAPAYSGLAQSAQESMQAARQQQQQALAHAQAQQAQAQAQYAAQQQQQQQQQPTNPVISHDGYQQSANGDSIFSDLQWRSQYTPQPTTPTAVPSQPVFRSPQPTNQQPAFNSVAQQQTQQSQQPGSNEQMYWNQQQYES >PPA03422 pep:known supercontig:P_pacificus-5.0:Ppa_Contig118:212395:215680:1 gene:PPA03422 transcript:PPA03422 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEQTRIWYKESHSSEEILATTVEFVQKWYHGHLILLGARFSFDEGRTWESIIDLRKRNGLTYPFIPKIDGAEEGLGGIAAARRAIAIERAKVEELERQNEALKAEVEEQENMVERMLEIERKLDELKGPKSEKYTQIEGRQFKIANRGQKENQAAEKKTAGKVGQDKKGKASVEHKKAAVRTFTNTTFTKKEKIVVEKRDEGGKGVAAIAIVNEIKKEVNDYEGSHCGIVEKKESSTIASVVPRLSSLPPPLFPMLQTTTMPNDYAANDSSIALLRSVVEKGIPISSMEATTEMFAQPPTCSLCPDARPLIDLKSFLDHVLHNNHIRSITSSGGKISVGQVFYWKTIVETGSTTRKPTTSKHA >PPA03474 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1180:647:1709:-1 gene:PPA03474 transcript:PPA03474 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALTKLEASIKAKKCLPTDIRYKKTRAMRRAHQARGLHQVGQAARQREFDQVQADVRRGGRHGRDGQVKSFESKLGIAYTSAKMTASTSIDAGDSMSGS >PPA03475 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1181:815:1834:1 gene:PPA03475 transcript:PPA03475 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFPDAHSALIPDSSIVTSAHLNTKSKPVRKRKASTTNRSQFLRYFVTCDDPTKLRCSLCPDDSPTEIRYVYSGNKKVSTSLRDHLSLHPAQFGEVIKNESRYVQAKRHLIRAIATGALPFSLSNNVELRSFASCLDPYFTLPDAQTLSTTILDDEYGSAYNSVRSCVTQQDVCISFDHWSSKDSAHSLMGACAHFIDEKWQRQLFIISLDPITGAHNGDQVKDYLSDLQSEYNFRSIPCCITDNARVMSAGVSRAALPRLGCALHATHLLVCDSLAAFTEGKDVIDTIRRVA >PPA03476 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1188:1070:1856:-1 gene:PPA03476 transcript:PPA03476 gene_biotype:protein_coding transcript_biotype:protein_coding ASKAKQDRNVLYTIAWVAVALMVATLVTLWPIYNKYASSSLLKTHDNSFFLQITLVLLCNNPVIAYDANAEPQMCAAEKTQFFTIQLTCNKATPPPLLLDNAGVLFQAPPTLTLNDFNSVRDQNENFVKWSRSNMPIS >PPA03504 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:138626:141372:-1 gene:PPA03504 transcript:PPA03504 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPDGASSPRAFRADRTVSHERIRSLPPQAIVEHAKVVLDYFNTLKAEDSQTDVEVKSGGRLVCTGHRLVLSAFCLHFKSALLTVQDSPTATLEIDPNLTGIPWMVVAEIIDFCYTGHCNFSDKLLSAAKALRCDSLTVLLEKAQEDGSSSPSYLHQQVIVDEYHAATFLDALYQMKMDGAFIDCLLSQKDHRTEYARMHRLVLCAFAREFEDALQSAASRRRQVSIVIDHPQLTVTSLDLRCVVDFFYSGYVRAAKKRLRAIRFVFRF >PPA03560 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:438716:441362:1 gene:PPA03560 transcript:PPA03560 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPLSELIDATIDGTKVKKMRRSAETLSEEPKLPISDSPPLEAKPNDRTVAVDEVNNTCKMAAKRFKQGKWENTIIDDRSWEFRYFMAKATSGHRQQFVGITGKVRRIAHVAVVRPLPHRRLLPRVAEFKQLIMCVYGWRVDKTNRRTVVIFATLNTDNQHRQSEIVKTMIVKPDERGAIDAELARSLSGADLPGPVLERMAQYAQAPATFEFVPDPYSPPHRAEPYSSRPRANGRRPAVPEEKRQFK >PPA03545 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:370056:372206:-1 gene:PPA03545 transcript:PPA03545 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVLACNARFSRACRDCHESDPAERVVLTRCGHAVCRECAVAHAYGASIVCPGCRERSAFVRLFVERASASKAEGPSASHADASLAPFSRVCGVCYAPNPAARAVIKSCGHVACLPCIDQLKKGLVSILQEGLERGVREVPEDIGQILESILEGETDGSDTDNDTSTTTPSDSELEEESTDEMLDQTQTATDFSHMESSVIVEQPDPAATYEDTVGGDRVSSLLATEIRQEEQFADDDDVYCCYSKRGFCC >PPA03540 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:356983:358112:1 gene:PPA03540 transcript:PPA03540 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFAAENEYINADKSMIITQEHQLQYAVQIAYGMEYLSSRGFIHRDIAARNILVDSRNGCKVGDFGLCRRIEEEQELYQSRGGRLPIKWMAPEALRRYEMSTASDVWSYGVLIFEVITLGGSPYAGWETTEILPRLEAGDRMGRPDNCPDVMFELMNDCWCGEPQLRPTFTELRQRIAKMMEDSPSDYYLQLDAQRDYYLVPRSRDLPVSHLIC >PPA03488 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:53065:54917:-1 gene:PPA03488 transcript:PPA03488 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVTLTSAEGQCFRVDKSIAQQSHTIGNEDLFDLITAANYLEIEEILDIGCKKVADKFKNKSPADIRKTFGIVDDLSNEEDEQIRMESAYFDCAFPAALNDCDEIEMLEQQGKYHIKQLNLISGNYSTCSPEKLVIAMCNFDENVCFKDMANSKIPLRRFRMDAKSPTLFYFLRIIGITLVDNKFVSTRTDVRTDVSRCCIF >PPA03500 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:107269:109589:1 gene:PPA03500 transcript:PPA03500 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNKCESPTCRRRVSAVGELIELDCQQHSLCGECHGELFRGRHAALCPQCGKPLAAEGEESCDVCAKFGPAEKMATAACCEAKICPACAERGKKERAAKDATKEDVRCPEGKCPPKKRKKRSREEPENTNGAAHVCSCGIECTNEPLPKFVPIDNCFPSEGECDHEVCVECLGKTLEANEKSTIRVQDQRATPQLVLLPSVTPSPLSALPFFPPELVPCCPSELCRAPYRSESVLPRGYFDMFEVGYHFGFEKLKDEKILEVVDVDDGDFNKRLFTIKAVNSEAEDDVVSIDFDRKGSFGDLLREVRKALKIGVTDKVYGYYLRIDGEDRVIVITQKMIQQDVTTAGVLRATMVMVDMSGIVSANRHVANN >PPA03517 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:224755:225149:1 gene:PPA03517 transcript:PPA03517 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSCVVHYVRNAKRILKGLRLRAARREDLHSDHYDSDEQGESEAQIEEAKQHVLLEIQPPYRFDKH >PPA03556 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:420429:421656:-1 gene:PPA03556 transcript:PPA03556 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLPLWRYRRGRCPRRHHYSSSSSSASDSEDRIRSPAGEGIFASSSTRNGLGMSPAEERAFVRSCAQQGVQNPFGMDLSSDQAKDANQRSNENVRGLVSKGKSHRTKELEKKINDEKIRRIEDVVAIEGRLVAIESHLGIKYKPNAESFGIFTTEAVAESSTQQPQSDGRDELRIRILERQVATEKARADALEKERNEIKEKYERLLKDAEGAFEIVRAE >PPA03565 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:456902:457866:1 gene:PPA03565 transcript:PPA03565 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTECVLVRPSRKRAAEVEFDDIVETYQIHEVLPVTEEINRVTAIPGSKLQVSERRRYIRTLPAEQPMVVESLCSKLRTLPLPPANPKPWQLRVSILERHQLTGLFLQLVHTTDNIHDITDPRMADVVAKVKLMEHRIFEEADNKKQLSTFDDQKQ >PPA03527 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:277094:284531:-1 gene:PPA03527 transcript:PPA03527 gene_biotype:protein_coding transcript_biotype:protein_coding MSREGGNGGEIDRLLHTSEPVNCSFISMVTELQAAEIYFNIGAYPNRYSFIGCHSDVHDGVLQKQVRRAQIAQVVDDLACPLDSLVLVPEDILLTSAQCLRRRLPDALALYGSVTSMFAMALERRSASLNLATYERTGATGGYVYVAFHLVIVTIFGIVMGSTYEYPTRTPHCSVVTPRGLPKLNIVNICLLSMEIATIIIFAILLRGNQKILSTTKFNINLTERYQLTENIRMLKLFLPVVGSHTTITVAGLVAFFFYQLTGLGPEYYPMQERNRERDLFSSNEPSDRISKYTKYYANESINFRKWTETCAPYNYDPIQKQVPDCPSDDRCDPSLIMTNGCVMTCSRGSIKFQDQTGKWTIALDTVIWQRGVFIKSAGGAATRMYRPTCVIDSSTPKPSTTTVGPKTSCNYYIVECAGCDSTKLYLDYNTTEDASLKCERGYTLLASTGQAPEEFKTASCLTPDNLWDAYHGLPSDTGPAPAEAFTCKKEVDTTPVTCPADAYNDLSCSRDMPTSVRSGI >PPA03530 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:303873:306316:-1 gene:PPA03530 transcript:PPA03530 gene_biotype:protein_coding transcript_biotype:protein_coding MHNNGTESLEELLITVVYMARSPTMNVMLYGRMLISVIGLIMGFSLPMFRRKFVAHGSLLMLLLQHCAWNLMLSFISLIDALITAYTFASWRQPEDLIAFNDGYTCFHRKIWHIYAVYVQFNTIEGSMTSMFAITIERTFASKCYKNYERSSKRLGYVLSIGQFVLASLFTLGAALTYDFTQTRAHCFVVTRGGEMYHRPLAATSFVLEMIYQITENVRALKLLVPVVISHITLTVLVAVSFSVYAVLTMAPHTRAIAEFHVSIAGENGNK >PPA03550 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:401208:401558:-1 gene:PPA03550 transcript:PPA03550 gene_biotype:protein_coding transcript_biotype:protein_coding MCVPNQLDSFVVDLDHSNQVTLKAAHYSCTRQVNEFIVLGLRRISFNTYDQTHLLFELFNFKGDALTIQDVS >PPA03541 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:359446:360723:-1 gene:PPA03541 transcript:PPA03541 gene_biotype:protein_coding transcript_biotype:protein_coding MHQQARSLPARTDMILENQIFGVQHSAYTKSGLEQKAENKRKEKHGGWSCMTSLLTLPDAYLKRRAVTCYTRFNSSRPYPVIGSDTVDSQRGCEVLCTANSQCTAFAFSPRGLQSWCDLLGSPSTNTCTEPKEVFLRKEQITSARTNITAEFGEDPCIDEIERSRGSAPICSLDPSKRLTVRVIAENGSRITQDNDVQ >PPA03557 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:422249:430305:1 gene:PPA03557 transcript:PPA03557 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSHQSNSFGCGKSTAVGLLTRLYEAERGEVLVDGHEVRSLNLQWLRQHIGIVQQGYDTHIGDGGVQLSGGQKQRIAIARTLARNPKVLLLDEATSALDAESESIVQQALDNASVGRTTIMIAHRLSTVRNADKIVVFEKGEILEMGTHDGLLAKGGRYYELVNAQKIDADYDDTVIADTEDEFDSTPAERALRKGSSARSSCRLRAERGADAFRRSVTNNDSHNTFMRRSAAFVLAEQPVSTLESEEYAREVQTRNEKDEKLVPNLFRVFREGGWQLLLALVVAGIRGVELPGITMAFGLVFQAFDYLATDPWMMQHELVICLILFAAIGFVTFSFQFGASLLFGHASERVTLDFRVRSLKAILHQDAAYFDNPRHAPGRLITRLATDAPNVKAVMDARMMSVVYNMTAWVLCVIIALVYSWPIGILGMVMSCSLGVTMVLLARRIQRINVTLIKNNEAGRMSIEIVESVRTIQLLTREKRFLDKYTGASKGLLKSDWLRGRTEAANFALSQSFIYYALAACYALGIHLVNTEFLLKDAMYRSVISMLLACIAIMQSSAFFPELVKARTASALLFNIMDRKPATGDLDNGHKLRLDGKIHFEDVRFAYPQRPHQPILRGLGFTADRGQTVALVGPSGAGKSSVISLLERLYDVAGGSVIFDGMDVRSCSLRSIREQMALVGQEPRLFAGSIRDNVRFGMTREVKDDEVMKALETANAASFVMKMHKGLDTEVGERGAQLSGGQKQRIAIARALIRNPTVLLLDEATSALDTESEKMVQEALERAAGGRTCITIAHRLSSIQNADKIVYIEHGRVLEVGTHAQLIAIKGRRILQTREEAGHDNYVEHTV >PPA03522 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:255938:257090:-1 gene:PPA03522 transcript:PPA03522 gene_biotype:protein_coding transcript_biotype:protein_coding MWISHRLKELPFEISDEDMTFDRDDPKYIYCHLHITIYENYANNTKVLTKGDNNQTLARISIAVSIVGTALDLWGMTSLGDFYHVIGIPIVLLGIYGVFR >PPA03534 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:318556:319284:1 gene:PPA03534 transcript:PPA03534 gene_biotype:protein_coding transcript_biotype:protein_coding MLLARVYVPILLFAVVGNAPIIPYMLCNKVNCSKAEDYNIIASSKEISEKYTSVSDTSFEKLKTIKAYSDVIDRAKRVKRVSRVPDSNDLIASLAHVADRVRSLIKIDSEVEVTQISFGKWIDCIHKSANSSLCIKEKDALEPEQFKFLEEYGDGEETFK >PPA03568 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:471601:472875:1 gene:PPA03568 transcript:PPA03568 gene_biotype:protein_coding transcript_biotype:protein_coding MPLATVSRATIQSSCRPLEWRAGGPKKRSAEEEQPRTFVIRWEEQCKNRCLATGTAPDQCNVKCVYKHKEFKRSAVEEETDPDCVKKCMDKGLGRIICMGPAHCPPTKRSAVEEETDPDCVKKCMDKGLGRIICMGPAHCPPTKRSAVEEETDPDCVKKCMDKGLGRIICMGPAHCPPTKRSAVEEETDPDCIKKCMDKGLGRIICMGPAHCPPTKRSAVEAKIAAVEEETDSDCIKKCMDKGLGRIICMGPAHCPPTKRSAVEEETDPDCVKKCMDKGLGRIICMGPAHCPPTKRSAENEWP >PPA03482 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:33804:37362:1 gene:PPA03482 transcript:PPA03482 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGNLKKYIQSNQISSVEFLSVAEKVSNAMDYLARENIVHRDIAARNILVGDTLDSIKVANFGLAQRLEDTSNFITTEETFPIKWTAPEAFVLFDGLEVTREGNISSASDVWSFAVLLWELYANGQDPYSPFPSSELYNMLTKENYRLPKPGKCSRKIYTYMLKCWNIDRRARPTFAEIHQELKHIDNGKLVWARPTFLVRGSDLFYNDEWISKNISSKDSKMLLMSDDFTSGAFIMQKSQDPVEFKIRREGTGFRIDNGSRRFKTLSELVRYHADRRNTSNINLTFSVKKNQFITQWEYDAQDIVQEKYLNKGAFGEVFKITLYGDEKLAGKRLKKMFAEDFLKEAQIARPCKHPNVVETIGICSKMYLENGSLKDYMSSNSLSLAECKSAAEKIACGMKYLASKKIVHRDLATRNVLVGMDLGTIKIADFGQARVIADNLYYYTVNELFPRRWTAPEGFVVFKDRMKYI >PPA03542 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:360827:361989:1 gene:PPA03542 transcript:PPA03542 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAGKDRGIWLAGRTVRVADPGAGDDWNDTQPPDYTTLIVALASALTVVIIFTTLTLIKYRRKIKELMTKKSDADVVPNTYVRLLGNKKAVCAH >PPA03484 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:43158:44157:1 gene:PPA03484 transcript:PPA03484 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAVTIFDENASKYHTICCGAHIRTLASIFIIIDLVLTIITGSFLFLIPILILIGIFISITLTVVGMVAEESIKLALQKKFGIKIENSGSAKLFMILTLAYIAIRIAITYTYCRLANFVKDLENSQRIHIVYDKA >PPA03525 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:263115:265868:-1 gene:PPA03525 transcript:PPA03525 gene_biotype:protein_coding transcript_biotype:protein_coding MPILLLAPLRLHHLRFLETAAALVEKQLPAGLRHRIQTRGVTFENTLATLPTLFVGCEPDTTTKPLAKCGCPYSNAGPEYGKLVPRTVWPDGNNCELMCEPGYRLKQSYWTPTGTVSYDFSLVVCQAGKWTARDYTGANTISLGANPALGCVKAETDYISPCSAPTWLPLQCAGCSTDALLPVASGYTCQFTCRAGWRLLAQHRAATSPSRPRIVALKGNEWIGTGGQGLLTTLYYGVQPLISCEPDTYPPAVDPAPVPRPPIKPCEYCKQPTAQATCPFGKSCVAKFLPLWQTAANCMQVRCQNARTMLINKSNAWISTDGLNCEADGTWKTTTGVAFPADVAITCDANTGGNATLIEVVYNIYRKGISLIALDPNYKSPIGG >PPA03543 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:363363:364889:1 gene:PPA03543 transcript:PPA03543 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIGYHDRLVNLVACITESTPNLLITEFCSNGDLLKFMKERRQYMLTIPPNANSDSIDKSLVITQEQQLQYAIQVASGLEYLSGSGYIHRDIAARNILVDERSGCKIGDFGLCRKVQEENEFYHSRGGRFPIKWMSPEALKRYEMSTASDVWSFGILLFEIITLGGSPYPDWEPAEVLPRLEEGERMQRPDNCPDSVFEAMSDCWRIDPSKRPDFSELRSRLGKALEKTPSEYYIQLDSQKDYYLIPRSRDAPIPQEDIFRKVL >PPA03551 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:402001:403285:-1 gene:PPA03551 transcript:PPA03551 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDNCKMESLWVTIFSKTGNDLIIVKWDVETKAGIRTDTTPTDDAVVFEPEGLNPAQVSTANQSTPPEKSSTPT >PPA03548 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:384129:385549:-1 gene:PPA03548 transcript:PPA03548 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNKMNEPVVGDRVYTYKERIEEKNPKLRIKWEGPYRVIGRSNTTATIVGIEDGKEKVVQLDRLRRVPKIDGNVAKMTVDNVSNCSRVVDKNSPLHWDFECERCALNPREMKEIWNGCPPGFVSFTFPTLKQYATLRTLIEKLPNLTPVRATRLILENGLEEEDNMTDQTVEETVSNTCQHALRVLAGPEVDWRFTIRDVNPRYERAYRKGLGEDVEESIGYGVIIFSNGIELSSIGGDSARWKWIEKMPSEWAETLRELDKKESKKPIKKLLIHWPRRMELGESHKLKKTIIYLTEANCWNVIIVMEPCSVETDSNYLPFLIEWSAEKTKTGWIRVIVSDGAVSDGTPVVALEKCHPWMRRDHWEFAVEAWTKGMPWNPQEAKKHLANKGFDGEQEEIVSKKRDKDEMMIQKVKTFHPKPVDTRICNECQGMGHIARKCPLLAAGGNNNRKRGIERGRGRI >PPA03485 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:45676:49052:1 gene:PPA03485 transcript:PPA03485 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLFLAGPFLLLCANAQILNIKCPYTAIECADCDVSKLYLDYDTVTASSMKCPLGYTMLAQYGSTTAEVTTALCINAVWSVANPILSISAQANQFTCKKNPGVDVEECGCPYVADTTVASGNPSKLFVQSSSGTGQGNCTIQCDTGYRLTTRYGTQAASYASYVDANKDEFRTVEAKFSCVKAPATCAQTYGSAACPPEAAGYCYPGAIRSVQGSRSDCILSCAKGYRLWIMLNKGNTVFCPCNKLHPYRKETPTATWPSCPMKEGRGRDGKWTVTSAERMLVQEYRYKPPAEILRTPPDTVKPGNSIIKKILLIEFLSCGCWYQLSACVNCDYKQLFLLDGFGAYSARCTLGCSKGYVLEGGETTIISCKGGYWSAASSITLTSSWMAAPFGFMTTSCVPQTTTPTPCDTSKYTTFECAGCDKTQLISLPATKGNCVLSCDKGFRLVVR >PPA03549 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:389840:391525:-1 gene:PPA03549 transcript:PPA03549 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNREKVAVMRQMLSTMEEIERVNNIPDLLRKVNTERENVTIERQGWQKMSEQLDELKGAMNLEENERMVDKWKAINDEFQNITAKLQSFQLDGDASGEELQSRIDSLEAKNLELASENGRLKERIDLQIAEMIKIDERNTEDEADARKWRAFTYASRFIGYSNDERSTFLHDRNHGGNVSLKDNLNGAARDALRSVPDEEKLKGIKSVLKWLRSRLSNETPFEELEVEKMLRHQKVDGKSVGRVCEELEEWTSKLHRGDEAKKETARKRQLTILYEGKHTEHVRLLTLFGEGASYSKMKSALVELEYLKRTEKESKGYSKGGNFGLKCFRCDEYGHKESQCGRRNGNGGGSSGGSGHKQCCHRCKFSPAWKK >PPA03564 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:453991:456089:1 gene:PPA03564 transcript:PPA03564 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKGLISRIKNRLFGPAHPEDDFGKVTDFSTENLVSIMRPIRKARKCKDVTYEMRTDNVKALLGAFLSEDYDVAIFHTQQKRVNTDTTKRSFVGSCRRSTMACARKPAAEAAERAANAEKAAEAAAYDELLMADTSIETLVTKVTALSEWRMEEWRNDVSRETRDENVKSMLRAFLNEDYGVAGFRLPETRVKTFHYVRNAEMWALEEAINMTHYESIIRIRLEEIDEEMSAKGEDEAAAAAAAAAAAPGESEAEEEPQQLEEVAPALMRVD >PPA03572 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:488840:491992:1 gene:PPA03572 transcript:PPA03572 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPPTTRVRMEMWKSLCCRPTKVNDQPVAHPPENGEVPAEKRVEVQLTVTKQPGSKEEEVVLFVSPQLLARGLLGKIVKSVEKKQLTVSQMEMKVIPKAELDKFFTSRRLKNTQDEKAPSMVLSVKGDDAIRRVAESCTDIGKQYALTRLDLIWSTSAKEAKKDLQLMFPPKGQFVIPPSPIAVSQPDEPQPELDSTEAAQSAMDDPAQEVSIEEPKESPKEPAPA >PPA03521 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:255134:255605:-1 gene:PPA03521 transcript:PPA03521 gene_biotype:protein_coding transcript_biotype:protein_coding MIYFIFLLSSFISTDDESDDYVTTTTSATSRADYELATWLFFGFCGAILIPSIWINCNLAKYIKDREWAEMDVPENYFPRY >PPA03538 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:347357:349112:-1 gene:PPA03538 transcript:PPA03538 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEYLLPFQYNCLIPSKYFDRHKEKSLYITEETTTQFLDGIEINAISNKEWHMTLMANNSGSAVKFIWANKTFLRCDNNTKEGDVIYGTNDTTVTAMHDRNLSFSDPAKDGNLSDAMICKFKLEKKSNSDDRPIAVLFAALVHATQDEQMCLNITGAVTEEYCNYIKDLEPHMRLSFNPPMCKECKISKDVCDGKNLTACKINGTFGRGKVPMQIREGTGCEFFFSANKMLVNNKFYVEPLTDVLCHQGRWAMLSPIFMNSKKNHPVNQ >PPA03558 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:431142:434125:-1 gene:PPA03558 transcript:PPA03558 gene_biotype:protein_coding transcript_biotype:protein_coding MYYNPIHADRELSNGKDIIFLMIGGEGREGARWSGDDNVMIMQYAIHFGATVFDLEHRFFGDSRPINDMKTSSLRLLTTEQALADLAYFITVMNEEHEFVNPKWVVFGGSYPGALCGMFRSKYPELTAGGVCSSAPIDLSLDFYQYADVIEYVLTQTPIENKNNLRCDELVRQSFDLMQQLSLTTDGRETLNQKIRLDPPFDDNTTKADISNFFSNVFTAHQGMIQYTYDGSSTTTQQYATAAQLCKIYNQDKDILDNVWDHLVWYNKYWNGVDITTFDNSYAAALKDVAETDYDKLGDDGSSARGWMWLCCNEMGWLQTTDGDSIFGSTLPMNFYYDMCADMFGADAMNAPLIRDNNKAAIKYYGGLDDFDATNLCLVNGKFDPWGSLGYYKEDKAKNIVSVVIDGAAHCSDMYPPYDGEPAGLPAARNQIIAFLEGVLGKPYILTTPTVPTTTTTKSSTSTTSTTSMPTTTSGASGALMTLVSLAIVFLV >PPA03573 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:494280:504352:1 gene:PPA03573 transcript:PPA03573 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVTFHGITDTLKPEDEKYAKALNTAAEAFKEAEALFTDDAFLAKRGWKKETETDNGDVVYGKHTPNGKMVTVSTILEGNVNAVMTETWTGVPGLAEWNPNINYASVVAAPTEHFDIVTLSMLNQYRNPIQYGNNDVLIVSGRDFVSSRLYRPIPTGGYRMVSRSVEVDSKPEEKGKVRAHLYLAAVQFTPLPDNDKRTRCDVIMLVDLKGMLPKMLVNQVIPKIMVMDTEENVKHFKELAEKTNGHCTVGSRRRFDYTQCSSYEECVQDGKKTKWEQKSCHDGYAFNDGNGNCERDEKCATVENKCSIPYFRLSCAELLVCSPNNGAYIRTNCDDGFRNEFHGGCIEDARCITIKWYQNETCKIGNTRPTSNCRTYSVCEGGAWKRQQCVENGRWSEFCSECDPEYKPRECKEGDSKSTNHTEPFEGYGIDTSEIIDCRSYQRCDQGRWIVVKCPDGTGYNPSMKRCIPARLHDTECNPPVTGPRCEEGARIFPIHACARFMQCDRGEWRQMACPIGTRFEPKKNRCVEGECPHRHTTVKEKEEGAGNAEYKRGHHHHHRSSEDVSFEEFEIAQRSQQFFAQRPVEIQPPFRQNRRLNGAPEPLSSSRPLPSNPSYVPAHRPGYLGQPEPVPAVVNTGHNHHHHHERPYRPQPPQYPNPQSQPYPAAPVQPLPQPHYPSAPSYPQPAQPVYEEGTQPPRLPGLICEGDFKVADAFDSAFYYDCVHGYLKRKACPHGSRFDPQRAQCLKEYTWKPDVICYDNQVMPTAYCGEYRICRNNEWLSGICPHDWPFINGQCDKRRTCRAIATPPPPPAAPACAPGSVRPDYHNPLGYLECNYYYKWEKRNCQQGEVFDKRISRCVYTASPAYPAANPPGYVPQPERPPVCYEGELRKDGKHCTKYENALNDP >PPA03547 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:376298:377703:-1 gene:PPA03547 transcript:PPA03547 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPVVGDRVYAYKERIEEKNPKLRINRVVDKNSPLHWDFECERCALNPREMKEIWNGCPPGFVSFTFPTLKQYATLRTLIEKLPNLTPVRATRLILENGLEEEDNMTDQTVEETVSNTCQHALRVLAGPEVDWRFTIRDVNPRYERAYRNGLGEDVEESIGYGVIIFSNGVELSSIGGDSARWKWIEKMPSEWAETLRELDKKESKKPIKKLLIHWPRRMELGESHKLKKTVIDLTESNCWNVIIVMEPCSVETDSNYLPFLIEWSTEKTKTGWIRVIVSDGAVSDGTPVVALEKCHPWMRRDHWEFAVEAWTKGMPWNPQEAKKHLANKGFDGEQEEILSKRRDKDEKMIQKVKTFHPQPVDTRICNECQGMGHIARKCPLLAAGGNNNRKRVIERGRPSREEEDRI >PPA03514 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:217021:218741:-1 gene:PPA03514 transcript:PPA03514 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRASSTTSSERGSDWRTVLSVLARLLRRVSLISSLVSRVTAPVSKWRRRDGLEVSPNLFILPTSPFTRSIVGRPPSPDCPKDRLHRRSPSCAQWERQRVARQTDSAPFIDRPRPSSRERPAEIRLVVSGMDGLESNIVSPRIEWDATALPSPFWSPLRSRVLSFPLRPPSVYEQSGTDVRYSN >PPA03546 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:373190:373943:-1 gene:PPA03546 transcript:PPA03546 gene_biotype:protein_coding transcript_biotype:protein_coding MTCAAPANNHTDFAKKEHLFPCLQEDSGIPTEPFLSAFQGLADFVGFMGTAFAPVKSDIAGNVTGSIVACGMNSKESSKRYHRFAGLSISHIFGVSAAVLPPAGRFFLPNVVLPVKQRIS >PPA03553 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:408717:413284:1 gene:PPA03553 transcript:PPA03553 gene_biotype:protein_coding transcript_biotype:protein_coding MTISRGSSARSKQDSDDEEVKPVEKSAFDKFLNYLFCRGDLAKQTLKVQPVAFSAMFRYAEKKEYLMLALGCLCAILSGACQPFLAMVSGGIFNLMLVTKVTTPEFRVEAYKYVYTYTGMGIGVTIINFINFTCFDVVCSRLQARTRVQFIKSILRQNAGWYDKNHAGALITQLNDNMDRMREGVGDKMGLLIRGLSMFVCSLVIAFAIEWRIALFMSLLAPAVCACMSIMARKLSSSTAKELKDVGCAGAVAEESVLGVRTVQAFNGQQEMVDRYRDALSNGKKHALRKSFWSGFFFFILQGYLGCGVLFGGYLLRIGVVPEPGQVFTVVMAMMVSAYFLGLISPQLLVLLNARVAAAIVYKTIDRVPRIDAYSDGGKTPTNATGRVEFKNVHFRYPTRRDTKPLPQVLNGFTLSIEPGETVAFVGHSSSMRFSISSAVACGG >PPA03478 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:7340:8134:1 gene:PPA03478 transcript:PPA03478 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEHMAKFLTSKLSGISDLFTSSKRVETRRGSMKEDLGDHVIIGPAVDGSGDVTTTPTTQTKALATTAKTDDRSDDQTTVAPRDKNGPGLPDKWHKKNITFTIQSIASNDSETETRNAINSAFKLFSDASPLRFEEDFFLCHHTFVKHNENRNRSVTIHVASRA >PPA03480 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:21474:22045:1 gene:PPA03480 transcript:PPA03480 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTLHVRNATSGTTQSTDSQSFSTSHYDWQIIRNIARIKLHKLNSARGSKSP >PPA03544 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:365318:369474:1 gene:PPA03544 transcript:PPA03544 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMRSLMMKIDKPADSKKKSKDKNAKSSKEGQGKKKPKADSKGIVALRVTMLFRTLAVLRGPLLVGITVVRPGQIDDFAEKKTRAETNIIAPSAPSVMCAPPGPVPPPQDLVGMSTKNGGKRMKKSVPAMGRNTVMAKVEETKPRSDREKDDKKNAGAAASPAPASPSPAQSPHPTQTAESPMPHAATSPHPQPEGGEPAQEISDPEKEKNNVKGRDPEAMTPDQLNNLRGFIAQYEQVGVKGLMDEFNTVKGYNVEPWVTDAHLQNTTKNRYQDIFCIDATRIKLNDGKEGEYIHANLVELPPLINKFITTQGPLENTVDDFWRLVVQENVGYIFMLCSVIELGKKKCEQYVPEVDGEIRCYGDVKVTLEKAITDAHFVNSKLIVEAPGRPKRYLYHHYWRNWPDRGVPVTALAGLRLLRHARTTTWNTIVHCSAGVGRTGTLVAIEWLLQHICTSPPPYDMRQMLRAVRNQRAHAIQTAPQYAYVCFAIFRLFTIIEPSNTAAFHKFSLDMQTYAGVILPPKSDGPAAAAAAPAAGAAAPAAAAGGAEGAPAPGPPGGAPKGPSAEHIRRQPQSTN >PPA03513 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:210856:215999:1 gene:PPA03513 transcript:PPA03513 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSGIKLSGVFSGRAAVATPVASSRSNYKYVGMPAEKDGTLKGDVDYGLNNVFFTDLFRLFSGTIAGVDVAMNTHLESIKMQQKNRDPMNLKSLTVRESLCSPFHCNICSLERPLHALYAARLLRASSLAAGHLTLVPQLIDELAKPGRSDIIVIAGGVIPPQDYDTLYKAGVSHVFGPGTRLPTCANQTTVFWVNRKLTNYRTRQTLSHRFTEDGDNMPRVTADFVAADFHILALSVKDPHHPQFLQAAVKCMEEIAELQRSFYVSLQDDLIVQLRQWRAGEYILIKEQVTRLEKMIIKKRDRDIENPKNFTEFEFKARLKMNLEQQIQLNWFRTQPKALVLAPLVIPALSLRGEGKEVPVSPLQTMKLLERVDAAAYSHSCNMMNLMALQVYGSPSTVLQAARLLSPRHCRRFA >PPA03535 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:321119:321898:-1 gene:PPA03535 transcript:PPA03535 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCRALIPEEFQLCYHLLLCANRKLRNRRYEQGLEPRYQVNENIRTLLMLTPLVWSHMANTLFAAIFWMVWPIINPEMQEKEYPIIEKQIALKHKKFRNSVTFYMSMRSFCRFCSTHIGMQPKSRDAQGELCWAAN >PPA03494 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:77945:80501:1 gene:PPA03494 transcript:PPA03494 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFNRNDPRYRSCCYGTHTLSRIFTVLLLLCYYIPALTIEIIRQNYYHLLGIPIVLLGVYGVFYESRTPIFVHIVMGTTMTVVWMLSFQAEVAPLENYPDIVGLALPTAVCICLILAGFQFVIYMTFWNLAKFIKDRESSEVMMMNGFNFFHHNLVTVFSAAAYYPDKPNRGAVATIDKMGRVAFRIVAAGEHDTEMKPKLFRGDHDVANEHDTGYVKSVTKPETPGEKPKLTK >PPA03483 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:40223:41130:-1 gene:PPA03483 transcript:PPA03483 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLRILIVLLLLLGTADACSCMQSIPEQIYCRSQFVSTVSVKQVIVKPFRLVYNVEHLQIFKQPANSTLPTKISTPSQSATCGVRLTVGKDVLLAGDFERGRLSISSCAMIDAEFDTSKFKGFTCKSSPAVDPLSNIAAANWLPPIPSDRVQTKSPPIPNSRIQTKTAIRRLID >PPA03523 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:259010:260716:-1 gene:PPA03523 transcript:PPA03523 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSPTFKPTCVDFFCDSFILRAVRVLNKLFSFASFIVLIVMIVWIYEPAEVRKKQCMIRVKSDLPYDHARHSDMQVRNARSRLAFILLYLIVPVAALVFFFADSIVWLVVLIEGKAGEASFKLDSYMAQTKLYYPIVLAILTLVLVSPYRRAMLIILRCKKIKVEPLPRKEVDLTTMYRYANLEEAQENI >PPA03552 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:404092:407441:-1 gene:PPA03552 transcript:PPA03552 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVLPAELRAEPMEPIGKSTRREEARSSRCQARFIEELKREFISHSFRPTMLLSGGRFVALLILLLFVVNCDDKTATPSKPAAAPAAASTAVAAAVTTTTPAALTTTTQPMVNGSTSAANNVTEAPADPCFNMCCNGNISEQIQSVCLLLMANLTDNCTDNAMSTDGTVNLTLSPFSAFSSTPSTTSFTSSSTTSSNTTSTPPPTTTEKPKHVWVALKPSAYNKMREPAEVYNFMARNDKMRSPNANQKGKNDVLYGFMIALNVLWLIIFLLTPLFAYKHPSRIQPSIREAVVEEKAGTRTDTTPTDDAVVVEPEGLNPAQVSTANQSTPPEKSSTPT >PPA03561 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:441656:443575:-1 gene:PPA03561 transcript:PPA03561 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSDSSSEDSFVKVGAISSRSSSVSEDVPTVAKLQERVTLLESQLAEKEERWREALERKNEKISELKKEREAAEQDKLEALDELGLAQIGLGDREDIILEKIQKIYELEDELDRMGASLAQSVRRRRRRTSSERRRRRERHFDNWVQRAPSPPPKPPTPEPISSRIISVFSEEKIAMFVMYIVTCAVCVTAKGMKIRITAQGVYFVLMVARLLLSMLSFFLVQFTPLLSCLVHLMTMQAYLAYKYAKCLDSCLHGNSIDVEAKASDKSSQRISQRSQ >PPA03479 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:9986:14837:1 gene:PPA03479 transcript:PPA03479 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRANGEPADNDNKDQLASRFICNDHFDSLITNWNGSDDKLKNRRQSRLKYDYSALKCPLSHQKPASAEERRVVDKMNAKAAKVPKTKGIHKNSTKSTRSKSSVRSTKEGTRKRVVVKESEDSDDAISEQDEFEDMGDIEDNEEHEDDFVRRVIQEDINELFLVNRVNNQAKLSDLFGRRYGAPKSENFGTNPSTADQSSTWFAKSIKRFCAEISTSRDAIHSILSSAEIR >PPA03524 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:262209:262988:1 gene:PPA03524 transcript:PPA03524 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTPEMIEKFKKARAAMIADPTFLNNSIAKLSPEAQVHAKAIRDIVYNEEDAVAGRAKITAIRAPLSPALLKELDAHRDRLIEKYGLPKCDLNQSPALPLISVPISHYPFMYCMMFA >PPA03537 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:327312:329350:-1 gene:PPA03537 transcript:PPA03537 gene_biotype:protein_coding transcript_biotype:protein_coding MYNFTHDSQETVRLREAAQFLLMSKPMKIVSHAKINRRSSHLANAPVYSLLLVTDELHCRDGRWSDIHQQAAHLQACAPRHGIQRTGASHEPPTVETRAKERPESRVVSSKVNALVDEIANLSLLEVSDLNWALKKRLNIPDAPTRSPGMMMAAMPAPAAALTEAPAAEDVPPEDDLQGYPG >PPA03515 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:220161:221993:1 gene:PPA03515 transcript:PPA03515 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSGIKLSGVFSGRAAVATPVASSRSNYKYVGMPAEKDGTLKGDVDYGLNNVFFTDLFRLFSGTIAGVDVAMNTHLKSVKMQQKNRDPMNRKSLTVTTSATSSCPTRDLWTRYLWMRSLARRLPSERPEEATEEACEEACVTVEVMAPRPPPRGHGGPRGGGRGGPLDAKMLTRHI >PPA03518 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:247311:250538:-1 gene:PPA03518 transcript:PPA03518 gene_biotype:protein_coding transcript_biotype:protein_coding MIMPTIPMGLDIPPGLEYLFTIGSAHVQQMTTNIDTPNKYMIYNDQGHFIYFAQEVQTGGEFVAAQMVGDSRGFRIRVTDAMGRTVFTVFRSSKLWTNCEMIVEAPPGTPCGYASFQSNILCRGVMTIMDQFRRPILTIPFPSERDAHLSDRGYPLMMGGLLVGAIVRKFPGFAKQIMTNCDNFAITFPAELEPRVKCVLLACAILIDFIDFEDKRDVTRGKNKNVSPQARASLGNPFQASVNVVPVATVTPMYGGGCGIGNIPADPLFTGGTVHVAQRPAVVQVMQPVQQVAYVQQAPGAYPVQQQQYQHQRPMQQQQPQYQQQPQQYQLQQPQQQYQGQQQPQQYQQNNQGQQQPQQQYQQQGQQQQQQQQQRPMQQQQQPPGQQYQGQQPQQQYQQNNQGQQQHQQQYQQQQQPQLQYQGLQQPQQGQQYQQQQPQQYQGQPQGQHYQQNNQGQQQPQQQYQQQPQPQQQQQPTRSVMQQPQQQQQPTRSVMQQPQQQQQQQQGRSMMQQPKGATNVQSNIDQRQQTRVTTQARPTTPTTSGGSKSLGAARNTPQKSVYADKKKKK >PPA03509 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:186212:188208:-1 gene:PPA03509 transcript:PPA03509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-col-89 MFEEKLLVGVATAASTMAVVAVLIVIPSLYQEIVDVHNDVIDGVSIFRAETDSAWSEMMDIQILVTPPSKPRENPFASIFRQKRQSFSGLPAWCQCEPVKPQCPPGPPGPPGEAGTPGNPGPPGPPGDDSTVTYAPLTCPPRDTACIQPGPGLETPDPWDLPDPTAAPDSLVPPAKEGQPGPPGPAGDAGAPGNPGMPGGPGQPGTDGTRGRGKPGAPGPAGPMGPAGQPGSGGNKGQDGAPGPQGPPGPAGNPGSAGSDGTPGQPGGPGLPGNDAAYCPCPPRSAVFVSRFSH >PPA03559 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:435301:437969:-1 gene:PPA03559 transcript:PPA03559 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAVSSADVYTCNEIVEHMLNGKHFVFGMQACVVLPVGANHADYPYLSTSYLQDLAKGDIYSLSDFVDSEMPCRTGESPWMLTSDDPSMFNCTSDAEHNEILFLFSSAPADLTIAGEAPKTVTLGKGIHPVAAPEGSVRIDKKAISEDVEMTLQYYTGVGQGTDEERFELAKEHFIVGTSTIVLGPAMTIEINDDVTVDITVTAFGEKNFFAAQPGFEFSLMSSGYASDFQSSLPSILTSTAYGCADSLMLRFEVAHSSINDDSIEYDAVYISGTVTMDPSRGTTLSIECFDRGDDVKEIDTFHDTHFSISETKDVSLNGNCSTLDITYKGDTNPLYVGRSKEVIILYVSTNPDPVLKPTEAPPTTKGTTSNASHASFDITNQPFADDVSKDDKSDVYVDLDSDTDDVSSIGNCLWMFIGLLLL >PPA03526 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:266054:269544:-1 gene:PPA03526 transcript:PPA03526 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATCKNGAWANIAADTTSFNPIQGIFSCQHVAGASCPQLSSVLGSCRPGMECNQGAVRPVMGKKSSCILSCGKGYRLWDATVQRFMAEVYYENGGWKGKTLGDVMGTLSYKAGQSVSVNCERYFSPKCGCAYRASLCPTCDWKQLFVLDGFGASSGMCTLGCGKGYVLEGANVNLISCKQNTWMGSSRTSMTAVPIARPQAWLTTSCVPTTDVGTCALAYNTLDCDGCDKSKIIVLPSKKGKSRCVLACDKGYRLVYTDHLRRVVRAQEAVLQQTGWEVYALGEVGSTAEIPSSLAKFSCEPAAPIAAFHATTGPPCGCPYLFKSCSDCDNSKLIGRHDGAGTCTMSCAPGYQMESDNLVDNGVLTAANCYFYRWPDRFSLQHFPIRFRAFVLLRADCNRTRFGIADSPIYSCS >PPA03566 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:458157:459595:-1 gene:PPA03566 transcript:PPA03566 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSSILQLQIGDWDCRLATISDVDWSGDSDGVRRYSMHMNEQHSMVQY >PPA03477 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:4090:4889:1 gene:PPA03477 transcript:PPA03477 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLQSNVRDLFLNDLANFGKLKAWLKKRCDFELTHDSAQDAEDEDDIDAVEEDEGEEEETHTEEKQDDKKCFKQRLSQKPADANAHRALRLGSSRQPSDARIAENRVSQSFN >PPA03493 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:76126:77161:-1 gene:PPA03493 transcript:PPA03493 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSNPLRPAILKLFRAGIPRHDIVLRLAIPKRTVYDAIARNRERIHKRIGRNRKQSMRAMAKNLHISNTSVRRLIKSQLTLRPYKYLKLHGLNDRQIKLRRDRCRLLLMRCARAEHFSTVFSDEKNFTIDGKMNSQNDRIIAHDPEEAYKSGGFIGQTSHPLYVMVWGGVCATGKTPLVFVTPGVKVNKEFYVKHILQDALLPWARSHFGQSHWTYQQDSAPSHKAKKTQDWLKAHVPDYIPTSEWPPNSPDLNPLDFSVWGVLQAKVSTTKYKNRDTLKAALLKAWAELDTNHLRELATAYERRLKACVKAGGGHIEIR >PPA03533 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:315784:317152:-1 gene:PPA03533 transcript:PPA03533 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLVKNEPCDFDFSRPSISVVAASCALAASAPSCPKWAQQEDKRPRTQTQTQDQVQNQTEDPASHPLCYDPAFEEKRENQQYFYSRKTAQLLIDAIFDFFEYDEDRISKELCCLCVPSIAEILYHDHGISVALLDFDRRFDYLPGYRHFDLLNPTVPSAKSPPFSMVLFDPPYFGIAYEALRASLATVAVVEYDRVSDSKWSNYGLYANCDLRGVKRVHDKSRTSKTKK >PPA03510 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:193037:194155:-1 gene:PPA03510 transcript:PPA03510 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNEWLTTYGTPTMSPEFPSLSTALPSFDSKSSLDSSMMTSSSMSSMTMPLSSSSLTPSSALAYPGLIPHQFSSGSWSCYDQHFSSSSSYASSTPYYNFYESSTTAAPSTTVPQDPTTVDYAATEWGTDLGTVDSHQERSYWQGREI >PPA03508 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:178112:184150:1 gene:PPA03508 transcript:PPA03508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-coq-1 MVSAGAALGRRVSTSSRAAAAAAAAATPRPQRHQQTRRCHSSSAPSTSKATVHLSASSTTVSEWPSFLKPRLAELRTNIIDQLAGRDRIPAFMRMRQAAIDAGDGAAAAAPTMEQMARLYFERGGKLFRPRIAMLMASACNQEVLARGSRLSASSSAPHADPAFDAYDDISGQQAAIALIAEMIHVASLVHDDVIDEGTYNIRVLGFIKVSATGELQCALQAATRRGAPSVNGYWGNKMAVLAGDFILARATQALCQIGDPRVISTMAKIIEDLVVGEFLQMSPELSPAGGEDQRSEIRDQRSTSSSSSSLDDRLSLYLQKSERKTASLFENACRSVAMLASASDGGLHGTAAAYGRHVGLAFQLVDDAIDYEAEEEDDSAAAGKPIAVDLEQGLITGPLLLAVSSEAELVRLLRASPAQIRETVRRSGACERTRELATTRARRAAEIATSLRCRNGASEALAGLALKQLERRV >PPA03555 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:417605:418846:-1 gene:PPA03555 transcript:PPA03555 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTDPTSSNDKKEEELSKFIDRIQSIPSTSMEGAGYVTIEQLHTVNEERLEDQEAILERIAALEQKIAALTDSNDAPKRFVEKKETESESESEDYEVLLKHIERLASIDNTSALQSSEEPVEANATDNKQLGNVVSALASTRAELEESKKRIVQLEREKAEIKRKRDEFAQKYNNLIHKMSKSMGNRASRGFRKNNLMGMFMKKDSNPDQHKCDDPICPCSMPKSLRIRQTNAENSHVG >PPA03490 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:66951:68345:1 gene:PPA03490 transcript:PPA03490 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLLLPLGTLACIPMKVIDRGIPAVPGGGGGGPAAQVTGCKLGAVKQISQADLDARYCTMAGMPVGCAAGQGCVAAMITATMVSCPPTAPDLVYQLPNGDVNGGPFPEAACVNEMWGLTGGPFPSPDMYPYINSLPNQLTHLRTCKHV >PPA03492 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:74015:74785:1 gene:PPA03492 transcript:PPA03492 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFLSLIALSHACSCAERTPEEIFCHSDFVSKVRVVHEMSNSRVTVYTIKHLHVLKKPDNFTLSREVITAAQSATCGVLLEEGQEILLAGSAHHGFMSINACSKIDPNFDTREFKNVDCPARSARKHVRAEIISAH >PPA03570 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:476108:476740:-1 gene:PPA03570 transcript:PPA03570 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVNQILPIWDWPNHDEYNCSKMHTPGEWWTQEHGRQQPVFGWWSIVWGVVCENVLQILYFPITIALYEESKRVSCYKIMLWLAVIDIIAILSNSIFFGELEFMAIIYAFRRREDEECEPS >PPA03575 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:505486:508181:-1 gene:PPA03575 transcript:PPA03575 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLSPSIFPVSYIPRHALCISGGGRIWGLEGCNNAPRLPVYTNLIASLSLADIPMRTMRNGVRMDSFHGIDWLFMLGFRTVLLGLSPPVLPHLEYIDAPVARVTVIEQETVDVPIAPILPPRNIEGDQRRPVSPPLPWARKMENEHENPFRPEEHLYHEVDPIVEAYLHKPFPPSHPGSADGTPIKANGNGHAAVSSSPSYLQNGLSKEQLMQNEKQQPLLAEQPETPVRRQSDKPLGATMIDGKDDDFDNLPPAGQVELVHIKKKKCGCCSLHRIWSP >PPA03571 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:477594:481758:-1 gene:PPA03571 transcript:PPA03571 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAIIVRNLIAFWLFGLCNNYAYVIMLSAAGDIIDQQTGVNPAPSNDSNVCIQTITGRDCDTMSTGAILLADIIPSLCIKATFPFFMQRIPFGFRMIVVILFQTGSYLMVAFSVNMPMSIAGVVFASICSGLGEITLLSLTSYYPKSMISAWSSGTGGAGVVGSFAYAALTEPSLGNLTPTNALLVMLVVPYWGILKMPDTVYSPGFNPKTWIVPANHGDEVKDDRSESKKSKEILRIAVRDGEMDYIPDESISSYNLTQSDSAMIESEKVPQRTLSMKEKMLLVPPLLKYMIPLALVYFGEYIINQGIVQLIFFNCDNGFGFSKNAQYRWYQVLYQVGVFISRSSVNILPLPRFVLYILPVLQIANAIFFFFDALYFFVPHIAILFALIIVEIAPDIREYSISVASASDSFGIVVSGFLSIPIHNFICGQPLPGIPAT >PPA03574 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:504416:505169:1 gene:PPA03574 transcript:PPA03574 gene_biotype:protein_coding transcript_biotype:protein_coding MCHSGQFEPRYCPYGSGFNGYHCEQGYRCGGGTGVEGTCQESAGLRGFLPDRTDCAFFYQCAQGRWVRMPCAPGTHYSPTLGVCDHIGNVPGCGWSGPRSTHC >PPA03520 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:252884:253873:1 gene:PPA03520 transcript:PPA03520 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGCREQTCASCGYPAAKKCVYQWSIKAIRRSTTGTGRMRHLKKIQHRFKRTTGTGRMRHLKKIQHRFKNGFREGTVAVSQKKRTQSAASN >PPA03536 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:322924:324255:-1 gene:PPA03536 transcript:PPA03536 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGFNTTCVYGLVFSLVVISLERAAATIWYKTYSRCPHWSTKPLIVLQLVVPLLIITPVLWKYDFTRRLSREGGERAGEIERAQTQGRGHGGEIRVDQKISKGEMVNVTYKPGL >PPA03501 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:109750:115437:-1 gene:PPA03501 transcript:PPA03501 gene_biotype:protein_coding transcript_biotype:protein_coding MQASHAVTLFEVGKLTDEQLKDFMEQLEKVNRFAEGEAQRFSEHAMTLLHTLKSLRNGRELDLIRGESLLSLDAQSRARVLLKSYSTIIAMAPLLVDACALPLSSLPLLGPPIPEACSPWCRLALYAAANSGLRSIFVPKGTRLPFWPRLLNGARRLLVTSLTQAEPQLLPAAAALAAVNELLTTTAVLVQEFLGGATLEDAVVHVPFPFAPEELQREDSLANHPAVAALRETIGLGSLAGYVVLMRKEKEEVLAALDDDEGQQSPYAAAAAIAFGSPKKKRSAGGGEGGEGKESLFLLPPNSSHSSFLLLDVVFGIPLFDEHLNAVICERIRTEGVLSVENRVNIQFANKTLVDLTQDLLNKHNFGVTTCAPHSEKVEVVPPVRPIGYCPDAGILV >PPA03502 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:124782:130817:-1 gene:PPA03502 transcript:PPA03502 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGNSTKEYDKLIVEYSIRNQLRHKGNLVRHIKKDPETYYDQVIKYSASHLMLFPYHLSDIIIRELRITPFNYYVQIIINRSNRRLFRRSKSLREMLPGQPVPQGMEPWWSVSPGCILETDIKMLSAEEKATIDILLDTAPPITGAVPAGIIDYRVVQSLFTKGLVYFDVPVKDDDYIFVGPLDGFVMNRVLGDYFETLLYKIFVTIDGMTTVAEIADMLHIDVQLAKNAMAVFCRLGFAKKRVTGMEGER >PPA03539 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:349666:354600:1 gene:PPA03539 transcript:PPA03539 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTVLQDSKEPGNRAAKWAGAGKSLAPSGRSAGSGSAGTRRVCEWIRVSWLPSALIVSIEQKRRRMSAGIESFRCVVRSKQAVVGRKIFENRRKRTRSDHSTRAQRDGMREDGVEQEQNQLVEYVNWTITDTFKPFYIGLRCDADPRICYNDDEDIHFELTPDSKWHEIMINDPDKTSETLVCQRKASEVAPPPYDDTALVIGLGITCMILVVVIIFMCIKFRKKIAILMAQAMQASRERFRDDANVEKAAAEPKDEWEIGRQFLDINYSMKLGTGAFGVVFLGQIDVEYLPKSSERSILQLSSLKRNNGRIAVKMLHESADRLAEVDFLQEIEMMKCIGYHERLVNIIASVTESQPHLLITEYCPNGDLLSYLKQRREYMLELPPNPDYSLVNRSLLITQEQQLQFAVQIAYGLEFLSGRGFVHRDIAARNILVDERNGCKIGDFGLCRRVQQEQELYLSRGGLLPIKWMSPEALRRYEMSTASDVWSYGILLFEIITLGGSPYPNWEPSEILPRLEAGERMERPDNCPDAVLYSTRFDAMTECWCEHPTKRPDFTTLRSRLAKALEESPSEYYLQLDSQRDYYLVPRSKDMPVPEEEFIRII >PPA03563 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:453056:453551:1 gene:PPA03563 transcript:PPA03563 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLCPMMLDSDCMRQPLFGPFIEFAWKLEQHEFDGAKDEDDYALKIEARSQKIYHLRTGPRLPGRDDMFNDGYWDDDQMIDLFEKVVYGRQNAVL >PPA03519 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:251679:252149:-1 gene:PPA03519 transcript:PPA03519 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTIATSLFVPYQAISCEDYWNLIWIPIVIFGAYGVFRETLWVLIKFIKDRKAADQVPQHLQKVRY >PPA03495 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:81316:82865:1 gene:PPA03495 transcript:PPA03495 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPKELMYSTESIVVSPVEAPFHLNDPKYRSCFCGAHVTMLSRVFMSLVISGCILNTITVRSHGIYAHLLLIPIVCLGAYGVFKESRTVLFVYIVHSNIAVLLWIVYFIAMAVATAYKGPIAPSITSASTFNCSFLSANGSDLNINGSTFTLNTTELTFNCSTPSTTPTSAFTFKGPTFFHLLRSLNLPAHIGKFGNDWRKWIHHFRDNAVLHSDSTFLNLASYIRDRKTANQGPSLLQVIVEKMPQTPATEDPMMLTSIQSTEDPLITTAPIASDPKPYNFSAIASAFVTTVSLISYLASSFLV >PPA03481 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:26795:28114:1 gene:PPA03481 transcript:PPA03481 gene_biotype:protein_coding transcript_biotype:protein_coding MCTALIDRMVETVRCCKNRTEEQEVIAIENYKPLGSTSALSIKKDDRKVKSRSSGRVGFAPSRILAKTADIQHFEWISFEATRNTEEALLMNPKFAAGAFIIRPQSAEGITNSLSLSVKLRNNADPSTCNVVRFLINRTDGSFRLQGGQNVFKTLTELVEHHAGRYNGSNLKLAFSMKKTQSVTPWEYQPQSIIYKGVLCDKEEVAIKVARIDPEEFFRIQIGFA >PPA03496 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:85673:87343:-1 gene:PPA03496 transcript:PPA03496 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSLDGLPIEILSTNIIVFLDVVDRLQLGACCRSLAAAVAAADLHLRPEDEGDCISLDRSEPGKLGVRLATTTFHTDSLAGLLRLRKRFGMKIFAECLSFESDPSDPLADDCIAEIRHFILLNSDKRFDMCIEGRIVNDDLLRSFTKPAEIGFSKIPPHSRLDIPLFLTLVERGHSLLNAPVTLTTSQQMHQTLLHIANPAHSGQDVCFAIELAAFNEFKTQMSESNEWRRDEFDDYFTTWAYTALFLERFDGLNNRTLELFTISDKLDQIP >PPA03491 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:69599:73351:-1 gene:PPA03491 transcript:PPA03491 gene_biotype:protein_coding transcript_biotype:protein_coding MQFWIVLLYILPHIAAAPGAHRCGVVETVNKVDGKEVDLKWGKRDLTYSIENFISSMSEATIRKAIQDSFAAYEKATKLTFREVYSGGDIQIKFVRRSHGCSSAFDGPGGTIAHATFPETGIVHFDADEDWTVMGENNLPKDTEDFYDIALHEIGHALGLKHEDDKNSIMAPNTKRPVDGFGYYEAPRLDAVVVMKLQGKYGAGQTTAGQRSIKSRHGTYLRAWGPFTDGSPARVDMAEHRKACEQWYIDEHKGTITLRSTCAGGKYLRGNPGGHVDLADWRLEGETWTPIQTAEGSWCFKSAYGHYLAARANGKVSTNSHCNGDAKFSLESYVNELSGVKEPDTGLAPPALWDIAADKQAMQQEQRLQVARCTKIIIAEGQDHSLTMVLTEATAEDDCELDGDHTEYNQDHE >PPA03506 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:144676:145932:-1 gene:PPA03506 transcript:PPA03506 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSPKLIIKVIRINKKPKPNCDQITGGKSAFWDGSASIMPYARVRDVEEMFLPSVAVFITELLKIAICISMVMYEEGPSQWVPANPTSVHNSAALGHTQGLRAGNVITSQTKIFAAAVCSVALLGKSISPPQWVAIVILFCGVSLVQSQKAEASVAHGEQAPIFGALCLVAGCFISGCAGAYTEKIVKV >PPA03531 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:309741:312408:-1 gene:PPA03531 transcript:PPA03531 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGMTGKENWTPEMITQDTGDVVSQVSCVLMSLFAVTTPLLNLLLSRDLSKPLKYGQTLHKKFSVFVSQQYL >PPA03487 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:52265:52848:1 gene:PPA03487 transcript:PPA03487 gene_biotype:protein_coding transcript_biotype:protein_coding MRILLVLASIAVIALGSSLVGPRYIKSTHGTYLRAVYCNSGSDSFMVDMTSHAKACEQWRIERRGDKISIRTVNGPIRYLRAHSDKSVDLAESAASGLLWDAYQNADGSWSFRSVHGSYLRAQPSGRVSLQSHVGADEKFTLELW >PPA03498 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:100306:102460:1 gene:PPA03498 transcript:PPA03498 gene_biotype:protein_coding transcript_biotype:protein_coding MITQNGPDAKMMDMDNTAFDSGCAVRTFTCKGVMASIAVSTAGGPIAPVGDGGTGTATYAVTCNAAGTMWTAGGQTITQVECSATPPCETCAQNLLTVTMNSPNSKAMMDTTSTAGGCAMRTFAGGPIAPIGDGGTGTATYVVTCNAAGTGWTAGGQTITSVECTATPLCTTCTQNQLMIVQLGAGAQPMTNDAMGELL >PPA03532 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:313996:314572:-1 gene:PPA03532 transcript:PPA03532 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPSAQLRKRINKEFGERFAVDFERIYFIAADINKAEVNTLQRIGVLLSLIQMLAMLYTGMRIYLAIIKSVGSQRSKKMERGAFRLLLSQAINPIIFLHAPTIANVIQAQGVILPEMVKYTIRLL >PPA03512 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:208703:209371:-1 gene:PPA03512 transcript:PPA03512 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEVLSVPARLLRRVSLISSLVSRVTAPASKWRRRDGLEVSPNLFILPTSPFTRSIEGVRALHRRTAPRTASIVVLRRAANGNDSELPARPTPPSSTRSLPFIASATANDSARGLGHGRADEQLRIAWDRVGITKI >PPA03503 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:131814:136971:-1 gene:PPA03503 transcript:PPA03503 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRSDAYLGVARLVGEIDLLLTNEEREEEGGGQEENDEQSQYEEVLEIEYEGDENADRPGSSIMHRQEDALDEEAEEFIGADDENVDDDDGMMQSPYMGDYDYEQQQQQQQGAGTPMQQLQHSQQMHDPSDVVGSSVDEYSSIYEHYVDGPRRGKRAGSYTRRPTEVKVKGRTAHSQENEAGPDETVTLTCPPPANRRRRETDAYGMGLPQIYTRSEVTVPIVVGDQQAMMERPFKCPFCDHRTKEKSAVEKHVRCMHTNETPFTCRFCHQKFKVQSNLVRHHRAHTGEKPYKCRQCGLEYADKKNMDAHVYREHLKWSQFTCNYPHCVSKFWRTDRYVEHYMKKHGQYPTEGAFRDGWATGQ >PPA03499 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:105426:105786:1 gene:PPA03499 transcript:PPA03499 gene_biotype:protein_coding transcript_biotype:protein_coding MFYVEIHFPCSGVHRCDDQYYRMFCKRKNPSELYKATTIRIPPRFRYKAGENPKIFPIDYLLGAKDGRDVFRNKPEL >PPA03562 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:449553:450387:1 gene:PPA03562 transcript:PPA03562 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLRHDDHIAARNAFIEHHLKLMKPRIAADPFGPKGLAYQVSRLNTMYMRMNHPHLDDDIEIDPQDEYQVPDELMQLLKAPELRREEVVGEEGEAQVEANQPSEEAEAESQTEAAAPKASTAAAVPEKEEKRRGSDRSHLLFRPAEPSTRKEWHAQVPRERRNASVSVKFVPTVCTS >PPA03511 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:195301:197066:-1 gene:PPA03511 transcript:PPA03511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-let-381 MDKSSLDYSYVSHHDGLPLQPLASHPFMYAPQPPLPDASDAVDSRARRAIKPQRVTAGGGLHGAKRQEKPPYSYIALIALAIKDQPDGKATLAEIYDFLQKHWDFFRGEYVGWRNSIRHNLSLNDCFVKLGKEPGERGRKGHKWMLSPNSEYQFDEGSYRRRPRGYKARKPVDGAPPPQRLSLPKIQHDEAAAAAAAAADNNNDLQQDQMQQLQQQQAAVAVQPGLYGMRRIHQIAIRSSFIFTNQKTRNDYHSRQ >PPA03507 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:147005:147721:1 gene:PPA03507 transcript:PPA03507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fkb-2 description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:H3E1E6] MPVDITTIKEGDGSNFPKTGNQVECHYILTLENGKKIDSSRDRGSTFKFKLGKGEVIKGWDQGVAQMSKGQRVTMKISPDLGYGAGGIPGTIPENATLVFDVELINFK >PPA03529 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:298647:299989:-1 gene:PPA03529 transcript:PPA03529 gene_biotype:protein_coding transcript_biotype:protein_coding MVNITSDEIALAKYLATSTFMHLIVILRLIIGIVGLLAFLALVPFRKYQLTENVKTLHLLVPVVCSHISLNLFVVTTYMLSDFYIFTLLLYR >PPA03497 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:91745:95611:1 gene:PPA03497 transcript:PPA03497 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYLLPLFLIAGCTDACIRVAPPAPGMPAAPCKTCAANLIIITQLGAGAKKMDGDSIVTTGACSQRTFTCKGIQATIEFSGAGGALGAVQDGGTGTATYTVTCSADGTKWEADGQTITGVECAAVPPCRDCDPTLITVIEDGPQSSPMEDSTVYDSGCAVRTFTCKGDDPSIAVSTDGGPLAPIDDGGTGTATFVVTCNQGGTGWMSGGDVITSVECMATPLCKKCAMDLITVTDNVGLDAKDMDDDTTVMTGKCSERTFTCMGTDAKISIMTVGVHKKVANAGGPIAPVTDGGTGTALYTVTCNMDGTGWTAGGQTITTVECSATPACKICAKNLITVTNNVGLDAKDMDDDQTVMTGKCSERTFTCKGKDAKISIMTAGGPIAPVTDGGTGTALYTVTCNMDGTGWTAGGQTITTVECSATPECKMCAKNLITVTNNVGLDAKDMDDDQTVMTGKCSERTFTCKGKDAKISIMTAGGPIAPVTDGGTGTALYTVTCNMDGTGWTAGGQTITTVECSATPECKMCAKNLITVTNNVGLDAKDMDGDNIVTTGNCAERTFTCKGKSASIDFFENGALIGTFNDDDNDDVTTFTVTCNMDGTGWFIGAQKVTGVECSAVPRTYLKDLS >PPA03505 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:141995:143807:1 gene:PPA03505 transcript:PPA03505 gene_biotype:protein_coding transcript_biotype:protein_coding MEIADKDALMRTPTKARVECRTEEVVLPPEDSGGVSNYTNHGQASSREKWPVEDDITLISSVIHSGDIDFISKAAKFSQKYTVTELEKRWFDLMYDDQINARAKFRLSRCSPDLIDRMESRVPLSLSEKFVLEDRSLVQIADAQKLMLENPAAFQYARTPQQLIDQSHILNMAKSRRTNSRFVPFDVRLARPSSYTSEEADPEDIRPLRAMPEKPYLKGKNAVFPILHDRVLIGRSTSMWKADVNLSEEGPASIIARKQAILSRDAQNEYKIKNTGRRPMIVDAKVLLEARRRQNDRHGGREVLQ >PPA03554 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:413114:416213:-1 gene:PPA03554 transcript:PPA03554 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTIQESLAVFEEDNEEDEEPPSAPIAPQFVEITDDHRALAEELLKYTCPPLDLLDKGANAFLTLVTGVQFGEPYRRQPRRSVESISDGEEEERESASLRTLLFLSDGEDPPSPVKEKQLRHLASGKKQTGDVSGHSSSMKKKKLNLLFPNPSKKDSPFVKRMRAIQTNGPRFGNNVVYMEQLEAMNERIEALERDLKLTLRGVPPIETPVDKPAELKDPRSSASSKSESRRRFRGGGPDQKSCQLKNSRRLSLKQMSRIDKAEKCSDEMADQYNKLVQNTMDTSPVSQMPVPEGSELTVKDIIEERERKKKEEEQIEQKLSTMKLELGIAGTQDEEDRSKAYASGWSGMAAPTREEIDIQKGYWAVSSLHRTVVQLLNNNKLMIPYTPKPVWTDGITVEELNAQRERKLAEEKEIDETLAAIKAELRIGQNNAQSRSAETAGTSTSNQARPPQATAEEMEKRIEELEKLLTESLKRNIKLEMELEEKNAICA >PPA03567 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:460374:466187:1 gene:PPA03567 transcript:PPA03567 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGQKGKDPATAKLMRRLWRALGIDANAVLTPRRPAVINLPADFCDRICPKYPKARRDWQNEAKDAYRIHNICTCFVQIANLDPQIWIHLEIEEDFVDYARNTEMECYESAKNETEYRLAFSRRLFTVLIDAECIKKEEAERRMKKWRQIHFPMRQRTKKTKRTAGTLPDCSQHYIPALANLPCRGTSSMDDLRSIATVSDTSNVDALAPRSDKIRQVDDYDRRDEVLMLREDVAALKSSMAREMADLSSQLEKEMDQLKSMMESTITKDVAKQWLRRIRVVWILSICWKTVADLTSQLTAMRAPVEVVHLTSQLETMQIKEQQMLKQIEMKDREIERLKLREDMSAIKTQMMEALEVQVTEKHLRQQLEEKDREIHRLRATPSALSPSGKALFGRAKRPAEELEEPSVRPLPDSSAAVLLLMDYNPRTHKTKPSVELLSTQVRMYDTLVNYRTPAGAHTLPACFEMVRKRIESGKYSSVREMGEEVLAVCSSAPLIQTVWKTIVNIEAKKGTTAELPAPDASTKRLQWHDEVEDEKRKHVIGKLIKTIFPCSNPPEAIIKYAKKVERELFERAGDRFEYYRLIVEKIEKIGKEFTETKAKRLKE >PPA03486 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig119:49497:51432:-1 gene:PPA03486 transcript:PPA03486 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLVSSDRQCFRVDTSIAQQSQTVSRLLEALAANENEQIGNEELFNLIVAANYLDIKELLDLGCKRVADLFKDKSPDDIRKTFGIVNDLSPEEEEQFRNETSYFDFAFPLTHEFHESDTVFRLLDLPNEIISIIFQQLNIVDRLRARVCQRLFMLEQLVRYHIRKMELIISDVSSIEPLTEKLIIILCNFNRDVSFNFIIESYLALLKKISSNTIVETLTLFKSYGSTSDFDPKELMPCIQSIPTRELTIFDVGTIDVKNDSLTDWTRTRDSLYLPRTKLTGLGLDIASSTIALRRFRLEATNRTLMYLLRNIGITLVNNQFVSTRPDVRAFAEVSMFFGSKYYFLIGENMIITTDGGIMQYNEGELWIERHNDDGSMPDLPCFRKDIPISYIVDCDPLTVVYK >PPA03489 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:58984:63265:-1 gene:PPA03489 transcript:PPA03489 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIISTMVTLAGASFCGDSAIPFSIENRQQNLITRSKEETVIQITLDGQPILGCARPSCFGWAPNGQPATAISGAFFRMNERPDGFFRPGRDSIPPFANNDPRFFRPQTAICEPAPASASCSAPNQWVGGIGPALNHSTSPCVTMFPIHFEINLVHGGIVHCRTLFQCCAFDGLLGSESRGVASLHPGEMALGGEVNSSDVQTGFDYISDIRKVVNDDGSLQFDVSINRMPCPEELSQPQIFNQEPRIFNQQPPVFNPPPVAFHAPTFVPPPVAAAAPVYTPPVAFAGAGGGGAAWCFSEDMTVRLFDGSIKRMDQLSKQDWVLTAHDDGLDYEPVRFWLHRVPEQIAEFNVFETEDGKTIKLTDKHFIYKGDCSRVGSGPVPLPTLPKAAVTADQLSAGDCLYTLGDNGQLLHEVRIVRAAKVLQKGIYAPMTSTGRIIVNDIHASCHNIMQANAIGHSAYWTPAGLSTILAVSDLVMPKNLII >PPA03516 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:222489:224681:-1 gene:PPA03516 transcript:PPA03516 gene_biotype:protein_coding transcript_biotype:protein_coding MFPIESSSIGNITLIMSAGEHLRCDYISVWDDKMNTTATSKNGAFKILSREYEAFWSHPEYYLWITLSCGFVMKEKQFEGCMLPNGEPLQQMCGTDTANKLLYTKLHLNDPLKSEARTSPILPWMLYKTPYEGMVTVEGVHVCGLNIDVGEFKVKKGDYKQQTGLEEGPHIASLGKPQFECDAKLQEL >PPA03528 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:289349:293186:-1 gene:PPA03528 transcript:PPA03528 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHIKLQLELADYLATSPIMHGIAIFRLIIGVLGLCGLSILMRYRSTPAAFLAHNNGGVSTMFVITIERAVASRHFRSYERSSSRLGFGLTFVEQSHLTQSSDKATAIAEIVFYEQTMPMTVLWKDIWKWYRKSDLRQIWSAVIALIILIAQEAWTIAVFRRLLRKNESRLKTKSAFTLTERLDSAKNREEMETNKAIGEALNSVYTSVIQAAW >PPA03569 pep:known supercontig:P_pacificus-5.0:Ppa_Contig119:473487:475275:-1 gene:PPA03569 transcript:PPA03569 gene_biotype:protein_coding transcript_biotype:protein_coding MMAANKKIFQRRTTQYLLLTSVYMFYFTFLTPPIFTNSRSRACSSPVHRNGESGEFVNWPHTANNLLVVMATAVLYFSLCIVLILKQGGDGHHKRVKQNKAIFIQATLICVFNVVASLEYVYMNFFPATPTLVEIGHISWQLSHGAPPYIYLILNKTVQDRTRKLLGIRRRRVNTTITATQTITSSHPPTASTFVM >PPA03576 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1192:4358:4781:1 gene:PPA03576 transcript:PPA03576 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFTDAAVDRIQQLIDDWSAPLPAWTTVLSHTVTELRCGEVRWFYRRKDLDSKWIPFKGQDSIRLEIRWRQDHGMEIDQN >PPA03577 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1194:445:1036:-1 gene:PPA03577 transcript:PPA03577 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLSFNSLVLPDTEKNGSVYCKKCYRHREVLAASGEWMGIEEDQSISATILTKMRRESEHRVGDKLWKGSPSPRSPFSPYQLGIPHRREAIGSPSPRPPNSQPHNFIPQ >PPA03578 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1195:84:1295:-1 gene:PPA03578 transcript:PPA03578 gene_biotype:protein_coding transcript_biotype:protein_coding NLPEAEYFLVSKASLLELLTGCNSCSSGKNSLSFTEDAHALTCTRKCTSCGNASKWSNSPVLETGNASSKGKLRKVNVDMVTGSTVTAVGTARLNNFLKAVGMNTVSKRTFHRHKNEYLLPAVENVFTHAQDEGEKLRVAGDGSFDTRGYSAEWCRYLLVDADTGEALVHVLMNKKETGSSGKLEVMPCLKKAIEILAGKIGGIQFIDTVVTDRHSAIFAMMKQDFPTITHNYDPWHYFRNLTMSFIKHTKTLYMTQVRETWSAIIVRKAYDAVVRAQGNGVLASEMFRSSLLCCAGLHDFSS >PPA03579 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1197:96:247:-1 gene:PPA03579 transcript:PPA03579 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSRGFHVWQLHWPVRQRGTHAVVGVATKAAMLHSVGYVSLIGPNQESY >PPA03655 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:463731:465936:-1 gene:PPA03655 transcript:PPA03655 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEEVHSSTYGNVPLACFITSHALIPRDESQNPFKSMLGDGLGKLTDETPGKIIKTAIFGGAKQYGLQLVDEKTGEISYVMKVRGLTLDHAAKKILTFKKFKSLVMNGGSVQTKRINLKRMATGIKTVPEKKSYKAELRFGKRSS >PPA03758 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:925317:927241:1 gene:PPA03758 transcript:PPA03758 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVLSRLFTKLALKSTQYFYSTLAFFYLFWRWIRSGGAALKFKERQMPKKLLDNYNHKYITLPSGINMHYVEAGVPSAPLMVMVHGYPEFWYSWRFQIDHFKDRYSVVAIDQRGYGGTSKPSKVTEYCKSLLAKDLDDLIHELGMINPEWRSGYESAVVIGHDWGGVVAWRHALSYPQSVDRLIVLNCPHPGAFGRVLSKSAKQRSFSWYMLFFQNLRIPEATVAADDFYFWGRCALKKKENFTQEDMEAWKYTFNCLTSAINYYRCAYQFPETEKMKGKCIPKTLIVWGDDDKFLVTENAEMSAQCCEDAALRIIPGASHWVQQDEPKLVNDHIDDFLQNRKRSNY >PPA03639 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:412549:414774:1 gene:PPA03639 transcript:PPA03639 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTRFILLLLAVILGFASALPHKRDAPPADTAPATSGIGKALSDAMKQVADLISNIMKSATGIINNFAARRILLSGLFPFVDRVAWMNNIPGTLVQAVTKTLNGLSGSQGAPSSGNGAAANPPATDAAPANPAPSRKRRDTTPAGAAAPAKSGLGIGGMLKPFVDAINQFIQLVTGTFAKAMEIPRSFISGIANGAGMGGQAQSGSAPSGGAAGSGAAPSGNAAPGNSAPSGGAQWDNSIATDRVYSIIQLLH >PPA03859 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:1538759:1539277:-1 gene:PPA03859 transcript:PPA03859 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPDDSNKKSSKLNSFVGRIRRPKFDKDYISHCVLERLLSACIGVIESGVANNAVNVSQSRIRQDLE >PPA03825 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1291553:1292747:1 gene:PPA03825 transcript:PPA03825 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSMKSQWHMKSPAQRWAIGVLSAFIWMMVFWYTFGGEAQTPMAQDLAQCYEMMGSGDKISGDSISQSLEIARKFHAKQRDERKEALSKAIHKFTTVKPRDVLLYLVPEK >PPA03840 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1361758:1363501:-1 gene:PPA03840 transcript:PPA03840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-coel-1 MSREGIAIFVLKVSLSSSPKKLSGERARLQLVVLNHKSIHSIGDVPQFANLVRGVHEVDLAWNKISNWKEVSTMLKHLPQLRIFNLSHNNLESDLGDVEIPHCPHLDTLILNGTNLSLDCIRKVARQMPVLHELHLSQNNFDPDYFDSESAGPSGEGKENGAISDSVSLIHLNRCRISR >PPA03633 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:397472:398327:-1 gene:PPA03633 transcript:PPA03633 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAKLTPEMIEKFKAGRVTLKANPTILDASIGKLSAAAQVPAKKMRDLMLSAEEDPAKMQALVAAIKESVSEDLKKELEAHKAEVHKILGIPARSNL >PPA03877 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1601244:1601648:-1 gene:PPA03877 transcript:PPA03877 gene_biotype:protein_coding transcript_biotype:protein_coding MIGHFLKEMNKFKPEKTTIEEEKEAINKRIDEKSPNDTKRNEEFLFLEQDRAIVKLRTLLGSSVYYHTLHIS >PPA03742 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:849848:850500:1 gene:PPA03742 transcript:PPA03742 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYLEERHAFDELLIRFNLSGYSVASWGEKSQFKDFLMRTRALTYQMLLPCGAGVGVVIWLLDLAQIWSSEFTERFIMVITQDYT >PPA03666 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:508471:509289:1 gene:PPA03666 transcript:PPA03666 gene_biotype:protein_coding transcript_biotype:protein_coding MYAASDEARADLVPEFAHKYGLDARTRAMIMGDYWRDGHYNAHPLIGLAVFCGILSAGFAFMGGESISAKTRKLQYSLFRILTVQTLIPLLFVHFDAGQVHILPSFGIDSSVLC >PPA03797 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1147878:1149040:-1 gene:PPA03797 transcript:PPA03797 gene_biotype:protein_coding transcript_biotype:protein_coding MPVECLVCGKQTEVTHMGMNACRACTVFYKRNQKKSERLVCVNGSYACLDYGKSVFWCRKCRLERFQTVLNTAWGEDTSKLARQLSRIADSPERRSLSRITPPRSPKVPVLDSLRANHRTLSISRCAAELALRGIDMNTQYMNEEKFWLLVRNYEKIYHCVDAELRTLRKFRKRSTNIWGTYTTCMYLEYVEHYFSDCPNKSSILPASE >PPA03618 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:319189:319940:-1 gene:PPA03618 transcript:PPA03618 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSLSTTNEFIHPSTAAKVAIASAAAFTFIDVNRNLTYLVVVHTVIVLKAAPIAGRPLLGLR >PPA03781 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1055915:1058022:-1 gene:PPA03781 transcript:PPA03781 gene_biotype:protein_coding transcript_biotype:protein_coding MPECERTLRNSNLLVTMTALNGVQTALAPIGMICLLRFAYRLFRPTKQSGQITDENSNSFTARTSNRLVAHSNMQILYVNHIVAAVLLSFFSLLTHVNFLTSLVISPQCDVKFPAVLCISLNTPVHICTHAVALSLFVLCIERTLATLFYRSYASVGSHVARVIVPFQYLICSLIFYYQIYTIDARNTMSICSLITSSNQHRTTHVQITTFTLELMSLVVFGLLDRYNKRQLKKTIGMGLKDRYQLDENVRCLRTILPTIIMHTICFFVPNAIALLLYLIIKPRKTEVVLALSWLPYYGILLPIVVSRIGKRAKTVQVQHMVKNVATGSVMQNNYFEGLAQAWK >PPA03662 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:493211:494786:-1 gene:PPA03662 transcript:PPA03662 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSAFELKWPLVCWNTALAVFSLLGFIRMSEEFSHVVRNYPLLDSISYPGDPREPAGFWCFAFAISKVLELFDTVFIVLRKKKLIFLHWYHHAVVVVMLHEDACRVMSNSFAVKEAAGSGRWFILMNYGEHALMYGYYALAAAGLGMPRAVSMVLTTLQTVQMFTGVAISFIVLYWKLQGRIRDLECSRK >PPA03818 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:1254072:1255231:1 gene:PPA03818 transcript:PPA03818 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNYLLCAFPQHGWFIAFYTSNMGFGQAYLMISWSIRYAQGITAVVLAFNRLTAVVFPARYKTTVPASRNDERSKEKQKQENRLNG >PPA03773 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:1014665:1014990:-1 gene:PPA03773 transcript:PPA03773 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLTICIGISSFYLQLLGVRGEFIDSMLFTFAWIPSVFNPMLTLFFMMPYRRFILTAFGRVPKTSIQFVPVVRRSSVNA >PPA03765 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:973506:975803:1 gene:PPA03765 transcript:PPA03765 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIESYLGQIHASPHCHAPAQRPEEPLKGFQHGQKPQPTIEQREKLETWIEIRSQHANHACPRVELAPVGIAEDARLSAFITHGGAGSTTEATHSGVPLIVVPLMGDQLRNSHLIERLGTGIKISKDSLNSAAELTAAVKRIIEDKSFKEKAALVAAQLREAPFSPREKLVRNMEFMAKYGPLTMLDHYGPQLFTFQYYLIDVFAFLFLVLVVILGLFSGQLNNMDIYVRTICVSQFLAICWNCFQYFTFMCSIMLGLLPDLTALFEFLNKNNLV >PPA03873 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1579377:1583208:1 gene:PPA03873 transcript:PPA03873 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSSSIDHRNSKRAAAHVNDDPGGIKRLALASGRSNEDNEGNANNEVLADSPSTSAPQRAAPSQSATPSVHRPVFQLPNFWTPSEAELFALSMASQMPWTSLPALFGMQQLTMPTQAHISSTNIFNEDGRQDEKKAKRMEKIEERMMRDNKEIEETEKWKQKATQLEEENKRLRNLLSSEQQPQSAPILKAVPKLSQRAELHKRPSPSIDASRSVRVCGVEQLALPVGPTECGLPTESEEPQSAQVLVLPSPSHDAKAAAENDVDQKMIGTVELQTPSIEMGDGFVTHSAMAMDVNLTPESTLSEIKWFGVEPNFDVKYAYHDSLLRNGSNPSELISPIGRSDRGICGPTLQYLNNK >PPA03762 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:932115:932920:-1 gene:PPA03762 transcript:PPA03762 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIMLPVVWLDARRAKAVMETNTATVEELKATYTVVLQAAWNSAEVNFVEAEKEEK >PPA03652 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:457379:458751:-1 gene:PPA03652 transcript:PPA03652 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSSHEVAPPEVLQALQLDYPATWHRDVTDYRFSVSLTILILLSPAAMVIIFLVRRILLGEIRKMESRARDHHSHIAVALTYQLLLPVGQALACFTWLLSVGGLWSGEASERLVMTFGSFLAVGSPLINLTFLPPYRRMFGSRHRRTKTIGVSTTVSSMQAK >PPA03703 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:669592:670308:1 gene:PPA03703 transcript:PPA03703 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKLKVALSAASVHKSESGIENAREHSEDELDGEDEEYEEDEASERSVHCASRFSVWKTPTKDLEKAYKNVIKKDQNNFDFYKYT >PPA03663 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:495058:495584:1 gene:PPA03663 transcript:PPA03663 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQTKDSSERAYEEFEKFRRTINNNWNRDITILKSCGEGSPVERESETGKPSTDEAVRGGDNIDRETK >PPA03628 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:379239:379817:1 gene:PPA03628 transcript:PPA03628 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDTIVAAPDTSTEAAQEVDSEDTGRSLYVGYLPHETDEDTLKVFMAQAGEVSSVRIVRNAETGPDKGYGIVEFVDPPVAKNAIDNLNGAPRFQGRAIRVKLASDVTEHQVHDC >PPA03599 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:182123:182667:1 gene:PPA03599 transcript:PPA03599 gene_biotype:protein_coding transcript_biotype:protein_coding MLGADVFLIKSLDQRDQRELLTARISAAKQSTELRPNRAAIMHVDATTPTTEKSRKRQD >PPA03803 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1165385:1166353:-1 gene:PPA03803 transcript:PPA03803 gene_biotype:protein_coding transcript_biotype:protein_coding MKNASAHSSDRESTGNEGETNVFILCAKVAKPFVIDDETFLRMVDAQRVLMLKRGKVELTEHGLLAAFLAIADNPQPQYVEITVELMTAIKFMYFFGSELTWDGFMPSNQDYVSPCVREKMSEERQDGESVGHYCQSSPIIHIPPDSCLAIANRRHHC >PPA03675 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:537715:538180:-1 gene:PPA03675 transcript:PPA03675 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKQHVDPEFGNCYTFNYDPKNNLSSSRAGPMYGIRVLLFVNTSDYMSTSESAGIRLAIHPATQYPFPNTFGYSAPVGFASSFGIKKVCLS >PPA03638 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:408344:408586:-1 gene:PPA03638 transcript:PPA03638 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGIAYSLTVGKDAASAAKQKGRFSRVGIIAATDKLEMIPPFKPLNPSLTTAEQADL >PPA03707 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:707111:707775:1 gene:PPA03707 transcript:PPA03707 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTRPILLLIVSAAAVATFARSSPMAPATPNGTILGFSFGGIIMSTSQALDNALAPMFSGIGGLVNGLVGTQGTTSPPFVLTKPPSPKSA >PPA03595 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:155856:158044:-1 gene:PPA03595 transcript:PPA03595 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSFRHNYDPFTGGKLYRSDPVGHSKGLNRFAENGQERRPGMFKGMALVDRKGSTLEALNIDATTGTFWREGSLDKAIMEINGHNYDPFTGGKLYRSDPVGHSKGLNRFAENGQERRPGMFKGMALVDRKGSTLEALNIDATTGTFWREGSLDKAIMEINGHNYDPFTGGKLYRSDPVGHSKGLNRFAENGQERRPGMFKGMALVDRKGSTLEALNIDATTGTFWREGSLDKAIMEINGFPGASMSKFTK >PPA03681 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:561569:562766:1 gene:PPA03681 transcript:PPA03681 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQCQVYRNGAFVAAGLFLLYIIYSFLAPIGPIRGGIRSAQLLKQSSTHLLTVEKSRYRWTLVSIGWVQKKRIFLWTPIFGRYDSVSVADCPGLESRCVVERDRSRLADADARESRYVFMTMEAPANTFGRLPKDPEQRLLPSEYGRRTPVITTSTGQ >PPA03635 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:403652:404523:1 gene:PPA03635 transcript:PPA03635 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTGHGKNAKETPAQSIRDCFTDSKEPHEKRHYRPEDEALDATKAQGRRHCRVEGHDESKMKDESKHHEKRHFATADQMPVKVETIA >PPA03621 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:341707:342268:1 gene:PPA03621 transcript:PPA03621 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIHRSSTIALSKQMDNNNHPPCRKISRSLPLPVFTVIVSEAGESILTEDPPSIDITQIFEQPMYYHVNYN >PPA03786 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1085907:1087981:1 gene:PPA03786 transcript:PPA03786 gene_biotype:protein_coding transcript_biotype:protein_coding MTMFSLPTVDDGSVLSTRDRNNARLHDTLHESCWAQDKIGHASHAHDIDLQGAW >PPA03785 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1076574:1077457:1 gene:PPA03785 transcript:PPA03785 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLSIAPNPRVVTKNHIQPYRSSLYDVRSPIHAEITADKSDFFSINAASNTGSLKLTEADSVTSNPQAFGFYTKKMTVDWTPNGSGEDFFLVKWADVSSA >PPA03606 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:211494:215224:-1 gene:PPA03606 transcript:PPA03606 gene_biotype:protein_coding transcript_biotype:protein_coding MIQWLLLGFFVVTVVFIILQCSRILYRAVPEVDEEDVRDDESDASGETEETDVSDEFIQHDDSAADPSSVEDSEVDAGQEAFNVVDETAGENEDGQEGDNIQDMDDVAVDAEDNDCDTVSDVEEEVVRADVSSEAAISDEEMHRADEKFLRIHPGLNPTLSLDEPNGAHPTEDQSVDCCRSNASSPLPSTDFSDSDDDVFRPDTPPVVPSYISFGPPPDFYDQELSHEAPRYFHPLPLASDPHPPPTASSPPLFCCEGRASWLPPFCVRHLNLLYAQILAVISRAVREEVFIHLGDVNYGRLLHDKYLWYVESADFFSGLFDLAHVYSLFLRAKLAAGPSEYDGERLMARWAGVQQLWIRLVQRTLQAVRREYERVLQAAYTLWLQQLQQQWAQAYDRWQEMSRLDRQRAFSGGYEMHACHQLLLRRQHERREERAALSQQAAIVQPANLEIDMSSLGYAVVEVGTLWDMTAIFAHLDAIDRAAFNRSIPSLPFSFPHPIHNRSCCFLCQNPKKFGNDFNISQHHIFPAFVVTPLAPARESRLLRPMQMPKVFTSVMGRSLSQLLHYRFSHSSCH >PPA03829 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1313653:1314248:1 gene:PPA03829 transcript:PPA03829 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPFFRIVDSISQGGTLYLCYDSSDEVNPCIGPANEHEHRVEAIRKWVAKTARNKGLSAFDDLANEFILKVLGKTAAQVSAEYRRSQNN >PPA03645 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:432385:432821:1 gene:PPA03645 transcript:PPA03645 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAMFFLSLFLILPSTDAFIRLHKSVLYDEVDFKGVNQISTDMCTAGCKVYATIPATDDAARITANILIADPTTKTNTRH >PPA03587 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:123606:125478:-1 gene:PPA03587 transcript:PPA03587 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEQSRLNQQHELYKAQLHEMRRQEAMNEEQRRRQADELTAQALASQKRAAEREEQLRESIRRIEEEQLKRAQEQSVNSFHDELMKEYDSKIKEKNDELHIIQMESMKEMEALKKEQEEKNKSHLEDLSKIHDKIMKLDETHTETMKKLLEERNVERKEAQMEIISANRQFHEATYNMIKSRSETTAITMAILNNVVAKGALEKDSNCLKAKIEAMKHVVSRMEEDRRKIVDWLVEIDMKKEKKGLNALISRGNHEKTETAQSYLKSMAVDCSLLDSLIKETALLLSNSIFDRELIEKAKGSLNFGQGVVADLFDAIAKIRVEVVKNPRSVSLDAIKVCIKNLDLALNSVPEMVTQSTNEYIHDEMKKLQINEQQFMNNNALTMNFENPAVKDVPEEH >PPA03613 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:263093:264739:-1 gene:PPA03613 transcript:PPA03613 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRGRISFVNDLELVVFLWRHLSPKYETKTLTLIKHKEIIDRMEKAADQHAEWRLSEDSLRCLFEELLSNDEVKASITASLSPLHFTVEEDQEAGWLIDSIPLNIERGGGTTVKTFKGYSPVLVSLMRYENI >PPA03854 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1507503:1511260:-1 gene:PPA03854 transcript:PPA03854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-twk-21 MDGQGYHSEPFTPRNAVDRNFHFPEPSTAFSCPADTKHSLHPNQNNQFTFDFGEHKLKDEIKYHKGQESWSSYVWRVFKFLYDYLGIKHILLLILMVCYALAGGYMFYSIEAPAEILRDQARVNKPRVHGDPSDHSMQSCEAEIAQLFREYDEQLRGYYGLDTAWKWDFWNAVFYSGTIFTTIGYGNISCRTFHGRLATCAYALFGVPLMLVVLNSMGRGLFMSVQAFWEFMRRFVRSNIRKIRKNLPMRRVLTLESVHSDELMGLTKKEEEESNEPEPDLFTTFPMSLALLTVFLYISLCSWLFCYWEDWDYSTAFYFFFISLSTVGLGDEMPNHPKRACAFFLFFIVGLALVSMCISILQVKDY >PPA03615 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:294043:294469:1 gene:PPA03615 transcript:PPA03615 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPVDYLFLFNCAYLPPLTLVYLVEIWAIVKPRSPFRSTFYLLFLTGAIVDLISVGVSFHELRLPFFPLVNGFCEDYACQVCSQIRLA >PPA03830 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:1315761:1316539:1 gene:PPA03830 transcript:PPA03830 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVMITFRVEKEDTLEEAKAHEEKQLKQEEARFGLGDALTMLAKKPKMSVLDKSDLDWK >PPA03777 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1041926:1043479:-1 gene:PPA03777 transcript:PPA03777 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLAVADISDLIFSSIGFGIMAITGEVYCTHPRLQLLFSLELEFFFFCSTTACAMLAIPPIVWLFQDTRTWIVLAAASFAVLSLILFTPPMIFNSNHHMLFFDDLRGSSIRDNKMPKLRLEREIAIYLIPVRQLRGSAFTDYVLGDDRWADSQAWKAFQILQFIPASAINTMLYFAHFGWMLVHGTPSIVYLSVNQTIREKVLDLLRPKRHVSVVASIARNH >PPA03604 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:200466:200971:1 gene:PPA03604 transcript:PPA03604 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMYLPTGIAGYLAYGDSLRDSVINSIQTIAIQQAINILITVHLILTLTIVFNPLNQEMEELFRVPQYIKAIGLQEETSLGRGLGSSEIRDRT >PPA03686 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:595825:596805:1 gene:PPA03686 transcript:PPA03686 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFIVLAVAVLAVCAATDAQKNAAYNKMLQSCEDLLANKITKDEAVKQVAAFSDGLSADDKKGLAEASGFISGLVSKLGDIPAEHRVALKGACGKSKPTA >PPA03695 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:640817:641736:-1 gene:PPA03695 transcript:PPA03695 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEKWNEIQREYRSEFLEKFVVKNVLGYGAHGSVFAVDSLFMGRGYAVKRIPIKKNSPDIERALKEVLALDSYDHSGIVRYNNSWIERPPPGWQKYEILGFRTRKMEIKPRDRSEFTKYLATSYSVD >PPA03699 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:657560:658254:1 gene:PPA03699 transcript:PPA03699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vha-4 MLTGQGHRFDIAWLLTETSPNMWAGFGIAASLSLSVIGAGWGILTVGASILGEANLVSIIFCEAVAIFGIIMAFIFVAKMEVNLPFSREDLDQSDPDQVTILARNLASGYCT >PPA03814 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1236149:1237100:1 gene:PPA03814 transcript:PPA03814 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKDILEQSNQVAATGEIIGSIGNFSSMQRIIPCGKSLLYVSYGPCKYLGSNFCYAAYALTLFTYVLSFYLNFAAFFVRHKIVRQQNQKIQMKEVLKYLLPCFIIPLIFLVCFLFSKSDDDEMRAIMAPGYWWDLSWVAIRVLH >PPA03598 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:176096:177938:-1 gene:PPA03598 transcript:PPA03598 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRTISRRQEKKLVKAVEIVRPTREKRKKTEEEEDEELPEAPQPVVQVDPVSLGPKTAFGGVHGIVVVSLMLANAHTLTLVLCRQLHCGEYQDLFYSLIGILGCCAGFCSADKNDALGLGGLGQFAMRLQLLLIAVASWEAKDMDCQCLSELFFTKLTVLGKCH >PPA03838 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:1354888:1358055:-1 gene:PPA03838 transcript:PPA03838 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRNRGYVRVLIFCDEKEERPKKYDALLAKHGQLEKLVKVDLSPKSHVTVRVKCEETAFDQEMDLKVTKTVGQLMRQIEEPVGVAYDRMRLFYFQTYNEEDETNDCSELRYPKQLLHNLHVDDGAMFLVTPAI >PPA03811 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:1220584:1223666:1 gene:PPA03811 transcript:PPA03811 gene_biotype:protein_coding transcript_biotype:protein_coding MPRERDRTEEKWRREGRDERKRDSRRHSNKSPERREKRRGRDSRSRSPVHIKEEIHDIGNGYGGFHPRFDWRNEERGYDSSPRERAHYGLRTSGYGSRPTQSYLDEHILPSRPSSYHQPGRTFPKKKTITRGPVSFHHDDDDEVDTKPFPAPTNWLRRTPERDTRPEPMTRVSFLSAPLPLFGSSPLTLEDGSPLPKNSGAPGHLLTAMERAEMEKERQHNFSASTVLIHLFWLRNAYRTLDISRSTKYTFATYRLYHYYALMYSFG >PPA03680 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:556992:557346:-1 gene:PPA03680 transcript:PPA03680 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQLAWQQRGLNWYLFNRAGHPTLFGLAITSVAVTLPIGCTLIVAPCSHMITIVLRIFDGIKKGKGDFDIE >PPA03708 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:712255:713573:1 gene:PPA03708 transcript:PPA03708 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTADANCLATLINASGTACVHLGAPIADPATNVCPSPAIVYVKTETNCADGVIASIENENDPLPAIPQGPIGDGYTPGECSRPTDVIGDLATDQSSPPCGTPPASQQRLVIDAIQHDGTHITLENYNIGVAVWNAQVGSWYIDLVDTPTRYYFKWAKCVLAPVMPLTPNCACAPLPTEPATAGYSNPSAPVMDTDSCCASAYTQ >PPA03644 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:429210:429593:-1 gene:PPA03644 transcript:PPA03644 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLLTPSSTSICPNTPLDGEATARVYVVRDSTLTSYVYKFMNGRDVYVNEPIYAANCAYYPDGGEL >PPA03594 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:154036:154562:-1 gene:PPA03594 transcript:PPA03594 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPEPEPQPNMGHRHSLTIETNPLHLLPSNIRRFSHADHIRPGASVLSKLALNNVHLSETKETPNEKMDETPSNETKK >PPA03619 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:331981:333217:1 gene:PPA03619 transcript:PPA03619 gene_biotype:protein_coding transcript_biotype:protein_coding MNETMSVSANVTTHVAKSMEAQIIQFIYKYVLLTQIIFGSSGNVLNLIVLLSRKMRSRTNLQQGLA >PPA03794 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1134870:1135417:-1 gene:PPA03794 transcript:PPA03794 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVCIVFLLIVIIHMIHARFLYGKDSRMDRPFSVYDRNCFFSPIGCHFVKGRRVMTEKKPSSHSAC >PPA03844 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1392335:1398972:1 gene:PPA03844 transcript:PPA03844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acr-12 MRPTLLLFLLHYAEAETVIRRSAKDLEGQLYEDLLYDYNKIPRPVKNSSDILSVDVGASLIRIIDVDEKNQVLTTNLWLEMKWTDAKLVWDPNKYGGITTLHIPSELIWTPDLVLYNNAAGDPDITILTDALVTHDGRVFWQPPAIYKSFCPIDVTWFPYDSQYCEMKFGTWTYTGRYVDLKQLPKEEVTNKTDEEGNDIEFMQHGMDLSFFYLSAEWDLLSLTSARHAVLYSSCCGPEKYVDITYYFKLRRKTLFFTCNLIIPCFLISILTTFVFYLSDHKITFSISILVTLTVFFLVLIDLMPPTSLVIPMFGRYLITTMILVALSTVVSVITVNLRFRSGSAYRMSPWIRVVFLNLLPKLLMMDRPNNKPEEEKEGGGLVNPNELLDPTRVVCENHGDHKGPSVKKRSGAHHKKSTILNNTLDLNEETIRLHESPRDGVPHPPKKRLDFIPTIPFKKQKKNKINDIVFINLLHQVRFIAEHFRHNAKESEISDDWTFVAMVLDRLFLIIFSVLNIGTFIIILEAPSLYDYSMPLNITIPTKPLGMANLFSTHAR >PPA03669 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:525547:526630:1 gene:PPA03669 transcript:PPA03669 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDDVVRPAWVESTARKTEMVFNAAAVPLAVATLVLGAVNLNNCPVQPGIPKYLIMHAVVMLASVLVYLYVQRKKHQARANTYEEPTIVRVMNGIVIISGLVTRFRVWTFGAKPTFDDATKTTYCNFWVYYVAYASFVLFFVLLIVTICLTCGIACYEVCRKDMEQNRESKRETA >PPA03757 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:923167:924824:-1 gene:PPA03757 transcript:PPA03757 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDCGSGAETQGETDSQKAARQLHAQVLYFIWYSWRFQIDHFKDRYRVVAIDQRGYGDSSKPPNITDYSIPALTKDIDDLIHDLGYNSAVVMGHDWGGAVAWRHALSYPQSVDQLIICNCPHPAAFGQMLRTNEQQRSHSWYMIFFQTPHVPETAVAADDFLMLEKMFWSKYGLKNKENFTQEDMEAWKYTFSQPEALTSAINYYRCQYQYPEKGLKLGKCIPKTLIVWGDGDKFLVRENAELSVQWCENATLRMIPGASHWVQQDEPALVNERIDEFLQTCNRPKSNY >PPA03729 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:795088:796011:1 gene:PPA03729 transcript:PPA03729 gene_biotype:protein_coding transcript_biotype:protein_coding MLETVPFLRKQVTMSYLCCVCRKVKGKKSSRDNSSPIPQKTQHNITVSVMIH >PPA03770 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:991941:997779:-1 gene:PPA03770 transcript:PPA03770 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAIDARCDYVQKTDACAGGGYLQWTTYVYCDDDEVGNWFIVAEGVIFLLFLFLMLSTSADDFFCPNISTIVNKLCISENLAGVTFMAFGNGAPDVFTSLASVVTSPTPRADLALGGLLGGALFVTLIVLSGVVLVRPFKAAIFSSLRDLTFFLITMGLILLFFLLSDKVEIWQPLLFIGVYAFYVLTVLTTEYVKKRKRRRAEEDARAAAPEITVTLDGETMDTELKVMHRLDALRKSATSISRRSVVISSFTGNAHEIVIEDSEEDGSNDFIISQQETRDKRRHKPSINHIILTGTKGVVSNILGYFAPEFEDEEPSRFQRVKTYFLWPITTLFKLTVPLSAAEWSKPVAILLSILSPQAFLFNTQLLRITPVEGGPGVHAYAPIVSVMLIVLILLTTTMDQEPRFYKIIFSLAGFVMSVSWMYCIANEVVGAVSMIGVVTGIDQAILGLTVISWANCVGDLVSDSSVARQGFPRMAMAAASGGPLFNVLIGFGLPFTIATIKGGDAGVPISMNSTSLIMIVFMFISLLFTAANLILFRGHLKRAYGIILIVVYLSFLVFVILSATGILPWINP >PPA03583 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:86745:87405:-1 gene:PPA03583 transcript:PPA03583 gene_biotype:protein_coding transcript_biotype:protein_coding MVWHDSPHFLCLPLAILACAPHTPKREVESFEEKSDCVKPSEETSLCTECMNPAMNSPAEVKCPPNYKLSFKDSKGNDIATTGERIYCTTKMRWAVYIELTVPTIYNAKDITNVQCKPSQ >PPA03684 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:590027:591418:1 gene:PPA03684 transcript:PPA03684 gene_biotype:protein_coding transcript_biotype:protein_coding MTLADWAFLLYAVYVSFIIALYVLEISAVIKNEKKAFRSSFYRIFAVLAFVIWRRALIVGIAACALIAIAPIVCLIGSPIEFMDLSERDAQFSWFYLVGMAEAQASLWFNIVIVTVMPWLTDLKYVHKLW >PPA03626 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:369560:371071:-1 gene:PPA03626 transcript:PPA03626 gene_biotype:protein_coding transcript_biotype:protein_coding MENRNGIGADQANDGHGDSGNLVYQTSSAKRMRIDVGTKVTKNVRKEMAEIEEALEQLDMQSASARKTRRERRAEAHEQFEKENQVSIDKVKAMEALKDDEVARKEKARAEYIHEQTELQNEQNHLDHLNSQIKNDELMIEGFKRDVKKNVSNLQKIINF >PPA03612 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:257263:260645:-1 gene:PPA03612 transcript:PPA03612 gene_biotype:protein_coding transcript_biotype:protein_coding MIVNSTSNAIIVPFLEKEHLDLLLCLFSSTVDQSDPFSATRLLSSIAPSVLPGLRKRAAASKLNLVQALLSYSMTARIHEAGQDDEILSQSMDLLKRFDRTIDEMHEKMHEFEDCNRTLSAMLTSATNFLLTSEKHQNDGAGIKKAQSLIQQQLKQLVANQKTMEGAVECRNEDAPEYLTRRDRVARALLDRFTALEISGATAADLAMTPAFKTPQVARNDVEKEAENAMDEECDTPKRSNSLKEHKWFISNTTPTPKREQPTNRGIYEELLDEEKENMGPFKKTLEVDDIDTENMEKKNDDENVRTPLGEQTTPVRARPSGLRMRSSNNENRPVLGEKKGQEDKARRRSKARYFYALRLDRYSCARKSKPFRRDCI >PPA03806 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:1180689:1183256:-1 gene:PPA03806 transcript:PPA03806 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFMDNLLMDNLLLRHWTYHSSNCLSRFLAFSVIRSTREEEEEAELEALRFANGAHAREPLWFESLVPLPNRKVGRPRKNPEKKPAAKSSKPVAKRREMRLSTFAKHHFPEMIKTIAEQTPSTSTYNTRQKKNEATPIPAPTYTFVPATPKMVPKAHINPCQYCGIDCVSMKMEQHVKTHHKDHWLRFVKKCPEKDCDFRSDDNEAIDAHHDDYYRVLQILSKLNVLVSEPLIVWERKEEKRGLF >PPA03690 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:609664:611187:1 gene:PPA03690 transcript:PPA03690 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIIEMEPPISIATLPPDIMKRIIDMEKESLDEIKLISRPWHTLSSGVTPPPLERFYLSTGRTSATVNSVDLSPQMAVDLVHAHMIFPELFSTRAGVGGWLRVVDRFADGSIETTCDPVRIEDEHERSCFVAYAALAWNNIVVFCKNRGVKELLIATSTLQNFTHFMKEFANVGVTVDIYERSGSDSRTYFNSTPQILDQFADELNEEGISMRMNKVYDAAFGQMGYPFGVKSHIRCEKQGQIDGQPLIPVQRARGFNF >PPA03691 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:611427:612798:-1 gene:PPA03691 transcript:PPA03691 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLLFHFLPCFIAGDFIGDWRIRYIQDNINAAAYPRFPTQEYLKARSTMTPMTALMLGPLSCPGKLQSSLRFTNVQNDFNDRQNLYYLDYCRMNDKNGCRMHCHSFAKNHVSDLEYYETIEANQEECVQRCKVQCTRIPDCEDICDNLCAVKFSYADQAIYDYDMRKYKADIGRKYVEFKKSVEEGWMLEG >PPA03860 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:1540622:1541805:-1 gene:PPA03860 transcript:PPA03860 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSVCALYHAAIDPTCVPFDVKLYPCNWCKSDLCGDLIFSTGECPDSSLILGVKNYIQVSMAKKLITEEDDVEDLATRLNTVLPSIDKDLFNLLLTEGKAINAQGNGFFEVRSTTILTDGILVCALTAVLVRLACMQKKSIRCHVRWERRIVGWERVQRD >PPA03849 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1439164:1455672:-1 gene:PPA03849 transcript:PPA03849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ada-2 MSGLGNRRTPRRAATLPPEPPPAKLARGEAKQRVPTEEKEKEFKEPKLPKPKKERKEKEPKEVKEVRDIRHTGPPRCSFCLEKLGIKSHIKCLECEDTLICLKCYTLGTEGNKHKRGHPYQIPGSPGSLFARSWTYPEEQDLITTAQRFKLGNWDEVAATLSKTHGRKRLCEETSRHFQLYFVQSTLGQAAMKAREWIERRSDFITDINDLLNDPSGRELGYHAVIETLRALDDADLPDVNEKDLSEKLWKMTEKHFGTLSRLQKKRKASALSYRSYRSHKKAPFPYGEDDDEEWWIRDGKKKKPVKPAVHDESDDHDTIADEKDDELEEFIEEGGMEKILDMFQKAKLNEDPAVQESLSDHGEERPLPGQPQMKRVKWSGMKTRSDCSDDDSFLEKSRRDPSEIDLPDARAIPYSDGRRNINILMDIGVDGDLQGCKSGVIGRIQSIVEMEKEATPPPPFDPDQPVVHEIKMEIKEEEADDVDDYPVDAVANVVPIDEQEEQSEDEVNSTKTESDKDVSVVFDDENYEANMRAKGYGTDDMYSDWDDASKNEAVSVLKSLSKRGVLSDFSEVEDDQEEEIERPSSEDDDVAPRRARLSRARGKRGAIESSDDEEESSSDSTDDVITAQRPKTIPYHEIESEREQLDLLFGKNSEEPKLNKAIAKVKKTKKRVVKLFKLGSDGRYHADTEEIDEPIDDFLMDSVEEVGSKEWIVRRLNNLKQFYYDKDPESGRWHAKYPIPSVDSELDDEIDEYGEALFAHMEEERRANPPQDPDDVDMDRTPEARFGDDPDVKPTQEELEKLTVAPAAVDAQEPLTIESPEFANYLMEHFLEDMETSDEESVSSDQICDLIIAKSRLGHSPSPDEDDSEDGEDEDEEENESDDDARRTPDSALGDDEDEGEEDEDEEEEREEDEESHMDIKEEPMDAEPQEVVVKQEVKEENPDDGCPEDAPAPAAPAAAAPAADPVKEEPSTPEPTAAEPAPIQIESADEIKKEIKQEVKQEVKEEPQNDGYPTGAVLAPPELATPLKTPTPAKAPTLKSPSSVPLAKPAAGEKEIKKEIKEEPQDPKEPEAQEDPQDPEDDYESDDEQERPVKSPVPHALLLHTSGRRAYTIIPLQPAVITPREDYTKSRSTPPPCQPSSTPTRVLNVKEELPEEYEVGADRVPTRDRGHTPGRADLRARGLKVVPVGHTPVLLDPNDGSTSNTPEPFFGSTEASPEPAAKEIKEEHHESDKERTPPAVHEKTPEPALAPVAPVALVDSAIDEVKEEPIDDNAERVSTPGNSMGSPATPVGPNDLALEDFMDEPEGHTDDTEDDEATIDTAENPAVENPDDFNDDDLHGSFCNCRNCKYDRHEPLSDEEVGSDEERMMREQEEMADEEKADEYGVDEEMDEDGEYEQGVEDEMEDEMYEDEEAEEFDEREIKQEEIDDEEEQYADPPSPEESNHIPIPEDEIKQEEEDEEVECSKDHGDGLCGCEPCGNVRVSAVEEESDVEHDLTRKINKSGVMADVAAELRKNYVDDSDQSDGYDASSESNDEHSQIYESDDDQDSENEFHTTSLRVSTEDVDDYLTSHPSLSPSAFMTMKEAKEFVNKCNAEAKAIKEEDDDEDMEKDAMRSPSPSPEPERNNYINDDETLFHRDGEDYPISHPCLSPSAVIQPREADKLLKKCNAEFKKKEKEKKRQEKKEKQEEEERAIIQSMRRKGLRPDEKLDDERADEEKEEEKEEEEDKDKTPPMLHGDPPEDESESSQKPPEQPRTPLLVQKRGRTDLRVETRPRTAKEERKMEEERKKKEEEEKRVEKEEKETMEETEKKKKKKLKKEKHVEFREEKEEKKEEELISPPKKKIPRELQGLIMDNELRQYELTQSKSLKERASKAIGTQKEKFTRCGTTVHVSDSEPEIEQRIRWSKKKSKGDAAIRSKKIIEPPVVEDLPRSSRRVQQPTDPKRKPLAGPGKKANAASKKGAKTEEVKQNWSRGRKRPAEPYPVDESSDEEIPATPKKKGPQPKRRRKNKRVTMSKKERKAQWNRKVQNRKAREAEKADEKKMTCSVIPSQKARRRRQISQERLDAYRFLFRRLPYDYEDYGFRNRVNFKGWINDIYNMGYSQERDDYEVDFFNEGEQIVSRVNIINIGYRDLPHQIEAEVKIARAQRYFRVCQERRALRNYAAEYERVLAFLNLSMKMCAMTEKRKPKLRDIFRSRTAEEKFDGKLAQVLARDELLEMKQARTRLLKIRSRTEKLQQLHIAGKTTLDDLHRCYRYRRVCSEVASHVDEGTGDTQTIADVDDLPLDSVASDSSDPVVSYSTISLPISSKTTPARSSSSSANKRALNVIDAIVVEYGQQAVEAVLDCIIVALHDTLAKETAQRPNDFPEQQHKYRPFRPCSMEAFEVPICIAPDVEIAVGGGLCTGAPRQQAALASLFTATALPTGASSFTTQSAPVRSVMGGGGGGAGGSGGGAQSHAVGPPPDYSAAHIAVSTSQSAGSSARSSGLSSSSTTNGRQHGKFAASSNRSGAAKCQRERAALVPKIKDKKKKKEDHEMNEIRIEPSTIKPYLGSNPSMVVEIDLRPFMDDASTERRVSNHPSLTPSRECSRLPSCGLLPTPIVYKKNGDPMNRRILNGGHPWQRPDTNHHYRKRFPGQLRMLVLQVPRRPPCPDMPEYPGEPVMRGRFLGYSIIHRASKYAEMRKWADYSLANHRTYLRCVEEKKYDVLAQMGPFMPYFPYLPEYWISPAHVAHYIAGEKLQDRFRTIFHASADQHRGLFHPAGFRIEEGMLHTTARDWLREAVAFAHDTRRWHAEMPSVEDRDALLEHLLLLRDADTTAPRPALDADYNKTCGAYLPLPFRYVRYSPTVDMFDPADPYGAVELPHEWTRERPPRRDDDFRFSMPDPFRRGACSNLTEHSVWRELGQFAVSLGMDAIKCVCGAEEAVEEETEEEVLKRMIVLPLMAGQEKARIEWLATFHPWPNEQVPLTEELTKEQIERGVQLRALRYPQSTKEPTAPPLEEKKKVPVCFDNPSFDQSTSSVKDDDHDGKGDDGGGHFFDGAMVPPFAHAEQKENASSQENIDDTAADDLVDRISMCVIEPPREVLVEEELHRCSRPERSEDGAKEPLRRTEQGPKQRASNQPNAAMTRAVQEQFRRWRAARRNRQATPTRMIPRPSSISKQQMRAREPMSSVVDAETDIDNSA >PPA03863 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1546246:1549052:1 gene:PPA03863 transcript:PPA03863 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSLFQSVLRAGGRKRRGTRGFVQSAEANAELLAIDDDDAPKRLLKSFNIETIDMNLYRSNALVRGLVGRDAVYGGQVIGQSLRAAQDTVDYDRDPHSMHCYFLKAVWNEEPVVFETDERELCEDILEFRIYSGKQSQHAHTFCYRHTFI >PPA03774 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1018364:1020681:1 gene:PPA03774 transcript:PPA03774 gene_biotype:protein_coding transcript_biotype:protein_coding MNVYYVFFVFAAVLALAAANTGHMARLDRILIHLVVCAVAISGEASPLRFLVVGDIGGMPLVDVTAVQLQVARSKIGRSRGLTSIINLGDNFYFTGTTFENVYRDTGVPWLTIAGNHDHMGNVQVQVSYTAKSKLWLAVFVPRKQNISSMLSIARNRYFPSLYYNKVYQSGDATVEFVMLDTVELCGNTVGAHCRSIQADYLFVAGHYPAYSISSQGPTQCLINRLVPLLKKYRVNAYFAGHEHNMQHLDHDGVTHIISGAGSRSDESTAYKQDMLQRGVRVYWK >PPA03725 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:777996:780204:-1 gene:PPA03725 transcript:PPA03725 gene_biotype:protein_coding transcript_biotype:protein_coding MLTESRSAPGHLTPHSCFVTPAMRAQGKFGSTSMAEHHWAGIIIFLAKSLANPFRILTVNQAVTDIINSTVFSFIIAPTVFFTFALPFEVTARLGQLLFLAYDCCSWSHLMITLNRFTFTFFPFDYAEVFTRFSTMLYVLLIWALAICINFYEYAYIDCHFFLPIGAWNFDFKGGPECKVVEWYLNYCRNMILTAVIACLDIAIIQDVASNGNKWRMQRQEFFLLAQAMLQSTIFYIELVCCYNIGALPFMASPWAQFGLRTVAWVSTHAADGLITLLCNGDFRRMMYRRLFPNPDQVKKLNSSKLTLSVNFPIEVAGGRPHSVRVVKSAPNRMTA >PPA03753 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:906812:907429:-1 gene:PPA03753 transcript:PPA03753 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSNYLGDAKPVWWIVSLACCLVAVAIYAIVGIRIKTSNMRGHEARIFKSLLLILISVICGYIGTFAAANIITAHFKEIDFKLGVLLDLIFGIPINISLATNYMVYYATSSEYRRVFRNQIYLLSGRKVVLRGTVSVTAVSSTAQVAKSRF >PPA03822 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:1284463:1285746:-1 gene:PPA03822 transcript:PPA03822 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSRSAPSTPVIATRDGKIQGKRLVDEPNFKADAYLGIPFAQPPLGDLRFRKPVAPNKWADTRECFEHPKKCIQVPFELLSQNDKDWPASEDCLYLNLFCPGDYTLKDNKYPVMLFIHGGGYACGSVKAYGEQGICDGLINGAISIWKEYRAIDHSFIVIASG >PPA03748 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:883118:885001:1 gene:PPA03748 transcript:PPA03748 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAAIVLGVVASAYTIHKFTRSCKENRCVAARLLSYKISLSVADALILFVYAPTQAVWITTFWWYGGDALCRLYKFITTFAFYLTGNMQAKDYNARLFLTIAWVLALVPALPKLLNYVKLNYVNGNVDCPQCSSVWNGYSILMEIERAHRAIPSELVDNLTIISSFNTSSIWKEWIRVQELQKLRIRHNPHYWLPWRVGKTMYNIVHISMMCILPYALELILYALIISVLTNARKGEFSGLRRFASN >PPA03659 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:479835:483258:-1 gene:PPA03659 transcript:PPA03659 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIVLFIALLGIALAQVYRIQLTKQGWAVFQHKLRIYKHEFAVIQDSLRKVLTRSGHWEEYAASKRQLRSAGTSLAEGAYTQKVSDYYDAEYVGDIAIGTPGQPFKFGPNEAFTSALTATSMTGIFTNDTIDGILGLAFQSIAVDNVKPPFIEAIDQKLVQQPLFTVFLARDGPTTNAAGGVYTYGAIDTLNCASQYTAYVPLSSATYYQFRLDAVYMGTYRNEKAWQAISDTGSSLIGAPPDMVQYVASMLLAKYDPTSGLYIIPCEATFADLALMIGGRQYDVGPENMRFYVRVFYCPSFILGDPFIREYCQVYDVGNKRMGFVLAKQQFS >PPA03769 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:987987:990060:-1 gene:PPA03769 transcript:PPA03769 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSVVSEEFYHTYSLTTTIVSCLSNTMLIYVLAVTHLAHVGPYRYLLLIFAVVDVLISLVHLALIPVRLIILQLSGKSPSWLSGFRQNPWRNWLVSTFVAGVIFVGGILLCCFMGLLPNEQSRAAFAPVLHEVYNIDLFAPNKPGYLGIIYWTLNDKGEKEWIPWEVFTICCVIVLFFTAALIIIFCILRIVLELSDSRTIIPTITSYMPLAMIFLVPLTGISLDGFGTVLIMSTALFPMLDPYIVIFLISGYRKAFVRILQNLRLPISEAESSVQVTPQMN >PPA03733 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:817528:818318:-1 gene:PPA03733 transcript:PPA03733 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIMKELFKRSQFEKRLDLFQLKMFKLKGPEIAFATAIGFAIMGFIGFFVKLIHIPINNIIVGA >PPA03591 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:148087:149147:1 gene:PPA03591 transcript:PPA03591 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVSHLINDSSNSTNATECGYSEGPILLAVFRRSLPSSVFLAGLSLLDALLCLTYVLLFGVDASCNHLQVEFLFRLYHDYLRIIFFLCRVVQFAMPYMLILATLERFTWTAGDQMRKIKYPPPFDR >PPA03673 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:535769:536340:-1 gene:PPA03673 transcript:PPA03673 gene_biotype:protein_coding transcript_biotype:protein_coding MESPASIHSITVPSSPLRRASSLLRDKLQARPFRGVKEPVVNRVKDFADRTTAHGAKHVLEARTTFGRVSWLTLIIVLLCVVVLVVFMLVDKYSRHDTITLISVSCSFY >PPA03616 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:297435:299730:-1 gene:PPA03616 transcript:PPA03616 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVKGDGMRGLAVFISDMRNCKSKEAELKRINKELANIRSKFKGDETLDGYQKKKYSGQPPVDAVDNNQLHLLECLEGILNSAQDAPYDDENVRH >PPA03580 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:34529:47515:1 gene:PPA03580 transcript:PPA03580 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAHGGVDLDHVEKRVKEYRMNPQARSTRSPSMEPRRRQLEPATSVHAGIEERDRTIVEGDETMDEEHVEEEEKEEVQIEGEYQGATEDVEEPNYQERSNEEKDETVKEKEKKDEEENRGEGTSATEEEGDIPEMQIQIHDIIVADETEKEEMKGEDKTEQPIPSPVSSGINPPVVPSPASKKDVRRSSEKKDRMEKPEIRKDEGGEESASNLSSTEADPSQSLSLPPSESVRRSRLSKKIDAHESAKETRNAKKGQGDRKPAHFTRGRDSATEVYEEMGEKGEKETEAMMADNNFCKKEEKEDEPIDRSVFLASRVQPLVPRLAFKNYFIVEKKSKIVNKVEISKDEGDGKGPTNSGSRELDHAQTTDPLSVSSSKLSRRSRVSKKNDAHEKNKAPEDKDARDEEKEEEPVDLNHRRNKVKEAEEEKEIVEKIDEQAEAMEEQPDKAESASLSSGNDVLKVDGEEKVEEEEQIDTKADEVDETIEDTAEGKPSSRSDTTEKGEEEQGETEEEETMEETEEGTPALVSSGNDTPEEDGEEKEEKEKQIQSKTVEQKEDMEELAEIEPATLSSQNDTPKADEKDEGEKDEQIQSKTVEQKEDVMEREDEDSARPSISRSTAETAGEEQKEMEEQRETKIDEHKETGEARVEEEALTGVSNGVVLKPSLKVDGEERNKEKKKKMEDRERAGKDKPRRVSFPDLPPTERTREHEKSAMKRQSLDQGGYGGMRPEIKRSLKEVEIQRKNEQKGWKAQGSSKNRSLVEEEAERRRKRLSKVQAPVPPKLLVVKSKEKLIMEDRMKRLAEEMRGAAMKGRVSDGNPTIHRKSDDTIADEAVTMPSPFSCRDDSPKEAEERSRERKEQTEEEKEERARRRLEVFGPGCLKETQEEMREEETVQEQMEEEARERHWIENKERYEEEERKRKEEEEEERKRKEVEEEIRKKEEEEIRKKKEAKYRENLERSEDSRLLKRVGGSTFALIKEAEKREMEMEESWRKVKYAVSSVDSTRYPMTEEENKQLMDPNLGGILSRLRRSISPSPEPEETQEQRPRVPLPLPPYLQYVLPASLLPTDERLLLEQLESARRISYRMIRLDVSPTEPVPKRLLQWKKWHKDDPRLTHPTSPPLPVNLNRNGVPISIPISATPISAEGSAHRQESHVPLPPPRISPVVDVKPTLLLVLCSARKSSPMTPPYQAVVPTYLDDITSAPHIFPIKSEHPHQPVDGLVAQPNHTPSPLLPSQRTFHINPLVPFHLQVPTLGHCSSLTADPVLQQPYCVDGSIKEEPLSPRPNSPISAPLPTPPPTGHQDVLSAMLRPLVVSKEEEAPKEAKKFIDSLRQDARAKGLGQPDHPAQVKQEEVTDQMEIVRLEEQLLKQLQSLDAVERQKFLDIYGIPSRPSDDSVGSQRKDSREDVDVVSRSDQSRSPANLDEISKGFEEYVRSNSELYPRLNRLFNSGMPGAAVADSRSLLHSLEESCHRSLIDQRFPTDRDYRSSSFSLKPQAYRSMTESSNSAAEYPTDSFESGMDWSGRGDSRSRDAAAQLPLVNIPSTSKSYDWSQQYEEEGSRPNSPVDRRQRGHRYSSKDYYRSDSRWNRNEYERRERRHSRSRSPIGYEENERRRDSARHRMSFYECDRTSFRTHIPVDVRYGMDTQAGLTTSSPHSSGNSAQFGSTRSFHYERSISNDSASSSPKTSHSSSAHSSYSMSELASFPFSSSQAAILGHDGNGNPIYASCNAAAESFKQRDEDQVASAFSNTQSLLHYPTYNWGANDYPSSSASIAPINNDEEDIDGIPIPSPTKEQRMKEDKQQELIRRMHNSRRQNNQ >PPA03607 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:224567:228389:1 gene:PPA03607 transcript:PPA03607 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVVDNNPRGILRKASDHYARPWTSNLPQTHSFASEISEPSTGCGLFLDGFLRPAISEFISVFTAIFLFLHVNSELNQRHIATVHRISILALVDAISTLTFMSAFKTVHLNPCITVAQLFSLSTSWFICGVLFLCQLAASTLAVAAHHLLTKDSPKLIAYNHDVTNDQSVAIYKMIVCQLIGTLFVVICHLLSSVTLSRRAGSFRLGAFKENPLCLFCAVGLSTMLNQLHSTMDWNPLTALASSFFVFISGDSNAFSSLYLFWLGPIIGGLLACFLFSNPA >PPA03839 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1359388:1360489:1 gene:PPA03839 transcript:PPA03839 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCASSRRNNVIDIKRKIPDDEEKKLTSQILCDSLSLAIVGNGEPPVENGQEFYQFLFTIDPRLQSHFVGADEFMGQDPKEPTKFAKQGQRLLMAIHTMAASFDDSEAFDKTVSDLIKRHKDRHVDPALWNKFFGWFVTFLKSKGELTSIEEDAWKQLGIRFNTVAQATLKTMGLPYEED >PPA03706 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:692262:693905:-1 gene:PPA03706 transcript:PPA03706 gene_biotype:protein_coding transcript_biotype:protein_coding MLALSDTEYLAANGIIVGVSKTFYFFTCKLHVLMAINRYIFIFHATENPGQLRFSDPNLFVVFSSDSLRWKFATTEWTPFYEAVIIVLFQAKFRSKPQPKPTPSLTRSIQDQTTAKY >PPA03880 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1622975:1631340:-1 gene:PPA03880 transcript:PPA03880 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFRTSFHKPDRSLGWETAVDCGFTVRRRAPGVAGSAAAAASDTPSDIIYRLRELSADADVAPGRYATLDDDDWVDVPVFADNSEEYLEQGRQIEEWEAQQRSSSEGNDDDDAPTDRMIDATALNAPPATGSSDQGELFLTEAGDEAAVGDGFSDNGNDRLDQSQRMEELERGAWSPEYISFDDDDQDEAREILRLRKRAVNPPTAQPSAPVSPAAAVAYTMEAGSTGDEETVRFCENMEEHEEQGRELAELEEAQKAASSHERSEQVPKDGVAPIEKLEEKEEVEAGKEGEDGAKGDDEEMDDDEHGVGDVREEYGYDRRRYERERGPDNYEDDRYYHEVFEKNIVKAKDVEGETAQSSSGSRTSSSAHVSSGTPVEPSSTTTGAAASSDDDEENVKEKEEENAGGQEEDGIAEDSGEENGSKEDSDGQVEGGTSALIREARMLVKSSKEHYEDEDEEKDEEEVKESEEDADLEENDEVADENGSNEEAHNDSDQLEDLFSSRSTRRPLIRATTQLVESSKDSEDEDDVEEDLEDVSKQEMMKRLISIAKKDNVRRQAFNRALGVSDSESDREIREEQSGDESVAISEDGVPQEDRVASNEDTDLNSGLMNAGNQNDDDPSKSSSSESEDTDSDDEDVARKRIERERRKQERSSLRVPVNNRLKFESESDEDEEEDNEEGNALEERIDEDDEDRIPEDEEMDGGEEETRDLESLAEAENPDDVEDEEPHEAGNASHADQCDDDVLDGGDDEEECTMHVMHPADDGRYYLPACVERAIEERSNEERQAVERHSRRQSHLSRLTARAPPRDVLRQIARAPTRRFHPISAPTSAESQTRSNNVSSAGTPSRPRLSAIHRTPEGITAQSRLSGAAVRSMQPNGLQNPSTKRANESYVLQGDEVYSSRRRTAKKRSAPHDCMRDSDKGTKRGCVSVGDQPGTSGLADGAETASTASQESTSSLPSDNSAPGKILNDALRDTFLELFDRGISHASDEKKLELLAAIEQALGDEQALARVRTDCWIPQTDWNVNRKGAERDVASRARPQRHVTKLASPPSSLPSPASSLNNASMSTDVIEVPRKVQEASPTLSILRVSDNADQYPESIAETHMKGDNERKNSQLSELRRLSEVFDILKAEVDKRIKINVMGTELSTLRANLHDIERKLRINFTDLMTFKTNMDEVEEMMPLTAPGQRYEGQAELVAHLHAIIPRKLDGLVVDKLRLEGEQQATKGNMQQLDYDAIQTRLAVPPPRPSSGQPQQLLQQFFASGQQRQDQPNAP >PPA03874 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1586503:1587529:-1 gene:PPA03874 transcript:PPA03874 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSISQFIAIVILFLIVQGTIILTLALTAFPIRDDFAGMSKLDVIVACLQHALNSIYSILLPANTPVLLICVWNILLSACCWILAIAVHKIYSVEEDIREAEETDIEMHHIGGVSALFEVDEEGLSSDSNLRYSTPIFRILLGSIVLI >PPA03632 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:392400:393648:1 gene:PPA03632 transcript:PPA03632 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFIPILVFVSCAVGTTETAQETRQAMLDAIERLDGQLIQIINNRELNNCSGEKNKAIFDLSTNLGKISTHILDGGENALGDAQKDICSTNQRSET >PPA03784 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1072208:1073666:1 gene:PPA03784 transcript:PPA03784 gene_biotype:protein_coding transcript_biotype:protein_coding MITIGCLFLLVGLAGARVDFYASQIFDEFDFKGQSSASVSIDGPCEVSCAIYASITQESSKKGSNLLIQLPSGFVSVADLASRIDPTTNEKWPLIVNNTAKLTVVNGNANKDAGPLVLYAFDGRHSDLPSGRAFDADGLNLPIDQLPLRLTVMSARPFTIQQAARDQPSKQGMRATLTGFDGMDDSACVDLYYT >PPA03656 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:466171:468286:-1 gene:PPA03656 transcript:PPA03656 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEAGKKKFREKEKTVKIIAFDVECTQSIENEDGTFEYLDKHEVVCVVARKACFECFHLRRINKDVNCSNCGKYPEVFSYQTTKDVLNDFIDWLFLPEHDESYLFAHYGGRFSGLPPIRYYGTDAKTEEKREEFLKWYNEHNTDLYVFDFGEELISYCKKDVDLLLMGLIEYPHAMIQLTSWDPIPAVCTLASFTAFILRCDHIKPKVLCNFPDNGYSFNRQQSSIAIKWLKWQMEKTGEHIRHAENGGEVKVSLPDLTTFVDGYCVRKSDGAVVIYCFRGCYWHGHSKCFNPHDFNKQCQQHFGTLYAMTMDRHKKLSESYTSGRLVLRTSMQGGRTEAHAKRANSSIDKKVVYYDVNSLYPTVMSTCDLPVGPAEIKRDGFPPVPSREFNFTGLGHVRILPPQNLRYPLLGIKMNNTLLFCLCKLCGSKKSSARCNHTDEERSIVGTWTHFELQKAMSLGYVILTYYEVWIFKKFSNTLFKEYVKTFYTIKISSSGWPKWLKTAEDKKKCLQN >PPA03697 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:650220:651770:1 gene:PPA03697 transcript:PPA03697 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFTGKVIIITGSSNGIGRGTAALFAKEGAKITITGRNSASLEETKQQCLKAGAKSENLLEIIGDITDESFNAKLISSTVEKFGKLDVLVNNAGGANFANWGKQIEDTPIAHFERMMDLNVKPALRLSQLAVPHLEKTKGAIVNVSSIGAYHMINPEPFYAASKSALDQITVQMAGSLIKKGIRVNSVNPGPVLTNFAINAGAPEEVSKAMFESIAANPAIPIGRVAYPEDIGKVILFLADRSKSEIIIGNIVTADGGCVLKSAMFPDN >PPA03649 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:443592:444627:1 gene:PPA03649 transcript:PPA03649 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVLGWNIVFCCGLCCTYRNQAILLPSSPYKFSKSDLGWVRGRGLYHFEPKGLVTTGLGLTLTASPNRSEASTKAIKRSLKILFIQVFVPASMFGGSATVIGIGIATHDLVPFGRSLFRHSQLC >PPA03780 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1050878:1053380:-1 gene:PPA03780 transcript:PPA03780 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKLLCINGNRACMDYGKNVFSCRKCRLDRFEAVMRAGSGEDMSPPCSAVTSHSPDMAKPLEFDRMMQQLMALSAFRCAGEMKLRGVHVHPSEANSLNYPLIPCTYQFMEDATKILIPGLFEFAQLTFPDFALLSHADKWMVIRNYEKILHCVDSERRTRERFGKRSFHVFGTYTSFMSLDIGEHFFSDCPDRRNLATAAKAICNNEKKFHCMDQWPNLQHSAQLSVGECAQAKEGNGDNRPERGRIPGNDRAGALEQNIDASDELIEIAARNRTEIVADLTAQYRCTIGTEAGASRIGMLMCVLQEFRRRVMSMSSDYQIYLMIGAFDESTLMCKLPHHDDDSLYYTRDALYSQPYSSL >PPA03881 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:1632901:1635031:-1 gene:PPA03881 transcript:PPA03881 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPKLVLLTLEEEMREQAESREFERLVSSDRRHMRDQRGRGRSRGRGRGGGAQLGARWTIPTLPRGDADEPSDEDIFPPFGSDGWRGDFRSESHTSSDEEYRQAIADSLREQREEAARRPLNSSDDDDQRRLRLAMAASQEEEQRRRERDARRSRGQQQSSSNAGRPQMDSDDEPGPSTRPQMEHERW >PPA03867 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1557743:1559011:1 gene:PPA03867 transcript:PPA03867 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIIAMSFAVYNWYYIGNELLPLAHSLLAPIIPASNLVVFDITSAFVALSYRNPPAFHVLIPVRPRSVILFAALINGLSPLGNAKMVVMLAHVCILTLEARTALDSAKRFLTVPAFFNRYRHQLLYQFGVSAFHATVHMAVDSRTPHRHSYSMDDVIESTTQYIVWKSFSWRNITKPTLFWSGIVFNAYAMKLFQVAVYGGRGMRSRHGRLTVAFCNTLSGYCGNLRIL >PPA03796 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1146997:1147610:1 gene:PPA03796 transcript:PPA03796 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDMALETLFATDDSLVLPRGSVVHLISSVISLCWQAPAIDSRVSASPTPNYYTILTNTPQRKANQRKQFLFRRIRTFIERKS >PPA03835 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1341622:1344490:1 gene:PPA03835 transcript:PPA03835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-twk-28 MEERELRIPVFMVLLVLLAYTAIGGFLFKSWEDLQYFEAFYFCFITMATVGFGDIVPTEQVYMFFTMIYIIFGLSLATMCIDLAGTEYIQKIHYLGTKMGDAKGAVISGLQVGEHILKHTGIEVIKTAGGKLVQVRGAVLNSKEARELGYDYFLGEFQQKNILYEPLSQAVLKLVKENNIKVLPGDITEKDGYIVQNKSYDKKPTLMERGYVRVSGNVLIPVRPGQSNSPIIMPCLVFKETNV >PPA03629 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:382092:384324:1 gene:PPA03629 transcript:PPA03629 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTIVLLALLGLSLAHVHQMRLRKRDSMRKELVRAGQWEEYMATKSQLRADRSTFAAGFPQKVNDYDDAEYVGNITVGTPGQFFEVILDTGSANLWVPDATCSGGVTNPCANKHKFDASKSTTYAKNGKAFTIEYGTGSAKGFLGQDTVRFGTDDTALTVPKCTFGQATSIAAFFKRDVIDGILGLAFQPLFTVWLEHEGFKENVECTLGELNVSLSKIFTAQVDTTNCGPVIAYEPLSSATYFLFKLKSVSIGSYTNNKGWNVISDTGTSLISAPLDVVENVAKTIGAKYDQSTGIYTIACGTKIADLQLVIGSQTYTLNYENIIIPISATQCGLAMDPYLGGGFGPQWILGDPFIRQYCNIYDLGNKRMGFAKSNQA >PPA03679 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:555489:555896:1 gene:PPA03679 transcript:PPA03679 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEYHLDLEYQYHITIAKVLDKVDEKQNLERYKNWKAAKSSQGSSRNFSILCL >PPA03865 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1552240:1553249:1 gene:PPA03865 transcript:PPA03865 gene_biotype:protein_coding transcript_biotype:protein_coding MFYVPPPSALLSYRDLLKEALEEHEKKATMTDHQAMLLEFKLANTPPTFHRHRPVDQKMFDFSVKKGIEVQRSWIKTVVPYACNWIRTN >PPA03694 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:627046:627666:-1 gene:PPA03694 transcript:PPA03694 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEIDQLVDENSIKKSKLRIEYKEQVKDMKDWNKKKSRRTDSSNAIGS >PPA03736 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:830009:831473:-1 gene:PPA03736 transcript:PPA03736 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCGKLLQFIKAQRSIQCILIVCIVIGVVAVLAIVPFFLFPGIRYQEPAASCAGNASVSQTVATTTQTSTTSHSKLPSSHTSTEDPSSSTAVVTIAQSSTETATAESTTTSRDSGFSRVCEFALGATEAALGRRNLVGAIRNVENTIMA >PPA03592 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:149665:150729:1 gene:PPA03592 transcript:PPA03592 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVNEITDRKWELRRRKRGNALDRFLQVFFSDKGRQITALAIIFVSLAFRTIVYFSFEIVEFPDCEDLFSSISPVPHEFVGDPIYEIYEVQIVTSLQTVIPFIVLCVLNAMIIIKMCGEKRDQSLLYTGNHQQNACCCRGSESRKRSNSEGKGTGRDENKNA >PPA03764 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:938304:944807:1 gene:PPA03764 transcript:PPA03764 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVLTISVDSPTKDKLQNALRASKKVSRVVVTCFENRITRRNFLLAARDESMHTAEYVYIMIENRRVGFGTAANNDLIWTTGLPANDGRDDDAKAVARRVFYMDNQPYNDSASFAKDVTAAFQLPPFNCSDCFVNDTLGRSFELYDAFYLYGVARSRARAANPTNPDYTGDSLSSFSQGEIQGQTGRIIITKNGTRDPVYWMYMLNDRDLSMPIFRFEKMFEPTNMVVTQLATDAVIWSTRGGAKPLNKPVCGFSDDECPGTLLQTYLGAFIGCVVGIVMLLVMVIVAVCLVLRARRQAEAALDRQWQIAFGSLLKPTQKSVTNSAFSLQSSGTGPSRQTLDNLTSQETELHAFYFLNGDAVVARKHQMRFTMARQELVQMRQDKLRSLDHDNVCKFMGVVADGPQFMTIWRYCSRGSLEDVIINGSLQMDAFFKFSLIREIAETIPSDFRFHNPFLQGLCYLHHSSLGAHGYLSSSTCLVDERWQVKITYVGCDFIKRAEKKFQKGDERTSVNRLCLLVVD >PPA03787 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1088725:1089246:-1 gene:PPA03787 transcript:PPA03787 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPYALCAMSPFLPVCNPVDGLFSGFCPFKSRFIDVSVTASHDINRSSRYEGQRIYTIGSTMDEVVGYYVCMEVGDHANQGSEWREDIQGQEARSGENIFAF >PPA03862 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1542868:1545948:-1 gene:PPA03862 transcript:PPA03862 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPICGERLPVKLAVRVVSARGLPVMNKGINNTEAFVEIRYKDDVEKTDVVTSLDPVWNEEPVVFETDERELCEDILEFRVMDHDTYSANDAIGRVEVDVNVIVESMRMGREDEREEQIVMPIWDTIHGIRGHLMVSLKLTLLVPADYTYYVQFYSSDKIPPEFSLCEIVGLVGAMRYEKDPEQQWIDRIRTPRASNDARQTEMRSEMRLAAIDIAEKAHKAEAQLVVGYREMMDIEGAPTNNYCLRAYGTAVVVQPTRPGIALNPRLSFPIISMGWLPPEWTWGSGPLVSSRTALILEEVDNLDVLRKSARHIIISNNFSTIITSRQGFSTNPITDSQ >PPA03637 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:406025:407532:1 gene:PPA03637 transcript:PPA03637 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRHFREDDCVQSLPKLHEKRHYTASYEPIVEPKLHEKRHYKAEEEILKESELPRREYVIDYLATEESEHRDKRHCILDDHASDDSQKLSTEHGASAQMYFTSREQSAECLQWATTFRGTKDMYERRKMHAIILLADVINRLQLLSVEHASDPERLAAGG >PPA03760 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:928900:929296:1 gene:PPA03760 transcript:PPA03760 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDCLRSAINYYRCAFQYPDTKRKVGKCEPKTLILWGNADKYLITEGAQLSAQWCEDAKLHIISGASYWVQQDEPSIVNGHIDHFFLSK >PPA03589 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:132328:135296:1 gene:PPA03589 transcript:PPA03589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-arrd-6 MDYISSFDIRLGKETYFAGESIEGSVLLENTENIKIKGIRVLLRGKVHATLKVVKSGERRTMKDDQYIIDEKTVIWGNDKTSEVEVIPILPRGVHQLPFTFDIPQTALPCSLESRYGTIRYYIKVIIDIPYASSPQGIKYFTVIGPHIDCMDQKYLVENRQPSQQVINIKLIQACHIRHQIIYHSQRHVEYFIDKGVLGESKSLVCTVFEHKSPPIAANTAGKYDSTLETPICLPVVPPTLVGVCRLVQIYYNLKVALEDEKGNESLPLDFPLTVATLPYHVPNTPMPLIDYEFCSNHVEGGKYVSPEFRLGQVYDGEDGEQREEEIVLYRPVYVKIADRRTIRMTKDFKGSHSHMAGSMQSILTDNGGRRRSGAATPTIVTGRKHDEEMAQEVLENP >PPA03732 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:816958:817280:-1 gene:PPA03732 transcript:PPA03732 gene_biotype:protein_coding transcript_biotype:protein_coding MASWGYQSGASSRDETTQVSSFRVYIHRRNKFIHCEHVIDSVVACLSICDHAGEVVDGRHHLAI >PPA03585 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:109423:110913:-1 gene:PPA03585 transcript:PPA03585 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTSAKTAVSAPKTDKPQSTAIARHEEAEDPSLRVAHSVPSNNLHHPIYRAPSELFLAIGKENDKEKNRLNDYIVKLQKALFDRDQAIIQMNEREARLIKTLEARGETILNLKAQAIPFLLLPFA >PPA03776 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1041237:1041834:1 gene:PPA03776 transcript:PPA03776 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKAQITSKEFYALLALVLCEIDSSSHIPDYVLAAVDEIRAEVFEDLQRYYKEEMGLSDFSTRLGNLMTLNHTIQECNSLFQEFFRMNTTIFDLNQSEDLIKELFS >PPA03661 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:489515:491779:-1 gene:PPA03661 transcript:PPA03661 gene_biotype:protein_coding transcript_biotype:protein_coding MVITVSNGTYPAVAEICGEAYYVIPNKYASLVGPERWWHTVDVEALLAALNTPWTHTIWVAIIYALITHMLQKHMETRPAFELKWPLIVWNSLLAVFSILGFIRLSEEFSHVIRNYPLLDSISYGGEPNGPMGFWCMCFGVSKFFELFDTTFVLLRKKKLIFLHWYHHAVVLVVVLHSLKEAAGSGRWFILMNYGVHSLMYSYYALAAAGVRMPRAVSMVITTLQTTQMFTGVAISFIVFYWKLQGRYMQQSFENLFLCFAVYVSFAVLFSDFFSKAYLDKAPKAKAA >PPA03809 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1199434:1201539:-1 gene:PPA03809 transcript:PPA03809 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAPLLVVFIFSACAIPVPLLDPGSRQLAEPDKDVIFPSAGSDQPSPSLNAATILALSIESPLPSLGSDSRLIAESDKAVLPHDSISPFSGLEPEKRSPQPIDPSLHLTADTTVLSHSIESSDSRVKRAIDEPSSEKDAAASLGAEIVLPPMATEPTHSLEIVHHTANETVVDLETALALDPPLEIGILPTDDVNESIAPEIAHSVEIGTPLLSPNVSDATAAPETAHSLDSTFESVTTPTDERNDSTLATLSNETAPALPVTTPASELGIRALLPLLPDLLNLIPVQNSSFDSFWKQSKINFANNTARVMEELTRLPFDRNVVTSVDGQAVDARLRKRVEEMADQFKEGFLVAGPERNVEEIYVLAATSSNCILFSPHNYNYN >PPA03601 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:184387:187079:-1 gene:PPA03601 transcript:PPA03601 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKMKEEPTFCCCPLSIKFDIQLQWDDLARNDSPHLACSLATLTGERKSVVIFALIHTFTSLTALFLSLFEMKSDEIRIQDHLPFSAAFFGVISAFCILRATKVLQQSLKDKYSVVCEGVYTVILAVMGLVYYRTGRFLEMERTDKSRVYFYSSRSKIAPSFELP >PPA03676 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:538287:539355:-1 gene:PPA03676 transcript:PPA03676 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRSTPDFYGDQAEGFPCVCRARSHYEGTNGDEKPYCVRKHSPVEIRRLWVAETPPTTTAPPPNAPSDHVQRPIVTNPETIKAMGFAGMTDGVAMLTKAKENIMFTMAAMSLEQRVNMNALIGSPVVYDDITYQSGPLSSQARIHRDVLLQREGVRH >PPA03841 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1372247:1377468:-1 gene:PPA03841 transcript:PPA03841 gene_biotype:protein_coding transcript_biotype:protein_coding MARILLLAAALASISVANLLLLGKSHELVEMDETKYQLIGFPQSALNETEVEIRFTCETEMPFEFDVQFVLRSSPCDREFFDARSNARVRDRLQFYFENENEIPREYHYETMVFYKSEIVRFNCRDLGSNPFVEAKGGPMILKNVTTSKNARRKRAPPLGLNGQSIDGGAKMSLSSWHPVQKVPVDAIYFLVIKVTTASTDDHKRENLTVTVEWRQPHGYLSAIDYPLHRFYFLMCIFYAVLAGVWLYMSIRYYRDILRVQYWIGVVIIFGMVEKAVFYSEYQGMNQTGESTDGLIQLAEIVSCAKRTMARVLIIIVSVGYGVVKPRLGETLSKVSIVGVIYFTFCAIEGLARVSKNHVEAAKQKQFAALPLVIVEMSIFYWIFTSLVGTMRTLKLRRNEVKLTVYRHFMNTLIFAVVGSVIFMVWSLYEHIFPICLQDWKELWIDTAFWHILFCTILVAIMILWRPSANNQRYAFTPLLDDSEDEMDDEIFNNSQVAGFEAISLRNTEGMVEQRNQRENAVKEAKLQVKIF >PPA03793 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:1133396:1133672:-1 gene:PPA03793 transcript:PPA03793 gene_biotype:protein_coding transcript_biotype:protein_coding MVEITMRWISFRKGYRSDSVVSVYDRNCFFSPMGCHFVKGRRAMAFITPEELKEEQKFHRSVRHNEQRIEEEKA >PPA03790 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1098034:1099895:1 gene:PPA03790 transcript:PPA03790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mab-3 MKRCVRCFVHGEMLSHSSSKCARKMCGCRKCELVDIRRGIKNQLDQMRKKRKANLRLGSEKDASYTCLRCLHHGVVTPKKFHSPCPFSMCRCKSCALIEERTRIEKELTRLQRRDNGNVSPPESSSTTPHANERIEAETEKEFIIDPTASSSDDITSNIDDSATTGSAQSFSETDETLSPEAQIILDLLTAIAVDPKSVNAENFDVNLISNLLSQPTFDLPLSWLSELPTINYLLGATIHHQLFT >PPA03800 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1155632:1157052:-1 gene:PPA03800 transcript:PPA03800 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPRRRVLYGSYTTKISLDTIDDFFADCPDPSTVSAAAKAFRNRWHENVPKLRALIRKVSPTDDEFLAIIGLAFWSFEGLQTSDYLEELGVRYSAEITTSLSDHYRATIGVEKGAIRIGVLLCMQQLFKIAEMELKSDYEIYHIMGAFDEETLTSL >PPA03802 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1161648:1163218:-1 gene:PPA03802 transcript:PPA03802 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDCLVCCKPTVITHMGLDVCRACTVFYRRHGDKGDKFRCIAGTLACMDVGRGLYWCRKCRLDRIQKVVSAARGEDIAYLNENAVHVMDVVSENLLSVLRLTAELELHGIVLNQSDAKADNYEPIISTYQDMNDGTRVLIRGLFAFINTTFPEFQALRENEKWLLVGKYEMIFHCIDSVLRLKKKFGSDSANPQSESESQSLDVSDEVISLAARYRTTIISELTAHYRSTIGETKGAARLGSMICLAPDMKVGIIDQFRLMNTPSEALDAIEIGF >PPA03812 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1224645:1232411:1 gene:PPA03812 transcript:PPA03812 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLVAALVAAAFAARDSLKWNHEVSESVDGVDSFKIGYEYRFHLDSQVSNGLPVPGSQQSAGRSKSLVTLSFPESETVAHLRVEKIRFASIQKEIDEPKKIQPFELFEEIELNEEHLRTLQLPVRFRYENGMVSEIEFDREDLDWSMNAKRIYINMLQVNLAQRNSIESRFTNEFDSKKENVFIAPELTLEGDCEVIYTILPESSSRREESEILVTKSINFEKCSHRVGQRYNFRFGDECPSCEPKFNGEERNIESSTVFNYRIAGTPSRFVIKEVELRSVYSYAPISEKETFFTTFVSGNMRLIEVNKENKRIAAPKSEKKETLLYSMEWEKKEEKFLATGDESLLKESPYPEIKNKHEVVSRLIKSMIVKMESEEKGIELAATHEMARIVQVLRFATKEEIKKIQKECNSDSHEEFVCSQMKDIFDDALALAGTFNTVEHLVEKIRAREISPLKSATLLKQLTSIRVPSEKIVKTLASLCKDSRRQPLEHQSCWLTVGALMHGVCGDQRDLLAIESSEEKMCPREMKERFVSILNDEFEIAETRYEKILALKTIANSGMDLTVYPLEKIIRNEKEEKIVRVQAIEALRKLRSVLPRKMINILMPVFKNIREHPEIRVTAFHQIMQTVPEKNIIDQIIYQLEKEPSTQVQRAKSEKSILDQIIYQEEKEPSTQVHSVVYSSLEQYSRSEIPCEKTMADYCKHALRTLRTQPRKMVSSTYKHWTVYNEENKNGATLNWAALFSNDSVLPKEVMTTLETAFAGQWNKYLAQVGFQQHNIDQVLYKLLEKVEKSGLEEVLVRGKRSSFKPTEVLSGLFSKLSIVSRKQKEQDPHALVYIRFRDMDYALLPIDEQVIPEMMKTVVRNGRIELGEIESILAKGYRFNTVLTSFVYERTRTIATSLGSPLIFSSKMPTIFKIDGSIKVELEPRNGKSFDGLRVRVQARPTLASTHVTKVEINYPHAKLLHSAHINVPVDMTTEMSWDKKFDLKTTLALPKDSRRIVQLQTRPVSFIRIWPKETRAYVEPKEKTIYVEELETLVHKIRSSHLEKATGIRMNVEGHVHGHIWEKGMEGIPSALLIGENNLEISFEKTEETPKEYVIKTEISTFDEESRMEKPSMERFFENDNKEHFKTEEYEEYDGDEEERQTSFKNYVKSYKSDKAYSHRLFAEIKSVGGRKECKAELELRSVCDAKLRHCKINFAGFTTPLLEKETRDWKIESSIEMLYPEMPETLEELLNQKHRELSINVESRWGSDNKNELKMKIQGEQNKEQKRWMKRVADSASMLNQYKVVAKYDIACPVTRSLLERIYTYSKVSAPWFSTSYDFARNEERIVRALLTIEPSTRQYANLTLETPSEKVSIRDYRLPVPLRLVNIRRQSMTPIRSLSTLGSNLVEETKAMCTVESRKVNSFDDVIYRTPLTTCYSVLAKDCSSEKPEFAVLLKKISKNGEEKKMKIVSRKSVIELEMDKRSEKMRVTIDGEKVERIEKLEEARVYKKNEVVVVELDDVTVEFDGYTANLVAGYFLGDMNYDWQSEKTGGQTLQDISL >PPA03671 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:528433:530826:-1 gene:PPA03671 transcript:PPA03671 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLGLVTLLAFHARLFETIPDFVQVLSSVREYLEYLDKVKNKEKSDLFWPIQMMTGNIINDILFGYRYKYDDCEKIVTYVEDFKNWFTGLVSSPEIAIGMAAPALLKEKLRDEIHSVVGRERLTRMADKPKMIFAQATALEVQRISNVIGTNLPHQTTKDTVVKGHNIPKGTFINADIHYDLVDRVIAFSLGKRACAGEGMARVELFLGLTATIQHFRILPLKDDPIDLEPLSMIILQPKKDQFVKIEKV >PPA03719 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:744237:746057:-1 gene:PPA03719 transcript:PPA03719 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTVTSSTSTPSTSTLTSTTTTTSPTTTEYNILEKFKFVDVPGSNVSCYYEKRSLGRFSTNGLCALEGSDPCKAQGLVSVFGSCAGKSALKEAMFADKVNPTANGNFSNKPSCQSYTGLADGGGICAPNIFGGKMMTGRFTDNLLGFAAGGARIMISACRSSDNTLQASYCPVHPGYPNSNCAQSLGNIGWIRTGTIPCEGNGNFQNMGAAPCANHSMLISGSGGSRCIGWDSGATTTPFNRSLITDLENIINNNNNLFSSSWFVWNGTLPKALYDGSVMGRLLEYKEYMD >PPA03586 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:120259:123367:-1 gene:PPA03586 transcript:PPA03586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dct-3 MLPWFGRYLIGDYYFPPEPPIRPRPSTLPRNFGMYEHDGIRVSPTGFDSDQDDEIQRMIEETRIRSEKKREEDEKRHQARMRALMMAEDEPVETERVLLEKTREMKERQKEEDARMKLEQEKKLKEAKEFLEKEKIEEEKKKKEFQMWREAQDQRSWKIRDSETNKICEEAREAANEEMERIENKNADILRQSEQEANQMNEDFRNQLRQEKEQNEYAYGEYCNRLRAQMGLFIRLVEHKEWTRKMEEKWSSRLNGLKEWFIPIAQSFSSLKIELDSKEKLGKFDLRASEKSKIKATVDILLERLETMEKVMEDESEDMERMAEEHPEATFLTDIQESAWEVGQAASKMMMYIQLFKKGIHKNCSHMDKWNRCVSSFDNLEKKVDVIPTVSELKEKNERQMKTEDENESVERSVPDTHRLNEDQTAVPGRGRRRYQHLQTTLAGQRHFFLKKEEEAENEEERRRWKRLKEEADRVAERVEHLRRNN >PPA03728 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:793475:794856:1 gene:PPA03728 transcript:PPA03728 gene_biotype:protein_coding transcript_biotype:protein_coding MQFDNLFEHVEAHQRGDQNVASSRADESSGKPPNQKWATIFKEIALAYDVFFVYFLLYAGPALVLANLFAIFILTRKELRTPYNIFFLVMAIDQSLSILGRSVLLWKSYLNFGCGNHHFRSVEAHQS >PPA03851 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1494555:1494790:1 gene:PPA03851 transcript:PPA03851 gene_biotype:protein_coding transcript_biotype:protein_coding MCMLNLDKLIFIQFPLHYYQIINRNRVAILSAVTWSTIISICVVVDFFMIEEVTACFLVLFES >PPA03726 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:782970:783822:-1 gene:PPA03726 transcript:PPA03726 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLKRLFQGWRSSLAMNRAQVSNTTQALQANVFYQASIICFFNVTTAAAWLIQMFVPTPQFIITAGMITIQSVHDAIFMSAVQYLPGIQQISISAGTPAKTSGP >PPA03772 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1012580:1013970:-1 gene:PPA03772 transcript:PPA03772 gene_biotype:protein_coding transcript_biotype:protein_coding MWQKLKLGHKRRFGTHRTQLPSHIATAVFFIRHELEDRFEAFLKAVPLSGRGFPLESSSEALMGEERKRSLVGRVRAARDGTERIVYSLNNNNNNNNSKKKKKKKKKKKKKKKKKKKKKKKKKKKKRSISRERVGFNYRFEECLEPIFKLMTNEEDSRGDVARKLSPMGAEATLVAKLQLLPHAFHPVGMGARRLVWPGKNFGHGKIKPREIES >PPA03696 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:648291:649583:1 gene:PPA03696 transcript:PPA03696 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDGGVQRVLTGSMKCEKDEGAKTSSWYLKTINESIKVTNATCVDTKPIEDKIKETATVAASTSGPIIGGVASALALAVILAIIVIVIARRRRQAQLRMALDSKDPVESYQMSAAAGASPIVRN >PPA03685 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:592914:593221:1 gene:PPA03685 transcript:PPA03685 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSSANDTSNRLEINDSVNTCLCILACFTLMFEAAPVIIKTDTEKLRTDVSYKEK >PPA03743 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:858787:861072:1 gene:PPA03743 transcript:PPA03743 gene_biotype:protein_coding transcript_biotype:protein_coding MWRHMEHKHPVNLANSKADFDQLCEKIVKLIAVDNSAFRFVDRPEFHALFPPNTRIPTRYHISDVVMPSMVDTLRTTIRERLSGKRVTLCVDQWTSKGGRITLSCFNAHYPNIKKLALKSLPIPATSVSAERVFSAAGLLDKQRLRNNLSAEKIESFLFLRLNHIGERAREISYQPSPTLVPPCEAASDIDSCTTPIVNTTFGYNSDESDIDENASDDEEEELYSQLTSMWATPGWKFN >PPA03866 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1556546:1557161:1 gene:PPA03866 transcript:PPA03866 gene_biotype:protein_coding transcript_biotype:protein_coding MDARACSRRMGSFFFPRVRKGLCVLCVDGRHRAYLRKRENECPDKYDTYHRSDNESNADYRFQSLFLDLVPGML >PPA03672 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:531310:534483:-1 gene:PPA03672 transcript:PPA03672 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVQFRPPSQVYEAIEAHCLCLGYGTIICVLSVQRKVYARRNQAQVLWLKTLPQYLRSTKSLWGFLASAEEHPHTTRRSEMRKNENLAVVAKEVPKPPTTTVPPRATPVSQVSKKTKIRSQRPIVSEPETISAMGFAEMSDGVAMLTQARQNLMFTMAALSREQRIALSQDLDEFIEMCSFDGKDCDIQRLAIHPASQYPFPATFGYSAPVGFASSFGIKKLKIERLTGYGDCAENVQWAQSAANNIYGAYEYNPEARDYWLSPQLLPESHDCDCGDPRFPVPQGQRHCSAFNATARRYLESKIGKGDLHHVSAWASDCDCKHPCKEVVYSMAFSTSKWPSGSTDQLGDCEGMSPSECEVFYRKNAAMVEVYYEQLNYELLQESEAYGIVNLIADFGGHLGLWLGFSVITIVEVLALIVDLVQACFRRRQERKRSEILMKNAV >PPA03821 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1280719:1283579:-1 gene:PPA03821 transcript:PPA03821 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQPRPLGSDRGTQDNVTILGQSAGGASVDLLSLSPHTKGLIHRVIPMAGNAHAPWAHRKTTADYCREYAETKLGIKADSSSELISQLRRVSADRLATELHMETALAETFLGFCPVIDGDLLPRPVEELRKVAPVLPVMAGVTSLECGLFIPNKDLTEENIKKMAEAMLPDSAIKESTDALVKMYREVALRKQPKNELWRAMVEIAGDRMFNISTVETLKKCSQRGAATYFYVFDYYNPKCLGPFSEMAPSSDASHCSELAYVFNAGVAAPFAFCDHDKQMADMTMKAFANFAKRGNPNDEGEKCWLPFDFEHPGRHMVIDLKPRMEEEYLGGRCTRWMELQKHE >PPA03833 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1330024:1333811:1 gene:PPA03833 transcript:PPA03833 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRKNSSSSKPPGQAKRRALSPISFNHPPSSSNRQIAVPSPRTDDSYIKNLYCLPSAVMSYLFSSSSSPNSTTDDQDDLPPSVQLRMPKRPPPPGGWQSIKVTKTWADTRKYKKMDQRRRFPNSPTNREALIAIGSLCPLHHYGDRSRACNCPNPGASIFAHFFPEEAFDNFELYFKTFNEKVEPLQRRYLIAQLKKECGKAFESKYPGWQDTQDTYPLGLFRHDFRAYQRSVLRDDFAARRMPTGVFDFTAKYESRVFGRGEITNKIKISDLARPIIEGAKESDPVKAEELKNLKCGANCACSNGGSGEFCPCMNFAIKERLRGYFDRKGIFRVGNGLTTLGLDSGPTALTEIEGENIPVVGCSAACGCGPDCHQKKVDKFIHASESTKHDKKMFVNGMTKNKSIYFTADDYKNNFCLAAHQCSPSMLIVDCYIDRVYEMRRPLAITRRALKAGERKAWNYTNGNEIIEFTCT >PPA03643 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:427422:428016:1 gene:PPA03643 transcript:PPA03643 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRLKILLPEKEQGLIESEEEDEEALVQESGDSDSDYDAEEDEKPEQRSRKPWTKELCDKMLEFSRSKDLEDGRLHTPSERVESIAERDGDVEQRLRDCGQH >PPA03651 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:450705:451734:-1 gene:PPA03651 transcript:PPA03651 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLLLALLVVPFVSAQSSHDESLKAILCASLICDLPKECEIYENPPNHSLVHSSAPAIVPRLHLPHSSEPTPSSHRLDCRIPDHALRLLPAAGYWTVHAGEDHVVGQYFDVDSQSCQRFSFSGCGNGNRFSSRYQCELRCLREKEDAKSSSDNA >PPA03752 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:901254:901649:1 gene:PPA03752 transcript:PPA03752 gene_biotype:protein_coding transcript_biotype:protein_coding MTVHDFVETLLIISADSRARSLEICSDCSTIACWLNGFGIYKESQTNESFGQLEIRKISKKEKFMCFRYRRCLIKILDFEWEEGKKDALLFISKIAHIPQHK >PPA03869 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1565861:1569795:1 gene:PPA03869 transcript:PPA03869 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFTNSNGGGLSWFQHSTWEGVTLADIIFPAFLFCAGLSSQISLAHQSSTKIDWKRLLNRMFYLVVIGIFIVNKGSDWRTVRWFGVLQRLALCQLIVYPMQVKSNSPQTLLRITRRLRRYPSETTEPDPDEYDIIEGEAPLNNPIIEPTLEGEYATLFSHYFGEWFNIAAVYWITMFLATMSSVLLNSLEAPGCPRSYNGPGGVGDQGAYANCTGGFQGYLDRTLLGEHVYRDSVAKRTFGGLDIDPESFFGNFNAAILVSAGVLAGQILRWYPFRCEQYTRLTVYGTAHLIAGLVFKNVIPANKQIWTISFSFLTAAYSLYIFALCRLAVDHVKTKIKLRALAYVGDNALLIYMLQEAFASRLPYEFFVGYNQIPRVLLSLWTVFLTLFLGFFTRHFDIRLKL >PPA03737 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:833312:837488:-1 gene:PPA03737 transcript:PPA03737 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMQGILTKDSCHCQSIACANRDWRLAVNGSIVDRIRCDRREWYTIYGEAVPSTVCVKPKPVSSETTTASTTTSIEPSTTSTSTSTPAGLCPEMTKYPVADCNEANPGRTCAEAIITPTSVSCTLPESSLYIPGILPRGVPAPLECVGTDWMLPDGITTLEMAIGQDSGAVACYIVSTEPTTTTSAGQCPEMTKYPLAVCNQENPGNTCAEAIITPTSVTCSLPGSLLHLHAVLTSAVAPPLTCVGTEWMLADGTTTLFTAVQMHDGAVACYKETEVTTQKIKDK >PPA03882 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1636013:1642820:-1 gene:PPA03882 transcript:PPA03882 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMPTGPRRSVRLKGRDRESEDEKKPSKKKKKGDDGIIGEDVDVETAKEEKEATAGRQNDAASAMVSDAPSNERAVPSTSRRRSLRSATRTVQQPSQPDDDVVVDGETAAPPVSHPATDEVALAEAIEYLENSLREGGYNISRDADGDIVAIDSPSDESAVQSTQLREAALAGAIEYVENALREEGYDISRDADDDVVVVDAPSDQSAVQSTQLREASSSSHHRRSRYRTEEGRRIVAVSIDSPTTERKVYPEVIQLSDGDDEELPAHNSASPSIRSRPTQPRNPLPRSTFDTHPSTSAAHPSRPSRLVPPPSAAPPDMALMNLVAHISSIPLEWLEDDDNVFVRQPSTGRSAQQRGASNVRSASSARRSARHPSQPDRYDPALSTPVAVVPRGRGARRSRPFGSLAVRGGRGRGVASRRLPPTRIAAVSPYFLENHTGLASSSDDQENHTGLASSSDDHDLYGTRHSSSSGFTDYTSSDTDDGATCSCPEDTDPVPPEFPASMSSEAAAAAVGSQPEEASGAVEEQQAEASGAASAAAKMTTHAERDSVVIFCTIVEPVHEATSLIVDIENAIHRAAESRTSLDSRSDERKRSKKHKKCCPRAHIDEECMICLDKIRRHADRRQ >PPA03819 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:1255782:1256393:-1 gene:PPA03819 transcript:PPA03819 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSCFVVALYVIILAILMFKRSNQRSSYTDKIIKSTGILTIVFTCSWFMTGFLVSFSSLISQTLNIPISYVVMTASLPAIVTYSQTHYVYFFGRKRYSCPQRAWL >PPA03704 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:679078:679916:-1 gene:PPA03704 transcript:PPA03704 gene_biotype:protein_coding transcript_biotype:protein_coding MENASRNFSSTRDNSETNGRHINNDVIDLSSDEDTESSAPIVIKIQENELMIDPPTREGKEDESTEENVDFKVKIEEENEEGLMIVPPMKESQRAALRNPSSSSILHPSRT >PPA03625 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:353280:358382:-1 gene:PPA03625 transcript:PPA03625 gene_biotype:protein_coding transcript_biotype:protein_coding MWLFPCSNRSVILIILVSLSIHVSTASPLVQTSSSIKIESPLNGTAVDRLSSDLAAPAHGSSNTVVPAAPSNQPDQSRNGTVTDELPSANTTLTHSVTDASSPAPTPAVTTRNTTEGKTYDRVVPGYGLTSFGEDHQPFVRCHIMNKDRNESNVRCPWPKTEFIHKAMGCSAMLTLTDPDKYIDNYTNGMFVPREDFTIGLASCVHEKLPRVWSTCREDECVASIDVEHMKKYRAAGRHAECCCRTHNCNLKATDENPSWILLLMIQLGDTRSVAQADGRGFSKLEMEESGSESRPPVVSARMENQLQVRLQFRKYVPLHEVVYDEEEDEVTTTTTPMPTTTTTEAPSTGFLSSPWLIVSSLVMSGILIVCVGLLCWCCLCRAKRSGKTARGEEGVELIERAKKRNDLNASIEIIEVLAQGKLAKIEKVRLTDRTTGEERIAILKSTDYSTFRKEEVDNVQKNTIAGQGSAACRLLANGHNHIAHLIGVNTDQEQNLHQLAFKIEELGDLATYLQVDFLNEARKSKRDDMHIRVLT >PPA03716 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:732966:734638:-1 gene:PPA03716 transcript:PPA03716 gene_biotype:protein_coding transcript_biotype:protein_coding MENQEIYTSVDSPFLDPQDVFAYKYAVMSDFSREYDAKYRYGTVVNLLDFVGRFIHLSMVTLLFIFYQCLFNGNAVVERTPPSADFRTVADDLQRGSRRMLVDFGLLMENEYTSFGPQTTFVDSAVDRVVQMCTDNDDVVLFWDDELLLISEIEREIRRIINMSTDPSFHPMPRLRESMLRGQPQHLIFPRNATRKAVKLMNHLLLTVFRLEMRTGLLSRRLVPARAHGTAFAAYQATFSTGNAEEWATISLLHSMYPMIGFGVGIM >PPA03868 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1559895:1563450:1 gene:PPA03868 transcript:PPA03868 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNFTGLFRKWAHARTLSDQGDIRTPSLPVRFFVLERLIPLCFFNAVQVRRPIIGIMWKYQLNLTVFFTAVETIYVMHSQATARLGAFFFFLGTGIASIGPVLFSHLLDFIDFHLRLDRGTSSPACTLIDLVMLMQRKLRPLSELRLRCHRIPYLSLIRFSLTHYSGTKHFPGPLSPRLATDAPLSMEQPPTCLLAGAEPEWKLNSALVSVVNGTVGSVVQTLSDDCANCTWRSVCTLSGQATGALVDSLYPTQLRVLMDGVETFSDVVDRSKWLSHSVLEKSYPSLLGSFPFCFYCIH >PPA03665 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:503324:505709:-1 gene:PPA03665 transcript:PPA03665 gene_biotype:protein_coding transcript_biotype:protein_coding MWINTESCSGAGSVTLSTGAGVSEGEQRYVLRTWPCSALPRVIYSFDDVVTLTVDAGVTYKFSVSRNMGSSSRPPISKVTRGDYLAVLTSGHSNDLQNLKGNTDSSAYMNIDRKVDVTVVLDVTLDPVNTGAVQLQKRRGGSTTKYFTGTKTEKFSTDYLEVRYEPSALQPQSIWRSLDNVLIDVYIGVNPPPPQPSTTTAAPTTTTTTPPTTTTTTTTTTEAPTTMTQRIVQGAPNCSCSISSACRLCSQKLIAITAGGEGSHPFQSDVIDLRGACAVRTMTCTGANANIELNDGLGIVDDGPDGVARLILQCSEDGTAWLDAGVPITQVECASG >PPA03766 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:978587:980597:1 gene:PPA03766 transcript:PPA03766 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFAWAKNHSINFLVIGKQLGDIGEYRKEMHLLLVSIVVCFSLYILITYHDVFRIGKESLLYCCCSTGHAFVFLAKSTWFMHMLGHYTGWKAQKVSSVTHKSTVTAGQTSIKRLDSLGAMYFMALATVPSPEYPGLAILQEKSKSGAKKKVETGLRPVSN >PPA03783 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1070089:1070845:1 gene:PPA03783 transcript:PPA03783 gene_biotype:protein_coding transcript_biotype:protein_coding MRCHLLGKIDLKCRATLLRKALQGINCDLSAEAKMILELIDSLASNAADNEEDFESSALSDFLSQPMLILPLEWAPLMGEITELVMTSIERFHQKKESRVESDSKSL >PPA03620 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:336693:338109:1 gene:PPA03620 transcript:PPA03620 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLNALFIVFLPCIISIFLNMLLIHALKARYSCRFTAASWLTWRANPCKQKFPTQKMAADDQSANRNLITTQSKNERKVTFMVVVILTSFIIFNFPGAVWFVAKMHGLAGELTASRGRLVETITNSLAITGKMLNFVLFCSSSSHFRQMLLSRCRELIRCVFRHRSYKNTSCQSIIN >PPA03815 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:1237892:1238864:1 gene:PPA03815 transcript:PPA03815 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVMVIVTVFLAPLYGVIIWERKRILRTVNKSSASMSSRTKHMHTLLIQMLSLQAVMPGILVLAVITFILGQVRLINHPWLEASGILVTGLREDLKIIYFFITPKCLQRARQNEEVNDIHMTA >PPA03654 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:460844:461263:-1 gene:PPA03654 transcript:PPA03654 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTTDKLRSRVMQSYASSKYAHNDGQTAHVRSVHAGPLRDPGQLRARLRHTDQLKVSLEFAVTQFITIRPGVRHESSRSVRNVTESVTKSNDRDDS >PPA03875 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1588231:1594621:-1 gene:PPA03875 transcript:PPA03875 gene_biotype:protein_coding transcript_biotype:protein_coding MADFISGRVGSTRSRGRGGARVQSVASPAPRGRASRRRRHDGTVVDHYVLEDSDDDEDVDVEAVEEDEPSMRQGDTATRRSARPRAVPWTARQTSSSSRRRPIQGGRWELVCDESEEEEAQSASAQDSDNDEETTNRRAQRRQQSRGRRNDRFAIVSSESEEEDVHEDVVGDEDEEEEATESSEDSNTGTEEGEGDSMEEDSELDESVLEDQPSSSRQMAPIVRKTRGAKKKGTKKKRKTTKKTTKKRKTTKRKKTKRVSKKKGRKQTTAEDASELRRRIDATRLSLNGAGIEPLTDDNEPPVKRRASLPNPTPSAAPADPTDLLGSILSEQVVAHAPGRYLEQRDGRLVPGERFGRHLDRLHRRGVPVDGVDAAHQSSSSASSAPPAPVRSTAKVTLAEDGPRLVPLSTGPRLPPPPPSSSGLSSRLRDAKIKTPSPPGDESASSSGVFRPSSNYSNGGGSASTENGTSGSRQGTTSGSTHGTTTASSSRPGVTSDSKHDTASGSRKDHRPEEDRKKHSSKSHRSSGSSSHGVSSSSHHVPSLSSSSFSYGSSASSYGLPAIYAQTQAQIIERQNRERFATLRRLSNEVTNEEVAAGRITACEQQGITTRVSLHMLRMLVIEEEKSF >PPA03749 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:885168:885792:1 gene:PPA03749 transcript:PPA03749 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSSSASNAILKCDRPRSLGSSPTRDSGLIRTADQEQIHHARLSRSDRCATGTSALAVEDSILASQRRAKYPPPRSAKRPVGAIAPWMRTVDTVRRNAKRKVRANS >PPA03712 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:722815:724661:-1 gene:PPA03712 transcript:PPA03712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:H3E201] MDEIHSTAAGAIGNTPLVMLNHISEDLPAKIAVKLEYMNPTCSVKDRAAKAMIDDAEAKSIIIMNSGLITPGKTVLLDSTSGNMGISLAFYAKIKGYKLVIIMPSVASIERRALIVALGAELIIVDPTVRGKAMLERARLVAESHPDIHWLNQFDNSANVAAHYRTTGPEIWRQSQGKVDIVCFGVGSGGTVTGVGKYLREQKKEVEVYAMEPYESSVISGLPAGQHYIQGIGAGIVPNILDQAQLNGIIRVKSDEALEMARRLATEESILGGITSGANVVAAVELAKKPENAGKLIVTTVNSSGERYL >PPA03596 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:165287:169013:-1 gene:PPA03596 transcript:PPA03596 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTVSSVNLALLPREMLSEKERKAMPPELRKLHIDMATSIQTPIDMDDHVSTRRRRSEIMNRKNVTPAAQGIPSTDGSSARRKRTRQLKSTDTAAAADESSSEAAAAVEEIVTAAADSLPTAVEIKTPVVRSSMKRKGIPRDLKNLQIDMVSSTTQDQDETPRRKVTCSSSRVIESEVGTSSPAAAAAVAADDSDAAYESSDDEEPPAHRTRLATGSISPAVNMRKSMPRELRNLEIDMDPSQYALSDEEEDDDVIDYDDVIDVSDSDESTTTTIANQSYVSNGNDDSEDNVIEDEQPRKEVRKPPSEWLRFFRWKDYEWDRIRVRQKEGEKTSLENMRERISKEKEFFCDYYEKYKEEVEELLVKKAEKDRIEHDPSISRKLFWFYEIQQKNRAGPTHCSLDCSNIRLSKKKGHKKMTESHVESILRFIAIGALCERCVNPFGLSQESIDQVAPLCTCPKPSPPVFPLDFDIAENVHEEHIKKEIQTMYWNMMCKTEEAKAKEGMKKYLISEMGEEEFKKEYPKYNIGLEKRTDEFGRGVHRRQQELHTLRRTYNQPPTFIFAWTRKEHGTPFLPAQWILDGTMELSEGAEKRLRGKNFGLKKVLCQCADNSATGKKCCSNMLKVNWHVNNNGVLTMKNGLGPCGTEGDSIRQPHETDWVQAAVCSDECGCVVNCPRRFADRGTQKMKILFMTHFKGWSLRSVEPTVRGEYILSFSGVVSTQEEMETNNENLDMIYDIVRKAGMKEGDELSIATDARENLFMEFLCSHVDR >PPA03879 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1619843:1622554:-1 gene:PPA03879 transcript:PPA03879 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTRAATAARGEGDRSPDAAPDPLPIDDNPPAEKDPPTVPQEPTRECGICLNNLVVNDSCVCDPCKHMFHKDCILGAMAVGRACSNCRTEVTGMKMDKTGEVVPFPPLPRAEEARRREARELELAIRLIEATQRPVGDPLRRAAAGFLEEQADIIGQIHMDEINAIERAVNPPAPPAPSRGGPPRNPPPPPPGPPPARAAVAATQAPAPRLPPPPPPPGPPPAHALAAARTFAMTNARVSDPENAPVRGRIPPPPPPGPPPLRALVQATACPQAPIPAQAPVRGPPRLPPPPPPPGPPPAVEPAMGRSPAPAQVPARRIPPPPPPPGPPPARCCPAQAVNAAPPRGSPRHPPPPPPGPPPARAQAAAAATGPRRNPPPPPPPGPPPALSSVAPAAVDAAQRQPAGISNEEDWGNRLRERKAPADPSPSAPEAKRARN >PPA03674 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:537326:537596:1 gene:PPA03674 transcript:PPA03674 gene_biotype:protein_coding transcript_biotype:protein_coding MRERLEDETYVYLANDTRREAKLWRDARSHHIWYEVVGPGMRVRRPCCVTCSC >PPA03823 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:1287315:1287676:1 gene:PPA03823 transcript:PPA03823 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFVFAFVLIVALAVGFASAGKACVDEPDAFCNIVGQFCDNKTWAAETAKCAKTCKFC >PPA03855 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:1511579:1512849:-1 gene:PPA03855 transcript:PPA03855 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDGTSRDPYESSQSEEFYLLSGTGRPICDVVQLGRICRQTAPRFEEGVGHLSNHGRLSARRAALKRQRALGSKETEAAMKVLERYCKKKYRQTTQMN >PPA03816 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1240299:1246602:-1 gene:PPA03816 transcript:PPA03816 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLVAALVAAAFAARDSLKWNHEVRSESVDGVESFKTGYEYRFHLDAQVSHGLPVPGSLQSATRSKSLVTLSFPESETVAHLRLEKVRFASLQKEIDEPKKIQPFELFEEIELSEEHLRTLRLPVRFRYENGMVSEIEFDREDLPWSMNIKRVYINMLQVNLAQRNSGESRFTNEFESKKDNVFTAPELTLEGECEVAYTILPESSSRREESELLVTKSINFEKCSHRVGQRYNFRFGDECPSCEPKFNGEERNIESSTVFNYRIAGTPSRFVIKEVELRSVYSYAPISEKETFFTTFVSGNMRLIEVNKENKRIAAPKSEKKETLLYSMEWEKKEEKFLATGDESLLKESPYPEIKNKHEVVSRLIKSMIVKMESEEKGIELAATHEMARIVQVLRFATKEEIKKIQKECNSDSHEEFVCSQMKDIFDDALALAGTFNTVEHLVEKIRAREISPLKSATLLKQLTSIRVPSEKIVKTLASLCKDSRRQPLEHQSCWLTVGALMHGVCGDHRDKLAIESSEERKCPREMKERFVSILNDEFETAETRYEKILALKTIANSGMDLTVYPLDKIIRNEKEEKIVRVQAIEALRKLRSVLPRKMINILMPVFKNIREHPEIRVAAFHQIMQTVPEKNIIDQIIYQLEKEPSTQVHSFVYSSLEQYSRSEIPCEKRMADYCKHALRTLRTQPRKMVSSTYKHWTVYNEENKNGATLNWAALFSNDSVLPKEVMTTLETAFAGQWNKYLAQVGFQQHNIDQVLYKLLEKVEKSGLEEVLVRGKRSSFKPTEVLSGLFSKLSIVSRKQKEQDPHALVYIRFRDMDYALLPIDEQVIPEMMKTVIRNGRIELGEIESILAKGYRFNTVLSSFVYERTRSIATSIGSPLIFSSKMPTIFKIDGSVKVELEPRNGKSFDGLKARPTLASTHVTKVEMTCPMVSLGVKLLHSTHINVPVDMTTEMSWDKKFDLKTTLALPKDSRRIVQLQTRPVSFLRIWPKETRAYVEPKEKTIYVEELETLVHKIRSSHLEKATGIRMNVEGHVHGHIWEKGMEGIPSALLIGENNLEISFEKTEETPKEYVIKTEISTFDEESRMERPSMERFFENDNKKYFKTEEYEEYDGDEEDRQTSFKNYVKSYKSDKAYSHRLFAEIKSVGGRKERKAEIELRSVCDDKLRHCKINFAGFTTPLLEMETRDWKIESSIEMLYPEMPETLEELLNQKHRELSINVESRWGSDNKNELKMKIQGEQNKEQKRWMKRVAELRERKEDSLTALEEYTRLVEASMLNQYKVVAKYDIACPVTRSLLERIYTYSKVSAPWFSTSYDFARNEERIVRALLTIEPSTRQYANLTLETPSEKVSIRDYRLPVPLRLVNIRRQSMTPIRSLSTLGSNLVEETKAMCTVESRKVNSFDDVIYRTPLTTCYSVLAKDCSSEKPEFAVLLKKISKNGEEKKMKIVSRKSVIELEMDKRSEKMRVTIDGEKVERIEKLEEARVYKKNEVVVVELDDVTVEFDGYTANVKLSEYYKNKQCGICGHFDGEKKTEFRRADNEETDDIEEFHRSFLVKGEECEIEEEKLSEKRNYRLESEESSSEEESIFESKWDKNTKWESKKNVREEKWEKETEVVETEEKTRVIEYSNRVCFSKVPVPECPQNTVENEENMKEIKVKFTCLSRSDRETARLMRESRREVLSLEDFPESFIETITIPKNCLIY >PPA03885 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1656647:1659656:1 gene:PPA03885 transcript:PPA03885 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPPDFLGWLTFIMKHLGPLIILLVGCLCVFALQRVSDLRSTPLFCIVRVLMIIDIVNIVVGKIHDIPDEVMGKEIFDPISVTVVLIVQCIRWFAQLLALPLLSSLHFLSLYRPVRFRKLRLIHGYSIVAFFLSMSVLLTVPLLTECCGFTYYVDGAFWAFDFGKPGTALYTQLNLVLQHEKQDCVEHNPETIPILFRSNGDYASNDYALALFSPVYTTNRVRPLKIVKSV >PPA03801 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1158556:1160695:-1 gene:PPA03801 transcript:PPA03801 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDCLVCGKPTSATHMGMDACRACTVFYKRNYRKRDKLKCGYGKRVCMDDTRNNVFNCRKCRIERFEMVMRSGGIDTSPSSSPPLLLENKNNNNNNISVKSLQIIKALSAFRRTSELNLRGIEIDPADASDDKYDLIPSSYRQMNEGMKVLVVGLFEFASSTFPEFRGYSFATNHRCFFWMDAALRSLRRFGKRFDVLYGSYTTKISLDTIDDFFADCPDPSTVSAAAKAFRNRWHENVPKLRALIRKVSPTDDEFLAIIGLAFWSFEGLQTSDYLEELGVRYRAEITTSLSDHYRATIGVEKGAIRILQESLDVSDEVISLAARYRTTIISELTAHYRSTIGETKGAARLGSMICLAPDMKVGIIDQFRLMNTPSEALDAIEIGF >PPA03724 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:773842:775576:-1 gene:PPA03724 transcript:PPA03724 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLYLLLFLFGACATQEAEFVKKFKDVASNFFGNDTSTVANFIVGRMLLGHNYTIVERDMLKQVRSIGGPLYYAYHRAVANAVYQLYGSANGSTVEIATAKAYYNASQPSIQYIFDRVEEMMKKNQKSSAIKKEIFRMAKVLLTKGIVQQFINVYKALLVPSDYKCALPYLDLILNTKNYNMQQTSLHANAGFIFAEVDALKKKKSPETEIKKKIFEMAEKLLTQGEVDKGLFYNKFYSINVLGTTVGYTTKKNKQNK >PPA03845 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1401690:1403840:1 gene:PPA03845 transcript:PPA03845 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKRRISPKNKNKDKRDKQQKVEEPKVVEPVVLPTPTPSSSKEPNVPFPLGRRVPVVRRPMEPLPVDERIQNQIKAAEIAYMATQFVMAVCFIFVPYTCYKSMFYLPPQEQMGMGGEPWEQYFELI >PPA03775 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1038027:1040718:1 gene:PPA03775 transcript:PPA03775 gene_biotype:protein_coding transcript_biotype:protein_coding MTETPPKPRRKCLICSVPVVAAHFGMDCCRACASFFKRRKLSGKKFACRAGDRKCLVVKDDKFMCRRCRFDRCIEVGMIYDEFPEQLGHGESINFPWARIDSGADRKRVQDSGCFVTFAGLTNGTRGFTKLQQSLNTVKNIRNVNHDHSQSWTNYSSVDRRRVQEERILGECEDRKLVPHPTQKIYLANYRTSVQTYNVCVAETLIFFREAFPSLLELSKQERDLLFKGYIAKFSMIESHYRTRKVWGEVKRYCMGSVLICVDLECTERWLGEEDGGENRLELLE >PPA03842 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1378575:1380954:1 gene:PPA03842 transcript:PPA03842 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALNATVLITTTLKPCSRNWMNIWKQLISAPLGGASWILNAILLAAILCNRRLRAKREYWLMMALSCADFSEGIASFIGAVYRLPFYYSDTACYAYTVLLVDHKHPTTFFTTFIAFMSQLLFNAWYCHTTSFGAKEVVIVFVYGISVLCMLYAWIMPIQLQIAGVKLQAVCGTGGLIDKVYTQVIKYATGAMSALSVLIHLFVAYKVRIYMKRMAAMTNFGEKPKISKRELKFTITSLIKGWEI >PPA03698 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:652517:656860:1 gene:PPA03698 transcript:PPA03698 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYCVKVEAFCRLHNLKFERRNTFSERGSNGLLPFIELNGVQICESQVILNRLAAHFGLKNYEDALSEGIGHALEIMIENHTLHLLRIDLNRTLGTLVEVIVKQRVPAFAVGAVSSLWTSYMRRKTRAGVKDAIGQFTVEEYDEMLRSYIHELIDSAELSVFKQYLERLVTRTLLLLLSAFFHSWGAEYHIDLKHCGKITERYRTIANDENCDVIMQIRPFSGSKLHIMVQVNATQYSQPLDDTGISFVQDAVQFACKKSGPTGNMVDFKIEKVGDGKYEEQGLFVCTECGASYIRYSNLLRHLETGRHFVRPEKITLYDFALKLYKEGLEDMIKKNNILDEAIADMGKDSAVAERHDMQPLSMLTCSHVMSPLSRCSNVQGRRKHPLAAAQVSRLWEAPLSRCSNVQGRRKHPLAAAQVSRLWEAPLSRCSNVQGRRKHPLAAAQVSRLWEAPLSRCSNVQGRRKHSLAAAQVSRLWEVPLALFRLLKCPSSHL >PPA03611 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:254076:255181:-1 gene:PPA03611 transcript:PPA03611 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPAWLLLPLTVIPILIATVHNEYNEKPQVHYALMNSTTSLALPAEIPLLTNAEFTSGYESQGRHSAEMLNGSIMDYLLIVEIALIALVVAALALIYTYVLVDYLWSYDEEEEVPSFLESQSRSRTPFRISCRLAREESEEVAQPREIPDPEVGVPESEEVFKIVLPKAKRRRKLTKFLACKFLFFQKLGM >PPA03683 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:585793:588439:-1 gene:PPA03683 transcript:PPA03683 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPHSKRPDIQTFRSLAISAVLAFHLRPDLFPLGYLGVDIFFVVSGYLMSMMLSRVAVMNTKSILEFYWRRISRILPIYAFVIFAVAVIARFILSPIDYLILIRDARWSLVFGANIEQYRDKTDYWAQVNDFPILLHMWSLGAEVQYYMIVPLIVILQRCFRRKVHQLIFLGALTIGSFASYAFFKLTDEMGSFLLMHNRIWQFTIGSIAFELGIEEESELNDLDTESLISKSEDNKQGITSRALVCLGNSIIISILLHELVEQKLLGCTKTILILVISLYAATLIVTSIELPSNTTTQDTRFNYTEVVHWNTHNTAYKGLGDCKKDKVAAKWALPYKEEENSRCVATGNGTARILIIGNSYAVRAMSYLRPLLFPIAKEVRLFAHIGCRILIDGSCPPFAAAWPRVVEAMKPDVTWIISRFVF >PPA03836 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:1344704:1345185:-1 gene:PPA03836 transcript:PPA03836 gene_biotype:protein_coding transcript_biotype:protein_coding MARPVSDVYEIIERLAQMMIVARCRCALRRSKSESHLNCFHMDSWMQEEFQNCVQIAKEIEAFAGSRAADESPDFPMYAESLDSLQAH >PPA03738 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:838292:839419:1 gene:PPA03738 transcript:PPA03738 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGAIVICWLIAAVFCTDCTTSKWWHDENDQHVLTDYCHCQSLACANRDWRLAVNGSIVDRIRCERREWYTSYGESVPSAVCIKMNPDKSTSTSTVPTTSTTTKPTTVTCPALTMLSLADCVTAIGGQRKTCAEAIFSETSVTCEDGDAMFIFRTVSMIYAHELEH >PPA03717 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:738508:740211:1 gene:PPA03717 transcript:PPA03717 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPHNAACRGLRGYDSIITPDNDNDHLIIIIIITSRGLARRCPLNGALPSQEQGRQVQGNLSGEYRIFAEYFPLKLVKTAELDPERNYIIGSHPHEVLSIGACATFLTESTSANNSGLLTLNGQFWFPLRREFGFVIGGAESSRTSLKWNLRNPGKVRRSIT >PPA03688 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:601394:602347:-1 gene:PPA03688 transcript:PPA03688 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIDGIYRTMHHFPNDDEHRTLGYTTYIRPNKLETTFFADCSDEVDITNITSAIRESLETTVNVAKKYCRRVQPTDYEFLAILGLALWNDAVQVTMH >PPA03768 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:985765:987737:1 gene:PPA03768 transcript:PPA03768 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEFDQLFRVRGSPPLSGIYVYNAFRISDTEIRLFFMHSTDEMQKLQFLDTDGWKSVEITCAHLGIIRANSSSSLTLRAPRSTVEREIDVIDVRPKHEMENREYPYDHKLGVCVQPVYYHADWPVFIQFFEYWMAAGATKFYIYLHSATPQVRKVLHYYSKLLGAGLEIIPWSDLPVSPKDRGDFSRDPNTRVFRAGAYAAINDCLLRSRWNVKFLAMLDVDEIILADSPGKYTKIRKVSNSNPFAATFSLEWRYGVVEMRNNMRIRTPRNLSFDLLNDVKVLPIDNVMFDYGRLRKIIQRPERVRITDIHNTITNENNPTTYETAEVNSSVLSVLHLRRFNSRRSTSAIDNSTPANIDHGIINKITANFRNRSGLLDIDMGYWWRTAEQTMSELEACRKHPFNLGTRIGKGHCRSLANCEPTLSSGEAFVKVS >PPA03608 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:230798:232274:1 gene:PPA03608 transcript:PPA03608 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSLSLGLLLVVLLPATARPMNVIAATKAYHNQPRWQRTTEKPVVSTTQAPATPAAEALKADKEVTTAPLMEAIDVEEEEVVVATKRRDLSLIETAMDNNEKLPASIDNKGTEVGVYDGEVNDKNAEEKDAENDEVLSTAKESIDETDVEEIEAEEKEKKEDKMKEEEDTKDQQESMEKAEKTTEEKNDGDKYFTPRKMFKWDGSDEEDFEEMRQYFARKDAQKALVSRDAKMTTAPLPTTTTTTTTTSPATTAYSETPIKLCGAPLLQYIIDRDLCRPDRCEGVTRPPVLAGWKSRSPSIKQQTALISEQCCHNYCLPSLIRAICCE >PPA03630 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:387250:388450:1 gene:PPA03630 transcript:PPA03630 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGFDQIPFVEAIDQNLVDQPLFTVWLEHEGFKENVDKVTCGPIIAYEPLSSATYFLFKLKSVSIGSYTCKKDWQACDLYIETFETMPFCLQVVSDTGSSLLSAPIDVVENVAKTIGAMLDPRSGMFTIDCNAKIADLQLVIGSQTYSINYENMIPMTSNKYALAMDPYTGGGFGPQWILGDPFIRQYCNIYDLGNKRMGFAKSNQA >PPA03746 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:870536:873095:-1 gene:PPA03746 transcript:PPA03746 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLFVTTMIALAIPIRGNILPEGYFGTFALDHSENFDEYLAAKVADRVGIVPRERLVGPEEPHPIIFRIARGPTSLPPGTRSRGSCWHRPSRKAHEAFRQGLAVADPVGIVPRERLMRPSARDSQATQAEGVVMKRYFKRQ >PPA03735 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:822880:825051:-1 gene:PPA03735 transcript:PPA03735 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPMLLACLLAVAAACIPTKHPEPGIPAVTPACATIPLADAVTCGSVPFGCDTANPPVVSTVAISCNNGKRILIIKVATVTGGAASKLSKIRVVRKNIARVLTLQEAIGRALTKHEASIKSAKQLAKTFVWGKICSTFALKRCLPTDMRYKKTRDMRPHQARGLHHAGQATWHISLLGCRLYVWNDRAKPLKGTGTTDDIFKLLVRPNEP >PPA03636 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:405421:405932:1 gene:PPA03636 transcript:PPA03636 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGHGNNAKKVPERFMKDCFSDNKQKREKRHYSEPPSEKLERPRRGNAIDYLQTEESKELHEKRHYITGGHDNGFEVTSYWLQFDSEYF >PPA03647 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:435663:437710:-1 gene:PPA03647 transcript:PPA03647 gene_biotype:protein_coding transcript_biotype:protein_coding MCASGCKMYAAIGYTDEQKRIANGILILDPITKKNTSVTELSKQFVQGSQEKSSLEFDNTPTLTIVNTNVDYASAPLALFIVSAKSPYYRTAEVFEPLSFRRDKAIASAPITILSAVPFSVLVQPGDTNAVLARTTGYDAIDRNGADNCYTAFDKNIGTAGFFLAINGPLLTLSFDMAKYPFSQVDMIGSYGLAKDTYPFPKQDAFISSPGFVCGSPSSHQVFRSSLLTDDKTYTLRTIANQEMVVKFDIDMETDLPVKILDEKTGIEHGFTGSFQDGTNTHSFQLQTTAATVSFTPGSDQSLFGMRVHAEAVAKP >PPA03593 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:151086:153764:1 gene:PPA03593 transcript:PPA03593 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKASIIANSSSLPEHYVLMEVTNGMVQESFNSKTNREKAIQLRNAVFTMLVIVLSYLVCNGLNLFLLYYEKFHPESLYLADSRISTNFYIALSDSVSISYMLSSAIRLFIYAKCNPKLRKELKQYLWGMDYVPTTGTNDNYGQQFKTGRASTPTYGSANGKGFDYGSGSAGSHSIGSGANPSHSIGSGAVPSYGDSVLTKSAEITGTLMCGDQPEKDAIIRLYRNVSETIENLLAVVKTKEDGSFRIEGNTAGKGAAEAGIKGYRSFGFTIEDELYVSLGRIARKAFNIGKLNTQVIYPGEKRDLKYTNDQPLGAPI >PPA03871 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:1575619:1576967:1 gene:PPA03871 transcript:PPA03871 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPYQVKVRWNKRAATLVPSHSAKRPKRALPDWANPAKRRTKRVEASIRDAQNEEEMIIDAAEEPKSAQVLASISQSHGNAAADDDVEQQMSLSRYSEGGLSALEYDSKISLILTLTPV >PPA03617 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:306184:309058:-1 gene:PPA03617 transcript:PPA03617 gene_biotype:protein_coding transcript_biotype:protein_coding MRPALLYFAIIASLDFWRSLTNSAILNSVHFWLDCYCPANGRPYTWKDGTPTDWMGPRGELLNCQTGSGYHIHPEGFAMYEYDVGAAALCAYDANAPVYQPTVEPTTPEPTTPEPTTPEATPVDVTAGYCSCNPSSVYLDIVFVVDASADMTSKTVGDATAAIQSTLIGLTFGTDYYQSNVAVVAFADKVQTVRNFGGFRSDNDIWSFSIPYLGGKANKMAEAITQASSLITSNERAFTRGVIVLMSKSFNQLDSVNIGEAAEAFKDDGGIFITIDYAKGQGIKGLKNLASNGYYINDASSRESLNADILYSFCDGCYHIADVAAVFNAAEQNFFPPKARFWIGLKRTGNKFEWSDGSIEPFTDWAPGNPVTGMDCVYKQQQSGFNALGYSAPCTDPLKYSMAYACQLRPCDSEYNCWE >PPA03820 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1262592:1265226:1 gene:PPA03820 transcript:PPA03820 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCVMSLISNEENVQVGGYLDCGDARSFIIEKKPTELEWLEFTSHSDDQDPDEDNDVTNTHILQMQIDDFSLNNTVTPNETLQMSTEDVSPNDALQCCTMSAAVISLCYVMLH >PPA03650 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:445332:445700:1 gene:PPA03650 transcript:PPA03650 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIIGNNTPALRKGDPEPPLAPGCARIYSMRFCPWAERAVLYAAAKGIEWKGN >PPA03740 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:844361:845815:1 gene:PPA03740 transcript:PPA03740 gene_biotype:protein_coding transcript_biotype:protein_coding MIELVEACAPKDTSIHTNPATGTPLAYPTDGEVTCVNGVWMASDGVSALNVQLNPPIFTTIRSE >PPA03709 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:713710:715680:1 gene:PPA03709 transcript:PPA03709 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVWMMVLVILSSVYLDPLFEPIPTFPAIAGYYVGPLCQAGIRPHTVLAGFIVIIAEVGTAIVSCNDRACRQKQTALAPGIMIREAEGRGKRGLF >PPA03805 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:1178650:1179425:-1 gene:PPA03805 transcript:PPA03805 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYLMRLRSSSLSRNLRALEVVFHAFQTSPTCSGVVIDAKELNDLYNADAYRKKLEFEKDSGRTDSPCMPSSFYDESQPGTK >PPA03756 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:922457:922784:1 gene:PPA03756 transcript:PPA03756 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEYDMDLRTADAPRMIYLNYLHSLNGSWNEYAIGAAGINALAIFITLLVNLYCGWRIVRALHDLCALGHILSTRNAVNCSCFAR >PPA03693 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:622228:623180:-1 gene:PPA03693 transcript:PPA03693 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGVYVGQKDRARGKLLKVLNDNEDTVEEVSSFEVELNGESDRGKMGDDRVEAEGAVFEFRDSKLTTVPENQRGRETSIERGQFPEIYFELLSPAEDSPKKEMEYHTKYEEQLKDEEKSKKPQTDQRNVKNCNEAQEYQFMELENKYKRLQLMY >PPA03883 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1647888:1651858:1 gene:PPA03883 transcript:PPA03883 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAARNKTTDGSIPPVVPAVSTAVYEVFPCAVCGLGMQQTETIIFLEDCWHRFHDACIMQVFNDAPLTEYQGCPICKFVPRRMFKETRGPTHCRASVDVDIPMSKLSTLIYNVLDKENLIADKEDIPVCTRVLEKFYVFIATGIDACTASLLYEDLLKSKLGRLCRRMLEEIASGENIDR >PPA03701 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:665241:666395:1 gene:PPA03701 transcript:PPA03701 gene_biotype:protein_coding transcript_biotype:protein_coding MWSDHPLARRATKFAEGTLVKCKIAYGECAFFQQKKRVEKVILIGHHYCRPLEQTQYLVLDEADRMLVMGFAEEVMEIMEKGGIAGKEDLVENGEFVQDRGCCSVPHSRSLAKELLLEDYLKIVVDKIDDANKRITQDVMQVERMQVFKQKTLIFVASKTMTDTLGVFISEAGTPTTTTHGVREQVEPA >PPA03751 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:897120:900025:1 gene:PPA03751 transcript:PPA03751 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCLVCGKKTPVTHMGLDVCRACAVFYKRNKPLEATMACVEGTRECDDFQKGNYSCRQCRLDRFNFVIKTCSKGNDLALLPSCSSSPRLSSPDIPPLIHDQTLIDRTPLYQNNELALRGIEMDPFIANKEEYEIAPCTYQVMNQAVRIMIVTLFDFAAALFPEFMEISLADKWLLIRNFQTTFHCLESHMRSQRFFPTETGKCFGTYTTYLAPDASGVYFNDCVNKQNAGEAARTLEHCIKQNCFTIREHLDRVKPNDEEFMAMLAIAFWSIEGTPAHEDLIELSSRYRTEILTRMMLRYKETIGAMEGTRRIGQLYDVLGTLKKTEENLKWEYEVYRMLDLFDDHTYMYKLQMHKPDTICKSLNYLR >PPA03744 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:864213:864841:1 gene:PPA03744 transcript:PPA03744 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNKIAKSALTYQMLLPCGAAVGAVLWMLDMSQILRSEFTERYIMVISSVFTLASPLINLTVLTPYRALLPCSNAHKLTPGPNLDLTTAA >PPA03624 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:351879:353192:-1 gene:PPA03624 transcript:PPA03624 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVRFLTSFMDGLAYIHSPIPQKNGGSLTIVHRRHSVEVDGLLRDPDRENAHSNSYEWRRIVGVCRDIKSRNILVRADRTAVICDFNCAEPLVTGSGIQKGRISQKGTLHYMAPEALKSYTCNVEGFKMRDIYAAALVFWEVLNRTQMDEEDEVAPARMPFEAEVEEERSVEEEKFLNAFDYNPREKFAPKPVLYILMRLIHDEERRPQFREKLRTHLMIIVER >PPA03808 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1194562:1196502:-1 gene:PPA03808 transcript:PPA03808 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMGRGHITGLFSAHVRQSSFSVQSNLIAMSKDTPSFWEIDEARSRVFRQQFLSPESTDDGHRGYSKYFARAHDRSDIFLKRAKVAVVQEHLTDRDGYNVKVRAYLDCDLFENAEYREYASLPWWKKYLNPINWFQYWRKDCGVAELETERKKLMHE >PPA03646 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:433175:435441:1 gene:PPA03646 transcript:PPA03646 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIERITQTLTIFNTNANLESAPLSIWIVSAHSPYYRTAEVFEPVNLKRNKGIASAPITILSAYPFTVTVQKGDSNLVFARTTGYDAIDRNSADTCFTAMDNDFGGAFDGFSMAINGPLLTLVFDIDKYPHSAVELSASTDFKFELYPFPQQPTFISSPGFVCGCGSAPETYRSSAVSMGNAYTLHTPDDKEMVLNFDMDIDTIGGQPVLIYDHKTTLNHPFSGHFADMTNAEHVQLQTYRATVSFDAQAGGSFGMRVWAYDVGIEPAKTTSGFDATTSVVGYRSLTVLLFTTLSVLIA >PPA03677 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:540510:542480:-1 gene:PPA03677 transcript:PPA03677 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPSVSTIDEGSSLNPNSPAQEEAERSVAQKFRDFADLTTAHGAKRVLIARTRCSKLFWLLLMFALYGMVIYVVIKLTGKYTQHDRITSISIKFDEVEFPAITFCNLNPYKRSLVRLIPSIRDTMDVYDNAKSQGKVRKEKKPKFSRKQHIEMSEALVKELFREELEEEEARLSNITDASPASIPPASTRRKRMASGELHQIYEAIEAHCRCLGKLDMECIRFESPPKNDNSKCICTLNMDLDKAWPCFNST >PPA03657 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:474105:474818:1 gene:PPA03657 transcript:PPA03657 gene_biotype:protein_coding transcript_biotype:protein_coding MENATLRFRWSTDIFFGLDAVSYTLKLVEVFCAIPVHIWVITTLDIKEYAISRDIRICYICDQIVAIQSMVVIKRREVTH >PPA03779 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1048333:1049254:-1 gene:PPA03779 transcript:PPA03779 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEDLAKSARFPSEPKERRKFKEREESRETREHKKSTEPLLIVPPEPYDSKRGTTPTRALQSRTFPVLPSDAAYGAGQVHAADGNPFAAFDSLTDEKGLAHRPRSRSPFTKPGLWEPNPDDPHSRDPANKWWYKPESVGVDWLNGQLQWGGHWAVPAAGVGGTNGQSTLHFPSIGTFLGIPDDYD >PPA03727 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:786256:788152:-1 gene:PPA03727 transcript:PPA03727 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSISSMPSKPVVRIGFPFASYPRIFKNHLGKWVGIHVDVWEFYAQSIGAVVEFAYPDFQHFGPDDPEVDGKFVSGVLGLMQNDSIDAAIDYTLQQGRMGNFQYMPQYDYQPMNLIHRERVITASYFDRIGDFIASFGGFSVVMTLNMLAISASTIFLTYYTAALPSTTKTYGVRFCIVFNAFVFFTLYNATIAGINLMISLDAHKDDSFQQFIFGTETPNDFSITVLPTKQEVVARLCADDSAIYFDYLRDFVEFYNDDVGASICTLTTVTNDLNVEDKYTIGVNLGKTSPIVNYFRADRIRKRKQLEFVIL >PPA03759 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:927773:928819:1 gene:PPA03759 transcript:PPA03759 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVHGFPEFWYSWRFQLDHFKDRYRVVAIDQRGYGGTSKPPNIRDYSTTLLAKDLDDLIHALGDSAVVIGHDWGGAVAWQHALLYPDSVDRLIVCNCPHPAAFSTLLQNSRNGKQQSCSWYMLFFQSSCVPEAAISSDDFHVFEQHFWGRHGLKNKENITQDDMEAWKHTFSQP >PPA03713 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:727757:728240:1 gene:PPA03713 transcript:PPA03713 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRMLLNISHNVSQRRHFMNQISWKDENAQALSSCYVSRKSSIRREVLDIVVQPSFGCWWGCDADPKCEATLLQGDANRCVYLGAEIADPLLNVCTAPFTTDVKCTAITP >PPA03705 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:681204:683985:-1 gene:PPA03705 transcript:PPA03705 gene_biotype:protein_coding transcript_biotype:protein_coding MGISNKMIHFFSILLLLFLFFVPYHARVLDDPDYNPEDYTDINLHGLESQTEAEVDCESRSFQIYKAMPRSPPARRPYNCSLPSDALLLAACDSSVDVTVTFNVTLSDVVVYYVDQTIRLIGVDAHDPTVCYIYRVFSEENYDASLESEEDDRRRGEWRKEMKLNRQRGTTIPYPPQPTIHSDINELDETKKRPMPDDVRLLPVDDGDIFIQKPPKNLTKINVNLISPKPLWIK >PPA03761 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:929357:930653:-1 gene:PPA03761 transcript:PPA03761 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEQCVRRRRGKEIDIQFRLDDLFPCEHRIVLFSSKSFLFFLAAVVVSGMMTWYLVSCCGGSGELDSAGRRALVDEYERKYGERIENAWIMFDYGRGSELDYTLIVLLVFGLNALMIASLLPATVFAFLTYRHIRRSVRLSPTVKELQTRLLAGMCAQAAIHFIFVYAPYLLLSNLTLLNVNITIFHDATVPLSTFFPVMDAAVIMVLEIGEADAEEAPGCTVNERKKMNKRKF >PPA03581 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:50355:50933:-1 gene:PPA03581 transcript:PPA03581 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAISRRHRNDRLQDQSAPTRPQRNWKSSRVVNLLDIVGRTPYKHQLNTLIKRRPIAGRILNEINGEFEYLELKDAKVVDVNCLAYISHRNREGKHVRETLHAWATKSGFKHNCSNSVIKAGDGSVYLLECVVVFL >PPA03861 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:1541837:1542250:-1 gene:PPA03861 transcript:PPA03861 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNIVIGYREEVAIHEGIALLSCFGSAARFGPVEDEEEMDCDVYNKKRPHPVCSNDKECEQMDGGEVSTFSRSPRD >PPA03642 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:423552:425200:1 gene:PPA03642 transcript:PPA03642 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRDWKNNGYCTNTAQTMDTRKKYCGVSCGFCNTDGTQTSAGGGANLTACADANANCATWVARDNFYVRLPMGSNLRSDHASKIMTYCCKTCRPVIFPTAAADGNANCDKWAKNTTNAFCANQNITKDQKTLFCAKTCAFEINPTADCAMYTATGTDFARGTPSNKTATPGTAVKSGVKTGTTLNRVFAKSGCTVKLFAVEAPTDTSTGEAAMFVGNTTTNFFPVAEANNEGLSYTCVC >PPA03755 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:914162:915886:-1 gene:PPA03755 transcript:PPA03755 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSKYSNYLKRPVPIELTNAHMHRRTMRLLHGIPPFVYMAFNPALREGVRKYAAITSSVVPTATTLNVPSHAPSSHLSQF >PPA03782 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1067256:1069539:1 gene:PPA03782 transcript:PPA03782 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNLWYGFYFPDDNTYYPFAEEALPKAFRKMRIGQTLPMLWDNAVWDAQCVIISSKEAVDLLIEDLIMGVTTLESLKLDDKALKKTTARGAKTPKRKMHSVNKRSGKRTRRNDEERNDEKENNANSSKEEAKRDDVEIKKDENENNASPVNTSYADVEKKNDEKECNLETVESLKSEEEDQKDVKRDEQRGESLGYGTKASPTVMKKKHADENVLRYREAARKLTEAEDEYEESVRTIESKMVGGLAGLNKAREYHQKTLLALSDEQRRRELTRVEKMKKNLQSNGIAL >PPA03858 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1530684:1534399:1 gene:PPA03858 transcript:PPA03858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sgk-1 MAERNVLKMNFKHPFLVNLYYSFQTKDKLYFVLDYVNGGELFSHLQRDKHFPEPRSRFYAAVIASALGYLHDHGVIYRDLKPENLLLDRDGYLVITDFGLCKEGIRGKDTTETFCGTPEYLAPEIILKKPYDRTVDWWCLGSVLFEMLFGLPPFYSKDHNEMYDKIVNTPLKMRHTLSAASTDIIEKFLIKDRFRRLGAKNDFKEIKDHPFFMPIDWDKLHSRAIRAPFVPDVKSDLETKMIAREFLDEKFNIGSVCPPNLSHQRVRDNDFVDFTYVDKSMMLHRD >PPA03870 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1570699:1574641:1 gene:PPA03870 transcript:PPA03870 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPVDHEEERRKEMALIVNNLDSLCRTNRKSISHSASIMARLFYNLEESESATSFLHYVDGLITRRVTVLVPSNRRKDLATASSRAVADSASSSSADTDATGTAQVIDVIDLVFPKDDEADSTPMDVQVESTETQDANVSWKVVAGSYLCNYCCRLYPALGEMVMHAKICDAKIKTVKTEEEEEEEYAIRGLRTVEAKTCPYCEVEMASCHMVEHHSRRAHANERTAVFGCTECRRWCTTTMALIKHWSKMECPNGRITVRKPIEATEAKNQKRNVTQIRYACNTCGKGFFSRLGVKYHVDKVCESSMAIDVKKYIKEGTQFVSIETLKGFHLELVSSDPSSIPSCLTSFPRFQGALQPKQSPPSEKSL >PPA03824 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1287852:1289605:1 gene:PPA03824 transcript:PPA03824 gene_biotype:protein_coding transcript_biotype:protein_coding MGWMGSIRHLSTHRPILHFSRLMAILHIGREAPTTKKFAGMRKWAALFILATVLLSLLTALIFLYYLFIAPALGVPAQTASYLASFFGFFIAFIVVGLVATVLTSAAIILNKQPLALAVFAVAVIHILLTVPLIVFAILSNTVFFQIGPIISFHVLFLLDLIVAAALAVTALLTFLEMRKSRSLTVIQPAQQPTVAFMTTVNRSPSNRSPAPRSPLPPRSPVTTTRSYESRVHVSSASSSARYLS >PPA03631 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:388838:390424:-1 gene:PPA03631 transcript:PPA03631 gene_biotype:protein_coding transcript_biotype:protein_coding MLEYFNLVGCFLYSLIILAILRSNDKSFRTPFWIFFISGGLYGIYCVLVFDFDWSWDAQSAIATSTISALGHTIAKLYKWELTSIVALLVVQFLVPLLVCAPFAFVQPTGHGKEYGPNYLIDIVRITSAAFYGAYVIAGLVLTVLSMRRLRILLGIAKRSKKKQTIRTVRKEAVITIYSSLLFLAHSLKSVQQIIFVVFASRSDLYDDALALYPFINDVAVFSSPVLLLITSSQLRLLIRSYFRRPLVVNCHHVIYTLILHLH >PPA03731 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:809315:816523:-1 gene:PPA03731 transcript:PPA03731 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGLHTFQSHSAEAIFQLVQSRIQDSANASADDPSPGGLLILKLKNFLILTFEIRSVSDCQALFRSIEKLSNRRGFHHESPFYYRVPFSMTVHFFIYWSFFWAAFDLENEFTNLSVLEPDQFRISSANVNYAFCQSCPEKVIVPKGIGDDYLGHTAEFREGRSSPTITRPASLRPGSYFDELEFPIMRRGQPLVGPTNRRCKGLRILLMSIMNQSMIVGRVGHRERADTVLTVIGGGERYFNMQEMSVLPRHYEPMTPPHGYLPQLNYASVEGADTVGELQSRSNSVGSRNESGLPLGRGDRLKLSPAPLAAVEDDDKSQISYSQIDMLRTQALREIIADSYHIPKSPTILGSKRDQPWLSPLALEEEYSWNDIDIDYTLSKKFKKEGTEYRYSQDSQLEEEDIEIEGEEMENESSTEMGKYAIVEDSSLKRLFKRCEECGASLDTSAITIRRCGSARIVSYHCINEECNAFVTWESQEKVGQGRGKVYSANHKIPVAAFVTGMTFPRFIDFGKLLDIDLPCDRNMREYGGVAIERVFEGWQEAAREIAVNASETKLALAGITLHKDEDGIDGISCRMESEGALRGLVEVIEDGIEIRTRVGDQNGMVNKKLREHPLTANIENLHDWWHVQRPLRKEWWQANSPELELIRELLFKPKFTKAFLSAASLIDTAINECYHSLSLMYAAKRMFGVMRKKKCFLCGEEMIQARNFPPLSKPLQRKEWILRQDRDDEGTRALIEKHDAIKDPRWCVRHFADPSDSLPIDIIADSYHIPKSPTILGSKRDL >PPA03720 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:752890:755274:-1 gene:PPA03720 transcript:PPA03720 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAGSLNDLVAGFCHFFTMQRVLVFPRDLVYLSMGPCSLISERACYLGYSMQLFTLIYSFYIVDSESTLSKWQERSTPHDCTPRAADHCGGYTTSKEVGTA >PPA03609 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:240151:240862:1 gene:PPA03609 transcript:PPA03609 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLALLVLSVLLVIPATKPEDEIGFAAHMAFHDPPVFAGREFDLANLSPYLLWRMRLEKKAYEEYEAHLKVEEEEGTDKMGGNEDEDDWMNAAIDFFFSMAETTPTVFTPRKMFKWDGSDEEDFEEMRQYVARKDAQKALDSRDAKMTTAPLPTTTTTTTTTSPATTAYSETPIKLCGAPLLQYIIDRDLCRPDRCERSDE >PPA03846 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1421838:1426417:-1 gene:PPA03846 transcript:PPA03846 gene_biotype:protein_coding transcript_biotype:protein_coding MSADDLVSTTSIRGIMDLKDQGDGFVVRATTDAYSSPPQELFSDRRKLSGKIRGCNLNSIEERQRPMIDQRTHRTGGYSMLCDNVHKKASRDSGSFSSRSFPIDPVHLVTEEGGDGEGGAQQPQCPLAVCPSSRNDPPEHSMSTSSGSSSGKEEDDTVPGGAVSATVHFTVQHEREVLHKKTTDKVNEVRQSLTSLFHNSLPCGPKIVFDGSKAVASHDSHPPNKRSTLRDLVDAALSLCMYCKRQIRKAKPRCLKGPGEEHGVESQEVSPAERQLRDAECQATFHACEHAPCPRALPIEQENDFSDDDDGDVHDEEMFLVEPSRDVRVENLDFVCKPANRKMKDTYAPTKVTSRALEKLNEARRKDDEKKMKERKKDEKTRLEEARKEANKEAKENAKKARSERARKRREKADEASKPVKEEPSSDAEMDQGGELSDPPIHSGLPMEDKETSEGDTIENAAAAEEDLMKNLTGVGPILADGPSTSSKPSSAQNSIISNAMVATKKERSGEACARGEKKMSTKELARMALELFSDLSEEKVEKFWLVINEIDSKESLVEVMKYAQELKKEQEEEEAKRIQQNSTLNKGTQAVADNEKEPTSIRKPEDKCASDAPSASRHPTDADAQPSPVQSALTPRDEEQWSSELIEQAMRAPEHTRMWKPSTRSAHRPKLARMDATVVVESLVDTVEDDEEAAELTRKIAVKAHQDDSGGTLASVDSGARENTIGQPQDPGSPMPLPDSVQDEDEARVDWPYATREQQLREQLDERVRRMRAEMLSEDARLRLDSLTREQMEQAAQRKHGDLCKIILLHRHLRDYIGFEKTHEEMRLLNGTAPKESLARRTEYLNRLAQQWTSRFPLSTELPVIQLRPDWEALSVEQHKAFDREWRSLKLAQGILSEHCPWIDPSTAHKSRPKKGSIDWRVRAMFHRRDEIQTMNILWMEHEEDCERSLRRSGMFEIEDQPPGESRSRSRDEWLSIHGFPLTPAAWEGETNHTRPAPISGDDGWKMWTEQDRKQYEQQVMLSIVAEKMQNGLAAEELENEDEARDDEEKSEDEEEVENKEEVAEGEERIEERDEEEEETNEEEEEKNEEELTEEKEEKVQEEEDEGIVEEKGENAEEDEKGEDKNEEEDEEELTGEKEDKLKELKEDEDIVEEKEEGVEEEEEEDTLHITSIVEASPSHNVGQKFPSAVQAAPEIVGGGRGRANGSSRCYGNGGNGSERLLGRSTASKGTER >PPA03627 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:372590:374180:1 gene:PPA03627 transcript:PPA03627 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTSSAKRMRIDVGTKVTKVSIDKVKAMEALKDDEVARKEKARAEYIHEQTELQNEQNHLDHLNSQIKNDELMIEGFKRDVKKNVSNLQKIINF >PPA03852 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1497194:1498114:1 gene:PPA03852 transcript:PPA03852 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLLFLFTSTLWTFFTCLPYRALYLINALGVFQGYTSEPWYFTYHRVTTFFFSVLSFGICVNPVITIMTQHIYRNRVVAIWKRMLNRSGKNDFEQISDRRKSTQAFLESIPLSARN >PPA03767 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:981022:984464:-1 gene:PPA03767 transcript:PPA03767 gene_biotype:protein_coding transcript_biotype:protein_coding MPADCPPPSRIVMTTHGKVQGRRLVHAGDRQVDAFQGIPFAAPPIGELRFKKPQPPAPWAGVRETKKFAPRCIQVPFPGLLEDELHGEMSEDCLYLNVFTPCWEAPEEGFPVMVFIHGGAFVFGEASSYGDIGICENIASRGIVFVTIQYRLGYLGFLSTGDAVCPGNNALWDQTEALKWVQSNIEAFGGNKHNVTLLGQSAGSANLFHKAICMAGTAECRSATRSCSSMAAQSIRKAACLGVTEFANTQEVDIIFMLLDKLRQIPAEKFAVKTCPCLDGDFLPESLDALRAKATPKPLLNGVTKEEGLFLMPGRRSTLEGLQETLQYVTLDCTKQDAMKKELCSCFVGDAKPEDPACIRAQAGMVADSIFVAGHLELCRKTVAIQTEPIYLYVFEHFTGPSILGALSPTIPFQDVTHTNELFYLFKKGFFADPEITESDSQVIDAFTTAFTNFAKYGNPNGWDDSVNDLSVPWKPITKENPALNYVFTSNEPMMSNDLFEGRTAAFIEIHRRHK >PPA03878 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1608303:1619163:-1 gene:PPA03878 transcript:PPA03878 gene_biotype:protein_coding transcript_biotype:protein_coding MPARKRRSTSKSTGNEPAPKHLAINVNTRDEQEATIKAKLDEMRSFMEQRFTALEEQLSARPPVGKGNSRSNGEDGPMTGGENDDDDAVSQWQQDQGDYALTDADPASSAQRTRPEVIAKRLCARVGGIYYDTALVWREIGICEGVVELFDAVAQATLNGHDMTRIIERFKAPLTQSFKQDYDKKIQELRDEGADKSDSSRCALRDDCLSLDYVVDALGELFDGIDKSRIDPKMVSDIKATITDAKQLFTKVHKVVEDQKDEKEVEHTITRECEKIKQESNKKVTQLRSTIDRQAQEISNLRAQLARIRLIEWCASSDLWYIDQVCMQVLNPLIPLFFGVVKLHSKLSPVIFEMDDEDKRDCAICLANIEENDKVISTRCPHISHKGCLLEWMKKSRTCTECREPVEEIMMKKSRKIVPLPQLETEDIPMPLMNYIHPNLVYWLILNAGTDAQQMLDRVLERYRRSAELAELNMEFEVNSVSEYEEEQASDSNFINRTKQRNPEELPNTGGGSLRHPSPEWNVGGPKSSASNGLHPQTTLNKHRSKDEEEEGEGDNEEEEEDEVDVVSVSEYSINSDEEEDYVDEAEEDSGDEIVLEYDNDEVEEDYLKEEGDSVDEIEQESEEEQVEEDSSGDEIEQESEDEEVEGVEEDSGNENEQESEEEEEIEEDSEEEKEQEEEKTGEEEDEIEEESGKEEEEEEEEQEEKGNGEEEEEEIEEESDEEEEGEKQEVEENGQEDLLEDEIEEEENDEEITGQKEPREPRTSKSQRSTWSSRTKWQMQ >PPA03723 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:772537:773451:1 gene:PPA03723 transcript:PPA03723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ceh-5 MRLDLDRPKRARTTFSEQQLRALDDNYRRDGYVTGEKRAKLAESLGLTDTQVKVWFQNRRTKDRKRKGSDDEEPTKKQKNIDTSPTPSSTSISSSSSTDLVPPTPSTSLHLPSVLPPSMPAGFPFLAPHQFLSIPDFALFPFAYGQGLLMPQPNLNA >PPA03668 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:523283:524439:1 gene:PPA03668 transcript:PPA03668 gene_biotype:protein_coding transcript_biotype:protein_coding MPDNGEIPKWLESGARKFDRVALVISVSVAVVALILGALNVDNCLAQPWIPKFVVVPLLFYVEFMKFRASANACSTQRPNKYEKPTIIRVSVGIINALGVALLVLGMYLVFGTSPTFDDAALPTYCHFWTYWIPYAFLVLISLVIVGILSLTCVVGAYKELKAEEKQAGQANAYPCRKPLDLEMIILINE >PPA03788 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1089478:1091367:-1 gene:PPA03788 transcript:PPA03788 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNECLPECQDPRLIGRIDFSADLKSTGSFGGRKDANQTLAHNPVVFVHGVSHTVGTMMKEAAMHYWWKGYTEAETYGITYDNPKGDKMRWMKYTMECDHVKRIRFLIEAVHAYTGRPVDVVAFSMGVPISRKAILGGHCVENNHYIGAPITDKMGTYVGVAGPNKGVAPVVGRDLG >PPA03826 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:1299545:1300428:-1 gene:PPA03826 transcript:PPA03826 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRSSPGTAHPLASIARTANTNATETSDLYKRVAKVTVGAASKLSKIRVVRKNIARVLTVINQTGIIYKKTRAMRRALAKHDASIKPVKPRGISEHVLSILLAAPLSL >PPA03660 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:483617:485935:-1 gene:PPA03660 transcript:PPA03660 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVMDGVHATVLCTLYVSATAANVVLVYAIITRTPPSMRSYSILLLNNIFVDLLSACASVLGIARLSFLRETFSQVYVYLGPCSNIGLWFCHLCQSNNSHLLCMSLYRDFAAFVLFPSVYSQGQTGVHSVHSHIFSYLSSALHSDHVHDGHSTVLHFVIALSYVVILSPAAMVIIFFVRLRLIGEMNHADRALTYQMLLPDGVSLASAAWLSEVTGLWWNETPERLIMTRINIRFSKSLRVVHSVRMPIIHDYISLTP >PPA03832 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1319787:1320976:1 gene:PPA03832 transcript:PPA03832 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKDYRLIRPIYNGPNLYFAVVLPGFRTTSCISPLCDVLKTEIGLAEIERLVRKMGKEDGMGSFEEGFKQFVISTLGKTPAEICSDRPTVKKTIVQKRVDEEFYKAEEESMQWLKNLPSNRPTKVTGKEEKYVLLVLLGTD >PPA03876 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1595715:1598165:-1 gene:PPA03876 transcript:PPA03876 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEDRAAEENMKKENEESSEGKKDADKDQASRVTLIMGKFRAHFDRLASNQDDGMEQGSSAQPDDPSANECAFCLDNMASDDEVIPQGCPHVSHKKCLLRWLKTSRACQNCRAPITKLKMKKSGKNVALPKLDEPKPGDIMLSDSRGSGREFHYVEPPLPNDEEFLNAPITTSEDEEDEDFELDDNDGRRRGRRRIPTEFEPILDAAPTEATGPKPGQPISKG >PPA03828 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1310132:1311039:1 gene:PPA03828 transcript:PPA03828 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPKHDPFRIVRSITQAGNLYFLFECSTKSEEVIGPAHEHEHRVAAIREWVNRTVENEGPTAFDNAAKAFIKKVLRTTPEKVTKASGRGNNAN >PPA03715 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:730312:732341:1 gene:PPA03715 transcript:PPA03715 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLSLLLVLSIAHEALSACYVSQTSSIRREVLDVIPQTSLVGCQNECDGDSKCEATILNAASTHCILLGGQVLDASTNSCPAPFTAHVKTACEATTPTPQTTAVSTDVDSTTTPAETSTTTATTTSTTGTTTTTETMNFRVKGPIDDYFTVGECSRESDIVGTPTEGATPPCGTPPLSQRRIVIDAIKADGSHMVLENNLYSSISIESTKLSAEWDTSKKSWYFEIEADAGINTVYFYTAKCVLPPVTALLPKCECAALNTEPAYSGNYNPVPVKIDTDPCYTNYLQ >PPA03605 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:201477:204215:1 gene:PPA03605 transcript:PPA03605 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMRPRKFDLRSISFTFCWQRVLVRTGIMVVVVFVAESVPSFGPLLDLVGGSTLTLSSIVFPCIFFCFINARKKKMLEHGHDHGVASFSDVLKYNKTWILVASAICVVFGIIGGGAATFSAIVEITSTKFEYPCYVSPFLNLTDSSDSSSTNCCGEYQNITVFDSVTCSNPDLNFYG >PPA03584 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:91884:92924:1 gene:PPA03584 transcript:PPA03584 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRGLRPSAFFASARPPSLPPPARSMPPLTHALEGKRQEKRMRNACNPKK >PPA03763 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:935517:936482:1 gene:PPA03763 transcript:PPA03763 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTIQVGLFCSNNNTALTPYTGFNKVAAALMVAWNRIQQEQLMPEFNDINITWRFDECIDSLAARYMVDFVTDPTQKFDVVLGPPCSNGKSFESTNKTFFPETPVARQ >PPA03710 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:717409:718241:1 gene:PPA03710 transcript:PPA03710 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGVLWAAACSIMAAFAANTIVLVLAISVFWPQAFSKSEYDVLGLIGGIFFLGCARLYSDYMATAGGIATLVKPSRRTVVFRFLLAAAISVLTIGYDLAVVYYWIVLPRTNTPWLVVFNMWMLSLFPMMFLMFIAFRGVYYVGDKKRWRGQGKRELGWRPNH >PPA03856 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1513858:1518258:1 gene:PPA03856 transcript:PPA03856 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVEYRSSISSRPNFNRTTTRESVPSASGSRVLKIVTESYGSSNSYGSGLSPFGQNAASTIRDSREREKKEIMELNDKLANYIENVRFLEAQNRKLENDLKFLKSRSGQGSQSVRIIYETEITTAREEVVNNGRKMEEVQKDFDKYTKQLIEMKKKFEEATAGRLEDRLKIDELLVVLSNLEAEINLLKRRIALLEEEVHRLKKENLRLMTELNKCRSELDRTTLERIDFQNQVKTLMEEINFIKSANEKDIISLQARAARDTTPENREFFKNELANAIREIRAEHDAMANVNRQDMESWYKRSVQEIQTQSARQNLEQEHQKDELKKLKTQVNDLRMQLNDLEGRNVLLEKQIEDLNYQLEDDQRYGIVSFKCSANSMCWLAH >PPA03853 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1500733:1501862:-1 gene:PPA03853 transcript:PPA03853 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAAEVESPTGPTGSGRAASPLSSPRQAASLAVITEDLDEEVQQTPVPKPAVKKTSCPPLEGAIHNG >PPA03789 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:1095143:1096447:-1 gene:PPA03789 transcript:PPA03789 gene_biotype:protein_coding transcript_biotype:protein_coding MADEWAVDEVNEAVDVAPAFELESDLPEAKLFGKSTSPTYRLSATSPSRKKHARYQAKRFRKASCPIIERLACSLMMHGRSNGKKLMTVRIVKHSFEIINLLTGEAMWLLCTGAREAAFRNIKTIAKCLTDALINAAKGSSNSYIIKKKDELERAAKFNG >PPA03799 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1154475:1155601:1 gene:PPA03799 transcript:PPA03799 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFYFAIIGMIHVVVCTVFYVVVFFAIFVIINVALLRVRHVMSASTRAMHYMMIKSLVSQMILPLFSMLLPVLIIAVSIRFGSDGDRVNHQCSNRSLLHHSALASLTTEHGLHVPVRLALQAIHICASAFDIDNQQPKEHAIIDDCALLTHYDFMRRTQK >PPA03614 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:273664:274401:-1 gene:PPA03614 transcript:PPA03614 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRSSDDSKDIRGSGYKTPVDAREPKQHDCYAPSKEKPTKEKMIFSYGCTTATILEFSSVTALVNAK >PPA03847 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:1430853:1431212:1 gene:PPA03847 transcript:PPA03847 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKLAHLLIFSLIVASCVALTEWELHQPMRMCGKKLLQFVMDHDLCRPDKCDGKKPIFTRFRRGAETTVLTKACCDSYCKPYRIAEICCS >PPA03682 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:570433:579819:1 gene:PPA03682 transcript:PPA03682 gene_biotype:protein_coding transcript_biotype:protein_coding MVGISEGYGGFWLSPNERNDVESKSDAVDLSSLSNKIPSMIALISNCNSEISKYAFVFAGENTACTDYVSEKYWSRYGRAKSVAHDPARAATRGLPLTGRSEHHTSLKHIDTNLSVDRNSNRYRLRSRPRPSELERQLSMDLEDARTEIAVLRRRLDEKSETITKLKEEITVKNNEMRPNTHVPPKGVPPVNNAPAAPAPNNAPNLPITAPPTTTTKATALRTTTKATPPTTTPRPTVHRTTTNATPPTATPRPTSSSTTTKANQPTTIKRVPPVNNAPAAPAPNNAPNLPITAPPTTTTKATALRTTTKATPPTTTPRPTVHRTTTNATPPTATPRPTSSSTTTKANQPTTITYSTPVFLPFQIPDVKAWYDRESSCDDGSFNPAFESPFRGARGLMSFLRASWG >PPA03590 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:135822:140427:-1 gene:PPA03590 transcript:PPA03590 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRPLWLIFLSIIPSLLSSEPHYVTQQSSPSPSYVTIDNGILGDPEVRCGSEDISLHFASAKPFMGKIFVKGHVNEAECVQQGDSRTTQSFTIRFDSCGVRRQREINGVVIIATVIVSFHSIFITKVDRAYRTSCFYMEATKVVNQQIDVGALTTASIRNQVPIPTCRYEILAGGPSGNAVSFARIGDNVYHKWSCDAEVLDVYCMRVHSCSVYDGQGGSPVTVVDVNGCSVDPAILRQLNYNADLSAGQDALVFKFADRVGLYFNCQIQLTLKEKTLGCTAAQPECPSPSYQQAQVEPSTRTSEEVEDDPRERNEEKEEEKYKEEPPRYVRPTAVINTQPLVEERPYPTKPVYVTVDSREHPAIHNYATTPVTPSGYRYPSTTPSTDEERSGERLSGEGRSAEYVELSSSRESEGGKRPAPYAIKVNPFEAATASPSSTPSYIGAHPPGFRPKGTVKQLNISSGENSTEYPSSGEYEGAYSTLTFPQEGVPEDLLGSIEAAEATVAPRRVTSHPAPEPYTRLIRRARAKSAKTVESPPSSPLFVADFDLPERSLIVLGIEDGHDSKSLADASAVFSESAPLLECNARDSESC >PPA03798 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1150146:1152354:-1 gene:PPA03798 transcript:PPA03798 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKCLVCGKPTSVTHMGMDACRACTVFYRRNRAKRLLCVKGYKGCMNDPKHTFACRKCRLERFKAILKAGNDEDKTIALSMFRRIAELNLRGIDVDIAEPYDEKLEIIPSTYQLMNEGKKIQIAGLFKYIPTMFPEFRVLPNADKWLLIRNYYRSFHYYLLCCIFSGCSFAITTVVSTAWTLLCECSAKESFFFGSYTTVVSKESIWNFFVDCPDPTNADAATKTMFGTFHNIVDPMRNQIRQVDPSEDEFMALMGLAFWSFENIDPSEELLALAERYRASIISELSSLYRSSMGKQRGTSRIGVLLCSLQEFKRAEMILQADYDVFHMLGTFDDDTVTYRLGIR >PPA03804 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:1171020:1171779:-1 gene:PPA03804 transcript:PPA03804 gene_biotype:protein_coding transcript_biotype:protein_coding MMLWRTMPNENATDSAQLPAFMTQFLTAMSEMQKSMVDMAKGQNEKYETLLEKFTESRRKKRHE >PPA03700 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:658351:658813:1 gene:PPA03700 transcript:PPA03700 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAGGLTVGLTNLVCGLAVGIIGSGAALADAANPTLFVKILIVEIFASAIGLRHDHRNSADIESQHGHEITTMFKISSSKTCN >PPA03747 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:877684:878276:-1 gene:PPA03747 transcript:PPA03747 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEIIFTKAGEDSFNYDLLTTVKDLHIKNITFGQEIMVETMNKRLANVWVKDQEMEAITSYKLDGDTLVVTTQAESVVMKRYYKRQ >PPA03734 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:818673:820187:1 gene:PPA03734 transcript:PPA03734 gene_biotype:protein_coding transcript_biotype:protein_coding MCAWTKHFVHQGPLERVLLPRARKFIANIQYPKSTIFQLSLFFPIFKHLRRFSIFGKEDHVLIQNLSAIILERTKQRAAGNIRPLPDLIDLILAENEKRVENGEKPLHHDIIVSNAWALFFAGYETTSTAIAFASYLLAKHPEVQQTLYEEMTSAFEDSETIDYERAVKLPYLFSPRPFACRDVVTFTGRRCIKETVIAGKIRVPVGVSIVAPVHSVMWNEANYDRPSEFLPERYPSLT >PPA03745 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:865106:866320:-1 gene:PPA03745 transcript:PPA03745 gene_biotype:protein_coding transcript_biotype:protein_coding MCAVPTHDDNIVRSTDIDSAESTVSSNHEDVATAIAAALEAINAVMEWKREEVSAQERIVEQLKRGVDEDLPDGLLASVNQAETVMKTALREMRWNVDSFKKVLDLPDQLRTDDDDACKYLIENTITPCLQASQLAVVDKSIKTLTKMERSLTPLILEETRYRNPQRLPRDGRYA >PPA03634 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:399015:400373:-1 gene:PPA03634 transcript:PPA03634 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVVVPTAQTIEHDEQLFRKLLIPEIFSKILRHLKPKDRLNMRQCCSDTEEAVARSDLHIEGSPQFIYLSDANKQFTVHLGLKKDRTTLKLRQDNHGHDLEEISRRRERLFARVYTKYVNVYIKFVPIAIVARILEGCMFEELDMTISHFKKMAELRMRINMDPKRCEKIKLSLTTAIENHQRLSSLDQGLLSLKPLRSLKIRNTATDLSEELLVEMVKRRHAYMDLPVVVVMEKIIENNPDAQVVKFAVCNHTVERFMLIMGFRMTRDRRKRDAPFKHVVKVIALFRSGTTSEIDMGSKNELEKDTEDSNQWRPNRMNSAGACEV >PPA03834 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1337531:1340660:1 gene:PPA03834 transcript:PPA03834 gene_biotype:protein_coding transcript_biotype:protein_coding MTYAKRILPHVGLVVLLFVYLLIGATIFHAIEGPNELIQREQELGTIFGLRNEFREHMWNITQDEDNKISKEAFNLINEEYFNRLARAMFLSFRNQYINEKHLLNETNGDELLWTFPNSIFFATTVITTIGYGNLVPTTTPGRVACIIFALFGIPLLLVTIADIGKFLSEFLSFLYRSYRAFKRKVSPHTA >PPA03864 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:1549249:1550076:1 gene:PPA03864 transcript:PPA03864 gene_biotype:protein_coding transcript_biotype:protein_coding MYELAINVVPGSVTKPIVYSVDRVREGGSFSTRIVKAIQDGEVLFTTQISFQVSEKKRVKAHF >PPA03687 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:599343:600963:-1 gene:PPA03687 transcript:PPA03687 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQFMVVVQYVEKVNTVTGLILSSLLLFAIRRFSSPSLGTYKHLLTMFTITDMLLRVILAGLTHGVAIDSIIQERAMGALFIAFESVPFSLLVIHFLYRYWSVRRPHLIHLFTRTSFIVLLFTVIVGVIITWFLICYYGTVGEEDSEGRRALISEYEKTYGKRIETGWMLLDHWRDDQLNVRILITVIIMNVIMLSSVALASSLAFLTFHHISQSQKLSAQAGLLQRKLLIALCAQAAVPSLFVYTPYLLTIDMPFLRLPVPLAHDLSVPLTTFFPPCDSAILLLLISDYRRGLVGMVRRTQVEQSSAMRVSTVASEVIA >PPA03741 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:848595:849528:-1 gene:PPA03741 transcript:PPA03741 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKTIKENSSWLSITLQWLAPNFAFFDPEKDNEEELFDPPLPDDYDDDCAETTTLDTKLF >PPA03739 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:841698:842386:1 gene:PPA03739 transcript:PPA03739 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVEDCTTVIGDERVTCAEAIFTPTSVSCTAAGSMLVFKTQTDAFFAVGFSDGTTSSAADVRGHRMEDGGWLATTRSTPHPPDCMLQPHNHRNHLHYD >PPA03792 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1131710:1132198:-1 gene:PPA03792 transcript:PPA03792 gene_biotype:protein_coding transcript_biotype:protein_coding MLGILMVFVLMMHMVHSGVIHRKAYRTDHTASVFDRSCIFSPIECHFEQGRKEMAFITPSELEEEQRYPRIIRHKRSKQ >PPA03622 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:342765:345343:1 gene:PPA03622 transcript:PPA03622 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLLALLFLLQLVFVLDAHHRSGQGHRLVSASFMPFFIFPHRGHKRYDTDCSEDSFKEYQKDFGKKSDKHHDIRRKRLCDRIKDYELEVNEFTDMSEEEYHQYNGVDINLRRESDRSKRSTQEDFCEVDILNVPDSLDWRDYGMVPPIKNQVRCGSCWAFAAIGSLESIARIKYNNTKNNFTLLSEQQLVDCSRQSLNDACSGGWPQYAYEYMVAAPGISAAKDYPYTGVESKCAYQPSMNAQPIVKAIEIPKWDEEAMKVTLATVGPIAVAIDAESLGSFRSYKSGVYDDPNCRQEVFTHAVVIVGYGTDEKAGDYWIIRNSWGVGWGDGGYFNIARGKNMCGIAKWPAYPGL >PPA03602 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:193884:195358:1 gene:PPA03602 transcript:PPA03602 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGKLSISSLPSADAGSIAKVIPHGEHFHNAKGLGWFVTGLFVVGDLAGGGIVALPTALIQSDFYLGVALMIIMTFIVTFTSYVLGRSWTTLTECWPEYRHHTRKPYAEIGFRAAGKWMRIFVSIICNITQFMIAVVYILLAAKNIQDAVKLSDSAGSALP >PPA03648 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:440076:441352:1 gene:PPA03648 transcript:PPA03648 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFACSTQSFDFKGVDQVTTHMCAAGCKVYATITGDESNMQIAGNIAIVDPSTEETTSILDLSLLFLQDSNKKSYLEIEGAQTLTIHNMNDNLESTPLALWIVSAYAPYYRTAEVYEPLNLNRTEAIASAPITILSADPFTGNGVFAKFAGYDAIDRNSQDNCYVAMDKDFGKSFAGLTFMVNSPLLTLSFDTAKYPHSQVALTGSSASASGWKL >PPA03664 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:502174:502712:-1 gene:PPA03664 transcript:PPA03664 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKDDPMDEQTKDSSERAYEEFEKFRRTINNNWNRDITILKSCGEGSPVERESETSQV >PPA03843 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1388469:1390251:1 gene:PPA03843 transcript:PPA03843 gene_biotype:protein_coding transcript_biotype:protein_coding MYSMMMRRELEVKRYRDFLGHEKSRRDPALYKTSNCERPSAHNENICGTLNHLTAQLTMEWYEKLNNLTTLMQTATPTSNGRGSNHS >PPA03807 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1188914:1192912:-1 gene:PPA03807 transcript:PPA03807 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSEMPSDRLLRFDKYNGQLASTMSYCMSHTEDQFNRLMFAMSELLCHGMEASRDRKPFTWHNSMALEASFEILNKVTKPPTDRPHEMLLIKFATAFVPFMEELGGYHYLPAPPARPNERQSPLRMMPPPATTDKTGEEAEMDLYRQNYKHIAAEKARAEQKRRMSRMKTNEKTSNLAKIKDALQLSAPFVSKKSALRPVPHRNAALYIGLRDAVMPQSSQASASTWNMGGTGPFESTLNGFGDEQEPMACSRLPKEEEGTGWLGATVSIKEEQSGVEIDPFQLEKPTDDRIETDGNQFEDLQGEVKEEVDDGYLVEVKEELMEHEYYDDEIEKPIEPPIEDRM >PPA03641 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:417900:419155:-1 gene:PPA03641 transcript:PPA03641 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTLAQRQATCGISCGLCDAAGRPIQAGVCSGDADANCANWARNGFCDSSEYSQAEKMAYCCKSCAASIAATDANVNCAAWAANTTNPFCVNTMTAAQKTLFCANTCAFEIKPTADCALYTVTGTTFARGATSNKAAAPGAAVASGVKTGTTLNRVFAKSGCTVKLFAVAAPPATNIGEAATFTGNSTSNFFPVAATNNGALSYTCVCQ >PPA03610 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:245887:249424:1 gene:PPA03610 transcript:PPA03610 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVQIGMLIVILWCGIVFAITVIIDVVRSRSSSNRRVEDIEAGHQNPPDIDPDSHQRLIHNLLDLIDSRSRSTSDPHVDHIESDHPNPQVDPDSSQRQLQNLLGLIDSSRFAYAARSIWRSPMTSNNFPDLKSIALSPPSSNQAFMQDCSNYLASPEYAEEVRSTLPQVVAFQAGMDPPPPRAAAQPAPQPQHQNLFSGPPPGFHAPPPPETGRSSFYPRGMAPSDEHPLSLDDLYEEFRNDEISLSHIRAFHQMMNSVLHQMERASAALHRFIGVYSGQFRTFLLERLVHPVAAEWEWLRNQQKMQQEVIRWTYCHFNVMMPRPGQQQQLQQANRPVQVVQPMQHQQQHQSDARPSHQLPLYMRRGQIAPRQRGAASHRDLTLPYKQNQPWRDDDGYAHDPYAQPTFEQHLHYTAAQLNNYRAHAQGAANHGGHGHYYGAQNDENDYRRSSY >PPA03795 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1143363:1146459:-1 gene:PPA03795 transcript:PPA03795 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIVSTVLFLVAVYVYRYYANFDPHRLHHYFETVQAEYGDVFTVWTPCPMVVLMSYSTIKEALVTKGDDFLGRLGQFPDNLFMATENGGVIFSQGENWREQRRTSIHIMRDFGMGKNVMEAQVKASMDEFMKHLDSIKDKSAVDFRWPIQILVANVINEVLFGYHYRFDDCKRLMDYADTLTAQIEAVRKSVLVQLAMQFPWIARLPVIGWLAVGQHRKSSSRLLDHVRADVRRCEKTFSDSEEPACFVHAYMQRMPKNDLLTEDQMVNVCGDFFVAGMETTSTTLRWAMLHMAKNQRVQDKIRAEIHAVLGRDGDITMNEKMRLPYTSAAVSELQRMANILPLNVIHMTVNDTKVDGHAIPNGTIIMAQIHNVMKRGEIFEEAAEFRPERFLMKDGKTPNRATLEQVIPFSMGKRMCAGEGLARMELFLGLATILQKYRILPPKDASLDMSPVEASIYLPKANNLQMIPV >PPA03623 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:349574:350313:-1 gene:PPA03623 transcript:PPA03623 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVAKASGDSKPAPNEMTSKEYYFDYYSHFGIHEEMLKDEVRTNTYRNSIYHNKHLFKNTVDLV >PPA03711 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:720618:720855:1 gene:PPA03711 transcript:PPA03711 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRTRYDNFFKKMPESPTRGPGNPAVKKCPKEDVQRLLSAWRPLSTNPKGNSARST >PPA03702 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:666774:667487:1 gene:PPA03702 transcript:PPA03702 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGHDATSQEETNGADGDSHREGTESTADDASVQTASGLRRRPPRTRLLHQGWNTRTVPTKDLKKAYKNVIKKDQNNFDFYKYT >PPA03670 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:526884:527811:-1 gene:PPA03670 transcript:PPA03670 gene_biotype:protein_coding transcript_biotype:protein_coding MKWVIVLTIIASFCAFELAMAQADGFRCSYDDGQVVERGYPNRPMTADEKHQFVEFQKQWEQLKPQFRLYMKGEAAEMPTIPAVPCFCCEPCLGNAIGNTFV >PPA03603 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:195952:198398:1 gene:PPA03603 transcript:PPA03603 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIEGVMPECARNVTTAFAGVDFSFCIVVIILAVCLLPLTFLKSPADFWWAVVIAMVTTSVAVILIVTGAIIDYGECHPYSKLPEFKVTNIFLALGTFLFSYGGHTAFPTIQHDMKTPADFTKSTLLAFSNYVLT >PPA03831 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:1317105:1318948:-1 gene:PPA03831 transcript:PPA03831 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEEEERRRQRYVTVNHQLAKFAEKRRDGGFRAGKMSFASRVLLPLLLSSMVSKVTSQRLCGRSLSASGSVIRTALKSLEALKWVDKSEDGKGRILSKQGRKDLDRIAADLRSTAAPAEL >PPA03750 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:887539:888595:-1 gene:PPA03750 transcript:PPA03750 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFIVITTLIALSTAIRGENLPDEFFGTFSLDHSENFDEYLAAKGVNWIVRRIILFMNVDIVFSKAGENSFNYDFLTALKDVHIKDIILGQTIEVETLNKRLSNVRVKDHDMDIEARFKIDGNTLVLTLHGDRVVAHQYFKRQVAS >PPA03730 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:799664:800067:-1 gene:PPA03730 transcript:PPA03730 gene_biotype:protein_coding transcript_biotype:protein_coding MIGMRKAFVSMGLVPEGDVDNQVLSIDLCSSRPKNKVFVVQVHEHLRKKVLSPSMEARKKIEDYCLGIGKEKKDTIRFYSNLVNQRRGAD >PPA03658 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:475633:477753:-1 gene:PPA03658 transcript:PPA03658 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGTAYYIIPYKYASLIGPERSWHTVDLEALDQLVRTHWAHTIWIAIVWAYTCCKAWVAESILESSLSMSRNDERYKAKRPAFELKWPLFTWNATLALFSLLGFIRLSEEFAHVLRTYPQLDSISYTADPLEPIGLWCFCFGASPRFARGRGGIETRQESGDCLTFLELFDTVFIVLRKKKLIFLHSYHHAVVLVVVWHALKEAAASGRWFILMNYGVHSLMYAYYALAAVGVRMPRAVSMVLTTLQTAQMFTGVAISFIVFYWKLQRRIMQQSFENLFLCFAVYVSFAVLFSNFFNKSYLAEKEPKAKAAFEKYQ >PPA03848 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1432873:1433582:-1 gene:PPA03848 transcript:PPA03848 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWRRVEEGRLCTSTKGNEGLARLNPRTKFGRNEEQKKVDERERELILGLEENIAYELSCLTFGEEETDQSSDEMPSLDRLAIGGK >PPA03754 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:911803:912849:-1 gene:PPA03754 transcript:PPA03754 gene_biotype:protein_coding transcript_biotype:protein_coding MLELVANHLCSFIFKLSIQTGIIVVVHTTTGITYALSQYIAPSDSFLYFVQVAWQLLHGIPPFVYIAINPSLRAGVWHYAAPIRSAMFMTSSASSKVTTIAVVPAPKPSVHLS >PPA03600 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:183653:184070:-1 gene:PPA03600 transcript:PPA03600 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTAITRGSLYNGSITVAIVHFVFSVFCVLLGLYIMKDYSWNSIELSMGVIAAELPL >PPA03884 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1653015:1654311:1 gene:PPA03884 transcript:PPA03884 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRDQHPNDIRGIRMSEELSKRAKTSVDNCIMSIKADEYVRFKPQTDVNEATIRAFAEKMITDRDKGISRIMCNVYSIGIEDEPRAGPSKDMDRLFAQEPEAVEEVDPREVLLAKIKAKREARKKKS >PPA03810 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1205004:1206393:-1 gene:PPA03810 transcript:PPA03810 gene_biotype:protein_coding transcript_biotype:protein_coding MFQEYEFSSVVQHRFKQEPEFDHTFLLRRDILRGLLSLRGARHLNRTCFDAPENMLAESVCEGDNDNSDDVYLVERRLKYWDVKYNDGFVINIERIIRNLLPHTETNNGKGLCSADVGNGPELVEHHSVLGLKGFIDSIYAVDEARAVVFDRSL >PPA03827 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1307168:1308558:-1 gene:PPA03827 transcript:PPA03827 gene_biotype:protein_coding transcript_biotype:protein_coding MKELLMSQLGLDCPSRVSGQEMEQLMYIVVEVLASGDHPLIEVIWPLVDTMDGIAVVDMMEYRGLPTPFRGPIKRVQGMRHKLILHCLVRMQELFELEMDTRAGQMKRGLTHHVSSFSFLFVVASGSLSIPSDGERFLLIPLDSANDSTRSESPSKPDWSPCDGYDRLRCLVPQNPGSYLSLKDGISSLEDSSTQKTPAHARLRIRPTVGLNLRATPSTVLSGTKGAGLFLQPLRL >PPA03791 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:1104909:1114883:-1 gene:PPA03791 transcript:PPA03791 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDGSKGEDVVQNVTCDESDSEQDEEQIWKELQGDPYEEIMESIQDEYDEIFL >PPA03721 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:756374:757266:-1 gene:PPA03721 transcript:PPA03721 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGRYTDNLLGFTAGGAGVIISSCWTTDKNLQASYCPVAPGYVRTNCLQSSGFIGWTMTGGDACVANDFFMMMEAAPCASHSMLIAGSGGARCIGWDTGSTTAPFDRSLTQGSSWYVWNGTLPKALYDGTIMGRLLAYEEYMVLPRVLVTPSA >PPA03872 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1577095:1578425:1 gene:PPA03872 transcript:PPA03872 gene_biotype:protein_coding transcript_biotype:protein_coding MADGFVTHAAMTMDANLTPESSLSEIRWFGIEPNFEVKYAYHDSVLRNDPSELISPVDLTEAFVKQLKDILSINKVKGVKINFKSSGVYRRRRSRNISRHVEDIDQRLIDSTFVDNFVSEKKDNDFNAITVTCGKVVQAVDVSAWDKLDHFFGVRCSAPLLEILAAAQRYRRKNPNQIERRGILGILCSWVLRLSDEPQDLIRLLAGGPPDGPEKFKNSRLQ >PPA03678 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:548287:548778:-1 gene:PPA03678 transcript:PPA03678 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQWDKTSCENSGTFPNGCQAPSTASTTMFTCGAGSGLVFNAPQGALPLKSLTCDTATGEWILENIQGGKKTITELMALITGPYMFYCAK >PPA03714 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:729032:729515:-1 gene:PPA03714 transcript:PPA03714 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVSNQHSSVIDGVISPCSDDANSMKKPSTWNEDDNEGIYEDIDFPPAANPHFKLEKLQRFLFSTSNDLRRTDKGGYRVRRRTSGGKFEEIIENVQAGKSTSSQSTWRAKWSAAITAVRRFRNALQSRIPFRELREDESRMS >PPA03813 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1227869:1232543:-1 gene:PPA03813 transcript:PPA03813 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFKDNYRLKSSKTEPLGEIVQFNNDNLVLLVDTSLLEFLDSLDLLSIDGHTHLLGSLIHLKFDDGFARDDLHLLLFSILRDLLKENGEFGFLRRAILGEDGVASGQRSTVDDVIERVDLAGLNGAHSLGLLDEVRSEGGKGTDGSHRLTTDVHKTKGDGKTVVTDGNLLGRSLEGQVGILTSRGLDGKKSTDDSLLVAGEVIFRNKLRMLALAYLGVGVDSLEKRTSHGTGDIVLGDNLVLVEHGSLNETSVFLEGEVEAKVYLVLFSLDLHLELVLVVGSPTRLDVDREFTVLLVKKLLKCLGHLGVEHLDGRFNLPVAGLLLEKRRGKSSEIDLAVSQLGIADGSELELSLALLSSSNRLDLSEETVGVGLVRLVRLDVVLEGSLTLLLISIVLFVFLSLEVLLIVILEESLHRGFLHSRFLIESRNLSLDHVFLGGLFGLLKRDLKVVLSDEKSRGNSLHSLLPDVSMDVSFNVHANSSRLFEMGRADLVNKSLEFLNVDCLLLGLNVCASLLGPDANERDGTSLELNNTARVLGKSESGLEVELLIPAHLSGHVNRDIDVGGMEKFRSKRDHGGKSGTGLDTNSKPIERLSISGLKLNLDGTIDLENGRHLGREDKRRSEGSGDSAGSLVDERSEDGVETVSLSEDGFDLSKLDSSIANDGLHHLGNNLLIDGKKGVVHITESDVDEGMGILLLLFARDDRELGEESRENFGGLEGRSLSSDEHLLESALLNLLEKFVEDLVDVVLLESNLCEVLVPLSGECSLEGSHDLLGKHRVIREEGSPVKGSSVLIFLVVDSPMLVGGRDHLAGLSAESAKSVLAVVSHGLLTGNLRARVLLEGRVDDRVNL >PPA03653 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:460140:460390:1 gene:PPA03653 transcript:PPA03653 gene_biotype:protein_coding transcript_biotype:protein_coding MASALHDAEITSTMAVFNRRMAYERRFVTIRPGVRHETSQFKNCPLHVTFRDGLRHKTRHDRDDP >PPA03640 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:415770:416763:-1 gene:PPA03640 transcript:PPA03640 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTQDKGRTPSSLQDESHSPSLLRFGAVLDIVLYNNQSTPLYVQYGRNGVHSSMVVPEMTTNGAVPKWTLPGLAASEYASIATNMNLVVSTRPVDASTTKLFDGYVEMGGGSDTTIVMSVRAPGYEMAFLIIADNEQPLLLHKCDTTTPSSNPEALKTVNVPYVGAGQFQVCFS >PPA03597 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:171615:173851:-1 gene:PPA03597 transcript:PPA03597 gene_biotype:protein_coding transcript_biotype:protein_coding MLYKSVNTVTNDPAGYLEDLQGEAMSNQCLIYVGALCACINIPLLFVFLTSRQFRGRFQLLITLAIADFVNCLSIMAQGLQRSSILLDVIETSLMPIKSPFDCVGEYWLMLREVGGLWAPMVQIIMGLERVLAVFKPAWYNRTYNTRYAIGFLLNLISYCKVRTFMSKTEKNRNLARLRCYLVISAMSTVLVSIPNLINLASTIFERIADEIANAANWATAINSGMNFFVYLALNEEFRNR >PPA03778 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1046181:1046634:-1 gene:PPA03778 transcript:PPA03778 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEPGRPAQQRPREQVVVQTGECGRRLVEWTGAMGRALGSPCSRRWRNQWPICTALPDARHLPRHPG >PPA03857 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1528231:1529994:1 gene:PPA03857 transcript:PPA03857 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIRNEVTFDADKKVYALTVEDGACMQKRFAEFEKLFDRTKHLVPEAIGAPPKKKFLMSDTKLIEKRKLWVTSFCQFLLQKHSRNEEVRVFFSLLQEDKDDDSDVDLGPSEKKGAVPSDFEFLKTIGKGSFGRVFQVKHKHDHKIYAMKVLSKEHIRKKNENFCHKP >PPA03850 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1458401:1458987:1 gene:PPA03850 transcript:PPA03850 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKMAGKVKDKYGYFKGQRLPQLPIGSDGCYCPPIIRPVCGSTGAIVYTYMNECVLRCTEGTVFFTDYGIAE >PPA03692 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:612912:618667:-1 gene:PPA03692 transcript:PPA03692 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAAASAQHQATVIEQAERIKELQFGIDKKLQNGRQFGCPQIPEDKRRFKPDSDIRVMGIGVYIGSGKCIVNVIEKSRNGTELSTCGDEGEDRLEADGVSFEFRNSKLSEGSNMKVDPEHKLESQTALRDIMLKYQQQHAEVEELKEKLIQSKLEALQSLKKILQFHELEKLDKLFVSIQLENGNIIYFDNVKPFQLCTVLEWINSKKFRGILYFFRENTTAKLEKLNEKVIVIEAPVLDGKLSYYTPPHSDYIYVANTDQNILITLNTTNLHVAQHSYEPPIDSTYHSIVGIHDGILTMVFEGTGFKTDSDVRLLGVGLHLGAQVKLLRLRCLEADENCADEDASSAEIDLKNLEDIDNYAGRLSLMSPIRIPANVWHVIILNISGANTYDGRDRVESAGVVFEFRNSPPSTGTDVGSGQIAGIYFRKATEPDFKAKYEKEVEKNQLLPQSMRLCEMFTAK >PPA03582 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:85368:86372:-1 gene:PPA03582 transcript:PPA03582 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRLQLFVLLLLILISISEEQLCDVDGGDDFETCTKHRACPVDDSRRNDTDVPFKEDFDPRFKQLFALQIIDGADMEIKELSAKTSIRFGNVLHLDQQMQKFGRIFLHSREGNDPELIKLKVDDFIKDLLDRNKYKEYRRYNKYVTSANERPEIEPTLNDTNPNLNEQCTAKPTMNKFITTTTRTNYQSKHCDTQH >PPA03771 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:998976:1000517:-1 gene:PPA03771 transcript:PPA03771 gene_biotype:protein_coding transcript_biotype:protein_coding MIEFGYIYFGYRFVLEDTALGNCVSSEQFDVDEKSERDVSPSGLIWVALFYQTFVLLAYHYVYRYVMMCNASMAPATKRLQKQLFRTLLWQTGIPCITTYRPVALLFVVPLTGISLEGFGTVFMMSTALFPMLDPYIVIFLISGYRKAFVQMLRNIRLPISPVISSNNGASRN >PPA03722 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:759177:760984:-1 gene:PPA03722 transcript:PPA03722 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLLLLVMACDAENGASVVYVRYDSTNLPLAALPTNLLSQCSIACEKTKGSVTSGDCVSLFSPLPSSPSVISFARTTSAVGTCPAGSMQFFKFGQRDETSPAASSAGPKVDSTSTSVSSKTTSVDQTSTGSHPMLGSTEQSRALETSTMNEGHTSGTENGSTTYGSTGTTPMMMPARLTSEADSTTRGVQSTGTTPPVSSTPTTTSTLTSTGSMTSPSTVSLTNPPSPTETSPAEITSTTGSSTVPSLSSKVTSAEYSDAPTIVTTTTSGTSTSTSTSAPISYTASASTEHTTVYSTIHTSPVGPIASPTSTQSVTTMISTTSAPITATASTSTYAYSDYDNALNNDHQCVNNYHAGCHNNLNSPDNFLAHHNEHVDYHTDNVNGLYDYDNAERARQLSEDADIISVTFVDVPGSNVSCFYEVRSEGRFSTNGLCAMEGSDPCKPEGLVSVFGNCAGTRTLTVVDPTRCVSTLMYAVSTLCVPWEAMFADKVNPTAIGNATSHF >PPA03667 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:510164:511150:-1 gene:PPA03667 transcript:PPA03667 gene_biotype:protein_coding transcript_biotype:protein_coding MNSISIFLLALLLPLLSTSSTEPHGPTLEYKIAIGCSAGIDKLASVFKHKIAFNSGETPSQIVWLCLPPKFCCELKCCDPSSPYYRMLTGSAFFLLFVPFCIAMYRMTAGDDEDEHKVERDRKPSKRRVQESRA >PPA03718 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:741153:742557:-1 gene:PPA03718 transcript:PPA03718 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSLPLTGLLAGSLVAALSTAPSLHKNKAGKFKTTVSSYSRFRQSQPLVVAQDEIVRRFIRGFFPQNLVVCSNEYSNRLDIRRIYWMLGFAGEFLSQLLKQPVKLELAFVESEKDCAYNFI >PPA03837 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1347115:1353507:1 gene:PPA03837 transcript:PPA03837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mec-18 MCQSRLRELGVTATSRVALITGTTGQSVFVHLACSMIGCPTVCVNGFGTIDEIWQLVDISESTHLVAEPQFMQKAEDVKRKAVMRGGGRIKHVRSIDDVLTSDTINGRASTVTSTDISSKAQRTIGPLTRELSNTKLIGPALEDECIDLPSPMSESATSGRNDLTVIENGGSDVASDFTAPIASGPAQQPCMIFFTSGTTGLPKEKLLLPLSISHLFGVISAYYALVNGGTVVTMNKFDAKSMVELVMDHKIHAIHLTPPMLQGLAYEPSANADSFASVRSVIVGGAPLDANIASLCKKKMALRDLRQTYGMTELGGLCTLSHIECGKVESVGVPLPGMLFKIVHWETKQLMQPNQIGQLLVMGPQTMPSYYKNPKATSEIMDTQGFVKTGDAAFYDENGYVYVLDRIKDIIRHKGTLICPSEVELILRAHPGIDDCAVVGRQDHVSGEVPAAFVVKSASHPLLSTAEIRQYVSGKIATFKELRGGVFFISEIPRSICGKVLRRHLRQFWDRERTNSKADEKPAAKAAGGAAGARSDGKRPSLTTPAGEMSSARWRYYNSQLSRSSTHGIRISA >PPA03689 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig12:605348:606924:1 gene:PPA03689 transcript:PPA03689 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHFRSQIVDFCTQFTIESSQIHTALDVYERSTVIGNSYFNTTYGYLISHSWNSLLLDVIPPALLERVYFCTGREGAIEAFCEPALIESRGGRFFRFFKDQPDVFEAVKYAIGGVNIDRLEK >PPA03817 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:1249885:1252981:1 gene:PPA03817 transcript:PPA03817 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPIMNSLIGGPMTDKARIPQCKESAEFEDFINNQMSQNVWTQSPFRMFFSQSKMFENWVKTCESVIHHPGLIEQLKAEKFDAAFGESFEACGPVVFHLVGIEKWAVTESIAIRDGGFYISQTPGNPAYVPSLMAGSKDEMSFFDRLLNTFSHVVLDFMMEQHYPMMAERIRQSYPDLPEIREIIATNSLVFLNSEPLVDFPKITSARVIDYGGIVTSSEHKPLNQTWSSILDLRPRTVLLSFGTVARAHAMPEQYKQTIRETFRKFPNVTFIWKYEKPEDKVSDGIPNLIESTWVPQRDMLHDARLSAFITHCGQGSTTESIDAGIPLIVIPVLADQLRNAHQIERNRIGMRLEKTDLAGGDKLERAIREVLDNDSYRKTAKKVREMIADRPFAMKEIFVKNMEFLAKHGPLRQLDHYGRRLNFFQYYLVDDHCSAIKFYP >PPA03588 pep:known supercontig:P_pacificus-5.0:Ppa_Contig12:127855:128874:-1 gene:PPA03588 transcript:PPA03588 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNLAGVQFEDKRIQLEEWPKLKSHTPFGSLPVLEFDNQKLGQSQAIMRYLATRFGLSGRTPVEEAQVDAFADCIVDFTNAINEFHLVACGLLPGVKGELYEREFCPAKEKLFAIMEKQLKKVIDSKQGLRERVVDLQNGTGWLVGNSITSVDIQLACCLQSMIDESGKEKEEILKGFEEIGAHQRKVYSHPRIAPYIESRPYSPF >PPA03917 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig120:260352:261393:1 gene:PPA03917 transcript:PPA03917 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKLNMCLAKHCCKAAKGDRSIADFNLCQSGRMMDFFTCGGGFKDNNFKTCAPKMPGPPPYPGVPLTTTQAPEPLCPKGEFCLFGPFGFGFCCEEKNEEVWRQNYAAKCPSTMRTVNITQKDVTDEVLRGKKSKRDE >PPA03958 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:487160:490861:-1 gene:PPA03958 transcript:PPA03958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-galt-1 MSRVVDSSKFRLLPCTCSKFFLVVASVTILFIAVVEIVSITRKILERSEESTTTTASMNSALQIPIPTKSPLTEIAKDVFLVHTIRDRRNRGEELRALVWAKQPNYNIECGMKNGIRMRASWYEMSGNDPCLTSTPSSSSSTSSSGSDLWRAYYLTCNLPDLFFEKYLVDSLCEFSSFVEHLPDEEAPRLGFRIIGIDVDYDLPFLDPEDFELFYGLCVTLLDTGEYGPEDIVEFVEINRKLGAELITSEALTQDLITALDHYSDDPLIDLVDISLPFPASEVYANGENVARDICLHRHMNTTESDAHPLTLNNKLRMEKLDHTATRGIVRPRKVVEMGPNFAYHLVNSGRQFVVENNAMHMVLYRLTDEDTVAKTTVVEQSTTDVVPALADVYEEISRNIDAVFKSLNVETVGAKAETL >PPA03906 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:168203:169868:-1 gene:PPA03906 transcript:PPA03906 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTAAVGEFELCPYYVRSEKEDCPMEPDKNGADYRPIVVDGVAKALNDEDRTIIVRVPDVAPRTYKLGHLWLEIIFLVFLHVCSALFSGLTLGLMTLTVRELEIIAKSGSEQEQKYANAILPLRRKGNQLLCTLLLGNTLCNASISSTYQNACNGIWIIDIVVLIDDLLPAEYSIWAATLTMLLLGEMLPQSICVNNALATGARMLFFAKFCMYAGAIFAWPISKVLDRVVGAEHESYDRKRLMELMNRLMLNVSDR >PPA03952 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig120:465393:468525:1 gene:PPA03952 transcript:PPA03952 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLILLLFSLAALIHSQSDPCWHETCPVGQEEGDEWKEQGESGERETFVYRCENGCGIFVGCITVLGTLIGSGVNVTEYYDEKCDVAATCLALKTPLSTGVHFTSKGEYRTLCGKLTQKPRSTTESATTTTEQETTTTELTTATTKKTTTTEKPTTTEPTITTEKPTTTTPEPTTTTEPATTSESTTTTTEQATTTLTPTTTTAEPTTTTERTRKAKMPKTTTPKPETRDRGKCTITDCPADSEGYEFDTKDREEHRDMFRFRCTHGCVNFVGCITEKGAIVELGTNTTERFDEECDINIRCYEKDNNQWYGSDKASIFRISNICNGATTEPRDNASSETISPRNDESTASTEFPATTDSFTEAPARGQCPKGKKDGEKWDDGRFIKRCEVTGKGHRIKIVGCISATDGYRMTKGVNHTEIRPDGCQRTTWCLDEGKQTRIVDGKLFAIGHT >PPA03916 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:252518:256975:-1 gene:PPA03916 transcript:PPA03916 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEETSRKTAQLFTTTFYVREIRVYVRWSLPRGYSDSDVYGYEAPAIYPVECEGAPEEELPTPKIEIVRNGGRLAVWLPAKILEARCRLWVEVHMLPRCVRLEPFSVQKAIELDCKKTPEVEACLHASADALPECTDVIDVWGKRGEATVMWQAPARLPLEYSIRFGRATVQDAAPLVSWSLTRPKTLTIDGNRTSISLKLEEGVDYGIQVCAIYSARRLPKYDLVKVTPLMCRICRKHGAKQHKCGECSKIEFPEAELNDTEEEEAVEDTSRQASSTSTPLIFTLEEEEKAKEIFAAREANTHRVETPLVLTPLQQPAKSDDWDQIITSSASSIDFHLDDDVIILFDGPFNVVLLISA >PPA03953 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:469019:472880:-1 gene:PPA03953 transcript:PPA03953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-29 MTMTAIGIENANREKITLTISVLLSIVVFLLLVSKILPPTSSTIPLMAKYLLLTFVLNVITILVTVIIINVYFRSPTTHRMPNWFMPKFLCMRRPKATEKRKCNLKNVKKMTALPGLGNFSSSPAPHHPLCPSSEEKTELLDANKLSPFALPKDPSVSMYYPLSAQAIDAIDAIEYITEYIRKDEELKMFRDDWKYVAMILDRLLLYVFFGITLGGTIGILASSPYVFSSEDENRVLKGLVDKLSSFNKEMGRAHE >PPA03938 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:379878:382047:1 gene:PPA03938 transcript:PPA03938 gene_biotype:protein_coding transcript_biotype:protein_coding MCVAQLHRMDEIEAWRQKAFVLSRTDRLGRLITKTFDLILTVVRDGPNAEILTFDVESLKAEQSNDMKFDQDRDDIFRSLFYGMSASIGLMIESIKERKEDSSGDAVVPSEDEFQEDFSLCDLDDLKLEPLTDRAENAVEVSEAVTIEKGSIIARSDTQEEASIDNERVRCADCGRTLKTRKNLLQHYAERHPGKTAKQADSEAYSSLKSTVCPHTAHTYANLRVHMRKHTGEKPFACSACPKRYVQKSDMYRHVRSQHKEILLKAKNGGLNLASKGKSQSRKRKA >PPA03905 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:165376:167372:-1 gene:PPA03905 transcript:PPA03905 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDELKIAVGAINLKRRIVVDSMTKIEEVFMLPETAMLNQTAIANIARAGYTRIPVFRQGDQRDICDVLITKDLALIDPDDNYTVRMLCNIYKHPIPRMSGQTTLFSALQTFRTGDDGHLAVVYRESDNETIGIITLEDVVEEILQEEIKDEFDLKREKKGNQKILWDQQAPPAVLGKQLLSVTYHFCATNEEAFKVGYQ >PPA03949 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:446685:450116:1 gene:PPA03949 transcript:PPA03949 gene_biotype:protein_coding transcript_biotype:protein_coding MKACKLDRSNNKSLPVIVIEACIVEGIIIPVGTGKSVSYGTAYCTRHDEIPAGVDPALVPVRIVGVGGVGIQQEFDLATILCDNGKRQNETWEEGPFVKGCQLDRSLKRKYEAVVVIKACIHEGVIIPVGESIPYYDGKASCVRADRIPEGVDAALVPVRIAYVSGYGGEPAEDGPARTDEVSNDFFDDDDFATRRRTATTSASPSRSIQPNCKDPKNLNKEVVAGKFIRACKKVTVYGKPDIRFIVVRCITPEGATIALRKSKSTADGTYTCKAVAHGMARLDFVKNPTSSSPQSTTSTPKCANGLRMGEAETGTDFVRICNWDLLLQVMFCGAKFDGATYPIAVGDTLRFNGTQYSCERRGELDAELVKKSFSRLCEEGDLTVDAWVADGVLRDCVRTGPKPIVYARMCKIEDTLVEVGQNLVHMNE >PPA03948 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:443447:444474:1 gene:PPA03948 transcript:PPA03948 gene_biotype:protein_coding transcript_biotype:protein_coding MKALLFSFYFGILLGHILADIELIDCPGGIKYNEKVNISLYRTDRCSGHGPLVLESCRLPWFNMTIPPNEEVTRGLGGTKYRFTCQSSGSKGVALKFSSLKKIVRGEPNPTAGFCSEYFKKWNANNYEDDRMFIRRCNPDTFLMEVVGCRSHEGVFVELNGARNEQASFIEFQYNTKVSTWKTEVSFRKQLAKSLEK >PPA03912 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:233567:235106:1 gene:PPA03912 transcript:PPA03912 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNGDDAWMHDDLGGDENDGLDDGAAIDDDVHRERREQEREEEEDPAWLITEDPHAPADDDLDGRRNGMGRNDMFVLSAGSAISFPRDTPSPALSPISHKSVRAHSPIPRPPSIRRLVANARKRIQRLKKHNNKAWDTKAAGPELRKEWKSMAIKLGKRHAKLAKVGAVAIARLPIRLKGIPAVQQQQLKEFSLSTQLLIRGYERLVRLAELYAAEVTTQGKRRPVQTETAAMASLRARFS >PPA03898 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:109341:114282:1 gene:PPA03898 transcript:PPA03898 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVAHESFDDKDRKRAKYTFAISERIYLLNASFNNGGFLVSELNPRNYELKRIDVELHNFVAPHSVYGGSLVVHAGRAYLWHARSEKLYLRLWDMASVDSRKAAELDGKSVKAYYFWGRAALQLGQYGEAFSVLRRLTDKRLIDEDLERSRGRLLDESEVENAKMEAHEGDRLLRGKRTTDQEEVMRTLEELEGTAQGAIYKLNNLFAQVDDRRRRRRFLTICVEQFHSSSSRTLYDRADMREHLQRVSHFDSVTRAPLKEDQLIPNLAMREVVDNFLSENPWALHDLDIE >PPA03943 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:415097:416282:-1 gene:PPA03943 transcript:PPA03943 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGNEAKEQELDCSLEPEHSRMELPKPCSLLQLMSVTAPSCPTESAACPRNCKKSGFTSVSPGSSTRLSTISALVLTRSVLPPDPWQMFNIGLRVLSRPDPNHLTKIYRTRALDFNLIVDDFSLTELTFSPQYSVAVEAKQVAAQEAPRASFVVERAKGKHQNGGDWDLRTQ >PPA03893 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:37799:41386:-1 gene:PPA03893 transcript:PPA03893 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKTAGDVLRSGKNREEKMRLLKTKQTKKLTKKLNRMGKKGAIKKHVTDKLRSIKATKSQDSRKRVHNIIDDYDIDRNLQYSEEQEDIIPMDMLNADIDWEKSAFASMRKRKLEREAAQDAMEEADVLESQKRHFEGTLEEDREELLPIKLKDGTLVRPTQKVFFRRKKTAAQIAEEAEEEGKLFGEGDEEREKDPLEGLSAAEIVMKRRELLEEQKRLIAGYSMALVSDPQTNIFKLRELLNLCSGGSAAHPIIRETVQKLAIASTVQRVLSP >PPA03931 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:338291:343310:-1 gene:PPA03931 transcript:PPA03931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-twk-35 MIGGGSPSDKERSRTRKLFFRAHEQLMRGAINARAIAGRAHAAGVRGVQRMQKAQPPLCFRIMYKMYHKYGMKHVVLIGFFVLYTALGGLAFWLLERGHQGGMKDEWKERIAANRTDHVENLMLELFNNTHYMLYANEYRSERAKQLLLRTFAEYESKLGVKWSDQKMEWDYLNSVLFAGTLCTTIGYGHMYPMTDAGKILTMVYAIFGIPLMLLVLQDFGKLLTIFMKFPWFQTKRLARPIMKSPRRSFTISLAPGSRLLVEP >PPA03903 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:159449:161475:-1 gene:PPA03903 transcript:PPA03903 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDNARPHHAKITQKKMDELEMEWLPHPPYSPDLSPCDYHCFRSLSNFCRGKKFKNRDALVKEFEAWINSKPQAFWKRGIETLPDRWRQVDKYIDKAVFKRIMGTCTKNVDVNYLKPFGGALTVPIKQLPRCARLYTKGQRAVGPGGMKFEAGPFHRFGQELLSKLVMHAEDLCKGPSESTVDLKPLMQFNPDFTVTVFEDCIFLEVTADMYIKALRMTRLQRETKGASAERTDFKQSDGARAPLIGLSSKEKLPLSPARSATSPAGAKARTATLSRTQSSSSPAVTETSNRAGGGKGRK >PPA03959 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:491743:496139:-1 gene:PPA03959 transcript:PPA03959 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVLLAIIISLAESWLLFDIQYDMDKGACMNGHDDDLERKIQITEIVLTYFVPLGIITICDIKVLFFRESWSRDNSLSAKTDLTKKLATTDSFEHASMENLNVSGLVNKPVPERGVLKRQGSRRARRAQFRALQRTLLISIFDLLLNLPNYLFRLFLNVIDPETLHDISQDSLDMAESVSQILYFAQFSLNAFYLICIIYDTPKQREPTKIEQRFAQHFLKKNLLTTQRSTVSAGY >PPA03924 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig120:303970:307557:1 gene:PPA03924 transcript:PPA03924 gene_biotype:protein_coding transcript_biotype:protein_coding MSESHNGEVVYVTGGAASKLSKIRVVRKNIARSDRDQSDGQRAVLCVALSPSTRLPSRYKQTSEGAVATAETVKSKFNDIRNSSLFKSFESKLGIAYISAKMTASTSINAGDSMSGPCKHLKTELYHFRNGINA >PPA03901 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:154152:157800:1 gene:PPA03901 transcript:PPA03901 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRSLGSCIARLSLGSSLRLLSKASCSSRAASAIPEEDDRFNRLNTSRRPLGDQKTVFHQFNVHKMRSSGNDRYGGGGGGYGGGGRSSGGYGGSGGGGYGGGGYGGGRGGGGGFGGGRGGGGGQGSAGSRLREIDWSREKMNPINKDLYEENPAVTARPQFEIDQWITANQVTLEGRGIPRPVWEFSEAPFRREVVDMLYHNFQKPTVIQSISWPIAMSGRDIISIAKTGSGKTLAFMLPGLMHTVAQTPRAQRDGPSILVLLPTRELAQQVQEVSVNYCHALGLKPSKKLDYMVVYRMTCCFGGASKGPQARDLERGVDVIVATPGRLLDFVEAGTTSLRRCSFLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTMMFSATWPKEVRAMASDFQKDAAFLNVGSLELAANHNITQPSKKLEYKVVYRVVEVIDEHAKQSRMMQVLNDIMNQPECKTIIFVETKRKADELTRWMRKDGWPALCIHGDKQQTERDWVLQEFKSGKTPILLATDVAARGLDVDDIKFVINYDYPNNSEDYVHRIGRTGRRDRKGTAYTFFTPSNAPKARDLLKILDEAKQNVAPELRELSMRGGGGGGGRGRGYGGGGGMKRSFGGSGGGYGGEKRGRFDDYSSGGRW >PPA03933 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:348463:353199:1 gene:PPA03933 transcript:PPA03933 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVVIPFLFSLGTTGILKPTTIDADEFKKGMKILDAQLGTETDAERVELQKLLAAVEPISERAAQAEREEEAVNEKANEDLFEGDIVLSKSQLSYLMSNKTERAKRQAALNVSIWGTDPIPFSFSPEMNNEMRTIVRAATAFWNAHTCVNFKENAPGENRILVVSKGGCFSAIGRAGKTQELSLTNNQCNSLSTATHELEHALGVYHEQSRTDRDTYVKVNKDNIELRNQHNFDKADKSSSMGLPYEFGSNMHYTQDRLISYSSFSFYKDWRKPTLIALGAYAEYQNSMRGHMPSFLDVLKVNKYYKCDQYIDERGKMREKCANKPNPCKNGGYLDVRNCAKCVCPEGLGGADCTGNPEGCLVELTATASYTKRVIEIGKDGAPAQVNYSNCAYIVKAPVGKRIEVVVDGVWTAGLKPGCAKGGIELKTKNNMIPRGFRFCTLEEGQKKSFVSESNRVPILAWNMEGKLIANIQYRYGIRTSSNARHSQGEVQCEAIRTNKFQYTVIDLSGDGDQVSGTVNSASGVLKNGTWVVSGPNITNITGTAEEFGDFFDFLNPIAGFFKRIPDFFGNIFNGIGNLFRF >PPA03894 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:41711:52982:1 gene:PPA03894 transcript:PPA03894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-spd-1 MEIEGEGEHSTQILESSSSPSDKAEKIREELDNLTEMWENIGANDELKSLIINAAAKATLDYLEPQKRARRRLSVLDRTDLVVQKINNTVSELNGLWDSISMEEERRLARVDTAYGHMETLLSDMVSSEYAMVTAIHDQIEEFAGKVADIRRELGMHQFDMHSHKKGSIALWKALETDMKRLNEERAALIVEQKAVIDRFAHLTSRLGSDLSDETAASLPDTSVLAPRTTVADLRDRCARMEQLLAGRVDRLREVQAELQEWTQRMRCDYDENVAAVLEVDGRAPESVLTADLIDQLDEIRETMAASYKEWLSQRDFEYKEHIVRLHELWDMCCVGEDERRLPAEFDPALIDSLEEARSEVERLEAVYESRRQVYESIAAWRTHWAEKLQLESSENSMDKYTNRGGSLDQRIKYEARLNRQLLPHAVQAVGDAYGSFQKAHNGERVLIEGMEPLQYIQHVTSTHDLEKEMEREQKQEARKQQLLQETKWGTQPAKTPLLGRRPLGPTASASRHAPAAKTPRVAAAAAAAGRGVGAGDDAAGNFTFDQSAISSISPKQRADKLFPKTSSPKGSRATVAPGTRFAPSPAGKGGMASIASTPKRAPFTPTQKK >PPA03910 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:212766:214483:-1 gene:PPA03910 transcript:PPA03910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gsp-2 MILLVDKTLFPQVVGEIERERVWKKERPLIDRLPSSSSIEQLRGCRPGKTVQMTEGEIRALCHKSREIFLSQPILLELEAPLKICGKNIPARGVNKCYSQVMVLVV >PPA03942 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:413601:414873:-1 gene:PPA03942 transcript:PPA03942 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTRPVAQADGRGFSKLEMEACNICLDTAKDAVVSHCGHLFCWPCLVQWLDTRPYRQLCTACKALTSCDTVIPLYGRGGNETDPCVKVPPRPRAQRQEAPQGFPGFHFGDGTGQGGMHFSLGIGVFHFSIFESVFNSSMDRRPDALNER >PPA03907 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:171246:171592:1 gene:PPA03907 transcript:PPA03907 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIRAFSEMTDAEVDAMSKEECQHAIRTIRDFLIAASTNLPSVDDCLPSTSSSTPSSDAAASPEPAQDTLIFVTPAAALPNHK >PPA03926 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:310986:311437:1 gene:PPA03926 transcript:PPA03926 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPPVFNFFFGGGAPAPAPPSAFSPWGGDRGGRGRGGGSDRGRGGRGRGGGGHFNGGPGRGGRKFNGGRGRGGGAFYRGRRDQLTISLIQTMCMTFKPPTEFDPHTPKLRGSYKKKNTPITTTNSSSSLQ >PPA03957 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig120:484935:486606:1 gene:PPA03957 transcript:PPA03957 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEATTADAVSSALLASPSPSSAIVSLVRGPLCAHLAPSAARATRDARAKRSEEAITGGTMLRTAGDSTLCDGPTADDEAAMGMVVTSSLEKTDDDVTSSALSLTTSEHDQGAGDFSSPPRPACPPAWMARLTWAPRIPKMPYSSLRVRFGGSDDDDHACPVPMKAREAR >PPA03908 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:173656:177695:-1 gene:PPA03908 transcript:PPA03908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pph-1 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3E2J7] MSSSGSDLVDVNRIISTLVQVKPWQKNVDVSENEIRAVCQVARQVMLHQPMLLELEPPLKIAGDIHGQFTDLLRLFNLSGFPPEANYLFLGDYVDRGPKSIETIVLMLCYKIKYPNNFFLLRGNHEVANLNRIYGFYDECKRRYSIKLWKIFQDVFNVMPVAALIDGKIFCCHGGLSPNLRSLEQLRRIQRPVDVHETGLLCDLLWSDPDSSVVGWAPNERGVSYIFGVDVLANFLQKMDLDIVVRGHQVVEDGYEFFGRRGLVTVFSAPNYCGEFDNAGAIMNVDENLLCSFQILKPSEQILKEKSSNVAAGLASLMAKKLGGGSSNSDKNSTRPFRRGIL >PPA03886 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:3453:5372:1 gene:PPA03886 transcript:PPA03886 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIDTTKLPAPHYLELTAKYKIAHVIIPTVSKEKNIYIFAMIQIEDSFPENLNHACLPSQSEQVPAKSHVHVFHLSSTAERVYQKIPIEFGVHHQICDEEKSYCEERLNGSPAAQGMSGDIWFRHMLGGDVLLGIGLGDGTAVSIEFWGEFICKMTGACMHGFEVPSPLHRTDFPFYLDGEYHPFMRADECTAEHQLNLIRQSTPFTRLTRTEQRSLKQECGKSSANLTAARSQHLELPATEYLYDWHYLPVAVGKLWILVEKNDYDLAIVQLEKRIPIESYIKPICINPEVLWNPSFRMIHREEVKSELETLLNISH >PPA03899 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:142267:149290:1 gene:PPA03899 transcript:PPA03899 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIILLLLFLPWVEPTSIDLLDQSSMGPHEPNYCNNTHLLEPAGIIQSTLGQFLGYECSAEFFHCRWQSDGFRTYKKNCRVGLVYDTLGTQNCNYDYNVKGCKMSKGGSCNSTQFTCSMSESCVPLGSRVHTVIHATTFYAIRKLICDGRYDCAMEEDEHNCPMCAAGEFACLISEQCVPLERRCNGQADCNDGSDEWRCDVCGAGRFLCGKSGECVDGEKRCDGERNCPHGEDEILCRQKPVEKLFTCRSRDAQVSMNQVCDGRPDCTDGSDELYCEPANPPPVSLPMSLSSLISQPRPTVASEEEEEEEEEEEEEDENETSTERAGPGGPLFPVLSVSFPFTAKPQPRTTTKPTVTRSTATRSTPTRAIAPPTLATVPQSSRVQLKSNRVTAPAASMPTLIPMASSPASSAFPGMSTLSRATSSVHPPTVIIPSVTGPTVVRPAVTRSPTVIPPVRQSSWRSTTEGSYVEERKSERPGTVGLLPSTVEMLVTATSPPLIVYEKAVTIPARVRSEEDEEMHSTIITSHLVTERVAIQPTTIRKPSPPKQETLSSSQPTGAIYKARRGHYPTRVDSSEEQTREKRKEGGPRNQVARSLPDTRPVELPLEERRVSNPRVLAMKFNDEEIRISTSTPSAKSTKSIVDAELLKKIGAKLNLHSGKAISSRSLLTKIEEVLREELPARVSEAKKEGRSLRSLGNPPRTEKDRDLRIATLKVFTEEKLAALLHETSTVPPFTQYVVSHNQSTTDKSSLRSTSTVTSTSTKPRFSFRIMEERPTTQPVKRLPVSS >PPA03911 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:231137:233166:1 gene:PPA03911 transcript:PPA03911 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCILPAASLSNGDVTETSVPELTTKASTSADKEKKTMQCARPDDVDDPLSMTLIAKLWAEDSRAIDAAKSTRDDDEECERPRCVERKKKLQNTLDGSAEDARRNHQWEMRMQREKDEMQTTIKQLREDNALLLQQLQQLQQRDETAEDGGEMREPEEGEA >PPA03937 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:371395:378102:1 gene:PPA03937 transcript:PPA03937 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKTTMGASTTLAILILLGSGTKVAGFMFSGHSCGCPPPPPPPRCDCACAHAAPVYAAPAQSYAPQSVYYAPAAVQQPQPLQQVQQAAYEPRASSASDAYDAAIDNTVLDTLGGAVAGAPATYEGPARGAYATGSQQQYPSTAAINGFPSAQSSYVQSSSSSVYGSQNAQSTYAQPNFPTGAVYGGHQSSYAQPAQSGAVYGGHQSSYAQPAQSGAVYGQQHGQGYVKPQPAASSPFSLSYPGSQAQTQYVVADTAALNTFSQSIASQPLPGLAGAQSAYGRPSASVSGLPASTIQYATVPAGVAVDQHLARQIANEAATYVRNGGNQYVQTTQNAFARQHNPSVNEYARVGSVEQGSAVRDQYMTRPVPVAAPATYVNTNLHRAKPVEVQPVIVDLPTPPGPTRAQFSDSDTEILAAEQSDPMILPSPAQHDAPPLPVSAPQTTVVETVDTTSLVLPTDDILAQHDAQEVSESVQGLTNIVETNLSQMSAPEFEAALQRAELVPEEHAPVAVKAPVHEENKQPKHVVESESEFQGTPLTQENLLESNVLDHEVEHNTDPQEHQQQDQQQESHDDHQQDQQEDQQEESPTDSETLPDINLSEGISIRTRVHAAPTAQVPHRDFGLARH >PPA03913 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig120:240559:241017:1 gene:PPA03913 transcript:PPA03913 gene_biotype:protein_coding transcript_biotype:protein_coding MCNIEKSPVKTPADSTAARMEWKEKKKKKKKCEIKTRQSFFVLMPFIVTMMCFVACDIN >PPA03919 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:268648:273747:-1 gene:PPA03919 transcript:PPA03919 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTPVGTLAGASTEYFDAHSIKVKIGAVEDAFIYVFEYSTISTAPDQWLFAGASSSPSITFTVMDPCRDYQFRVIAIVRPSTTGQNDVVIYRALPIPVQLPAFVLHPDQISVESPQWNATEEQVKTHYEVTTSIVYDISGPRNTHSEILNIPFGWSLIHLSPLLLPGNVETGNVWTWEDTRSDFHRRKNTRGRSMETDKRRYVKLIDDFERDLAEEGMSLDDSEEEVDLERPLDEDDLIITSDEIYDLLRNRKKRFSAVKNLLKATFPFFKNPDSSILKQVMIKKLAVR >PPA03932 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:347595:348076:1 gene:PPA03932 transcript:PPA03932 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSILIFLLLASIALGSEHAHLPPFEGLTYTAGFKRDVGHMIEKRDIGRIVAAPDESLEELLRRIIQKACYGVLGCFARRN >PPA03947 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:431305:442108:1 gene:PPA03947 transcript:PPA03947 gene_biotype:protein_coding transcript_biotype:protein_coding MYLDSQNVSAYRTDQCDGYWGEMKVIECHYPELGKNIPAKNGLEEIIDGVKHVYKCSGGRYQHGVTITHSATRENAKVDDAAKVAGLCAEGTMLWIPNMQTNEDGFVRRCHPDKLHMEVIACRTPNGTFVPLGATTEEKASDSFYFDAAQTHFSSKTECKAVGDSIELNSSVTITPALCYNGKKLGEIWASIFKTRLYRLKATSGLVENDKFEYVCKRVKEDDPGLQIVATACIHTFDGMKKNVKIGERVPKNLVSGSEITGKSHLVCKENKYGRVELVDYMPEYTSAPETTTARPYDYCLKKENLDNEWIEGDVVKACKELVPERRLTINGAYIMFGLISVRCITPEGDQIVVNEEKRMEDGSVYDCVKVNATEAKLVLLSPQEAALTRKCANGKRVNETWEEGELDLTCKLDDNGTTSVVVDACFERRWNPDRWARVPLGETFGDVRHSRVRNTGRRRLFIPRALSPGIDYWRAKCVPTDDGLAKIEGVAPVCLNGRKLKEEWVDDAFVKTCGMYDDWPAVRDSETSQAIVQIKACVFQNETIPVAKWKTDVFDERVKVPVTCRCLRTDKVSDRSDTAMRAELKCNAIGDHEEATRAPPLTASTTGAPDTFCHDAANIDKEWIDGDFVEACKEFPISRTDLYVHQLENNDTTRSPPKDIRQIVYRCITPEGDQLIVDKEEKQQADGSVYDCQRVSDSEAKLVLLSPEEAAHPRKCANGRRINETWEQGDVDVKCELGSAGKPTITVVYCYYKDRTGLVQIHFSSPYGSPDTGMIKCVPTEDGLAKAVEFGPACLNEKQPGEEWIEDNFVKACVLDTWTNKYSRAIVQTKACVFQNETIPVDTERTDLFDETQRLDVKCKCDSLDTLNQRYLNTTDTAQLKCIQLDFDATPLPESTIETFTTTSQRKCYLEENLDTEWIEGAFVRACKKNEEKRRGRAGYHQGYILNVVVRCITPAGEEIVVNEEKPLVDGSVYVCARVNGTSAVLALLPRNEAARPRRCENGRIVGEIWERDDLDMTCEFASSGEPVVTVDACFAKIGYPFDWTRIPFGETIGEIDFGRTSCVPTENGLAKVERISPMCLNGKKLEEEWREGNLLKACEYDRFLADATQAIVIVRACVFQDEQIPVGEERQMLIGAEAINSTCRCVRIDGITNVHMPAEAAEFKCAEPTENPATTSAPATTTGRPDNYCDDKANLNKEWIVGNFVMGCGAVDVGHNLDRYDELVIRCITPEGDQIVVGETLPDDKGGIRKCAKKGSDGAELLYYPPEGPVLPVLDKDGTVRVCNKDGKVDFVGCYIAEQGNKIIGAGKKLLANATQFSCVKILSRLILVKKSFSQLCEEGDLTVDAWIAEGVVRDCVRSGEVHRVYARMCVLDDERIIEVGQTIEEEFESSICERHGDYDAVLAISQARVHPDYFTVPGTCKNGKKNGNEWTDAGVRRSCHLRKGRPTVVDLGCEIPDGKIIPVGTKATFKIPGPEGTLAVQRTLFCEESKKGYGVEMYAADFDIHDNERMKEKQCENGRRGGDEWEHMNVLRSCKHLDDKMVVVAAHCFVGNHTIKIGQVVQTSELASVECQRVGEHDAKAVLILSRDKFTQCEGGHKLNEEWKEGDILKACRIRAGRPKTVALGCLFQGELFVEVGATEVKKLDWPGFDYFQSVHCEAKGDDHAQINVESSLSPAKNDVKSPCGENNVVGDIWAKERFLKKCKVTDENLLSAVTIGCVTLKETQMLEGETLDEVKQMTTTSPARETRTERCKFITHTL >PPA03895 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:54116:84196:1 gene:PPA03895 transcript:PPA03895 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLELTIPVDLFNVDLYGVIEARERIVDDVVAADGRRLRGEQTGAGAVIYERSVCRLSTLAPLLSQTEQRLWSLWEKHDFDQDLRDRRMHKSEEIVRNVLEDMLTQEEKMGEKLTEQIRAGRRKVNDLRAQLGLPPFNESAYPPDSVRLWRLLEAESASLVKQVEDMEARIPELEKKIDGLRRRLGEEKRENEARKEQEEDKENARTASAASIQSNPLHSLMEGASTDEEKSPLEREKDRIVLCKRVSRLETRCMRLTRELEDRKERVRVAQFEIRERTGRIGDAHLCSDVADVVSIPLDAMDTVLTAERVERAEQAREVVAFKYREWAEREAFAYDELYLQLHELWDACHVPERERTLPKRFLPEKHSHHEVDRARAEVERLSVMKEERTDIHEAMDKWMRLWQEKVHFEQENEVRTETGVSKYANRAGTLLKAMKRANQLDKVLLPGAAICLHNACEEYKERRGGEECRMHGMTPPEYIEFVRREHAREKEEKRAERVAARKAGLAAGPSGSNMTKSPWMPGSGRSVSRLAPTAFSTTRLPTTPYGGEASRSFQNVLECSTSSRSFQKILHADSRFDLAHLQLHLLIERRRPPDCFAPLDDVIFGRLLPASLDRKPRPHGLPWPHIGAPPWRYGAKRPHAPRPSPPPASAPAQDPDRFLTGYPVPHPENLSVEESLSDIYDLCLFIAEMASESELFDGTDDLFMEMSSQGGEPATQMSTLDASEAVRAAEEGAAAEDEEYVTPAQLLAEMKQAWQNECGSPCLLPHRFDLIDMLLEQINDIENAIGNVKNKDKPSLPMHQMEVARVQYILNDYMRRRLHKIEEHARLALREHSSREAEGQRPLLGDRELTFAQRFAAAETRLYQAAFLSKLPAPLQKVPVPALNLEHSRCFAQVLKDDVEDVSVRDLMDPTQEVVVSLPRDSIHCISFASLREHIENDKILLIGMHKWASVSNDNLIEKAVSKDVELPDQLKELKLSENVPEMMESLRAADIVLIEAPSGTGKSVMPPFLLYQLARETCDDPQKMPFKFKKPSFKAAHCGPGVQCIQHTEFLDGMESCIEFGCYTAAVNDKMLKTTRKCSDTTDYVYCTNFFANHIFDGNLYALVIDECHELTPEMMKTLYLAKCAIRNKKIYKLVLLSATISDDLRLKLEEYFNIPNKLFVKLKYDKRPHENNTIFLDDLGGSLMKHLQAYRDDEKLYEEESNFFGVPNSKHIIKMDADYIKNKKLPKLPGIVAFVPGEHDVNRTRQIVLHDREFTGRFEYDQQTGLYLSTKNRFNVNMFKISGKDRADLDCLKKVYRSKDPLRVINSSPLLAMGVTLHGVGAVVDDCTMKVPHKYNHVGILSLTLMWQDGNMIMQKKGRAGRQGNGVYYPAISKERFEELLKVDHTMTRLDRGYKTGFLKIPAIIPTCLAESLSALLSTIPLVLGDILLRCFAFGIGLHGLVFVGSCMEDHRALRGPTYEGRGSRDDDELPILLWHRESNAAFNSDMELMTEIVFNLIMIGPLHLQKVREEADHKKKCKQDEERRRENGDPPVVYPPYKHEWITPQIPDKDRFMVPDATFNTMRHVMMGFSCLSAAAIAPKYFRDFLLQQLYSNYYITNRNAAYGTNVFKIQRMRDDQSRVFRAILLQSLGSKVVYEGSEEDQDASRGKRKMFVNPKVYNIEGSFPALVQGELPVYSPSHIAVYYQLTWRSKRDTRVIGLLHYVSTVEYILFGNVIAANIDEVNLQYRILKISTNTFPFFIQFRNVEVLKAVVNCRRIFNVVSSSMIMARDDVVKNHEAMMNCLTNVTYMLYGDHTFKKLDLKMKMDKTPCEFIRYGRSDIQMTNGAKCQYRRVEDFIDDGDYSEASEDDGRSARFSARRESAVYSSSNQESDVSGDDRKAAPRFNIGSSLSQMSFRSDSSAELKSANLSQSRQRRGDAARSCSNTSTQSRPADFQTQNTQDSKSIRYNEVLQEEPPVDNRASAISSSASLTVVQDTFEINGLVFTGDVTIDAVNLNKAMLFNDKGQTVLNYWKKIRERQNKKETEAAESAEEKKK >PPA03888 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig120:11753:12356:1 gene:PPA03888 transcript:PPA03888 gene_biotype:protein_coding transcript_biotype:protein_coding MQEYNSSIMETYKSTPNKRGEARVLNCSHLLCGTCVSRLQPDARNGINCPLCRQRIKTHNLPILKEAAFIAVGLERIGAANAEVRY >PPA03921 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:290101:291881:-1 gene:PPA03921 transcript:PPA03921 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQLGDEWAVNEAVDFAPAFELESDLPEVKLFGKWNLQEVNVSDISLVDYITVKEKYTKTPCRCSSTPLSCWPREDSTRIGRAGTVRRQSVDVAPFRHVNQAMWLLGTGAHEAAFRNIKTIAECLADELINAAKESPNNYTIQEERTSLKDARGDDVY >PPA03946 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig120:426894:428220:1 gene:PPA03946 transcript:PPA03946 gene_biotype:protein_coding transcript_biotype:protein_coding MALNAPIYAACFCHRHQLAWIPTSHPIMQGTIPILLIVIPFATAMVYYTLLESDELPLMEISSFLFAFHSIVHSTTLILTTPVFRARLLKMLHLESAYSSVAAVSRSSINFFTNASNL >PPA03928 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:319265:319545:1 gene:PPA03928 transcript:PPA03928 gene_biotype:protein_coding transcript_biotype:protein_coding MHPEYRQVFRRWTCDGVMGNATADFGRSIPPSRTGVGRNYVLVDEDTEMF >PPA03900 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:149661:153651:-1 gene:PPA03900 transcript:PPA03900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-aip-1 MAEFPHLGRHCGVQSCNQLDFLPILCNACRHSFCNQHFTYEAHSCEEGLVKDARVPECPLCAKPVPTPKGQLPDIAMNEHISNNCEVSKKKRIFKNQCSVQGCKKKELVPVTCPSCRFNYCLRHRHEQDHDCENKGGKVMSNAAIAAIARSKQACSQEAMKAQMAADERLARQLASEGGESLSPEELDRRLAQQLQLEENRGRRGQTTGGSSSNNCSIS >PPA03915 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:246981:250397:-1 gene:PPA03915 transcript:PPA03915 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVKPMFELKSERSKIKDHSTGGRLRDATPCYLSNGIRCSHGCELSRKKEEERCNCPPPLTVMADGSCYEMRPSCLQRERINATWDPSTESLMIPDSSIDSAANRLFVDVGAVARKAAIQFIEPRKIRQRQEVVIQANRPPGLTHLVRLNQPLENHLDYGIRVCQFRAGPIAPLSHNWEEIGEDTIAIISKLVGSSTSAPTQERELPPINQSYWGAFFTIGKVAILVALVLIMSVLVYLNCARLKTFYDRKRTHYFRPFIIEGQRAREVAARYPMGLPRTNPDLISYPTRIPGLLSDCEDEFGFDVLGRFAVLVQFEVNAPVVPESMYI >PPA03941 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:408683:412145:1 gene:PPA03941 transcript:PPA03941 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSARFCLFLLVSTVALSAAAVPSRERRDATGPVSSLSNGTADLLSKLFAAYITILEGFPLVGPTFGGILSAANPGGLVKTIGGSVDTLTNPLIGTLGGLPGIIPGAVQVTGATVAPGAPVIHRDVATVEQGYTKVMLRGVPRFLIDAFDRILKEKTMGGLFRKEGNAARMKNSQEEEEKGPVAKRPHDTKDCSNLSDAERFRHEIAK >PPA03940 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:406241:407274:-1 gene:PPA03940 transcript:PPA03940 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSCFCMLFVVSVIAHASVIPNREKRQAPTLPVPPPTAPAASAFNLTSLITLPITLFTTLLQALLAPLGGLAGGIPIFGPMIGSTLTSTLGMVNPLVGQVTAPVTGLLGAVPGLGGALPSLGGLVPGIPSLPGLPGLPGVPSLVATTIAPAASG >PPA03918 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:261966:268302:1 gene:PPA03918 transcript:PPA03918 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLLCLFCAYRGAWSDQTEPLGKIFSGVIPLRQFIAKSPLQTSESITAAGGKIEELVTAEPLNVEQILNGIVEVNAKPKTKETISKKGTALFKELADAKIQQIAVGEPNGQTGESVTASGTLEEVVTTKPLNLEQILKGIFEVDAKPKTKESEAKKRPNLFKELAEKYARYFTNDKKKRPDVQILPMPIAVGEPNGQKSIDFSLDGYFYQGDKKIANYRIDFHGVHFKQGALRAQRPSELVDPRPSKGGDKDHSGEIEIDELVDPLPPKGDKDDGGDIEIDELVDPRPEKQVENKDDKELVDPIPEKHVPSKDNDKELVDPRPLLHDGEEEAIGCDGAGLLNFMKEQWDKKREENEKAIGVTIEETGTVSAGATTEAQKQVTEDPAGTIASVDTTTTAEKLVTAADPDGATEAQKQTGHHDHEEPVTRVPVHKSTDQDYLGDADELDPNASTIEPAGTTTDAKNLLTPEVHAPVTAVLPGHDTDKQEAVETTTGVGPIQAQPSATTGAGPIAPIENEGDPTPMIDDSTPEVNALLTKEAAASTTGAGPIAGAGEKTTLAVEETATPVDEKEEHETTAGEATIADSHKSTEAAKEEATTGVGPIDAGHYETTAAAAATTQGKEGGLAVRCKRRAPKTYRRRNCPVPTGDSPAYTGAPPKYEFGPGKCTIMYEFYFDEKAKRCLAKRFWVCNEDDWKKVSQEKTFAACIKTAPKVMPADNNERTPCPLGKVWSRVPGEPTEYLYLKKCEDHSCPKEGSCVLHNTGAHCCIMPNHQNYMK >PPA03909 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:207827:211504:-1 gene:PPA03909 transcript:PPA03909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gsp-1 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3E2J8] MCDIHGQYNDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKVKYPENFFLLRGNHECASINRIYGFYDECKRRFSIKLWKTFTDCFNCLPIAALIDEKIFCCHGGLSPDLQNMEQIRRIMRPTDVPDTGLLCDLLWSDPDKDVQGWGENDRGVSFTFGPDVVAKFLNRHDLDLICRAHQVVEDGYEFFAKRQLVTLFSAPNYCGEFDNAGGMMSVDETLIWLRKK >PPA03890 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:15043:15647:-1 gene:PPA03890 transcript:PPA03890 gene_biotype:protein_coding transcript_biotype:protein_coding MQEYNSSIMETYKSTPNKRGEARVLNCSHLLCGTCVSRLQPDARNGINCPLCRQTIKTHNLPILKEAAFIAVGLERIGAANAEVRY >PPA03889 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:12738:13457:1 gene:PPA03889 transcript:PPA03889 gene_biotype:protein_coding transcript_biotype:protein_coding MQARVDALEEQLRTTGIAAPAAASQPISVIASAPAAPTVPAAAAVKAAHTVPAAAAVISARTRSEIISHRPQLLLESIHAGLHVLKGLLGLKSTL >PPA03956 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:476689:479284:-1 gene:PPA03956 transcript:PPA03956 gene_biotype:protein_coding transcript_biotype:protein_coding MILRSPLLACITAFIYFTGPIGCSEEEERLMVDIFRGYNPLIPPVHNASALPIVVRVSLQLVLLIDVNEKDQVMHTNVWLTLVWHDFQMRWNPTNYGGISQIRVSPDKVWLPDIVLFNNADGNFEVSFMCNVVIESTGDMLWVPPALYKSSCIIGESGGCLGGLGYPDCECWALTNAQMNRLSVAQRRMERWMIANDDNWKWSRSVIEWFPTRKRRRGRPITRWSDIFRKTVGPNFLNEARKASWNAMHIRALT >PPA03934 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:365830:367078:1 gene:PPA03934 transcript:PPA03934 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPYTPWDGEIKDGTVPHQLINHWTGKESASSPVPTEQLSWSTVLDKPLPPAPVNGLSYLLLQPPSGVAADVTAAYWEGGEAYFRKRLEEIDRRLAAARELELLESMTPLQKALYLKLQQAQQVQQLQPSEPAAPTQVEASVLPPLPPANEAPTSSTVTSDLMPSNEVDLRREFKENAKKIVKGIIRQSMFKHGDFKKFEGALREIIRACLKDELDLDKNFNYMISDQRPHNPEHREVCEDA >PPA03896 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig120:96118:96699:1 gene:PPA03896 transcript:PPA03896 gene_biotype:protein_coding transcript_biotype:protein_coding MQWSVALEGGPRRVNHAAVLLGDNHIYSFGGYCSTEKAQARGAPIDIHVLNAMQSMQHRTPWFANFKV >PPA03904 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig120:164879:165192:1 gene:PPA03904 transcript:PPA03904 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAAYGGIPSPFDPGENNEAKRSGSLEQCS >PPA03897 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:97837:106866:1 gene:PPA03897 transcript:PPA03897 gene_biotype:protein_coding transcript_biotype:protein_coding MEQITTYKWQRVWSEQEQRHMGTPPRRHRRGRSEVLDEVARRGFRLNAQGRLQGVRRLELGSVDSDDEPEMAAARAAPAADEVQMAVSDHEGDSDEYEDARRDASIEDEEAAADAAMGVPEADFVPFGAYHQHGLIAEEMEEDTKSVVPYYRYGHTVVAYDGIAYLWGGRNDESGACDLLHAFNPVDNSWRTLATKGRIPPARDGHSAVVHEGKMIVFGGFEEQCFEEQDQRFSQETFIFDFATATWTEMATTGDRPAWRDFHTAVVIGDDMFVFGGRCDIMGQYHSTRDVYDEQMRALNLKTNRWRVVETKGSIPSGRRSHSAFAYSVSYSSKMYVIGGFNGALNEHYNDLYEFDPATEVWTKLETFGEPPSERRRQCTLVANDRVYLFGGTKPSHDSRLQAIPNNNAQGSLTDLSDLHVLDLKPTLYQIAAMKICCTRGGRALFRKRMMFLPGVIRGKEKRNTS >PPA03955 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig120:475967:476469:-1 gene:PPA03955 transcript:PPA03955 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYDEKELILDYVKYIDLGEYSKSSIWDIVDAPAELAQQRSRIQFNVIISMHNQVNQEKI >PPA03950 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:453229:455902:1 gene:PPA03950 transcript:PPA03950 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQPVIIDLGCVNPNDGSIVPVGQKARWEGDGPAVVEFLHCNQGQRGFGAQAMLTAISNSGPVRGCENKRVEGEEWEDRNVLRTCKEVNNELAIVAIRCVLGNETLEIGENKITSDVSSVECVRVGEHDAALIIDIAKSFDKVCENGKQLNEEWTDNQTRKVCRIRNNTATIVLLGCQLPDGRLFAIGEKETQTQERPGYEEYTTTHCVSEDENRNGRVYLTRARSNERQDEKSPCGENKHGAVWAKKRFLKKCEVIEGNVLSGVTIGCVTLKDTHMLVGQTLEEEPH >PPA03930 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig120:334599:337062:-1 gene:PPA03930 transcript:PPA03930 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKKISRGIQWRILRCCTKQSLKEMKEIEDQERRDLDIFDLPLIVGVSLVVLWVWVCTFVLSYWDTHWSLLEAFYFFFISLSTIGLGDLVPHPPRLLLIMFFFILVGLSLVSMVVNLLQGRSK >PPA03936 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig120:369001:369337:-1 gene:PPA03936 transcript:PPA03936 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPMTIYCAIEDLIYAFKAWQSQGVNDALYGDNRNAVRTKTCLPLRSRHSSTFFHGHFS >PPA03892 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig120:20000:22002:1 gene:PPA03892 transcript:PPA03892 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLDNFESALEVHPQYQASGVLKDEGSEVKLDKVDATVHGVLASKFESFRAGKPTEYTGRDADAIVKKKTGPAAVTIESSDDLKAFAEGNDVYTVAYFEVRPRLHRPHDGEHCLLQRVIPRRRAQAGPYVPEDWDTKPVMVLVGKNLNEVGKNSGKGLLVKFYAPWCGHCKSLVPVWEELGEKLERPPRRTRRGNSPSLY >PPA03945 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:418730:425969:-1 gene:PPA03945 transcript:PPA03945 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCSSVAARLHGSGRWSPFAPLFGRETHRLPYPSPTVRMAAPAPPPPDQKPPAVAGLRKKPTNEKKEDKGLEPDQILKSQTGQEYLVECKLGSGGFGEVWRVSLLDDPDKRFAMKTEKNMGTHKEMRRLKCEVNLFEEIEKAPNSIEKKHFVKMYGKGKTVAFKYYIMDLIWLSLKDLKQHICGDVFTPHTKIKIGRQTLKGIEAQHDIGYLHRDIKPANYAVGLPPKDCIIYLLDFGIARPYRDKDGVLRKARKRVRGLGTQLYMSMDCLCQNEQSRRDDLEVWMYMMVEFYDRTNLLWWNLEPNDAQMACNLREELMNKPKELLAEGRLKVPEKWCDIIEYLNGMQFEDCADFVQINLFLTQICVDENIDENQPFDWAGKKPKSPEKPKKEKKKKKEGEVRKKKTAEVKEPKDSKEHKEAKEDDKNHKPKGKGKSKSDSEIESNEGEDDYQAYLRWLVYFLKKKRKSRRRKTKQKSNEFSEKTRKKPLTEKKKEVVLDEHPKVAEAVVVPAKIVDSNEHLELHKGELVVANITPAKEKFVAPPPGPGQQPFKKKVDAHAGTPESNESNNEREEKKKFVPKKKKRGTGSQCSDANDDAKLEAFQRKKVGVLRKVGTNLNVTEKKQIRKVGTKRKSQVDDEGPGTLWTLMFR >PPA03902 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig120:158686:159022:1 gene:PPA03902 transcript:PPA03902 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKLLLVFLLLAIASVSLVSAQWGGYYGRGGYGYGRGYYGRGWGGRGYYGRGWGRPYGGWGWGK >PPA03922 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:296240:298129:1 gene:PPA03922 transcript:PPA03922 gene_biotype:protein_coding transcript_biotype:protein_coding MFNDAEEELRSYRKKPSPYRTPSVDSLYDSLASELETSDSGGWTPRGRKEVLPPPRSLVFPSPSIEGPKEDDTPTNNLSLQDELASIQNALPPPPLPSIEAAPSIAIVETKEEVHPSDPKESQLDSIEVESQSETVLDLVSPSIESIPPLEERKEEEITKSISSPLEVNGERSLIFSYDSEFSLAAPPQEKKMPQYLEEEERPPSRSSSTHSLSDYEAPSMGEPGVPGTRDLHACLKSSIRRQLERDFAVWRARKGLRPSSFFPEGATPDGIEGGSILSPYLIGDPRSVGLQGVGTMNGGGVLTRAEMPSIGPSPTPSPSHSNGNGLSSISLSSFIPSGGILFFLSP >PPA03929 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:322399:327286:-1 gene:PPA03929 transcript:PPA03929 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHGYLIWFAVVDVQQQVAFDRQKIEELPYRLIALELGGGRNIDGLVNLNCPFVGHFWSTMEQAGLAAAVAAARVFPRSNRAIILAGPGNNGADGLVAARHLKLFGYECSVVYPRRSSNPIMQSLQQQCEVFSIDILPDLPRPLPSSNTFFVDAFFGFSFKPPVRSPFDSILKEVVDSKLPVISVDIPSGWDVETGPPTDGTTVLQPEALISLSVPKSGVKSFSGRHFLGGRFLPPGKSGADRDISKGGRSERDKQHDVITPTFFLAELVETSVSSTKIKKITELSYFEYHGSDARVWKFHGIGDGDVIKDLKHTNEMLDIKKQGGKLATAAVNIEDRKRIITSLDKTPG >PPA03939 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:402891:404187:-1 gene:PPA03939 transcript:PPA03939 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSRLCLLLVASVIAHAAAVPNREKRQAPTLPVAPTVPAVPAAPAAPFPLNLLMLPLQIFTQIFTTLLSSVGGLAGGIPIVGAPINSALGMATPLLGQVTAPFLVTSLIELLPDDIFLQITGVLGGLGGAIPNLGGLVPGLPGVPGLPGLPGVPSLVATTVAPAASG >PPA03954 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig120:474279:475678:1 gene:PPA03954 transcript:PPA03954 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEETNARISTANDSKTSTPSGCTEPVALSPPSYAKLFDELTARFREQRHSREEMRVWFLEALDDATRRSVDCGQHFARGCSSCYSAGPHKRETTSSYRSFAPTNAVANSTAQNAQRRQAGNAHCPIAAAPLLRQFCSNTILARVNALCVSRCLSVGR >PPA03951 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:458159:464623:1 gene:PPA03951 transcript:PPA03951 gene_biotype:protein_coding transcript_biotype:protein_coding MKPALLLLISICLQAALFGVIGAAPQQQLCPNGKKNGDVWDESKGSFGFRKHCTVDKVNGSYCVQVLGCLSDTNEFIPRGEERIGMRDEGCPLVQRCMVNGTSTTFEWNYNCTVTGKPATAPPLPTATTKKEAAKTTVDAILTGRPVEQFCPNGKRNGEEWDDMKGSFGFRKRCTTDEVNGGYCVKVLGCIGYKGSFIKRGEELTEYWDEQCNIIQMCKITGDKGLTTEYETSYDCTRTRKPLSSPATGKPTKVTDKPTEKTTEKLTVKSTKPADKPTVKPTEKTTEKPANPTDIPTKIQVPITPVTLPPIECPKGKREGDEWTEKTNGGSHGFRKRCENGCIVVIGCISQKGSFVKRGQNLTEIWNDDCDVITACIATDKTSTRVADNLFCTKETLIPRTTGKTTEKSTKPTEKTTEKPANPTDRFTDKPTKETPVTGKPVTLPPVECPKGKKEGEKWDEKTNGGSHGFRKRCENGCIIVIGCISQKGSFVKRGQNLTEIWNDDCDVITACIATDKTSTRVADNLFCTKETLIPRTTGKTTEKSTKPTEKTTEKPANPTDRFTNKPTKETPVTGKPVTLPPVECPKGKKEGEEWDEKTNGGSHGFRKRCENGCIVVIGCISQKGSFVKRGQNLTEIWNNDCDVITACIATGKTSTRVADNLFCRKETLIPRTTGKTTEKSTKPTEKTTEINEKNAANMEFRKKCVDGCILIIGCISQMGTFVPRGKNHTEYWKPNCDLITACIAVGTNTTRYHWDDRCNGNTVFTRGPPTEKTSSPKGDTPVTGRPSRVTDKPTGKPTTETYTEKPGSDRCPGGKKAGEVWEESRFVKKCELVEGQGHCVKIVGCLSAKNKAHMGKGENKTETYAGGCTRITYCLDYGRNTTVVDGDLVCPSASTPAHETEAPVTDRPTRGPLFCQNGKKVGVTWIEDDFVQTCTTDGEGKICIKKIACVTPLGHQIKRGDSYVEQDGKRIFKYECVADGESTLFIQRNK >PPA03891 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig120:16949:18460:-1 gene:PPA03891 transcript:PPA03891 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTPPWKLSEAEESHEEAGAFTLLMQQAKKRNVKLTSKTLYSSIITLLETDH >PPA03960 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig120:497753:498240:-1 gene:PPA03960 transcript:PPA03960 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTLAAGKMGWRGNWLLGMFVCKLIFPNCDSSRLLRSLTDRELNRRGFPIHFFVLGEIFFQGACMKLRDPYREFASRTLSLHCISEKEDPEERMPERFSPLSQYC >PPA03887 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:6234:9780:1 gene:PPA03887 transcript:PPA03887 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGEEFAFADWPWAVYLGVELDSPNTGDSGVLHWLVYFSASCHYCSTLSHETFKRVGIRKKIYPMSNEETLWHEQDIGVGELEHEIEGNSMAMFCMPKKEDISSDQFEKKAHFFGGGMGENIFAFFGRQKVSPIEDPDIYESPWLSSTGFFMSYRATREKHGYSGRGDSGGPLLRKRLVGDRYVLYGVLSGSNRTCDDCRDGWQVSHIFIVMRPFAEESNKITGICPDEPAIVEPVGDVAVDGSLFI >PPA03935 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig120:368274:368660:-1 gene:PPA03935 transcript:PPA03935 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAFVPLFVIVSVATTDDVSPTTELPIIPRDVPPTDPTAMAACILRKTIATLQGALLDITEPNNPMFRD >PPA03927 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:311461:314523:-1 gene:PPA03927 transcript:PPA03927 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSRTPPSWQSRLAAFTTPPTSRDDVRVGHVLYLAHSQDSATARASIRTMLGISESPEVNSELSRKIARLKDSSLSLFEECRRTSGAQGVGGVDEGNEPVRIAENLGVIEAGMYHRDLLVYIDECIDSLSKFFSGECPTLTTPPSFTLFKEECTLLQALIDPSPSPLACNVAVRVLRVCAEYLRDVLSQTMSGGEYSNPSSEVASAIESAPPTNRAVESAFAYMDYLYHKSPFARFFRRDAHTYFVLNHVSRWLDGKNTRERSIILEKAFECIKEIVYEEREKTEQLGEAIVSKMKARKVEEDGKAQKSEARKSRIVDELGGVLLTSSAEIDRAVFGLGQSNAINLIKAQLRFRKNVCKQKAEPRLYRFSAGKVVHGLNTLVANLKELVLADPSAGFIEEDDFHSSYLGRTCDLSTKLLFPDGTELSGQQKVLDIVLHSSGDSSVFLQGSSGVVEMLRSEFEDFVEDGGELGEGSSQSMTTAQFNKLMQLFPYADLVQSPYTQMKLFSEIRASK >PPA03961 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:501532:502200:-1 gene:PPA03961 transcript:PPA03961 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQWHSTGRLLKPAATAMDPIIESLTGHLHGNESNAVMVALPQQASLGARLEKVIKCTRAPPQTDGSPPLGKRDVTIVALLISICVLGIFFNALTFFKNKVTRPSEKRSVYTRHWRLV >PPA03920 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:286174:287570:-1 gene:PPA03920 transcript:PPA03920 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAQSEVKFHVTSSNKKRKYVMTRHRDCPCQSMLNNHCTRCLACPYSWVCQCQDTRKAGVLCKHVHACLIYGGALQECHKPYQIIGDEDALDKHCPGDPQQALVPISTDQPERAADDATEHGHNPHIPDAGLEASQPTNFDDFPPPELDGDDLGIVPLNMVTGDERARSAVDRDDEERRQRSKLESLLNESRIALQSIQKSNLEDGNKFLEELDALITSHRRSIKSSDLARRLPIVPGRPSNVVPIRAPSGLKKRADVRRERHLAAPPVLPVRFESDKLESELCAICHSKYPPNQGDEAVDENQQQPEFPWHYCEKICKLWMHDACIAEKHPNIDKCYTCNRVF >PPA03914 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig120:242305:243189:1 gene:PPA03914 transcript:PPA03914 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFKVFVNISAAINVGLCFVLAIFNPASPSAVNGSVKHGSSCYSRSTSLSTSASG >PPA03944 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig120:416998:417532:1 gene:PPA03944 transcript:PPA03944 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIEEQPCVYASLVLQDDDFERSFFAHSIQTSAIRQLLVIVKAKFFSHSAEQKIKAAGGTCVLVAKGTITCALFLI >PPA03925 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig120:308723:309877:1 gene:PPA03925 transcript:PPA03925 gene_biotype:protein_coding transcript_biotype:protein_coding MKELRTQGNLMIWSCDETWVHKGMRPRIGWQDMRAVKQPLTFLKNGLTAGNSAQWKKGERLVIVACLSYEGFRCPKVWRTGREFENYIETVFIALRDEAQKEKKTPVLQMDNAKYHSRVRDKMPCQNDRKEVMSKWLKDHKMPCPDSWKKKEMIEALKQLDRRDYNVYIVDEMAKKYGITLVRTPPYMAEYAPIEYGWSSMKKAMADVIDTTDDGASRIDEGGISFDPRQLTVEEVVEEADALIDDDDDDEVFDLEEMSEMSVEF >PPA03923 pep:known supercontig:P_pacificus-5.0:Ppa_Contig120:300847:301557:-1 gene:PPA03923 transcript:PPA03923 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSHYRISPLHQISEIKSFLFLSGIAVIKPDPVGKLGITTIVNATTEENTPPVRGVDVVRIRVDDHPSANLGIHFHVISDKIKTVKLNGVVKLV >PPA03962 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1200:89:1133:1 gene:PPA03962 transcript:PPA03962 gene_biotype:protein_coding transcript_biotype:protein_coding IIALKKAIEKASESKREKEYEVKNNEEEVARKKHWFEAGSERQCSCNLDINAVGNEVKSFREDKANRFEIARKRKAEVDDLESYLNGRFSFS >PPA03963 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1201:147:1111:1 gene:PPA03963 transcript:PPA03963 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIFNKLLEIQSSVALISSRQDRLEKRIGDITNDVVGTRYESRTLVDVTRKIQTDVKSLATVLEEVKDRVPPPPQGPEYGIYADLTKEKVDEIDNTNDGLLIFAGKLDRALFGKTYVRHQDRDQNKMKWLIEVILHRRRHSIGKEVAKFRSLIYQRINANAKRVEDEVYLERLDQARAQSQPFTPPIHISSSRPTASHSQFDLATTTPSRPNSGRIQTPILASTSSSRSCVSRVPKRPNMISSRIMNEEDPIPPSEYYQDYPDNFDW >PPA03965 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1204:1126:1675:-1 gene:PPA03965 transcript:PPA03965 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMEMVIIMENIMVMDIMEIMDMLMDIIRSMMLESMESTVNTIMEDTVVIIRERNTVMDMKMDTKMVIIMMDTMMRDIMEEIITVMVITMMDTTIIMITIMNLTTNITDIIRSKEPSSVETIKNSFQF >PPA03966 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1208:93:1721:-1 gene:PPA03966 transcript:PPA03966 gene_biotype:protein_coding transcript_biotype:protein_coding KNSAAERKRAARENESQEDRAARLAAQREMTKRRRATMTDEQKEEERMANQERVRRIRENETEEEREKRNLENRERRRQREEEEGIEERAARTEANTTSHRINYSAEAQRQARVRRRSLPLSNAVPHYLGAMDKECTNCKALFFSGELKPPFDHINQCCNFGLVEIENKFDRYPALLHSLLTEDNPSARNFRQNIRQFNSALSMASMGAQFDVPRGHGPYCFRIHGQVYHLAGPLHPDPGQRPSYGQIYILDTAQAANERLGNPANANCDPQVMEELSGLIERNNPYAEAYKMMAEVVEEEERNAIVQGRTAAPVRMIFDPNSTQDPRRYNVPQANEVAVVYVGEDEDVPATRSLAIHPRGGGLRQIRDIDKICDPFTYPIFFPTGKDGWHPDLRKKPSGRKRTRISQKEYYCYMFMARDNIFNPLHAGRALFQQYAVDSWVKIEQNRLNYCRTHQTELRSDSYRAVQ >PPA03967 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1209:77:686:1 gene:PPA03967 transcript:PPA03967 gene_biotype:protein_coding transcript_biotype:protein_coding PHSAMSCVARSDRLDEAYAPPANFLEIEDRRLLVNVKAKFFSHSAVQKIKATGEACVLLFLI >PPA04025 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:378722:380759:1 gene:PPA04025 transcript:PPA04025 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRLCLLFATIGIFLIFYFELDHHIDEYGFQVVQRDVHDSEEELFSTCTLEEFGDGWTQEVLRIANVFYDPIKKCNESFKPMTVLDENGTLYIHKDHKDIDCQARSVLFKNDRRLNYDNWTDVTHEASDPWDADIIHTQCFARTKPNATKVEDWLHIQVFYSWPLQVVKQDHIKEDAEGEEHAPSVYIFVVDSVSHSQAVRSLPKTLSLLQKEFDAVTLRHVNKVRHLNHFKDLQSIFVGR >PPA04038 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:462707:464961:-1 gene:PPA04038 transcript:PPA04038 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMAKVFLNNLELKHFRKYQLNKSGKGKSKSRIRAGEIDQEDQTGCYEYVAVRYKKLNLPVYCCYVENDSQHISHNAAALALEGLTRGIGAGDFNINSLLQFHKIFSNLKDCMDDTMATHQSSNAFSQPSKIDYVFVVKNIAKCPAEESSEQDGDATKKVQQLSIEERNKVGSEEEDDENEIAVFKDFYIRVHKPLCPDLMYVEAHYPIVFDISDKFNNYR >PPA03969 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:5991:7371:-1 gene:PPA03969 transcript:PPA03969 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPFASTLLLVTAWIQGVVEAVPVFGRCSATNPCDAPGVCVDNIICLPKEAPTPVFASAPFSLPVHASPLVLNLPEGLLHQNAPQTAIFLPPARINHSPPQVRPISTVFPVISRVVGEPVIDNMLTAPLHEAPIAHEPAYSFRSYPSSSKEYPAPQEYDD >PPA04008 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:310435:312342:-1 gene:PPA04008 transcript:PPA04008 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDFQIRALRDLLATAVQKAGCEAPRRNIGRRFFHEVQQSLLRRENPSLSLRDGKSAEYVADIEEEIEKLRIRTIALHAYFFKLLEFKTRA >PPA03968 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:3639:4957:-1 gene:PPA03968 transcript:PPA03968 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVGCPRKTEVPFQSPLSFFAPSIWQSSPKEYLPIARLLSNDDSSHQLSLPTARQISIDEVMEEELPKAKPIVSSFKSYPPPPSPSPISAERAYLATAPRLPAAFSIASNFAASEQTNSYLESRLPPLAPLGAGYTVPEKTPIVDAKRKF >PPA03995 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:188951:191733:1 gene:PPA03995 transcript:PPA03995 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLAERFRDWPKIGFFESAARRHSREIDAQLFEERKVQQKLIKTLVQLAVERARLISYSDGFSNNEANCYRYLSLCDSMRELGEKYLQPASLSCELVLRNTRGVASISTDISSSIKTFLLHLDRIAAKDYGPTNENRLTDSLAFFNVCNNVYFRISEMILFLNKSDIFEKKLVFTPLREKFEEYTGDNSFTSSVAFIENLFIGLNSDSDRKIYAHISCATQKSPISPTLLNLDVQ >PPA04022 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:364084:366908:-1 gene:PPA04022 transcript:PPA04022 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDLFASIMNQEIAFFDKFKSGEIISRLTSDVDQISNQLTIIFTYYVKISLQLAGKIIFMTFLSWKLTLKMGEEMSKSKAVSHQVAEEAISSIRTIRSFAAEKRCIDNFDKTIDKTELVARREALAITGQDITHDFFYNTIYVIVLIYGARLISMGALETSTLVTFMMYQLQIGDNVVCIANTIPEMAGTLGQSRKFCQFLNFSLEIRPGETLALVGPSGAGKSTIVSLIERFYDPDEGSVTLDGVQLREYSHEYIHSKIALVAQGPVLFDCSVSENIRFGCDASEEEIVAAAKTANAHNFILGLERGYDATCGEKGAQMSGGQKQRIAIARALVRDPSIVILDEATSALDGQSELVVQEAMERCSSTRTVIVIAHRLSTIEKADRIAVIDEGRVVQVRIIGNPQSTPARSERTLPQASEAKET >PPA04014 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:332982:334472:1 gene:PPA04014 transcript:PPA04014 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCFGNCCTSSPSHPARNQIAPQAVPISVIEEQTVFRDQLELAGINPTIDASKGSEMVELRGAGPVIIQQPLSTFNANHTGLAAPSINPSYVAFNGNELGVPDVTVTMPSAPNTPASSSSSRDDAHCPKHSRILLYTYLKAYSRATE >PPA03991 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig121:160180:161491:-1 gene:PPA03991 transcript:PPA03991 gene_biotype:protein_coding transcript_biotype:protein_coding MCINDMVASTCALYSISRQIPIGASGGLGVALGPCRFVSSLSCSIAFTIWMHCYANCLVVLILCFVFRLYVLKRVILIHGQLESDNYSEHLKQLHELVIKTRPELFEPYVDLEGRSDKHSFTGILSIFYVIMLGPITMIVCLVVRFQIQRILHRFESMSAATKRMNVQLLRLGSIIPVSNPIINLVCITHYKQ >PPA03996 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:192549:193803:1 gene:PPA03996 transcript:PPA03996 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMHSQMLDREIRADEERKKEVIKMLVLGSPGSGKTTIMKQLKVRFHEAYTEHELNCKRDYVYGNCVANVLDLCDALRKAEERFAKPTNYAHEQRLRQLMAQQDTNNLLESISTDSTTSTDIRL >PPA04034 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:418983:421154:1 gene:PPA04034 transcript:PPA04034 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLHLAFLVASLVAAKHHNDNGEDKLLSVQVVIRHADRAATTGWATDKSERILFRGNGELTDLLVPLLTCNDGWEDVVAKYDLKTSENAKDTALVKMLSTQWDAPECSQVPPALVDAIIAELVQKEIKMPDHFKACAKGHAKKFMFRYIEMLAGAGDHFNALRLKRVAGMLTNELLSNMQKAASCSPPCNTQPKFRVYYTCETVFESEVPAMELLESPSEESDSLETNIGIRRVTR >PPA04030 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:396957:406837:-1 gene:PPA04030 transcript:PPA04030 gene_biotype:protein_coding transcript_biotype:protein_coding MNTEHDRSAMADDSASSIGDDQAINVKELVATLVESDSETPLGDSPLLYEGHDVPLPEDSDDWLGDETVDAGEQEVSGGSGEENRYSAEMEGPGGSGEEEKPKRKPISFGTHSPPPLKEARKQTSILATGKFARGYNQTFINSSRKPQPQNQGQEEEEENDGAENYRGMVFTGTGGGSRAQSSAGTREGSVYGGSQYGGSRYGGNKYGGRRYEGGKYGGNKYGGDEGDYHERSYQRNYNGYSNYNRRPYNNYRSHKPIDYEEVLNAHDAQDMLLRNARWCLNDKVGSSFLNQHQYAIPETLAWKYTTGKFEAHFDPNSVCPGYKRKEHYEFTTEELAAEKYRVNLLYKLICEHGMMHVEDLYREYLRMNVMEPYPFDGFNHFHQFIADRAHVFGMDQKDMVYNENLLVTLAVQRYAHALLHETPVEKAPKLFKAETGGLFYRAFLAYMSKDELSFDTFFQHFPAIFVFDEVWSLNEMSAAKIPCAFLADCVPERDTTKIYVDRSQLFSGVGVVHANNIAHIKILNEQNLILKASCVPCGKDGAREDTTVYLQTRIQSEECLRKNMPYFVSMPIAVVDDAAKYPVGTRVAYTAVRMYNDIRDTVQGTSIQLEPEERTRDGIIPDFWSFVEDEEVDENEENVINQTAEEKKPAKKTVTLDETRDESTVRTLDLRVTIAEREHKRQEVMLKLAKNGIEVHKMANEVLSLFPYGWDDYIDYLYKRPFLFDVLEREDDRAIFIKSRPAEVRKVIQDFVCSLAGKDGRSISKAEAIEMCFAVNEYLPEADRFTFDDLKGKYWVINVCSLSSHDLPPSIGQGLDPSLPKGLGLRVDPCLELLHKCVSVLELLSSTEVREGAETVVVARGQIRGVRWVREPFHLQLVHFLLGDFRMMRARVVHEHEDFALAQEFGRDPDRHLFQLGSEEVSLDGDAGREDLPVDGTEDGEEETEEFLLSVKFRLWSMLGFLIDVHPLKFPLRIIVGDPLLIHGDDVADPIEIGSTLSCREVMRNHLGELRCLPSIMQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAGVKGGGIFARSLDQLTVGFRRRSTQEKIMKQNGACIRIDRLLFTKEDGNREFKFNVEPIFYWNWQHKIMMCIGSLNQPITKGAEFFLH >PPA04029 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:395427:396125:1 gene:PPA04029 transcript:PPA04029 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPQTEYDEDGFLLRPKEPSSPGFSYPSSRSSSNSSSQGLFCSSDNDGSIEDPEPVNGSVPHHAPGPIQRPRSVEDQTSVFLKRRQERCK >PPA04017 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:338237:347117:-1 gene:PPA04017 transcript:PPA04017 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAHALCSMSSMLKTEHSSTRENERRTQIAPDEKNLNILMMGKDAFLLKQRHSSLVIDPRRIDDDGIMSRFAPLYQQVSQLHTDPEEHQEHLNLIKDIVKGSIVLEWPRSVYGVHKSGHDEATEVDQAPLIHRARNVVKCRTSHPTMRIKVYALTAAAEQQRGSGGPQLLEAFFRCSLTKAQTGVIGEQCDSRNVTIAGRMILTQREGTAAPKTAAFTGAIVNNDGKLVASQAAPDYQAFDILNSLNEGMRSTSFKYENGVLCASFPEMGVTLNSMVDRRQLATRYAILNEVFIKIDSLLIEHSFQSLPFLIAITNDQTEPLLNSIIWSRMLDKEHYDGSEPQSPITYGVLKEAARQFVKSQISQARSLTDRELLHFQAMHFLPKVNKCRSELEVEDLDNSLYLDTEEIGEMKYADTIADIDKMIEAKRKLKDKLLTKFVKDHVIIEKHEFMGETCVSILDGRSELRHTPWQWLFKATELILDVGHKLCPSPAIAEKKSTKTKKQLAAAEEYHTMMSLFNKGVVSFFSVYDTRSAFKQLREDGEDVQDGGNVMLRFCDENAGHISFVFGLDVHDKLVMGSIGGETIKDFKQGLSEALMDEEYPAKHGRLVRMNVHPDRQTDKWCSTIRQAYGDDVTPFPDEEITKRINPFSGTEVDRNKFNLPNFNNPLDSLGLSGLALASTSPFSSPLNLPSLPAQQQTTTIKKRGRKPTNGQSQSSSSSMVEPMLFFQDSLFTGLNSSATAPPIITDGLIGDGEEEMKDMLKIKSESLSPKGGASPKTTAASPFASLSGVKASAAQPAAAAAAAASSSSMPAPAVEAGVAAILEQPMFQTMLSQLMQQTITQMFSGGDVNAISAADNEDTKADMKPNRVKLKAQHPAARPPQSLDDILRATDEMKNGEDSDQEPEY >PPA03997 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig121:194125:195096:1 gene:PPA03997 transcript:PPA03997 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLDMIALSGYIPTPQDALRIRESHLLEQRFTLNSHDFRVFNVEQNERCRRKWIHVFDDVKAIIYVVALSEYDQTHTNPAMNRLIRSLTLFRRICRRLPNIPMIVLMNKNDIFERKLRRSFLYPHIDETRYSTEKLSMGID >PPA04012 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:329852:330483:1 gene:PPA04012 transcript:PPA04012 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSFESLRSDESFWFEGEERLSVEGEHGEDEVAKSFWYEGEERPPVEGENKESEGTKDDANDVQHPTDVGNEETIVQKEGTDLYRDRPR >PPA04016 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig121:337125:337678:1 gene:PPA04016 transcript:PPA04016 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSYAEVAPSPPEIKVSEPVDDSDKGPEVPEQKENLLQIPKWDFVLKKEREADTALTPLHFDLILHLA >PPA03988 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:142649:147651:1 gene:PPA03988 transcript:PPA03988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hdac-11 MRLFNEVDQRQFYCQRGGQLLCAPNDMEGSFFPYHEDVEKDELMWSCVESNLFPSISMAQCSLVFHPEYDISFFGLEKYHPFDAGKWGNVYRLLEEWGLVSPSTVVHPEEATRRDLLIAHTTRYLNSLYSPCVCARIFEMSFLYVMPYYFTNKYLLRKMRLHVGGTVAACRLALERNWAINLGGGFHHASANAGGGFCVYADITLAVRMLLTLNLVKRVVILDVDAHQGNGYERDFIGDSRVFILDMFNPKIYPRDSKAEEAISRMVHVDADTPTDRYLE >PPA04020 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig121:357692:358417:-1 gene:PPA04020 transcript:PPA04020 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDTPIFDFSLYTACSMVHSGSDGCRFSIDGYQLAVTSYTQDCAGGGLCLSTAYPVDNL >PPA04006 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:257481:267671:1 gene:PPA04006 transcript:PPA04006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mel-32 description:Serine hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:H3E2U4] MQRVGGAVLGAARVARPLQSSARSASKKMASSGVHTPLVPVNRVAYPAANMLKDGVQGADPEAYAIMVQERARQRRGLELIASENFTSKAVCDALSSSMTNKYSEGYPGARYYGGNEYIDQMEILCQNRALEVFGLDPALWGVNVQSLSGSPANLAVYTALVEPHGRIMGLALPDGGHLTHGFYTPTKKVSATSLFFESMPYKVDPTSGLIDYDKLEENALLYRPKMIVAGMSCYARHLDYARFRKIADKCGALLMADMAHISGLVAAGIVPTPFEYADVVTTTTHKSLRGPRGALIFYRKGVRSTNAKGEQQMYDLGDKLNQAVFPGLQGGPHNHTIAGIAVALRQCLSPEFVDYSKQVIANAQALANRLTQLGYAMATGGTDNHLCLVDLRPKGLDGARAEHVLDLAHIACNKNTCPGDVSALRPGGIRLGTPALTSRGFKEADFVKVADFIHEGISILLKHKDEAGKTIKDGYL >PPA04000 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:202218:211384:1 gene:PPA04000 transcript:PPA04000 gene_biotype:protein_coding transcript_biotype:protein_coding MTHNTVRSRRAGSTDQTMGAFRQLRLLIWKNWIQQIRSPWFTLLELLVPLFLVGISFGLMIGLRDTYEKDHPAKNFHRWPVLGGGYDLIMIPDTNKMTGAIVDPLLFVDASVSDCQFLKVTKNDDFSYEIGVAFAYAPNTTDAIDVMKMLQKRYSQDNLISDAIRALIPVGFPWNMTIKCSADISAGFATEQEMVDYMVQSFGSQCGNPLLAGIVFSDEISKPSNPPSRNMTYKIRLANTKRNFQFMEVYTPWDTTLDFATQYVSGPINGGTVDGGYPGYWEEGFLTVQHALNIVLREKFRGLTPTDPLSLDNLIMIGRTPFPEYTSQIIEVGSFFLPVVIVFSFMTSVIYIVRSIVMEKENRLKEYMRVMGLSQWVHWIAWFIMNYIKLVFAVIILSVLLYFVMENSNPTVAFVFFLLYAFNVTYFAFAVSTFLQSGTPATLAATVGWMLLYFWCVLFMSMDAQSPYSFTIRMINCINPDIALNYGVLLLAQYETQGKYIIRLESGGLNWSNVFERVTPDEPLTLGHMMVMLVVDGFIFIIITWYVEAVNPGGEGVPQKAWFFILPSYWFPGGRKSQVSLVDQAAAFEKAVKQQQARTEELLEKLDATVTIAGLSKTYGASFFKKLFDCKFLNL >PPA03993 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:168177:168654:-1 gene:PPA03993 transcript:PPA03993 gene_biotype:protein_coding transcript_biotype:protein_coding MMPESRRCCPKRRVCAGGVGGLAEDTVQQRHWLLRVHSCQGAHHSRLPLRPSSHTTSHVHVFIEEEP >PPA04024 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:371403:375842:1 gene:PPA04024 transcript:PPA04024 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDDEYEMLHATAAETTQWKKLEEQKKLWKIQTNQLEVLKVREKVINEMQENDCFQRELLKKKDEENAQLKRTIAQMQQMRDDEIKWNEEEVRVKEAEKKLIDSMTGILNIEMDWMDRKKEAALGGPEDKVNAENMLGAGTIADGEVGEQMQQLAPTDCAGIDKKEFIRSITDVHCDSIDCANSITIINSAFICVTCSLLSNLLSIVTSIATVQYRMDSSSNGNQEEGVAEKQKEAMASCAFLLADIISLLSFITHCPLFMVGKVVVEVLRLLIALVVDDSLAIDFHIVCLAVAVLWWRKMEGMPLSDQPYTLIWAVSNFRIKFDPTIQRV >PPA04035 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig121:424500:424999:1 gene:PPA04035 transcript:PPA04035 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEWVGWATQMKFLIHSDTLCSASKFKCSRELIIDGTVFLKNGHKPDFLDTGLCYGNCTLEAVVNEF >PPA04023 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig121:369042:370004:1 gene:PPA04023 transcript:PPA04023 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISETLKLRRVRMTHRSNRRKAELAIRIVTRGMNGVLRGSGDDGDEGKKLKIHVEQTLIDDIFRIANPVLYCIKTSGCCKYLTQLTTNTWLTATANKIIIEQNNR >PPA03989 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig121:148324:150494:1 gene:PPA03989 transcript:PPA03989 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSNLETVLGEFEADLIVYNAGTDSLEGDPLGRLQLTPECIIKRDELVFQAARDNATPIAMLTSGGYQRSNAQVIAESIRNLHTRGLIQLKAAPPPSPRPHHHHHQHNHQQRQQPAPPNPAR >PPA04032 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig121:414226:414872:-1 gene:PPA04032 transcript:PPA04032 gene_biotype:protein_coding transcript_biotype:protein_coding MNCDDKKKFHHDVNVLALSHVFQCIETYNTYLKNGHDAPFESVGPNSGVSTLEAYAGSFAVDQPVSCQK >PPA03986 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig121:135245:136482:1 gene:PPA03986 transcript:PPA03986 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLVGVVSTGSLVFVALSMVYIASMVNDVQSLQEEVTVNMDEFKVMAESAWSRLVAMHVNPTGSSDAPPTFATLLGRNKRQANSQCNCGPSSRGCPAGPPGPPGNPGERGADGRPGEPGRQGANGIALAVTFDTPGGCITCPPGPPGPDGEPGFPGPAGQPGQPGLSGPAGNPGRDGQPGAPGNNGERGRDGQPGRPGSDGQPGVQYTPGEAGRDGAPGRPGPQGPAGQPGQDGAPGQDGQPGENGRDGQPGQDGQSGQPGEQGSDGLPGADAAYCPCPSRTSSYSEPVHAAPPASQGYRA >PPA03973 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:27787:28704:-1 gene:PPA03973 transcript:PPA03973 gene_biotype:protein_coding transcript_biotype:protein_coding MMPATMPVARGAIVVNMDGLATEVEKNAGAVGIKYSTPDPFPQLGIKQAYVPAASSTYIAYKPYSDCLRARVRLTICGPQLDRLLDVTVPTWSYHVSPRRFQTNPQVGIKHFPQVAIKLDSNLWELLDSKLIPDTGVFFSIITQSGYVAVKMRTK >PPA03992 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:164554:165394:1 gene:PPA03992 transcript:PPA03992 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSIERSIRYCTADGVVDYIIDHGLYDYGTVAPAPILDVAPSPIRNGHANSVKASRSLENGDFEAALSSKSPP >PPA04007 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:292738:310377:1 gene:PPA04007 transcript:PPA04007 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVAPPVALTMPNAAAVAAGARPVLSGYDPQQAKRDEDKRKEEEYNNLVSGEKKMERERRSRLYEDEYLERDDSDEDEKRYDRRGTTDATATGTSEAGTETIGTRDGIGTGSRLMGPDELGSTLKHYRSSHHKDHREKREEPKKEEASREKAEAVAKDIQRRAAKEEEPKEDDKNKLGRTDIDEEDMQESYFKFLEEKKASAPEDEDVYEYDEDGNIIWTWKKVIDPLPALDHSTVDYAPFHKCFYAEHEDIKNLSWMDTQRLRMKLCIKTGGHAVPKPVASFAHLGFDEGLMKEIRKSEYEHPTPIQSQVSNRIVGRSLPAALSGRDVLGIAKTGSGKTAAYLWPAIVHIMDQKDLQEGDGPIALVVVPTRELALQVYQEARRFCKAYNINVICAYGGGSKWEQSNELSGEGAELVVCTPGRIIDLVKIGATNFTRVTYLVFDEADRMFDMGFEAQVKSISDHIRPDRQCLMFSATFKSKVERLAREALNDPVRIVQGEVGEANDDIDQHVEVLPSLDAKWGWLTGRLVQFVATGKVLIFVTKKANAEILADKLKKRDFKLVLLHGDMQQHERNEHLSKFRGKTIDLLVATDVAGWGKTIDLLVATDVAARGLDIPEIRTVINYDIARDIDTHVHRIGRTGRAGQKGTAYTLMTDADREQAGHYVRNLESVNQEVPKPLLDLALRAPWFKSSRNEGGGAPQASGPGARFGLGYQPKQRPTGGPGGKGKTHDPLTANLSKPGGSMGGIGGVGGMGGGPLSGPASASKLGMLKNSFKAAFQSSFTRSTETLAPAPASDPRPEWKRKLDELNAKFTAQADNTRYKGARKSIANHGLEGDFGGEAMDSLTTGHSLMYLQKSARDFESLIASIHQRPVTSRAAAATASKTTTLAPRRRVDGRIINLPL >PPA04026 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:382098:382475:1 gene:PPA04026 transcript:PPA04026 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFAVTIASALKGRGRPKKTVSSNARNSKTRKRSIAEVDDIVVGGTEAEAGEDFNTWDLITNETNDKEGYDDEDEEEETILVNRQDDEEIMMCMIQ >PPA04036 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:427651:431009:-1 gene:PPA04036 transcript:PPA04036 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKVQEFVDIRTVIGAGERHKAGPLSFDNALGMICEMKDEEVAVRREGTIDQEDQTGCYEYVAVRYKKLELPVYCCYVENDRKHISHNAAALALEGLTRGIGAGDFNINSLLQFHKIFSNLKDCMDETMATHQDSKTFSKPSKIDYVFVVKNIAKSPAEESSEQDGDATKKVQQLSIEERNKVGSEEEDDENEIAVFKNFYIRVHKPLCPDLMYVEAHYPIVFDISNVPFNEARRKKKLEAQKKKQEKERKKQEGIAKKEAEKRAKEEKKNQERERKKGKIKEKVST >PPA03972 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:21790:26268:-1 gene:PPA03972 transcript:PPA03972 gene_biotype:protein_coding transcript_biotype:protein_coding MISILGSLIDEVVAEMSSSNPFDKDYQPSKYATGSTVKSFQRAAPADEEDFYEKEIEKYMQESLDSTERSRRHLETSERTGVATAQELLEQREKLERTERNLDQIHKTAVESQRSLNSLKSVFGGFFKNKFSKNKPSKPAFLSSSSSAPAPTKPSKSALALADTTKMVNATPVRSVGMGPTLSDQSRDAIKGTRWEAMDQQIDDNLDMMAGTLQNLQSLGLAMGSEIEDQNKMLDRIQIKAEKNDEIVRKQDAQMKKLMGTKDAEPIVSAPSAFSLARTAVGV >PPA04021 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:358979:363184:-1 gene:PPA04021 transcript:PPA04021 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLAIVSLACLALASSAPLDADAVLVPHFNAETRAEDVQTIIAATDAEFQTLVDNMRAQDADKPTSSQYKLNWGTKLGSNPKPSGKDLMSNVAESLFSKPVYAALKKAYDNNIFNADVCVSESDYQSGFKQSIIQSLLDTWSSTKPFTLMHDYLVKKGKVDSDMTKFKKFLTTYWFGTYSRCSNNKKTIGSSGFEHVFSGEWKDGTIDGHHNWMKYYLDQKAKKIAYYGYYSTDGQLTGTFEYDWSDHHKDKGGMLFGTSPAPPLKPRENEYSNGSENQHSFSKLDEYSFEQTCASCGYPAAKKCVYQWSIKAIRRSTTGTGRMRHLKKIQHRFKRTTGTGRMRHLKKIQHRFKNGFREGTVAVSQKKRTQSAASN >PPA03987 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig121:136553:139910:-1 gene:PPA03987 transcript:PPA03987 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFQAVVSQFFEWQTSLVVRWPLPFVVIPPLITVVITTMTFSDLHLNVTNDTLRVFLPDDIRALRELEDLLALFPPRDAMRDSYSIFGTSFAYWVYESKGGNAVDPAALIDLARLHSTIKDSVAHNEMVRSDRSDQTALDNHGTVDPL >PPA04027 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:383493:388361:1 gene:PPA04027 transcript:PPA04027 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVLVYQWSVGTRLSIDRSLFGRENVKADWNKSTNCHGYLDEQGFLLSEFTKKGYASLMAEDWAQGVFNYPSCKGFQNPPTTHYMRPFQLHYEHHKRDSRKFQGIHQCLETQAFLYQYQDEFIKRYPKSSKIALTWTSNVAHDEPSNLFHYDGQMFNYFRKHRKEFDKSFVFFMGDHGLRFGSVRYTAIGRKELNNPMLVISVPRHLREKLVPTLQENADKLLTSYDTHASFKDILQDPTFESAPPQLAWGNSLFRRLPDGERSCRTLPIPLRFCMCEWNRTAVSEEKEKEEIGRLATELLNGRLREENITSSCQSFTYDGRAKIQLIVGTRGIHNVIFKTKQCGAFFSAIVRVEKDHGAPKASLISDDFTRTNSYGKTAECMNSRAELKPLCCCKSKNT >PPA04033 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:415502:417844:-1 gene:PPA04033 transcript:PPA04033 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSLCLLLLFIAGTASAGIIASRDKQQLLLVQTVIRHGDRAATDRFATDESEKVLFRGLGEMSDDGINNAHKQGAAFRKRYVNELKFIDGRTPKGNDVTVPILTNKPDPVLAPQMNCLDYWDDAMDYLNLTSSADIKNTALEKMEEALWPDSCKEVPSNKADAIISELPNKAIRMPSSYNKCARLPAKQFMYDLTV >PPA04001 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig121:211413:213745:1 gene:PPA04001 transcript:PPA04001 gene_biotype:protein_coding transcript_biotype:protein_coding MYGATFFKMLVDCKFGKASEKVAVDNLCLNLYKGQITALLGHNGAGKSTTFSMLTGVIPPSKGTAYIESLDIRSSLPQIRRSVGLCPQYNILFNTLTVWEHLEFFSHLKGRGFDQIEAKDLLERLRIDFKMHARAGTLSGGQKRKLSLAIALIGGSEIVMLDEPTSGMDPGARHETWTLLQAEKEDRTMLLTTHFMEEADVLGDRPDYPRKHCTAGYVVRLTAGLISASA >PPA03985 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:131577:134180:-1 gene:PPA03985 transcript:PPA03985 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLTSPDNSTTFDDVCLSSSLTRGCSLHPFAFALEDPDPVLSAQFMLRYPLFVFANLTVDNAVVFGGVTTRGPSTRDSKGNHAIDRAKSVRMAYTLQHGDKSNRLDNMLHHSLNWISAFLDTMPKLKKHFPNATLYWTSSQSLAKEMERNGHLLIPWMPWMSLVLVVFCMLICSSRDAVRSQPWVYMIMNGFTVIPRSLFYVYKPLRDRTSSFGINIELTTSTPRYHSFPVGFCAMLNASMATVASTSTLLYLQYPFLPLVFIMPFLVVSIGTDNMFLMLKSWRMGHALEVEDRFTEALTETAASLFLTSLTDGLSFSIGGMADFYAVRESMNIFLISCNIMPPDAREKQMKFGAIRNAIL >PPA04004 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:249101:253343:1 gene:PPA04004 transcript:PPA04004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-let-756 MSLAAGTTVSLGGYAAAASAPSTSSLPFSYSPAADAFAGSGSGSGYEEGASGGRPRFDRAPQVPPPTSLSYARYAYYEVPSTSGGGKIHPLLASAWREPDKFRQCLARAHDVRTENEEANGTQAYGTFQMPDGSLYAGITRKGALFCRSGYWLEVKPGAREGWHRDRDGSAKREAINLIRGTKNAQSNFTILEFIAVTFGLISMRGVESNSFVCMDKRGRLYAAAPADYSSECIFVEEMLENYFNLYSSCSYGTFRKPCHIFQPNHIIVHGHTTDGLASERFKNEETAESCALPRGASRSVARVTQIQSTKQSY >PPA03999 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:197392:198710:1 gene:PPA03999 transcript:PPA03999 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYLMLLLLPYVEAWGNQLGLCHYLKLIGKRSTFCGDPPLNTASTRSLPTPFSSHSYSVFEPGKRHYGLVQSDFSVYNPVPRIRASNKNSAVLTSVMTCAAPANNHTDFAKKEHLFPCLQEDSGIPTEPFLSAFQGLADFVGFMGTAFAPVKSDIAGNVTAAVYAQ >PPA04018 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:351918:352398:-1 gene:PPA04018 transcript:PPA04018 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMRKRMQDVDNFLLAEALQATERVEEEDSEEDESDGLGDDPGGVGHDNGWETEEEDEDEDQEEEEEEQDDGEEQFRYPLDNSELIRK >PPA03998 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig121:195302:195615:-1 gene:PPA03998 transcript:PPA03998 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSSSIGHSEKMEDENENEFVFPTENPSEDDEEKEKIVDIGEENEAKIRAIVYQTNVSKDEALQALQAANYDVIRAIKNIVMP >PPA04003 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:217966:229122:1 gene:PPA04003 transcript:PPA04003 gene_biotype:protein_coding transcript_biotype:protein_coding MMLATQAIPTAKEMDPLVVDLKPYSPTPEKTCVILVEEPQQDPNIIVKVVHAMDPTPIVTGTDNVTAEVFRLIGDIGSRTFGIQYPVAYSSQSLLGKSLLVAMFDNFGYATPVLAIALADSLLGITVHGDGDPYVFTAINHPLPPSAADSMKNKAITQTTSFIIGYAIIVSMSMVVSGYCSFLIRERKKNSKHMQKTGILEDLMYEQLPCQLLSGLPLWLYWLTAFLWDALSFLIPLACFVGIFFAFGVSELIGRATSIIDVVVICVLFGWTAIPYVYSFSFMFTSAPKGYTMIVMYNIISAMIGSIAVPIIDQTADGDTAYFWSIIFSWLFPLYNIQMMFQMIYNNEFYRTSCLVLDCTMELFIETNPQCCGPVGNRTYVDDVLTDYTKHGIMVGTVFLAVQGFLYWLLLIFIELGWVGAAFDWLGSCCKSKQAAEEEYRSIEDSDVIEEKTKVLGLQPESTAVVAKGSRKHHHQGLGVDVGNNCNHLGVNGAGKTSTFRMLTAEASVTSGDAYLAGFGVKKEWRKAGQHIGYCPQFDAVLKEMSGEETLRMFARIRGIPKNEIERMIKGVVDAIGIQMYAKRQIKTYSGGNKRRLSLGMALVGMPDVLLLDEPTTGVDPKARRTIWGILAKVREAGSALVLTSHSMDECEALCTRLAIMLCVLTSHSMDECEALCTRLAIMVYGQFRCHGSIQHIKTRYGTGYSLLIRLRHSSDAEKTRRRVLETFPGAVMKEHHLVQMNFEVPRLGTWSALFELTEALAHELHLEDYSLSQTTLEQVFLEFSRAADSGEMLHAASENLTQSTDEEMGSRYHSISPATAKKYYENSGYGQDDEDEIVSDERPLISLFRIFPPDLFPSLGPPYMGMN >PPA04009 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig121:317877:319289:1 gene:PPA04009 transcript:PPA04009 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFIAELPGLIRVLTQNDFEDYFFKDFFFAFPDVFYTAQLFLIPTLLIERKPQRHTSYEEHGNSYFPFLIVVFLADLKLNFDLT >PPA03984 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:127900:130721:-1 gene:PPA03984 transcript:PPA03984 gene_biotype:protein_coding transcript_biotype:protein_coding MAILFMFLYQITFFNALMVFCCRREIASRHWLLCHKVSTKVEKEKKKEPSKASFDWPARLSSLIQFWPTRLLIFLVYLVYIVITVNLCLTLPLGLDLKLLAPDNSYVSDELMVQERLYNDFGTFCFGVVKTRNVNFGEPLERRRLTQLYDKLATGSPRRYDCACTPRMRNRKLNEMRAN >PPA04002 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig121:214631:216631:1 gene:PPA04002 transcript:PPA04002 gene_biotype:protein_coding transcript_biotype:protein_coding MANGQLQCCGSGMFLKKHYGAGYHLTIVYDNHMTKTLGTAIEQTRKLMTTHTDVILQSVVGQEATFLLEADARSVFPRLFGDLELSQTHLGIRSFGVSVTTMEEVFLKVGELAENAGPSRSPTLASEVSAKMDDNLNLIDTNPSALKDLKPTHRISGFSLLMQQFHAMFAKRAVYFFRRWIQFIPQLLIPTPFKLYRMKLDFR >PPA04013 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:331535:332170:1 gene:PPA04013 transcript:PPA04013 gene_biotype:protein_coding transcript_biotype:protein_coding MWCCCYNRNVVAPASAFYNIPPRRTAAASAAPPVLPDPEDMQEPREEEVPAPQQQQPSVAVETFEQASLPAIAPALGSLPPSESSDATVEEESAPAGEKGPPVERGTNDEADGPKEMKDETRKH >PPA03981 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:107987:114709:1 gene:PPA03981 transcript:PPA03981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-zmp-1 MYSLPITGLVDERTARIMRTPRCGIPDVGDYVDIPLNGRRRAKRSVFSKWKKTNLTYFILDRPSYMDARLVDGTIWQAFQQWMNISTLQFRPARGEKDADIVISFPHPQTHANCSSRFAQADLAHAFFPETGRVHFNMDWRWDTPNRLASVTVHELGHAIGLVHSPDAKSVMYALNTPDTKHITTVEINELRESYGVKPGIGWVEEDFIRRESPVEVNTPPNPCKSTVDAAFRRRGQYIFFKAGIRQIRTPQGGWMWRFSSDTRTMVGVNRIEQFYRGVGSVDAAVEVDDQVYLFSGTDVYIEMQGRMSGAMSLRQLSIRDSDKIDSAFVWHATNFEGHPGVYLMDESNGLYYRFDTRQRRIVPHYPKQRNLQWSAIPKVDAALSFGPKNDLLFIRGNEALMLNQTESGGVRVADGYPRELWSMFEYCRWKEGIVDIPSKRREL >PPA04028 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig121:393229:394759:1 gene:PPA04028 transcript:PPA04028 gene_biotype:protein_coding transcript_biotype:protein_coding MFQIHPIAPPSTDIHSSWARAQAQEPWYQNVPLGSPPMAGSLHHIVPAQNPAADPATVSQGTPPPTCNNGWLSHDHSYSTPHRPSNAYNAPPPSAIPIPYEWCSPEAAALPLPPPGFENSTIGSASSSPRQSLRSYRSRADSEGSHYDSALSSHSPCSAHRDDGSLRLSISDGEVDPSLGPSSPAFSTTSSLYTAASCMYCLPSDDNGIE >PPA04011 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig121:323180:323603:1 gene:PPA04011 transcript:PPA04011 gene_biotype:protein_coding transcript_biotype:protein_coding MWCCADRNAIAPEQAFVNAPARNAVPAGGALDMPQPGAVGFRGSGHAGQYTFL >PPA03970 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:8247:8643:-1 gene:PPA03970 transcript:PPA03970 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSGIVHLRFELYRLAVVYYEKKKNEKDMEYPVCAADSVLKDMQTHLLNRIKENPYIPNNNELLPLLVETSGDSGGCRDAHENPNELGILGLMRTY >PPA04037 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:456072:459094:1 gene:PPA04037 transcript:PPA04037 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFLTQTSVKVRPREFPRDSTVEIPDQNWRVASRGAPQCSLNLVHEVVVLLVIVGRRGSVCADQEESLVGEEEGCQTRGEATKHSSACQVWPEQEGHAMGRSGPARGELQFGLLVPGGERPGVDGADVEMATHRGGDATPAGQRFWERDAGRIRSNDTHCEEIDCEFACGMREAILESKIVVKREKEAQKIADAARKKKLRNTAKEQAKLAKKADDERKKEEAKEAKIRKKQDTARNVQILVEGFNSVTISGTDIPNPPLTFDSALVENIRLDGILLNELRLKADSVQNFQNKFKNQISDLGYAIMSFEKSYLSESHWIRGSAILIHKSCPGSAEVNSASQPRLIM >PPA03980 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:106954:107392:1 gene:PPA03980 transcript:PPA03980 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLFLLLLFEAVAGAEHQGAEVEIPWNDYLRRYGYLTPEKNTGGAARMIDATEAISKFQWPSCK >PPA04005 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:254392:256414:1 gene:PPA04005 transcript:PPA04005 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEIKDQRSIVFQKSPQKEAEEAVEAAKIGQKPDLQSLLDNTLRHRPIEDPNATAETKDHSQLDADPNERILTIKLEASIKQRTKKKRKKFPTREERLASDQQKREERKELLAKKRVEEQEKLRRFHIEYGKQVQKQHDEQRERLAKEARERAEMARKALPYSFSNTVNNRTQLTTTSRFNGTMLQVNVMRPKTGHAAQPPPLNDAPHRDTRRIDTTRSPYTVQHRHSPIR >PPA03971 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig121:10122:11517:-1 gene:PPA03971 transcript:PPA03971 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFMRHDFDLANYDLDFDSDYESYNTSDEEARIERDQSALKLEQDRIIRAEQERELRESMELDRKRREEKERQERIAREQEEAEKRYKEEKEECKRIFEEKREEIRALQCGEVPVEGEAVKVLVRFPSGAKIERKFDVDESVESLFNAALAHPACPPHFSLNTSYPRRRLSFAPKWYAEWRTRAYPEEDVEEDGQDEAQTTLREEGLTQSVVIMVQNEA >PPA03994 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:178405:181945:-1 gene:PPA03994 transcript:PPA03994 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYSPSPFRLGFLLSLVSSLQMFQQSLLASLTDAGLSSTQLAFASATFPLGKVVSTLWLLLCTSSPDVVFNLKVAARLLMFGGLVAGLPVLPALSIGRLLMGAGTGIGFVCAPLYLTELIPYSSRPAHFFVLGVAFAGSTLMANALALAHFTERSLTLFSSGFAFISGLIYLLLAPEQHPFASTLPVQPTDPTRISGRPVALSNALMLLNVTCGVPVLLGFAPIIFEEFGLSPDHSFKLSLLFPTAQVFLLSLLHFHPGLLSRKTLILGGYGVAVFVLFAITTTAKYPFLPDAGQTYYEL >PPA03974 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:29548:44314:-1 gene:PPA03974 transcript:PPA03974 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHSLLLCCLAAAIAADSVPQVTYTCGGGRVRLEPCETAVRDKKCVSECQTSDHCVKNHGEEYFCVTQGWSAGCCRTIPRFDRPELDCSTRECNSHAYCGLWKRGSTCENGCCKDGKNHYTTFAGIFDSYTCNKKLVPERQSFGPEYYCNPAGCCDIDKKYGPKTACATKECNSHEFCAGALPGSYCHEGCCVKDGENTTIKPLPPITIKPIGDRQPPIREEVTYCRDLHADIVLVIDASGSITAPIFNSHTKRFAKAIIERFDVGSTRTRIGLVVYSATVYYTLPLNECTDVACLMSAIDSLSYAAGGTCTGDGIASATEMLLKAPAVKGDTTRSKAIIVITDGNEECGYGPSTVSKRCNEARGQDIDLYAVAVGTTLWSTKAAAIADLDAISGGDYGRRFVVENYEALDKVFVERLQRQVCSTTWQVVGRCKKTECYTDSFCSKSQPGFVCRGGCCVDSKIEVIDPTPIITNECTFMSADVILAIDGSGSITAPIFNKYTKAFVKELISRFNVGFSKTRVGLVAYSSTVYSTVSLEECDDQACLINKIDTLNYPAGGTCTGDAISTATGMFGFASNDGTVRPKVIIVITDGHEECGGGASTVAKRCGDARKAGIDLYAVAVGTDTFLNKVGVPAAVADLKAISNDDKTHQFVAKDYQALDYSFVDNLQKEVCTTTTIGVIGGGGECKNRVHHWQVECRTDAYCRRQNSKTECRNGCCSPRKRDWDDNKIIRPPRAITYCYGLHADIVLVIDASGSITAPIFNQQTKMFAKSLVGRFDVGPLKTRIGIVAYAASVYYTQDVTECYDVNCLYAKIDALSYPAGGTCTGDAIAKATDILDRAKAPNFYERNRAKVIIVITDGHEECGGGASTVPKRCGEARDNDIELYAVAVGDTFRNKPAAIADLNAIADNDENNKFLANDYNALDSTFVERLQREVCSTEFRRWGREDCERECRTDASCNRAQPGSVCRRGCCVEPNVIPPVTPIFVTECKYVNVDIVLVGGVQTRKRRLSKNRQGDRESTFLGNDRNEHTQVIDASGSITAPIFNTHTKNFAKELISRFNIQATRTRVGIVAYAASVFYTQGITECSDQACLRGKIDSLKYPAGGTCTGDAIAKATDLLLAAPSADGQDRPKVIIVITDGHEECGGGASTVQRQSDAARSQGIDLFAVAVGTDTFLTKPAAVADLNAICNNQNDHKFIAKDYASLDYAFVDDLQREVCSSTIKTELGDDCKAECSSHLACAFFAPGTRCVGGCCRVPIDPITPGGSVCGDQRRTSWCRNNKGQCGRQMNMRTICARTCGFCDGGDKMPERKPKCFDKKPTSWCKDKVGDCYRGRFQARMRCACASTCGFCTTDGGPPKPQPQPTGPCSDTTADCANKPALCRNHAYKSMMLEHCPRTCGWCPTGPLVDPPLVIHPPEPPPPVTTVAPVSNCVDTANDCGIKSGLCNNGAYKSLMMKRCKRTCGWC >PPA04039 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:470122:470451:1 gene:PPA04039 transcript:PPA04039 gene_biotype:protein_coding transcript_biotype:protein_coding MDILPKDVDMIEESTQLKKTGEESNPQQLPSVSRTQTRANAEKERKDALELKDRLKEEYDEITKAYKECKEKVKGIGSMRGHLTDLSDCFKKAKIQADKSWESILLVVNK >PPA03977 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:56249:57437:-1 gene:PPA03977 transcript:PPA03977 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDVYQFNIAEESLVRLYNHLVSNYEREVRPSLSHDEPIEVDFVFSLTQIIDVDERNQILTSNAWVRQQWIDYKLVWDPRDFGNVTKIHIPYEKIWRPDIILYNK >PPA03978 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:97220:102609:-1 gene:PPA03978 transcript:PPA03978 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKLGAGFDRPSIAEINKPIAEYLYGADVMVAPSDVKPTGGSAAVAHDETDISGIRHKRGAPVVTSVRWPKTQPIAFIFNSDIDEPTRTLIRTATQKIADNTCLTFKENATVGSQLQFHRGGGCWSYIGNAISGKQLISIDSGCGYIGIISHEISHALGLDHTQNRKDRDAYVQVNTAAVASNNLNNFAKLTDAQNNNFGVPYDYGSDMHYGAYDFSTNGQAVIVANDADYLNTMGQRKKLTFNDYKMLNTLYECSSKCPTQIVCQNGGYPSPKDCNATRNMLICVCSDFYSGANCQTQRTTMKITSQGDGKTIYHQDYDAALYSSGNNYNWDKFGKDAVKIITAPAGKKIRVTIDKIYAAYGGLGCYVGCPFVGLEFVDNANGDLSTMGKIYCCTKDEGYSFVSKGNVIGYKAYASPGMPFDAAVTYTVV >PPA03976 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:52175:54985:-1 gene:PPA03976 transcript:PPA03976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acr-21 MSTDVVVSFLGNVTWASAAIFKSSCPLDVRYYPFDTQSCVLKFASWAYDGTKIDLMIKSEKDQTPKDDSNYMMSTEWELLNIRAEKDVVIYSCCPEPYPFVDIQIKILRRPMFYVFNLILPCVLISGIALLGFYMPSDSGEKVTLGITSLLSTTVFLMLVAEGMPPTSEALPLIGIYYGVTIFIVSLATAMTVFTLNVHHYGYHGTAVPPKLQVFAFKYLSRILFLKLPPYHSINAHVKHVYESHLHSRY >PPA03982 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig121:116548:116894:-1 gene:PPA03982 transcript:PPA03982 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFRFQKTPVVYATAPVLNAAPPKKVPVIQAAPPKAKQVWTTQPVEKSAEVGHVKE >PPA04015 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:335505:336616:1 gene:PPA04015 transcript:PPA04015 gene_biotype:protein_coding transcript_biotype:protein_coding MREQLEDLGVYPSCRANRQRNKEGKKEEARNEDMEDAKKENEAEKVQKAEETKKEDVKLEVSPAVGILPRELPTEMREQLEDAGLYPKHRQGILKKGKAVEKNTVKNGVEKEEVNVEMACALNDASDVIAGRTHPLDGVAVTASHPLTSDILHTSIYYSPVRLLDLDKFLNFLHLIFPILPSLPSFQSRDAAARS >PPA03979 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig121:102862:104112:-1 gene:PPA03979 transcript:PPA03979 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQWYIREMLGLALLVAAAVNAAPVVEGKLPFLPEVFQNDHGWPLDYHWIHEAAQEQTSSFFDAGHRAEALARFQSSIGGQNSAALEAHKDKRSLNIILNIFFSTLSEPIQQRTLRNTCSPS >PPA03990 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:155827:159375:1 gene:PPA03990 transcript:PPA03990 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVGKADGGGGYEEATASSGWMRPDEWEAKRGEWTRTKEVLAHHRSVARRKWKDDELACFLVCGGDLVDSFSRILSDGSRLWAEEDVAAIVEEYGIVVITRDGSNPVATLESFGLSSERCFTVSDVTFPNQLSSTLLRTAIKEGRTISGLALHGVDEIAM >PPA03983 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:118854:125853:-1 gene:PPA03983 transcript:PPA03983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ptr-9 MKKRFGQTKRIMSRQSLHFACSLVARESERACKLERVFIAHLFRFLADPLHEKYKNDIRFSLSGHIEAVKLILRVRQVSPANDEPRAEFLRSAMEMSRLDGFIYDTSFLLVDQQMTTVENVVSNVVSAVVTMLVICVLMVPRLVSSLCVALAILSINIGVVGALSAVHTRLDIISMITIVMSVGFSVDYVVHTTFHYVTQRTDRLEVCDSFKQDKRCLRVMVEPILQSALSTAVGVALLGLVPSYIVRTFVFTVLFVVVIGVVHGLVFLPALLITVVPSSEYLEPYNSRNEEAKDRAKISRGFVFKSHHPARLGVYNPTEAEYATPPREDILPAPPVAPPRRTTPPIPAPSKEQAAPVYRPVVKPRTILTLSIAAGQQADNKHNNNNKDNKDNKPDGRSIRPGRQMTGIRLFNEKTTKFDNMNFNIISNAENNTFVLQPNIHPTPGQLIRFGGVGRDGNVTLIPCCSHPCPPGPDPWMQFLLYITLIPLGFALAGFLLWCICRKAPVLFLHSCAREGRSALTPLSSTSSLENPRPSAWATRRVSPIWIIKRRTQDGFSSAQVIYSQERPHSRRLHREFTLNHASQNFGGWMIHFVAQNLSTQRDGQSTTKAKKKSSRDPLSLTTKITIPSIQFNSIQFNFYSVACMAPKQQPVKQSTKSIKPVHWLLRILWPWMTSCESS >PPA04010 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:319760:321572:1 gene:PPA04010 transcript:PPA04010 gene_biotype:protein_coding transcript_biotype:protein_coding MYISLAIAAVNFMGASILRLKNRRMRSHSHRNGASDLSTKYQMNENCTFEACFTMVDSLAMIIHKVDFMFSVRDCHAKQHEQFIYWRTFIYWRTAGFILQVALPITLILFHENYEQAFLRLFCRCLCTGGRKSNSKVKFQAQEGDVYFRDLDKQWARIASPSARPSLAKY >PPA03975 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig121:49196:50584:-1 gene:PPA03975 transcript:PPA03975 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLHIQIADHTLKEASTGHTRSTRKISLSEYSEDLFESEFLKVMRNVHATIERNEMRQVERDRRDATRLEWEQVAMVLDRFLLVVFVVATTTVTFVILYQRQLGIFE >PPA04031 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:408434:413636:-1 gene:PPA04031 transcript:PPA04031 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRLLLLTALAEVALSHPVEEGELQLVQVVIRHGDRAAQDGYATDKSAEVLFRGNGELSDDGIDNAHQQGFDFKKRYVDQYKLFDERYIPSEVRFRASTVPRVLMSAGSFSNALFKRTRGGNVVVPPIYTKDYNDDALLAPDFSCTNGWDEVQTALNLTNTDNIANDALVAMEQQQWPDSCKDVPANKVDAIIAELPNKAIKMPLAYDKCAMMPAKKFMYDYIELLGGGGDHYNELRLKRTVGMLTQELLDNMDDVSNFEILCRLSISRAIERPRRNGEKVSCASKTDKTGCKTEKFRVYYSHDVNVLALSHVFRSIGTFKKITPAFSSALVLELWKNSKGYHVKVASSSRSIFLKNGQDAEFVYTGLSEEDSKLDNVKKFASKYATKEKVECDKPKKA >PPA04019 pep:known supercontig:P_pacificus-5.0:Ppa_Contig121:356773:357392:1 gene:PPA04019 transcript:PPA04019 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRDFEIALKVCLVVIGVLLSIIISGGTVAWAKGWFGEEQEEECGEEEEEENDDTQSSSHVSVDIEEADEDVVELASISDVSVHSEMRSLPRPEEEEGSQEYENDENEGWIEKNCYMS >PPA04040 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1210:42:745:-1 gene:PPA04040 transcript:PPA04040 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLVEAATSSGCSSCADREKGDRAKDRLTAADDPDSFINRCLVYFRSEDLSPIEWTVVKEESSAEPLFVADI >PPA04041 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1211:529:1374:-1 gene:PPA04041 transcript:PPA04041 gene_biotype:protein_coding transcript_biotype:protein_coding ILKECVEARANLEEGRFMERIGMPEDYVFDELMEWENAQSGEDDEEGEEDEEEYGEDEEEWSERIAQSIGCTVDDMHPHDLVDRIKDGELEIPAE >PPA04042 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1215:614:1117:-1 gene:PPA04042 transcript:PPA04042 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLDPNVRAQDLEDIFREADNGFADQANTQPPVKKARSNLLAKAAPRATPVASTESKIRCLKTREYDLLYELAFMSDVPEDSDPCTFWKAHEQKFPRLANIARRLLSIPPSSIDSERLFSTVGLISSNSRRSR >PPA04044 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1218:2370:3039:-1 gene:PPA04044 transcript:PPA04044 gene_biotype:protein_coding transcript_biotype:protein_coding ILLHFLEVAPSILRSSCTILVYVPMRMSFTLGYWLLENYRGLSDFRYLIYLLHFVMLVCLLFRNNTMMPFTLYFVDLIPAEVSVKEVDGEDYKEQTPKSYDSPHVKTPQEKKRISV >PPA04043 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1218:235:2117:-1 gene:PPA04043 transcript:PPA04043 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMGAADQRPTEDRTQKRSVWREKGTLPEAKSKLLKPSSGRAETQATAKQPLISPEGTEKQCKGLLTACEPEYEARLTYEQAMMRYGNWMSIRRNVFGYTLIILFSTFIKSFVSAQSSTGHPHSRAASISVPEISKANEVIANYSTTSLLRYTSIGIAILGPWIEIYIGRRKSLLIGLAIGSISAFGMVFTTSKLIVKIYFFGKMFMDILIIVLSFSTLIELVPYNQRFIAPLIFHFGTALGLVR >PPA04045 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1219:1281:2555:1 gene:PPA04045 transcript:PPA04045 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVEYLGHHVSDKEPLHEMTRKETEWKWGLNEEKAFNELKRAITEAPVLAQPDCEAAIKGTRPFFITTDASGHGIGGVLSQASADGVEEKPIAFYSRSLRGPERNYSIIDLEALAIFEAARKWKYLTFGTNTIVRTDHAPLTSMFKRQNVCPRILRWAAEMMPYKLEIIHVKGKDNVVADALSRFPVDSYSDESTEPVTIGEDVVINAMTRFQLSAEKQRNNNDEVIREREENFLRWETAQGSDEWVQEMIQKKSEIAIGRGDASEMTRMPDSTRKLTMADLEIDNGILYVLDRDHERLLYVPRSERKGLIKEMHESVLVGHAGGKKMNQMLRKKYVWGAMEKDIAVVLRDCDLCLRSKPRKRYIPELQPRVATAPLEI >PPA04119 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:442168:443769:1 gene:PPA04119 transcript:PPA04119 gene_biotype:protein_coding transcript_biotype:protein_coding MYTQTKTTTSSSEERIISRESSRTQLGSTLIRMPNKPHLSIDASARAANIVKLSPGYIETTTSSSSTASSQDDATRRILEVTQQYSAFGTKVLEMMGQASDVPSLADQQLRFAATSSRQLRKVRIRIGN >PPA04059 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:60940:61858:-1 gene:PPA04059 transcript:PPA04059 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSRPPIQRQRPCELRIDIESCPSSPSCSIDLPDGCSAGEPSTIDPNSLSPLYPMLHAPTTTRADSPGRCSSGGGGGRAGSAAGSGRSGSGSGAGDQGAGRGRVPASRRRSIWAAAQALQQLRKARERRGRRGGCTARGGSGMCRVYYGEWLT >PPA04047 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:1801:8363:-1 gene:PPA04047 transcript:PPA04047 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSVRRKSVTDLDTIREEREDLQREQKENYAHEAGAWRYASLLDSRFQCPVISKHSEKLFCFAVKFVDQDRLAAEEDLQLRALYLMWKEFKEVVDNMEKRMMQSVDLETEEVAVEADSCTDESSGDETESTLAPTETTDSESQAQRACDYAREAEDFKRRVDQIMEEISAHPSSPPVDVSLHMLVKIKQVELPALSTSLGRLLDMQAECDDDDLQWGSLKSQWTRLRDVVDTREKELKELCENQQNTSHYAEEAERFRSGVNQITELITSSGCSHHNEALTVIRRIKREDLPALEQHLDRLLELLVQCNYDGQQWPFETLVQEFTQLINNVKTREFQLLEQISKKLHDEDSREENYRRHDEARSCEEESEEAEQNVVRWRPAEDHNGTGEDQSQENQSQFERQSTRLSFHRRLHSEMEQTFKKKGMAAAAEVDEEEEKRKSRPFDINREIEEEMMRSLAVKEMRVRMEEDKIENEKKTAKIEFDMMRERWEELKRKRMEENKLEEEEMRRLEEEKKKRIEEENLKKEEEEMNRRIEEEKMKRMEEERRKKEEEGRIRMIEEEKMKRLEAEKRKKEVEERDRRIEEEKMKRLEAEKRKKEVEERDRRIEEEKMKRMEEERRKKEEEGRIRVIEEEKMKKLEDEKMKRLEEERRKKEAEEMRSLEEEKEKRIEEDKRKKEEEESNKKIEEEKMKRLEEEKNKKEEEENIKNMMELEKRKNDQAEKIKRLDEEMKKKKEEIARVQKQLLERQKAAMDQKKEEEARRRCREEKRLMEGNQQVERQVDRARDPSQQHQLLQHELQQKLQTTPLGKAVAAEIKEEEDRERKRRDEMRRKRDKEERKRAELEPARRKNEDRRGEAEQLNSTRHEQNPQSTPATSPTSQRQQEFEKKIKEMEKQAMERLAAEMAALKKAFGFDGADGVRAGSVGERLRRTVSDEQLPQQSANTTPINTAPPATISHDPRSSLRAGVRERTPLNRAASRPRSVTFGDAQGSENVIAELTRSPSFRRMRAAHASEDESEPEHQQSRF >PPA04096 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:294116:298011:-1 gene:PPA04096 transcript:PPA04096 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLNPAQKSSYRTLTIFSLHRKLLPALRAGILIAASDTLETIPRKIAPLREDVDCLLLMHRSPLKVAENHGVYVVDGSGELVRAMHTDRKSPVLAQLQAHEGAVREEEDADPWCYTDSAFFLSSKLATKLVDYVGNHAQIEICAYRDFLRPLGTEPEPLTDALNGASPDMVLWLCSLNACFNRCNTQLIDCGPGSFCHFGSIDECRRHFYSELGGRAIPIHSTWDYKSNDEKNGRRDSTTRTEMKKE >PPA04052 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:31160:32113:1 gene:PPA04052 transcript:PPA04052 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLLTVHSTEWGTSRRAVNWIINTCWERLPKEGNPNVLMTNATAINSMLEVVEQEELSKEELKLGLDLLGRILQKVTVATDVVF >PPA04087 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:220615:222339:1 gene:PPA04087 transcript:PPA04087 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLVAALQRLLGPLTDCTPVATNPQPVNPSLTSSIDPPCDPFDIPYQKPRYVRVMPFCPEKTLFVYRAKESVTDSEKKKALKAKKEAGLELDDEISTIFRVQLDGFDYTVPTAAPDETPFAKAAELMSFFGAVVTKL >PPA04081 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:185202:195713:1 gene:PPA04081 transcript:PPA04081 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPQLGRANSMSSVNEPPCTLAHPAMADKKAMKVVSEYYKKQNELLENFKADNEQIQVFQKSRSTRKRLESTNSVEPEEPQPPAAAAAAAAGAAGAAAAAAPILQQTDGEEDVSVVVSSAKRTTGGGTGAGGGGTGGQGAGKRSSMSEEDEEQGLLGEKALDEEGQSHKRAAALLSKATLFVNITLAILKASRRLLLDVLPVDHLVAGRLVGGHHLGRADPVLYEVGGLSAIKKRDPYSYPRGRTRLEPMILVIISIVMGFASVQLIMESIKRIVKNELEIEMNLASAVIMVMTILAKSCLVLICKKYDSDPSIRVLAQDHRNDCVSNFVALVCAGLSPKKIGEQEGVALYENVFGMHPTTPWLQYLDPIGAILVSVYIIRTWWGTGKEHVKMLTGKSAEPEFINRIIKVCIDHDPRIEHIDTVYVYHYGMKFLVEVHIVLDENMPLKVAHDISESLQINIESLPDLGAVVG >PPA04066 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:100670:101290:1 gene:PPA04066 transcript:PPA04066 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTNLLVICAVVAAVNAQCGSPDDARCSTWVQGGFCNSEYYTLDYRKDKWLAFDT >PPA04077 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:164474:165176:1 gene:PPA04077 transcript:PPA04077 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKTSRALFQLLGYSHALSQESNSETNMLKFGMGLKAHDAETLFQIDDNMLY >PPA04063 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:86441:86654:1 gene:PPA04063 transcript:PPA04063 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLKSTVQSTIFLLKNPVYTKKALQKVLRRKTAAENDVTFVKISTAPPN >PPA04067 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:103016:104413:-1 gene:PPA04067 transcript:PPA04067 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRSGWLFLLFGACGVYGADADPPPNAETRLQEKLRKISRAVGRQYLRRPGKPAFTINGTMAGMQLEKVDEGTSLLEMSLQFKYAYFHPNLTWNPAEFEGITQTSLTAAYTAVPDGVFEIFVYSDGYVEIASDAAVKNKCLLDNDNAEFELEILEEGSKWKNGTED >PPA04086 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:218916:220223:-1 gene:PPA04086 transcript:PPA04086 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIGLCAVLAVVAAAERPVVNKASGWSQFEAGRRYALQKPKQVTYDPLELDRFLFAIMDKLEDMPMFRGVSEPVHFILGMTE >PPA04097 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:298870:303876:1 gene:PPA04097 transcript:PPA04097 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGAKWKIVKKLGEGGCGAVYLVTSTESGVKAALKAESLAAEGGSVLKIEVDVLSKLRGKRYVCEYLGAGRLAKFQYIVMSLLGESLEGMLKLCGNVVSCSTQLRVGIQVLHGLKQIHDAGFVHRDIKPANLALGRADGGTDPNFIYILDFGLSRSFIKDDAKGRKELRKPRRSALFRGTARYCSADANLKHDQSRIDDIWSMVYLLVEMRGKLPWENLNEHKELAEAKLTAPDKELFCECPSQMRDLSRYLKSLNYFSKPDYPKMYGMFMEAMKDGGYSFTDPLDWQLVEKVKGGRKGGGGSGGKPGAPSKVSSKPAAPRKTSVVATKKGGNVRTAKSIAETKAAAAATATAAPAAARAAPPTENWGDIVLPTKDPFTMDDFTADPLGI >PPA04076 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:159349:161442:-1 gene:PPA04076 transcript:PPA04076 gene_biotype:protein_coding transcript_biotype:protein_coding MAENVLQTIDKDPVHCSRENIELVLQETCVEYQSPLSATVSPFELPAGSGPVPGYDRKATVPVQSAAGAPLMTNY >PPA04080 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:181879:183064:1 gene:PPA04080 transcript:PPA04080 gene_biotype:protein_coding transcript_biotype:protein_coding MYWGGKGFSHQRASGPPMMARHNGAASKTAPSLRSVSLPKGAGLAGVEFPAWKTTTTKRNV >PPA04102 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:336242:337909:-1 gene:PPA04102 transcript:PPA04102 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFCSSLNTDVLFGLLRDVVGRRSDLKLIVTSATMDAEKFSTFFGGATPCFTIPGRTFPVEIMHAKTAAEDYVDAAVKQTCSQTVGKLMAGSYVDAYVKQILF >PPA04088 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:222774:223648:1 gene:PPA04088 transcript:PPA04088 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKATDMLANRLAHADKKDRHARGRDSEADAVTHTPYGTTLRLCVLREYLQLQ >PPA04118 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:432719:433256:1 gene:PPA04118 transcript:PPA04118 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPNPGPFRIQGPTITNVPSYPFRNTVIGLIFIGRAFISGAFQCAYVYTPERSEINLQRSKITSPSQVYPTTLRASGLGAASGMARIGAIITPFVAQVAATSNLSYPVGIYGTAALIGLISALSLPIETKGRQMQEH >PPA04112 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:398922:399440:-1 gene:PPA04112 transcript:PPA04112 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIQNGKDLMACSQTGSGKTATFLLPIMNSLLWYTDPSLITDVPCKPQARILAPTKVIPIGRHYCRPLKQTQYLVLDEADRMLDMGFAEEVMGYLCTFNLIRVGSLVELS >PPA04101 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:334620:335071:-1 gene:PPA04101 transcript:PPA04101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3E339] MKTTRRAVFKMKPVFFSLQPNKTRAIRLYYAGCPDNVKLNRKDRFSVIMAVMPGSPTAKMDVKAAWEDQQVQAGLAQSVRRAVLRIHYEGDEVPADAPDKRVCGKNFNHKRRRLPPHICTKTTTVPQ >PPA04120 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:445361:449192:1 gene:PPA04120 transcript:PPA04120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-klf-1 MQHRMDAIRADFFNRTASMGMLSGFQTPTSSLGSALQELTMGGAFSPLRSSFRAEHPSLARSSSFGVNDILQDTLRHCASMSNINVPPATTPKVHRVPIKIVHQRSSEEIPSTSTFNPTTVPFNPTVPTSSPFNRPSTSQHSPAHESTTSSFAPFQMHHKSSFGPLQVDTSSGCRTGLGALANLPGLSSVQTGGLSDDSRMMDVGGSPLGRTSDLPSSISSSSSSFLYQPFPTDELERTIQKLTADSCLAAMPNRDGLVDPAAIRSQLEAVRRQMKMMEDLIADAEGAREMEERRRRLHLDEEEDEDMEAGPASPSSSSEVSSTGGSASGVRASSTTRSRVHECTHPGCGKVYTKSSHLKAHYRTHTGEKPYACTWANCDWRFARSDELTRHLRKHTGDKPYKCPHCFRSFARSDHLSLHLKRH >PPA04078 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:174723:177981:1 gene:PPA04078 transcript:PPA04078 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGSGAPDSMTNANFDGDGKKEQTLRNQIIAPASSAIGTEDAHGQTTIVVPGLDLSGGSAPSSTSSSSNKENHGGGGGSAFDLLKNEETLLAYTFNAARRAGSAARRLDDGFVASSRTTARLPSAPFSPALWPLLVIPGSFQSRRLSLCVGRAAAVPSFPSGSSKVLPVYLLVLHNSQKSP >PPA04100 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:332655:334211:-1 gene:PPA04100 transcript:PPA04100 gene_biotype:protein_coding transcript_biotype:protein_coding MCTDHALVTCALIKERLAGLDEAPPLAVLPIYSQLPSDLQAKIFQRAPGGMRKAVVATNIAETSLTLDGILFVIDPGYCKLKVYNPRIGMDALQFSVFVRYQDFLKLVILIDCQIY >PPA04064 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:89800:91296:1 gene:PPA04064 transcript:PPA04064 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNNRTEASDSGRSAKRRKEENKSVEPFGAKQETTFDRLPRELRCMIFDLIPECNGAVKMSWKACVDDWAKNQKLPDIRHIKFREKPQCLCVAEFMVVFLYKDDTKSVAYSRE >PPA04062 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:76042:85526:1 gene:PPA04062 transcript:PPA04062 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRYGDFRILIWLSIATTLSCQLSDSNFHFCAASVVPGKRADSTPFVWACTTDRFQGQASSPLFPTRRLSHFQQDDVAVVCLDGGEPSIESAAGIGNAAVTAVCTVPPPSKAMSRKRRANRIDTRFKQEQLIVDLPSGSADSSSSEDESFFGGTATSTVWIGNDDGEVFVLNSTERVRTRPRERVARLGLPVDAIAAIPNCVFVSVSKGTDVRILAFRPNNENGWDLDHPTPLPVDFYEPIRSITVAGKKLVVASGASLVLLNPNTNQIERSLCLLPTSSSPAVVHVSPPPPCPGSTATSSTSSITSLASSLAPAKTPPEAVLQHIVVAGSTIFVASARSSTVHVVDAFTLERVSHFSVSSTVLIQLAAREDIIREHKMGCLRVSAMIVVDNLLWIVIEGAENRGELHFAPTGQVQQCCNRAAKQGTSAGFIMHTPFAVARMHPQPTLTVCTVGHAGPCRLLLPIPLGPPARRKGRGSLSVPMMQMGQTMVLSCGEGLDESSGKTQDGSLDTINHLLFWKTGGNNEHILATIRMGYRCGRHGLRISAFVLLFLQSTIFIILRSPSTNMSKDIKRAYMLDQLSMICFGWSMSFAIKTYPIYPWPGFFCSGLFCTIFPNIPLWFLMMEVLDACELSLKHAPPALTMVSVIPFFQYLTFRMYSMIVATSGSRFFVGERAQHILIVICCAMLSVNVLIFGLSVVGDRSEEIFEQCNTPEMLALSARGGRVILLGAPGNPGLFIYEILWLVISIIIILPPIVYMMISAMKTIRTREVRAN >PPA04058 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:58040:60819:-1 gene:PPA04058 transcript:PPA04058 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHAPFSFSSSSATAVPTAQTLGSSSHLPDWRPGRVQLIANRSASQDRRDRGRREREECKKMALACYAVVCCVGAYALFSYVAFFMNGYQHFIKDP >PPA04103 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:346128:347345:-1 gene:PPA04103 transcript:PPA04103 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYVVYHELIMTVKEYMQCVTAVDPFWLRGAGPDVLLRQGTVGCGRTGMKLMSREYSETETRGSAIAKRLESVGTLEQMENEMKAAQKELKKKKEDLLASERNAPSEQIADIGRSVRSTRRFGM >PPA04110 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:391583:392849:1 gene:PPA04110 transcript:PPA04110 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLTSYSRPRSVPGDRAGAVHVVQSLPLIAQGIEWVGRWGRGRLSSPKGAPSPTSTILLGMDYHDGQMT >PPA04114 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:405307:408285:1 gene:PPA04114 transcript:PPA04114 gene_biotype:protein_coding transcript_biotype:protein_coding MHQQRFVVISHNYKDSITTTSLQGEEDHEAHGEAEETHGLRQSEAQDSVGEELLLERGVASVADDEGSEHVADTGSGSGDSDGGGSGSDVLGSRVDVATSDRRAHRARSGSRGGHGHSLGLKKPVMTCVAPANDHTYFAKKEHLFPCLQDDSGIPTEQFLSACQGLADFVGFMGTAFAPVKSDIAGNVTKVRTRWLKDPIGQDTLQKLIDSDLKDNGGKLGIATEGLLWLKRGLEFMLLMLIFMVRDYRKDKASTESLVSVINGAYEGSLKRHHGFISKQVFKVVIHAAPYRKTILKAVAEGREGMDDICIGHIEEHLDNFKINVKTLVDYYVAKGLDTPDPNPQQIK >PPA04065 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:94613:97856:1 gene:PPA04065 transcript:PPA04065 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRSVAHIFVLLAIIIYGACALLVLIRSTPTPVKFIVGEGHESKLVRLTTTCLHPAVTTEKRWVIYNGFCLRPYVHAGRDVTLRLLSSPTDPNDALLTKLPWDDPSTFEDDLTLLSHTSASALDEKYLAELVTNWRGPISLAVFCQGRFDEEYVLDKIDRVLGLLDNPEDASKLSVHIMLDRVGKLSCDQSESQLREESSEDTTFFASYPINTVRNVARLFSASTYIALADSDFLFSAGFYDKVLPILRRNIPIGSKKALLYRIFEISETEAQNRHHQLNKTDLKEVMKLRKGRVFHPHGHVVPNLTQWLRAPESNEPGLFNFTVDLRERYSWEFQFTGLRDVPYFDEAFPYRYHNNVALRWEVCRAGYQLLPIEDLFVYHTLEENKNGKDDMKLKNRAMNRNRYKLILPSQESLCCAHATALSGYDRELP >PPA04090 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:245230:249057:-1 gene:PPA04090 transcript:PPA04090 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEPIYFGCKFKPFTKQGYMSGGAGYILSRTALKKFVEEALPNKKLCSQNHGGAEDAEMGKCLEKVGVRAGDSRDADGHHRVGDSTDADGQYGSIEGKGFMPFVPEHHLSPGHIDPKFWFWQYTYYPMDQGPTCCSDYAVSFHYVNANLMYVLEYMIYHLRAFGVDRSLPVEVGHSQLEVAMESARANQGKDDVHFNVTANRLIVQCHRKVREIY >PPA04079 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:178676:179690:-1 gene:PPA04079 transcript:PPA04079 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLRGPLRESRRLHFAQPGFALFAIPGSSFQLGGSLLVLPWRGPSMASHDRLSHRVGHAAAVLPFIATPRTLAFTRKDSSPRPNGKTSGINAH >PPA04104 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:349079:360858:-1 gene:PPA04104 transcript:PPA04104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mog-1 MSIRRSRSRSRDRSSRRVDERDRREPDTPVFKAPFTPHTRSWDVPDTPRQKNSFDTPSPFVRSGGRKDDRDTERSLSSVYRSDRKREEERRRGKGRGDYTDRSVAPGEEHFRPRFENEDEELAWEQEQKLIERECPLISELRYDHESGFDDDYNPFAKVSEEYVEKKEKQWQDKTSKPRLTVKQQAIKRDNEMWENNRLHRSGVVSMDTMDSDFAEVDEDRVALLVHNIIPPFLDGRIKFTKQCSPLACTGATGDPGEGLYIRSGSGRSERLEDRQTLQGHGGQEEGFKAQDKHWELAGTQMGMLTGVGAKPEEREAADEDGGEDFRGNHQFKEHMESSEAVSEFAMEKTIKEQREYLPVFAVRQKLLSVIRENQVVIVVGETGSGKTTQLTQYLYEEGFTKSGIIGCTQPRRVAAMSVAKRVADEMGVEVLTIVSMLSVPAIFFRPKGREDEADARKEKFQVPESDHLTLLNVYLQWRQHKYSAKWAADNYVHAKAMKKIC >PPA04117 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:428235:432045:-1 gene:PPA04117 transcript:PPA04117 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRAKGEVLHEYIVTGRKLPTEKEPVTPIYKMQIFASNTIIAKSHFWYFISMLRRLKKANREILECRRPAPGFCKCSSQSEDELPWLSATQIENVQVTIQSKDKSIPYAPAWMQCGLFPPKRFPIYKRTADTSEMDRRVDSWKFDCEGLIAVYKNCNAIIKEECENDTDSLDNSYGSAEGIHGRFQSSADKRIEEGALTFCPSLSTEEIVAASDEIIDEADPQPVEDLEELLYMSDDEEEEYSELL >PPA04050 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:20911:23874:-1 gene:PPA04050 transcript:PPA04050 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIDFMNTPMFIVSPYAPQTGGDLNGHVGVARTSYEKVHGGNGYGTRNEEGETILDFASSSDLFIANTFYRKRLNHLITYQSGGRSSTIDYFLVDRAHRRYVTDCKVIPDHTCTQHLPLILDLLLKAPKKAKHTSTTSIPPRIKWWKYHDERISFLHHLQTKLTPSIMHSSLPACPDEHWKLLTSEIQSAGKELLGVAKKGKRIEKETWYWTKEVQSAVREKKQGLKKWKAERSEVTRAQYVAAKREAKRTVSIAKAAASQKLYESLDTAEGEKNIYRIARSRAAAAVQVSKMRLVKNDDGRVLRDDNEIRERWRESFAKISNEEFPHPPIKLPPPVLGAIHPITIAEVAIAVNQMKNGKATVPDDIAAEKSATIPIWKRKVRSEAGPSPHFPVRVGVHQGSALSPLLFILILDSISRDLQVSAPLTVLYADDICFCTDNRRELQDLAQNWTNRLSEFGLRVNVAKTEYLECGEQAVGSISINGDPLPKCISFKYLGSTIASDGSISDSATDRANSAWLKWREVTGVLCDRRMPDRLKGKIYRIRNEEIRGRLGIAPIVDKMRESRLRWLGHVLRRDPDHIARRQYKRVVVGTRPKGRPKMRWKDVLNADMKGVNLRPDDAKLRCVWRAASHEADPVPKRD >PPA04109 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:388304:390540:1 gene:PPA04109 transcript:PPA04109 gene_biotype:protein_coding transcript_biotype:protein_coding MICEKVAHTSRTKPCRLRGVVGGLTPLSLPFRVLLRGAWRRHHLAPLGRCQPHLEQGCEIEAHLQLMVKLLRPDRHAESSSATPVTQCRAVIMGNEP >PPA04051 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:25889:30064:-1 gene:PPA04051 transcript:PPA04051 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNPSLLKFTTSELTDVHTEIYQLDNGFIFYFYNDWPEQQRLYIYKVTFNSPDKINVEFYRDLLKNEVRETGIWWSRVVFGVKYYYRISEDPESDGIVLDTEVTKSMKLKGIHRGRIIFVKYCNTDKPPKAKNVSDNVIVIKNRPQPPKVEFLSEYKTKFDTLAILGKGTFGCVFMSRNKLDKKKYAVKRIPLRGSRKMDMRNELREVEALSAFKHKGIVGYNHSWVETPPDDWQCWSDENMLKLLNSKEYFDYKDVNSYLYIQMELCQSTLGAWLADLANKDRDIDKMKSWFKQMVSAVACIHANNKIHRDLKPNNILIAAGARLVICDLGIVTERAIESRPEAEMSRTMGMGTPLYRAPEQVHISVYEGGCLRIEQCPGGGGTTGWGGYSAKVDIFSLGLIFAEMCILMTSSEAEGIFNNYRAGIPNDNLSRHMPVVADFVSWLANNDAEKRPDCAEILAHSFLV >PPA04073 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:142619:144932:-1 gene:PPA04073 transcript:PPA04073 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVVEIGRRNSTTRWEEIVIHCPTRTSQAHSCHGPHCVAKPTCEPGRLSCREARRIVADLSAKINLYFGQADQEAIATLYSDDCVIIDKQTGSAAYGHAGVIATNSALAQGQPMIWTTSNKTIDLASSHFVLYGHGDLFVQADNATYSGPFKQVLQKYGNDWLLIYEIFDLV >PPA04108 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:378284:380096:-1 gene:PPA04108 transcript:PPA04108 gene_biotype:protein_coding transcript_biotype:protein_coding MDILFTKEHQLDYRSYSTPTVLTHSHSQWASQPDECIEGGLDAKTHLPLRTFAERSVRTELIIWTIVWISHFTVLTRWSETLEITARSKSYEVMDRFERDTAEEVGEVAAAAAAAAAAAAAICEGRMGTMRCSADIISNLVTNERDHGFIQPTNLRQRCVRASKSSKMFRWWKYACEKIRYTRRHTEPSFEIDDS >PPA04061 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:70497:75735:1 gene:PPA04061 transcript:PPA04061 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLRQPLEATLIEAGLVDKIFYRIPEVLAHHQVLLANLSARIESWHKDTIIGDVLLAHFSKQSMIETYIGFVDNFQHAKSAITQARAKPSFEKYYNRCCRDHRNKLDLDSMLISPIQRVPRYELIIKQLLKHTPVYHADHERLLRAQKHVHHLATSINHHRQANEQAEQRLMEIEAIVDGLDDLVSAGRSFVRYDFVTVQATDGPKQRCIFTFTDLLVLTSVKRRTVGRTGKILVGQSEYLDANRFQFLIKIAIDDVEIAKDTLTILQETESAVEEVKEDVKVLQKMSELANLLRSANRDKLSELLSDMETSNAERLRSLNDQLLTNPDLTTVVMTVMTSSGAETVPVEFNSAEKRAVWESAFREAKNALVNARANSSQCLLKSIVAHQTRPGLHLAL >PPA04056 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:44507:46557:-1 gene:PPA04056 transcript:PPA04056 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDMQIWQEQLDRASFEVPLIFKAVTDEFGNIRIHFVRDLQINETITRDGICIRTIDGAKRALRMADNPSNSKGIMINAVDEIGQVEIKGIHRGKLIFLDYCGPNKTACVKMDDNAIFLELPIVVPNSCLFAQDSPCFYVSEKNKVFVINTETVENLQVFSISGITDIRVIGGNKNAIVFTGNEDGTNFLMTSKIPTDYERSKVYLFMPENSEKHETGLTAGGKKKKKKRCRNRQNIETANRQLNEEKAMMLLQDKEEEEHHCGEMNILRQERAVFTHERDELRAKKMAKQAECIKILSENDELEKQLERIEEENRKLAASVRERNKILQIAKKKMDNMNID >PPA04093 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:278370:286483:1 gene:PPA04093 transcript:PPA04093 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pdhk-2 MDKNCFNDQLQVILKRHAHVVETMAEGLIELRESDGVDIAAERGIQYFLDRFYINRISIRMLQNQHLVVFGNVLPESPRHVGCIDPACDVESVVLDAFENAKFLCDRYYLTSPMMKLEMHNMVEKGSPINIVAVPSHLYHIMFELFKNAMRATLEFHGVDDDPPDIKVYVVKGSEDLSIKISDRGGGVSRTVLERLFNYMYSTAPPPPRDGTQAPLAGYGYGLPLSRLYARYFQGDLFLVSMEGYGTDACIYMKAVPVEASEVLPIYSTSSRRLLTQAAQVADWSHPIPGQGQNSRDPRRNPNCA >PPA04055 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:35594:44182:-1 gene:PPA04055 transcript:PPA04055 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQIKLEYTKIEALPANTQCFQLDNGSIVYFKSKVSSKKAQMPFFLETKSSMLTPRETPYTKNKLITVRCRSMQFAVKTKSVHRIQELNRTALAIELPLDFGACRVFAEDSSPIIYVSKLSFIFAFNTETMGTQPMISIRGITNISIVGVRDGVITLTGKRDGVPCLVTAPVPDAEFKISDRLDNAPGDYYTSMQSRMSEAWKNAKELGFIPSKKAKVRESDSDDDDVYTKYHESNSFRDKVLAHQENEWQFEFNGTINDLLDKNAELGKQIERQCNHKVENHHSINHFNNTNNELEETNTQQENENRYANENLQQKQKINDEDCANLSDAERFRREIANLFNNKTSTIEEQACVYAALVLQDDDFERSFFAHSIQTSAIRQLLVIVKAKFFSHSAEQKIKAAGGTCVLAAKGTITCVLPLDFGACRVFARDPSPIIYVSKQDCLFAFNSETMATQPMMRLSGVANLSVFRIADDVIMLTGKQDRLSYMISAKVPDAEFKIPAPGAFSDLLNAKTAVDSFHNDQKSRQAVKRTVDNSYNKTSVYHTSTEWIEFKTSAGLSFGAWKRKRPEVAVESKNAKLSTDFLYNKACQEKAWQQELSETVNDLLDKNTELSKQIERQCDYKTENHQCANDFDKINKQLERIEAANDQLTEEHEMMVEEMEKAEKRMTEKIGKLRETLMQEKEQLTSTNNNEGFKEDLMNKLEIMKNENKRLVTRFFERGTQTNAKKILDENEQAIKLLNLKSNTVSRIKSLDDLLNQNRELAQTIERMRKEFEEMENAHAITVQAQFERIDELNKRNDELFERSSQLVKHRSNNKAVVDESKKIQLENELLKKQLKEKDSKITELNLRNKALTANNEKLSKENENALPLAEANEKLKRELITAKQEIINHKYELQQLKIVLRAETEQHEQTAHEAREQAKKIGNGAFYDLMDQCSCAVCCEVFASAGAIPRVLDCGHTFCEICIGKLSNNSMFNSSRITCPSCNAHTSMPHGKVLHTNFFAISIVEEAMKAVNNQRATCEVCENLIIESDNPEGSKKDEIPVADEVMEAMEEEG >PPA04069 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:111282:112883:-1 gene:PPA04069 transcript:PPA04069 gene_biotype:protein_coding transcript_biotype:protein_coding MMPILEASLPDNRSKHDSPSIEAQGSGSSIARERSNRSRPLSATAHVFVGNDISQIRMVKEEVLQMEDAVEQIAAMESRKGRTTQPLSVVSYYAGKDISQMKLVKDEDDAVERKQSATVAAPVTLLDLLGPATGSATTTSAVMKAAASTLATATSQAATKRSDAPITRPTTAEVFLSKRAALVTKMRAEPTGTKVAPVASPPNAAGEWACEVCDQAFKDKFARAHHMISQHRDTRTQQKKGAKVKQHTINTAAYSRQFQCEDGA >PPA04053 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:32499:34030:1 gene:PPA04053 transcript:PPA04053 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGLNACEQSSKYASPSLHFTYSLASLTYHLSKQNKVAKNGLCATFAHNNRSDKVDQCRQPYSALKGPSPWVAIIVDHAVALPYILGTVRRPTARMHEPLMGLLELILECAPLADRVTMHQMRSDQLLSFMEHSEFAGIVTTTPSLLRLIYTRSRHDCQVQSCDEWNEDYDDYDDYCQIATFVMESALVADKVLQEILGYGGTRFLSVARHFAAHEVDSDERHAETFRR >PPA04074 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:148552:151338:-1 gene:PPA04074 transcript:PPA04074 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPSPKKVAHLRELREKMNSHSRSVQVDKLRDSWRDGVDLMIEVVEYGPGNEKSKDALRSFSAKRASNQRKEQSKNSEFRATGHDFCLALKIFVELVIEWSEGIEIVNDDPLEKNGSQAILVPQEDPQEDVTVHNDKVLETERSRDRLKRERDVTVLTNDNAQDESDSEAEPCQKKARDQVNDHDGYSIPLKHHETEMQTYSEPHPTAYWNVPAMQMATEPTTMIAPTATSSEELPGNREKPEWDGAPTDDEWEAALERHYRYMQQWDQEQLQLKQQQQQQQQKQQQHQDGRSGRYQTRIRCDGCGEGYSSAAYLKAHIGHCPAYSFYDRAALLNSMHDVQEPATRRQLNCPNCSASYVRKDLMEAHMNKCSMSVATEASDLASEEEASDVTSYDTQNAATTAEEVELSDDKSHVLAAAAANTGSHLTCPRCSAWYVAPHHLDKHIKRCTGAPLSRKTAASAAAAPKTEPQHSQQVPDIYAVSRTS >PPA04054 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:34943:35208:1 gene:PPA04054 transcript:PPA04054 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRKLLKSCRILAAHPEFSQQFKQLTCDGEAGNLVAEIGRAIPDKKKTQGTWYIWEEAYEDM >PPA04072 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:127847:132886:-1 gene:PPA04072 transcript:PPA04072 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLLLAFAIMGTAHCSCPRGFDLIANGQCRGFVGFANQTSEQQQSYWQSKAPSANEGLLVLGLHCNKLAKKWEWTDGSAMDFKPSNYQSDLDIVCSFDVTWYIQHDGYWTYAAAGSKLHAAISCNADLPHRTFDEGCENFGDDGGNGFCYQIGVKAKSWQDAQSICQEAGANLASIHNDKENAFVHRLALGNGAMQGVYIGASTRNDDEPFRWVDGTSVDYELYGPGSPKPNGGHCVGMETATSGDGFWTNVDCDWTMAYACKRRKRDQVEQPTCYAEDWKENTLITSPGFPSSAATPCDYFLSVDAGKRVSVEIELEANACCDSLVLYEGGSVIATLTGDLHNVTYTTTTSNIMKVSWQPNGGVNVNGLAMTFRSV >PPA04075 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:152599:154349:1 gene:PPA04075 transcript:PPA04075 gene_biotype:protein_coding transcript_biotype:protein_coding MHDVQEPATRRQLNCPNCSASYVRKDLMEAHMNKVRMRDYSKETSNDGISLANLPPDIIRTIIPMVPSLQKTRLIAKAWNTTAREHLKKFHLDYVEINTILNGQVVINVTLPKDALVISGISKWKIVGIDRKTNFIKVESAPQDQKQLMNSGRLNTLFEGYARINTLCINEVFANFAYYIISCLKTVVIENLKIGLMPKTSESERVIVNKLAEKLEHVKYLQNL >PPA04105 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:361328:364249:-1 gene:PPA04105 transcript:PPA04105 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLEGSDPNAQGGLIIKKKKEDDGGKKEHHHSSSSSRSHLGLDTLAREKREEYGRKRAAAEEDAGVTDSVRGRIDRGSLEQCS >PPA04068 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:107713:109254:-1 gene:PPA04068 transcript:PPA04068 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCLLIAIVPLVALILGCGKKKPPPSAKPGVKPPIPSPTKPPAAPAAVPPPAAPAAAAAKPGEKKEEKKEEEKKEENKEEEKKEEEKKDEKKEEEKKEEEKKDEEKKDEKKDEEKKDEEKKDEEKKDEEKKDEEKKDEKKEEEKKESKKEEKKDDKKEGSKKSKKSKKEAKEGEKDKDGGLVENMLVTPVTDENPTEKEKKEAEKKKDDKKEKSKKDGSKKEEKKDGSKKEEKKEGSKKEGSKKEKKDDKKEESKKDKKEEKKEEKKEGEGSKKEKKEEKKEEEKKEEKKEGDEKKEEKKEGEEEKKEGEGEKKEGEEKEKSKADDPPKEGGEVNGKERRRNESGITVLNYRNPTIVVA >PPA04057 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:48128:53840:1 gene:PPA04057 transcript:PPA04057 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDFTLVDVLDRDMKCFQLENGTIFRFKKSEPQQLLFKETDDFSSTIISVVLPDGKIEQMTAPHNRLYFNTDARKVYCVEKYQVCAVFFLRDLNETETVTAGAVSSYSDLQGIHRLENNQCARILLPKSELAHGGTVQAIHRSKAIFTRKETNRLSGVEIEALDHNSILLSLPRNSGEIFCDNSSAYIYVPAGSNIHVLNTDSMEQLQTLNESSLSNISIVGIRGDVITLTADIDGERFLIMAKLPQGNIFERVGGILENMDFERDRLTYEHTLVRYGTWLNVRRQVLAYAVLLFVGFIYKSHVCVSPGLISTSSALHTINEGRHIHCCCEKPARAEYLRELDKNFNSHGFYFYIKILVPFAASLTCPLWERHISRHSVLIGSVLTAALSSLTLMFSLDLDGVQFVHVLQNLCMTSVMLISMITLTEILPYNLRFISVGFYMFVGGLATAVTTLHFYLGFSLTTLGALLSIGYFACLFVVLYVAHDSILHMNIRNKTDIVEKIIRKREARLFASNPEGDIYYHTRVAKKVFEDLVYLDRDELKLSDFLKRLWRNSAMGEIVLIHFQAISAGMLDYELIRFWNERFVGPYLIGAERIIGYPLVLLMIFMLRRFHRVKAISLILAATLLVASLHHLIIKFDNTNGCAEFGIVESKYAILAAIFNMLVHFMETAPSSLRMTCFMIVYFPYINRNLVRHTNKTKAKH >PPA04094 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:287627:289624:1 gene:PPA04094 transcript:PPA04094 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFLSGVAPSGASEGDDSHGTPKNTRATVDPRSPTLEFDRTPVKVESTPQLNNKAAAGHNGGENAQQQPAQLLQLQHRALETTPATARERPRTLHQKLVAENFD >PPA04071 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:124582:126387:1 gene:PPA04071 transcript:PPA04071 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLYMLAAALAISTAADPLQCRSHKKTVDHATGKESEPEGAASSEQCEPSRVCSLTVTREDKSIEISQGCAIETGQLCYFAAEEQAVTCSCKTMNCNSPKVFIIVPEREQSLSENLRKIGVTFEIGTDTFELELSARPTDAADPGAKKAAAAGGDEAGSLIAKM >PPA04048 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:10112:14535:-1 gene:PPA04048 transcript:PPA04048 gene_biotype:protein_coding transcript_biotype:protein_coding MELSIKDEQDRRWAQIEKQIEEDERRKLTEIRERVRRKLELEQVEKERLPDLVTSDNLVTLERSVEEFMTSMKQQQKSPAEMSLLNQEERTEVPEQSKGQRKEISPLGQQLHLELQARLKAKDGKSDGDTRRSIDDEIRSRKDEVDRQKREEEVKKQSDDKRVEMERLEQERQRLAAEFAAKEAERKSREDALRKKWEEEERIRREEEARRKEEERLEAERLELERQKLAAEMAKKEAERREDAFWKRFEEEERIRREEEIRKREEEKMEAERIEKERQGTTPDKPPSVGRQLHSELQERLKARELKTKTVTVSEAIQLEKKAREEEARRVAEEERRRSAEMELERRRKGVEERKRRSEAAMEQKIEQAFIHEKNVAQPAEQSTQQRQLELQKRLSQMEAETKKLAAEMAALDAESKRSARLRPDEIKRSINFTDHDFDLLPPPPHVAMVPPPTTLKGIPIAPPLPPTVNPATTPTRSPAAVGSAASNIPAAPPLLTPTKVVTSPTRPTIAPKPKPTTPTSKPDISSPVTDDSPRSNLLAQIREGRTLRKAGSTPSSGKKSTPVTSPVAKTPEPAPEPVNVAKVLMNSLVFNNRRDAHASDSEDETGEHEWD >PPA04082 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:197630:199435:-1 gene:PPA04082 transcript:PPA04082 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELLILMATLTVAAAAYYYFERAYRAPATTPSASSEEALYKTDQSIAGSIDLFASSPSFTTPDDKRSPHRRYRKPHLISLPDRSHAGTPNTCRNPAHAMHPTHQPAARTAPTQDSCGVSPTSGGVDGGASPADIFDSSLTLSTCGSETELELHPTQVQNTKTTVCGYSN >PPA04099 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:306894:307647:-1 gene:PPA04099 transcript:PPA04099 gene_biotype:protein_coding transcript_biotype:protein_coding MFELQLEDVPWQKYARKCVVIQDPPGARAGSGGGTVWVLKENRKHAHFDADGRVLLIHSELDWKGTLHTVKA >PPA04107 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:376920:377293:-1 gene:PPA04107 transcript:PPA04107 gene_biotype:protein_coding transcript_biotype:protein_coding MKREELKRHNRASPLSSRQTCLRCSYFQTPSSTSAGGVRMTSMSDGSFAMI >PPA04070 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:113925:123261:-1 gene:PPA04070 transcript:PPA04070 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPLLLLLLFPSTALSRRQFDWNDCRLQACYVPDSCTDVTTTTLQQTTGSSTPSPPHALVLATGAKPLTNNRTACPFVMAIRPFSTTAWVVQLRLRQKQRLRERRIDIKRDSKLVYQCAGHDNGSITVTGGNADILAVHSDSYRAHARMYCLFTVTSKSGNALDTSGFEVVYDGQSTALKLDSMDNIMDYVSCDGTKITMSTIKPPVDVTRPVVACPPGKNWQLWVENPVNGTLIATGSIVCSASVHSYYIDVGDRDGDLWEMTEFKAVCAASLACTTCEEPLFSPCPLCARVRYWPGESTHCSTLTVRRGTKSETRCGRVACDNKTSSGPSRFAIDGRHYVGEPVCGAREDGGGVGWSIKDARNRTVEFGAAACVKEVRCHSASFLRLECAEPEQCEQPLTVEFEESEGMVCPERLRMRFRATDADAWADAHELLCDADTGHWSAFHGNNDDKTVLAHNSTVECVAPLDPALFYGSIAVTVGILLFYATFLPHLLWTRKARAKITDAEFDKRQAELSRAFAKIKEYPRSPEKSDGERSRQSEVKEEKKVGKPIVDTRIYPDELTLKRKKKKKKEDKKEEKKADKDFLRPRELEDPMRIFCKSIKGGWEQWIAKTGGIVQEKKPREEVLRIRAERKAAREKRIEEEKQRRAEEERMPAKPAPSPSSPAPKQSAVKSTGGGGGLATDQYLYKMGVTPPPAPTKEQPKEDDRFVTIGAAIPARPAPKKYKKHSTGAVPAKNEEIKFDQPTTNDSRTRFNYTHFLAIPVRPSHDQPRHGERAASGAGHEPEGGIVGLSLLRKGAGQKWAEPNLGFSQAEEKDLNEETDAREKTPARTDDRAISASKMAPPAKASDLAPPRTDDRAISASKMGPAKPNSDVAPPRTDDRAISASKMGPAAHPSSPKDDESGMGDDVAVEGTEDEKRKRKKRKTKKTSKSGGGEDLPKTVTAVDNEPTVDVDRNNSRKEKGVRRTAETKRKRTKHREAIESKGIAKGLAKLLAKKGSRTRSNLKIDL >PPA04123 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:464625:464796:1 gene:PPA04123 transcript:PPA04123 gene_biotype:protein_coding transcript_biotype:protein_coding MRATEVVDRGLTEQWAIRWSWRREEWSTCDRPELSHAPIRDQNRPEDEADTSSI >PPA04049 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:16277:20087:1 gene:PPA04049 transcript:PPA04049 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGIDLLTEESEKLKRKNISPLWRRLHLELKAKIKEKKDKNNGAMRRPIEEEIERLKNEAARQKREKEEQRRFAVEFATKDAERRAREDELRKNREERVRIRREEEIRRICQELAAEMAKKEADRKNREDASRKRLEEQRLKIEEEIRKEEERMEAERLEEMEKLKLAAEMAEKEAERQAREDASRKRMEEQRLKIEEEIRKEEERMEAERLEEMEKLKLAAEMAEKEAERQAREDASRKRMEEQRLKIEEEIRKEEERMEAERLEEMEKLRLAAEMPKKETERQTREDASRKKLEEEKLMNENEAERQAKEDPLRKRLEEQRLKIEEEIRKEEEKFTAEKQKKADEILLNKLQRKSTMEVELSYPFENKLTLEFCAHSLADYVYYEKTRHLELAQYWFFGLIEAVEYLHSKNIIHRDLKKLKICDFGLVSNRVIKNGTEIDVTRTACGTAMYAAPEQFSSSYSAKVDIFSLGLILIELCVFMGIDIAQELFDSYRDGKPKNVLPKYPEAADLVRLMTNVNPSRRIDCGTILHHPFFWAKTKPEARLLETASSLRLKSVKT >PPA04122 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:457848:461796:-1 gene:PPA04122 transcript:PPA04122 gene_biotype:protein_coding transcript_biotype:protein_coding MPALHRESPIGIKTPSQQFVPSSNGSRQAARAQGGTDRSSPAVVTSSAAPARSSTSIISFKPADASLLPTVAKPSISLKPADASPQPPVVTWGVVSKPKPIVKSRFPADTEKFREIIKLRIVTKDGETKSVPFSSSSLYPSCPRHSAPKEEELTNGRDGGREGMAVKKEEEDGRKEEKRSALESLVSRIRVDADSAASQSPSPTPSACSSQASHADSEMDGEARRNRRKSREPVKNAEKGNTVGQCSKNCQTFDSICRMV >PPA04115 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:410387:421787:-1 gene:PPA04115 transcript:PPA04115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-256 MSEMEATQQRVKSAVDSMLLEVDKGYLREMQKKMFNCSAKCCDDRKGPRESVEQCIEKCNEPMRKAQRVLEGELEQLQSSLSRCAMVAYDKLVSEFGPNQNSYTPDQTAAFTTRLEKISASGPNQNSYTPDQTAAFTTRLEKMVAVCADDHVKQLPTIKSRSDISHAMIVRWLIRVAVLLLATVATVARASLLDEVDPWQMLNRRNITEKTGAVCAYADFNNDRYTDVVHYHGGKLVVLFQLSYSDHNGKFGVSSALKLEASYSVLNAPLLAQGPKDFLLEKDEANGKVRLSVDVGEYAEVACSVGDINGDGFPDIVASVRTTKGKGPWHLRYFTSFRAGEYEKDYKWSWEEVLVVPQKTSKHQIALIDITGDGIHDLIGMQSDGSNLLCLRGAEAKDGPTLTEACESLFANFTGELHPLMPALFGDVDGDRYAELVMMKLESGGGMRPEVWSRSQEGREWSWVALPEKQLPPPPNVGFAHTLAPLLSDIDMDEQMNFVVPVCKDATCTTLDSLQSTQWKMNEGKWSGWDTITIDLGQGNVIVAEEDTLVRMKAGDANLDGYPDMLVTIGTVNGPNNYAAVIENRGMADSNMRKFEKPSGMMIMPGLALGMIQSSSFFDFAEDGYLDVLVQWKLRDGDDWQWSMCISEPREQGDVTFLKVQTFTPLPKDQDIKNGRGVVWGGVCVTYEMETTGIGAKRSAIECQMPGNSHKAGLSPPFALFGLGRSPNFIDRALIGVPFASKIERLIFHEQKQIVPNARLMVQPPRFDDGSNGVWTLRLYLTPSTLIYSSLIVMASTCTILLVVVLILHFREKKVDRVERAAQSHRFHFDAM >PPA04124 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:465573:467726:1 gene:PPA04124 transcript:PPA04124 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSEDEYAALGDEEKLLLADIYPELKEKLERSIERSRKKRAPVQRAKPAPLFDVSSEDDDDGVQLLEDSHVADVSSRSEDASFHSAKSSVEKGKVYSTINSIHAWSYTQARPSDSRSISPPTSFRAEVQTGDEIVQTGDDMDGSFVVNPRLNSSSSDFEEIEQDDQDPECESLVEETDDEDERGMGGDDDERRTI >PPA04060 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:65569:66826:1 gene:PPA04060 transcript:PPA04060 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLILRLWAQARLKSPYAYEDADDETRTDTPLLEADVMLWRKRSSDCFVGSPVVSKSATTLERAQHGSKGAFRYGKEFRGRIGVFSADSNVQQTSFQ >PPA04095 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:291205:292420:-1 gene:PPA04095 transcript:PPA04095 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPTFTKSVIRPRSQTGADTNSWSTVASPVGRIRNHKEIVIPADVVVYSTPVERDGRKGWVTVVLGTADDVKRAFPVGAVLWSGTTLTDYEGTSLWEAPLFTMTPGAEKSLCQSLRKYKHRATDPEVYEIVAALEYQKKQ >PPA04111 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:396426:398029:1 gene:PPA04111 transcript:PPA04111 gene_biotype:protein_coding transcript_biotype:protein_coding MCNGNNLKHEYVFQSLHRSVEAERASPPARASSACGVGTVAAVVEHYQKRATSPEATVSDRVGVGGGAPRGWVEGQGWNRERGPTGAQVRNDFPF >PPA04092 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:268829:271514:1 gene:PPA04092 transcript:PPA04092 gene_biotype:protein_coding transcript_biotype:protein_coding MFATRRALGPFVGTVAKKLDHYSQFQPSSLSIQQYLDFGRNGNAASSFEFLRKELLVRLANIMQEISLLPPKLLATPSSKMVSGWYCESFEDLIKFEETKSTQDKIDNYLISVIHEDGGWE >PPA04113 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:400066:403477:1 gene:PPA04113 transcript:PPA04113 gene_biotype:protein_coding transcript_biotype:protein_coding MLARTELRQIMQSVDLEAVTTRDIKQQLAAAAPEIDVDQHKEFVDREMLVILGQLEKPSRIFPYLYLGSEWNASNWDELQANNVGYILNMTQEVDNFFPHRFVYKKIWVADEAGTPLLSHWNSTNKFLKSAKESGKSVLVHCKKGISRSSSTVIAYAMKEYEWTLQEALEFVKKRRNCITPNEGFMEQLRIFDGALEASRNRELFTKDETSSTSSRTSRQESSSPVDQQSSSTSTSQRVTGVESSGDAVRGIVRAFERGELSESPPRGPRFPLDIVTKRSSIQQIS >PPA04121 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:452431:457114:-1 gene:PPA04121 transcript:PPA04121 gene_biotype:protein_coding transcript_biotype:protein_coding MISEEESPPLLQQQPPPRRGGRRKKGGRKPPPPKRRKVVDEEREEERKETEDEEVEVKQEVLDEEPEGEQRYASYYDGDDPRLPPRLIVDGQEPGVVLEWDEEEIIILRAGEEPEQRPPRLQRKPAPTEEYREIKDTASEELSETWKESAPIEEEWAPIEEESAPIEEQTVPMDSSLAEEEDDVMNMSAAISLDAATPSSDEDLLASPDPDDDARKESSTQPSSASPTPTTTPSPVLVSPSSDLPEAPAEIPESVAAEKKAKKKYKKRGSTESTSSVDGAAAALKGGLNPRIAQLI >PPA04089 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:231456:245714:1 gene:PPA04089 transcript:PPA04089 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKDACLEWEWHGNRLRPQLKGAQFVCEGSTFTPTEEHVGEYIAVAVSCLPAVRATDDDVTSADSDAQSGPEKHWTVLGLSAEAVVSRSYGEELLAREKMRWAERDEKERKESGDMSRLRLVSYNLLAEQYINLSLPPAKWFYPYLEKKYQQPRYRYLLALKELDDTLEELDGYAADLYFLQEVDKKMAYQYLPALFKQRNYDFAFHRKGMQVREGSGIVWRAARFVRTGADRARWLTDLLVEAEENADIRELLSRATPETAKFFQTRPTIVHITQLSDLLTGDTVIVGNTHLHHDPKHEHYKALQTALVARELARCVREAAAELAEQRGDGDSEEPVRIRVLLGTDLNSTPDAAAVALLSGRTIAKDDAVWAADKEMGGMELRLPAELRGMVNLSGAPAYTNYTLHKGMDDEGGLEIERHTALPSPRAPSDHLAVVLSAKYKSEVHQHFEQPIAHMQLLKHPNPKLLPIRTGS >PPA04116 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:424121:424462:-1 gene:PPA04116 transcript:PPA04116 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQNVDDSIIPQNTHVVTSACDVMPHSQMSAGVSSDSSIISIASAICVSDL >PPA04125 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:468695:469408:1 gene:PPA04125 transcript:PPA04125 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPPNKRVLPPRSTRNQQFTTTGKAIVPAQRRNPAEISPSVAAKQRSEASSDEDGDGSGEESFERCESEGTIMGDAVKHRFD >PPA04106 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:367158:372976:-1 gene:PPA04106 transcript:PPA04106 gene_biotype:protein_coding transcript_biotype:protein_coding MSELVSRVVVLLGGTLYPAYRSYKAVRTKDVREYVKWMMYWIVFALYQIFEAVADVFLAFWFPFYFQLKIITHLSRGRIVFVIWLLSPWTKGASILYRKWVHPALAKRERDIDLLLDQAKSESYNQMLRLGSQGMMCAKDIIATAAIRGQQQIVNQLQKSYSANDISQTGLDRPLRAHTNELEQVYEDDRSDQEWTDAPRSSSRRSTGGTRSRSTSRTRSSTGSSSRPAGGNSMPAGPYNDDEEYPATIPRRSTRPRH >PPA04085 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:213628:218571:-1 gene:PPA04085 transcript:PPA04085 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRFTFQPDDPKYEFVRDEHKQLHACEIPEDDDEAMEFSANPDAVMETVLRSFPDGKCAGRIFGEWYYEVCGNMEVKRAAFTVAYSRGKPKGHPVNQGTCVLREITARPVIMRPIHSGGPNLFFGLTTFKAYESLGVLGRDRKVSRHLDSITEPRYVQQTYYDGAKCNLGGQPRRMQSVVTFECSHRFVAGYVHIAEVVEVHPCKYRLVVQSTDFCANDWLYGMVEENSVVRCRMFLHPERIRPLMSFLDKYHEETKEIRLSCGGVLS >PPA04083 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:199868:206324:-1 gene:PPA04083 transcript:PPA04083 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKVRPAAAAAAAADSSDSEDERNAALPVNKNFRCAIKDRREAKTLISNLSQPAPTDFFSLDTTAGEEVSDDEEMEEEGGKVKKERFFLKDKATAAAAAAKAPPAEVPKEVSEDFKCESRENRGRLQPGMQGRATPKPAWLNLHALAKSVIRPGFESTLGAGASLMSKRQQKRAAKTEREKTTGTAWFDMPATEMTDERKADLELLSMRATLDPKRFYRKNDRAVLPKYFQVGRVVEDKRDFYGGRLTKAERKKNMLDEMMKTDGEQFTKSQSKYEVLRQSERKKQRGAFQKSGPINKKKMRNGTGASKK >PPA04091 pep:known supercontig:P_pacificus-5.0:Ppa_Contig122:250311:256934:-1 gene:PPA04091 transcript:PPA04091 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWPRISPALYIAIGVLIGVVISLFFQPPAGGYGSVAELVVFPYSGKDGASEKCEVDDREAPDQAFEFHGNGSSHHHDDEDRLAREVAGKVRIFCWILTGKQNHENRAQHVKATWAKRCNQFVFMSSAEDPSLPSIDLQIPEGRDYLWAKTKASFTWLHKHHLEDFDWFLKADDDTYVIVENLRYMLLPHSPCIPAT >PPA04046 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:573:1172:-1 gene:PPA04046 transcript:PPA04046 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSLVNNLRNRRFIEPGRSNGFTYKSAEGRVGEAAKGDGESESGRRTTFIRLKILAAEKAALKREFGLDAAGNDRAGSVGASLRRTVSEEERSPPQHPASTSPVGDIVLFRYRVPPSVSKGTQ >PPA04084 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:207254:212530:-1 gene:PPA04084 transcript:PPA04084 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRYSLESFVGTPSTWMSRLWLPSRFAKANVRQFDRRDAEYGIQAERERNKRILDYVMRYGREDLWIDKCELTWASLNPDVPYTEEELRARREEETAGREDDERRLVRPWLIPPNRKPFALGAFVLLEALIKSDQADEVRRLFEGLKEEEKPKEPVILYDGELDEDDLEEIARKFMQVYRAAKAGGSDTLLFELLDDEDFEY >PPA04098 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig122:304095:305221:-1 gene:PPA04098 transcript:PPA04098 gene_biotype:protein_coding transcript_biotype:protein_coding MALWGKKLSSNLCGYSQRTPHLSNVGKVFMATPQDTTILQLKLHKLSVLECITKKQLTYYSNLPYQFAVKRKSN >PPA04126 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1220:3908:4371:-1 gene:PPA04126 transcript:PPA04126 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGRVLGLALVHRCLIDTFFTRTFYKMLLELPITLDDLESVDPEFCRSLKWI >PPA04127 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1221:128:448:1 gene:PPA04127 transcript:PPA04127 gene_biotype:protein_coding transcript_biotype:protein_coding VWWAPSYCGLPHATSSDCASSVVGWPSSRFRDRQSRRASPADEGRVKQSTRRRMRGSD >PPA04128 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1222:4146:4633:1 gene:PPA04128 transcript:PPA04128 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRIRLPSGLGIVGRVAATKNVIINVDNVNHLRNPYFYRQVDELTGFRTKNILCMPLCGAHDSQRVLRTLANRLPMRAIRRMNRLDSR >PPA04129 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1224:417:2165:-1 gene:PPA04129 transcript:PPA04129 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVDLRSPSKRRRPESKWENQIEVMVADVDRGYVEAMMSRQRHAIQRGVQGRRRQGPVHSRNLDWIIDAVAVGADVDSMSPHNRRKPTIHTCTYCGKTDKYPSKIQAHMRTHTGEKPYKCEFCGMGFAQKTPLRMHIRRFVCLKGCGRFFANGRNQRLHEQKCRHLINRMRVRTDYNPSDNTMDMEGDGYDVNGEDGEGSYMEDEEEMDDVDEGEYDETLHMDQIFKMLRFSYFP >PPA04130 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1224:4645:5351:-1 gene:PPA04130 transcript:PPA04130 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSVPLLNEKRMAEVYECGAEGCGYQHTNLKAVENHMAMHHANVWDPNMDGVEGQEEVIEGEDGEEYQNYTTYYEYVDHETIMDDQDRSLAEIVVMTEEEEEEAVNASTANDAADGIQEQHSRVVVYWGGNGHRSVPETVGKISAIL >PPA04131 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1226:244:4011:-1 gene:PPA04131 transcript:PPA04131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-eif-3.F VTNCFAIPFNENTDEPELDDSFNMAMVQMLKRAAPTEQPVGWFYTSSELTNVCIPYHDYYNRIVADFANKKLDAFPAEFTALKLIQKGMDDKSREIRLESGLEQLERSTGEIVAWLERIEKRTWCLRAALPQYGRVHFRKAI >PPA04241 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:506642:506979:-1 gene:PPA04241 transcript:PPA04241 gene_biotype:protein_coding transcript_biotype:protein_coding MQEWEKMYNIVHVSMMCVIPYLLELIFYALILSLLSDAEKGEFSGFRRFIHKKIVRLCHMKRREERKERFNSLP >PPA04212 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:381780:382074:1 gene:PPA04212 transcript:PPA04212 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVRFEWKNNWEEFNGKLNSESLQFHTMEFLFSLEIKIPITLKFLSKLDFLKPNSQLEEKRKDRLE >PPA04176 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:199922:201983:1 gene:PPA04176 transcript:PPA04176 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEKKSDKVRKDSGRRDHQRHCKKQRLLMGEDYGRYGLKIETEILFPSSFLAPSLIMGGRRSESTTTTEGTTTGTTGTGETIGGTEGEIITPKKIEKKKKNKVDDGGGGCLHAVEQGTYAKLRQRIEDRKIARETARQQEKNFLEYRKIMILYEYKPTTKALAKPADDYFPKRKFSFKDMAFGARKSYFLSLRPNSKFANWHGKKKEQEQMEQEKVLNLKTKAKTMMVATAAAQEQKAIEEANAAQKIAAEDAAGMMDDQIRMLDKVSKESISYGTEPPLKGDEVKGTRSMMPMAKGADGRRTFFWISCEGEDEVTDEDCPIDSDKVQEVIDGKLLLKVAEFGRHDFNPYDKLEVLRRLNKLCERETYMMGNTLMSVLRLFDLSKHGDGRKEKDMTEKTMEKTVENEVVAKPRKITWLETIDEYNGQGCIMRMNGRGVPDSELEKKILPLTLHYRE >PPA04229 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:427063:428942:1 gene:PPA04229 transcript:PPA04229 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKLAALLALCSLAYTAPIGKEDNKPYEFLTIAENEPATDMKVLTIAGSTVRSFPQPANDDNAAGLHFAYLVSNKAATITLSVRIERCFRMRHARFNFTLTNIKTGETESMMQYAYELEHICSEGGMHRGEAHAFTCKKQAAIKTMKDIKLPLDWTKEKFQNEASVMRIVMVTDEEEVIFNTAFFAILL >PPA04181 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:213067:215689:-1 gene:PPA04181 transcript:PPA04181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:H3E3B8] MCNACKVDPVAVIAVFEEIHVNDFIFVNVFGEALFNDGVTVVLYELFKQFMTLDDVLLIDYAAGTAAFFVVAIGGLIVGLLAAMITAILTKYSDHAVILAPLFIFLVPYMGYLIAETLSLSPIIAIAVCGMAMKQYVKGNVSATAANSVKYFTKMLSSSSETVIFMFLGLSTVMTFQSGWDPIFIVATIIFCIIFRTLGVIIQCAFLNRFRGKKFSMVDQFILCYGGLRGAIAFGLAHSIPSSVEAKDMFLAATISVIFFTVFLQGSTIRPLVNLLKVERKVNTEPTMAETVYGKYLDYMISGVEDIIGQKGHASLVHDFERINHKVLNPILMRDHAKTEHFDATKILMLN >PPA04141 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:53681:55778:1 gene:PPA04141 transcript:PPA04141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hcp-6 MYRFVATILDECRQIREYAIFCLKDVLLPQQPCMFFNHFIECLLYFNDVPRKYKMADSAEENLGRYARFSLSGKENEASRIKLYEFMLDTFDDRQKFITMAKMCEEIFTAVYSEEMMIEDERVVALLTDAFKVISCEQMQLKLDVGKKGDDDEDEPAPKEVQDAAKNMITTVFRSAIISSIMPHVLELRRYLVEKRHPIMKYMLGVLRALTRSHMNQLQEFFAGDKIALREIEYDIRRLNKLEEKLKLKAAERARMPPSRRDTMIGGRKEGEGEGERERVEDAVEREIPIHPNQSASIRVLPLHYVPTIDQEMAIDVDNDIVKEKNEGA >PPA04198 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:312577:312859:1 gene:PPA04198 transcript:PPA04198 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTIRRRYFVDRIWDPSRSDCPKSPYAMPGATDPLNFWAIFGVFALSAIGLGVAGMNEHYL >PPA04171 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:185326:186337:1 gene:PPA04171 transcript:PPA04171 gene_biotype:protein_coding transcript_biotype:protein_coding MMYFKELMIVDNIIFCYLMIGQSLQTKCESESEAIDFFTRTIERNAKLSNAVRVLDKTFKIKKTRVLFCTNIRQWFIYGPKVHTAALLLSSHLEQNDLGVTLWGFALLSKPLILTGLSAMSTALAIFLQFSDCKKQITARMIHQNISYADSIFDP >PPA04231 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:436763:447992:-1 gene:PPA04231 transcript:PPA04231 gene_biotype:protein_coding transcript_biotype:protein_coding MWATERMMQRCRRKFISAVLTRNSPDFDISTGELSNRLNSHIDRMRDGVGDKLGICISTFIVSSTISFILDWQTTLLMVWAGPIYVLSSTLIPKLSEKATKGGLSISEEANGISEESILNVKTVASCNGQKQMIEVEETSESLLDPSTQLNIEFKNVSFSYPSRSLPALLNLSFLLPSGKSLALVGKSGCGKSTTVKLLTKFLKCQTPSILIDGVSINEYDTKKWRQMMGIVSQEPCLFNGSIRENICLGRPFNDIQIIEACKIAHAHEFIGRLDKDITQLRLTERHALNRDLWKTKTSFPDPV >PPA04236 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:485349:485904:1 gene:PPA04236 transcript:PPA04236 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAFEPEALGNLVEGMDFHRFYFDNGATSTNRRSVQTTLLNPNVHLIGEDGASIAYVRLTQFMDKGGDLRTRQSEETRVWHKKNGSSNI >PPA04178 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:207983:209031:1 gene:PPA04178 transcript:PPA04178 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRIISLLIILIVSCSSENPCSGRQQTGCDDQITSCALVFPVGVGETANEKCFDPTDGNFPLTSLCRKTCPLCCEEDRFNCDNDPPADCEDSTKRCSIWVANDFCDDPFYSDEDKKSYCMKSCFLCTAD >PPA04224 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:415815:416997:-1 gene:PPA04224 transcript:PPA04224 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGRSDNLMDHVFNMLENYAGSLEEEVEARTRELTEEKKKSDVLLYRMLPRQVADKLKLGQSVEPEMYDNVSIFFSDVVKFTNLAAKCTPLQVVNLLNDLYSIFDGIIDEHDVYKVETIGDGYLCVSGLPHRNGNEHIKEISLMSIAFLASLQSFRVPHLPKERINLRIGIHTGSCVAGVVGMTMPRYCLFGDTVNTASRMESNGKPGHIHLSTEANRLLTMMDPQFKTECRGEVIIKGKGVMETYWLLGEKGSEQDSFSIPSPPPIIETSIDRS >PPA04153 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:93673:94820:1 gene:PPA04153 transcript:PPA04153 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLIHTTQNELEEKVLFIIESPIFRCRVLAKHNISDPLCRASLLKEKIAALAEMEEPSLEMNEELRTCASKYGKKIAYQALNIVTGVGNEFNLLRAKEAIGPLMAIIKMNIDKKMNGKAKERFRIYYSHDNVILSLSQSLGIISQFNGNSPEFSSAIGIEMWKKKEGIEIKIIMKNGIKSQLKEVSRMNKSTFDRQISSFIRLHNSTKTFNQRLCDYIEYVTLFDFMPTENC >PPA04156 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:101971:104321:1 gene:PPA04156 transcript:PPA04156 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDEIPSGSSSNSRNSMAKDEDMSDDETRKMTLDNESEEVPSKKRRFSYANGVEKSEDEVRGEPLDSSINSFEIPQYEDRKLIGKDLRPLRGGISRSSFIRGSQSTNSLEKLISSDEEMHRIKMENVLKELNLLRWSNDKIVDMDILNRDLLSKFRNPLEWNALEVQLLLDQFDVMQPLKDYVKEQVEN >PPA04213 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:388092:389338:-1 gene:PPA04213 transcript:PPA04213 gene_biotype:protein_coding transcript_biotype:protein_coding MEREYKIKALKGVGYGAVCISTLAVLSLAVTVPMMQSNLRSMRDNTLDRVRLCKTRTQSIWSDVSSLPILPHNRTARSLSVDTLGPFRPDCCIPSPAGAPGAPGRHGTKGRDGAPGRPGNPGRPIGKPCEPLTPPPCQPCPAGQPGSPGAPGPAGNDGRPGSPGPKGSDGHPGENGSRGSNGNAGHPGNDGRPGAPGKSAQGGRPIPGPPGQPGHPGSKGPAGSAGRPGNDGRPGQNGSRGQPGQSGAPGNDGQNGQPGQDGNSGGSGEKGICPKYCALDGGIFFEDGTRR >PPA04193 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:266894:267246:1 gene:PPA04193 transcript:PPA04193 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMVNNTALHSTTSVKDSLQNKSLTMKGCIVEYSEDKSKGYKGTLRWKPSMQSMLFSAVYYGGLVTIFISGSIADRFGPKKLILGTI >PPA04228 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:423917:426341:1 gene:PPA04228 transcript:PPA04228 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKRPLHGLELMEIDDVNGFDNSIIGPFLERVKEEGMNENDVDIVSISHLPINIHPFGQANIYGYIYLFDALKLYAMGARRVLNETNNPAAVLDGMRVWNAMRRMTFPGIVGSSGVASGMITMDDRAERAPLYRGFFISPSQDQVMAMAHMEPTMIEPSKCDGLANRSGCYDIVVTDMMTNFWPSIDGRMPLDEPLCGFRNEKCDYTLLIVGAALLLFLILLILSAYLIHRLLEKQALDKLPFRIYRDEVQLIDEEQVKSMRRPIIFNRFDKNMFQQMKAAVHDNVNPFLGISFNEKEELLLVWKFCNRGTLQDVIYNDQIQMDNKFHGAFIRDIVGNSHPIEFKGLEYLHASPIGYHGSLTPWSCLIDRNWMVKLTDYGVAEPIERWEKNQWITVDELKSDDDKSNAKQKTSVLYDAPEMLKMRENNKVRRVDQDWQRQTTMKRQLGDIYGFGMIIINRCGQGWKSCNKTNNPRSQSKELLRDCK >PPA04140 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:43339:45888:1 gene:PPA04140 transcript:PPA04140 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRCPEKADYRLKTAQSALDLLKHLPKKSALAQLQIVYNFGMNTRSGLRAITVEMIPALLKTMGTDDKEDEDEKNKSRREKKKGDEEDDEEEEDEDENRERKKKDKTKENKGSDEDEDDEMNGTKRKIKKERKKKQRNSEDEEEDDEMDRTRRRKKKDDDDEEEEEDGNTTKRKGKKEKRKKRNEEDEEEEEDEGNTTKRRGRAAKDQSMIRMKEQKKRNEDGSEEEEESEGEKEREKEKKRKKKEAELAKLKKAQRLAPVDLRPSFLKLIVRGCLDKVGNLRARSLQQLSLMVVDGMADDLRQAAKDMVRDNPLVIVQAMTHVDEEKEEMDDEDDDKKARATKLAELAAAELKIKNELIYIVKTRMEDDVVSGKEEEKGGIVVRLPRAAVRKAAISVTQTLFFEWNMDNDEVEEIANAIKLRCLDTSQMVRKQAMDSLSFLLEESLEKGHRQFFLLSMIEKMEYNQWLGD >PPA04149 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:76633:78885:1 gene:PPA04149 transcript:PPA04149 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQLFLLGILIYISSPLLHFIKETLHKKRLSAKIPGDEGLFLFGHIFEFGMDPQIMPFRAVTKMNGWVKKSGIQLMKLWFFHDNSYVPTSGETLKYILDSNDEITKGDEYEVLVQWLGRGLLISTGDKWRTRRKMLTPTFHFSMLDGYISTMNRHSKICVDLLEDKCDSKVDMYPVVKMCALDIICETTMGKELDSQRQPNQPYVEAIVNLMRIGTEVGMKFYLWPQFMRYLLGVQQEFDRFNVVAQKFTRNVIAERSASLLKGDVESNKRAFLDLLLEQKERQNLSDEDIREEVDTFMFEGHDTTSSGLGWAVWCFACHPEIQQRAYEEVMEAIDNEPDRDLSREDMGKLEYLERCIKESMRLYPPVPFVSRQLQNDFTLGEYTIPQHANIAISPFTVHRNESIYPNANQYDPDRFLPEKVAARNAYDYIPFSAGPRNCIGQKFAMYEEKIILSWLLRRYRFETDESLESQKFATEAILRPINGIKVTVVKR >PPA04151 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:88088:88777:-1 gene:PPA04151 transcript:PPA04151 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSNAYPNTSIETFKVYLNDSFDGQLEPSSFSWIWSAILNIWFIGFALGSIISVPIADRIGRKSCLIIGNTGNVISATLSCLAIPLNLWWLFMASRLVFAISAALSMNSLILLLQESSPASLRGTMSFYAEMAFVVTNAVGVICGMRMVLGSNLILLTGFT >PPA04225 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:418917:419668:-1 gene:PPA04225 transcript:PPA04225 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDEEDNCRLGVALHEVMAQFGWEDFAFIYSTVGDDDKCTVTKEDVEKAVSDFNDDVQISFIYEFPNYEISLTEQTRLLSQLKSRARIFAVCLSEELGLKRDFLLSLTDAGMLNDEYVFIFVDTRTRGFVTVRDGITMDVWVDRDERGDGRDEEAKAAFQRVFLLSDVNPSFLLSIHVIPLSSSLRLSLLLVSIILDLEKKSLRG >PPA04196 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:302125:304351:-1 gene:PPA04196 transcript:PPA04196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dbl-1 MEGIQSESIDKFKIKRVFESLLELDSPGEEIGGRLPIMAADYMKNLYMEAAESGMKGTVRTVAPLIDRWDGEEVLVFPLSHLQIGHRLIRAELHMLGGRPDKMGRALRVEGLVGERSSSIHIVDRIQLKLSSVSTNAVLWNSVHPILYNFANSSNLVIQLSRWDGRRMRPLSSFISRHSPFLTIYTRSEDEDIVMEKREGGRNKRSIDSGSYFAYESEDSSVLRKGQMEYIQKGPSMLNTRVKNTKFTRRRYEKMKNKQPFWGFGEKEEEKEVSARILKIGNDDEQKERMGERTLEMTTAVNEGDVDVVLLHPEETKNTVCGKQPLRVDFAEIGWSNWIISPSYFDAGFCGGECPFPLPKEARASNHALVQSALSSSFSGLPSVCCAPDRMESLTILYYDQNKAIVLKSFPRMIVESCGCI >PPA04166 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:163910:168615:-1 gene:PPA04166 transcript:PPA04166 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKIALAVNAFNAHRDKKHVHFLRGCTTADAWSIASNLHGLTNGSVAILAGGDSMAGIGRDGDQQGDRHKIGWRGRNPIATTYYGFVEIAQWARISDPNHRNYPQRHLFHHRTGNILAKERAFEDIRMHNGAERFIEVLATTLGQKDVVFGALPPGYGKTVSARLIIEKLIDAELTEMERRNETVDRSALDLSTKLLSKTLHLTPTATNACSAVQFTSTKQITDASVTGYTQLCLARCLNAMAPIDETNDAHCCSTFRRRIDKCLILTATNSSVIEGQIRGLVEAHSHLSYEYVKIPHLDANWSTRRIEITKSCMHSPESYRRRDETDYFFEYDLYRTPMSQKLALAMRDAHGKNADVDYISEDVKLAEVGGQYGCPTYVTGYCNGSAHQALQGVRKYKAEKKKELMKKEDELRVANVARSFEVENAKLVVDELEKEPHKFPNILVFVPSTAVVKQVLKKVRTTHTNFFRPIWGLNDTVQPYKFVTCSVTIDLEKELMFDAVDEEMTYMKHYEKDEKEKVRKCGALKKGKVIIIVNGAESGLTIDRVGGIVESGVERLRTPNVRSGIAYNIFKLRDEALVEQRAGRGGRSRPAEHITCLGHYGMRSLHERGSTILEEKRQGQMTIVDWFRREHDPRTRQFRTMHYHYWNYTIMEGRVSGVLVEKPNHKRTAENLEEVFRTGESALEMEPSFDAKKAAQIFPNLHPSHTKMLIAYVYAGMALHGLIRAMMKPSRSSAADSIVGPTPRMNTLDIYRLAIRTYTHGGRGTKLIPHKYTGDATALADFHAQLVVAAQWDIAKPLPTDELLATGNIASANFWGGAWLPCGPFDAESGELATRMKYLAENEEGGIYFTYRRLVNDEEYYMKYDGYDMQGRPVILQKKLPRRVRADSSWDVDR >PPA04189 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:248773:249508:1 gene:PPA04189 transcript:PPA04189 gene_biotype:protein_coding transcript_biotype:protein_coding MDREILTTALENKEITARSGLSVEDVSLAVQKALRYQEKDLPTARKSDESSILIVPRTPNSSGRADVILSPEIESKSGVTTERNEKTNSISPSPLLFTPPIVKVTPQSTMRSSHMTSTSERPRVRRTRKRSRERI >PPA04169 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:176946:178676:1 gene:PPA04169 transcript:PPA04169 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRKKNYLTKKNLRLPHNQKHDFNKYEVRVQLVLGDKLCARIREMTMQPSANPSLFEKVMRTNFLMHGLGMMHNSMLTMEVRWKLLNEQIQGGGIPLYHVQAACLRREELEIIKHGARYSKLLQSLTDNAVVLKLTDMINNVAEMLIHKGYEEDDAKSVQERIYQCTNSYSTGFNGEAVDHLLSVIAKHGRPLNILVINGTVDDVKAKIAIAVNNRRQVARRRPRLEEGSQPIDPNQSQSSQSAARLSTSSSSQSDVSVGVITVTVACVPFKEGTGVGRITRSTVSACLMRAVDKELLDDAALCHFVNRMHIAGSITRTSLRLLSFNQKKDERCSSPKAIFIHCSTLGAVG >PPA04142 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:55822:57035:1 gene:PPA04142 transcript:PPA04142 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMEVEEREVEGEEEVEEGDREGDAQEDGGENGMENGDGDVREKVMEDVEEVDEVDQEIEGEGNNGEKDGETKKKSAAEMGEAEVKEEVIEEDIDKENDMEERRRKKKEEKEKRKRNMLTEAEIKDEPLEEGNENQFAVPSMIGGKKRSRAVSEMSWMNESAMIGGYGQSTPRKGTPNDDEDGIEPSDSVPRLDLDISAIAPPPVQLMKGKNRISRMPRDATIEE >PPA04158 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:111945:114420:1 gene:PPA04158 transcript:PPA04158 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, decarboxylating [Source:UniProtKB/TrEMBL;Acc:H3E395] MSISVMPSHATGVADIAVIGLAVMGQNLILNMNDHGFVVCAYNRTTSKVDEFLANEAKGTKIVGAHSIEEMCKLLKRPRRIVILVKAGAPVQAMIDSIVPFLEAGDIIIDSGNSEYTDSNRRTKELAAKGIHFVGTGISGGEEGARYGPSIMPGGNPAAWPHIKEIFQAVSAKSDGQPCCEWVGEAGSGHFVKMVHNGIEYGDMQLIAEAYHLLKDAVGLNHDQMADVMDEWNKGELDSFLIEITANILRFKDEKGETLLPKIRDAAGQKGTGKWTCFASLEYGIPVTLIGEAVFARCLSALKDERVRASKELPQSDVDPSTLIKDKKEFIKAIQQALYASKIASYAQGFMLLAEASKQFGWNLNYGAIALMWRGGCIIRSRFLGDIKKAFDSNPNLANLLLDNFFKDAVAKAHKAWRTVVSVAVLLEIPVPALSTALAFFDGYKRETLPANLIQAQRDYFGAHTYELTAAPGKWHHTNWTGKGGRVTSNAYQA >PPA04137 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:28485:30330:-1 gene:PPA04137 transcript:PPA04137 gene_biotype:protein_coding transcript_biotype:protein_coding MGREDPEFTDDDLNLPFFHGALMDEDMEKLLELPGDFVVLLRNKELGDGTTSQTIFLAVRARAGNDKDAVFRTNIKKNDDGIKVLNKTFTDINKCVDWFKKEPRNIAGGQSVHLKRPINRGKWYIRHRDIQWQKRIGSGAYGQVFRCKLLPGKNIVAAKRLAGATGADELSDMMKEARVMQLYDHPNIVKFYGYAVDKPPFILVMEFCNGGAVEDRLRKEKQKPIPAKVRANWLTQAAAGIEYLHKKNCIHRDIATRNCLIHEDTIKIADFGMCRATSVYKVNLAKPQNVRWLAPEVWRTGETNFRTDVYAFAVMMWEMFVIPYDTPYRAWKAIIVKRRVMEGYRMEPPPLMPDPITKVLALCWNHNASERPPMGEIRKMLAEIEPVSNLPPGD >PPA04216 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:396534:398095:-1 gene:PPA04216 transcript:PPA04216 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTLPIELLNSHYIFKGEVEEITTPSNQFLSDLESLMKHCEVIVNDSMLDDLEFLLHKKIIDTISFRNVDFQVEKSDRLRRFILDLGKKCMRFKRIHNIENLSPVFNELFYEWAAFNGCEEISISAEKAKDQEETPFMNVPSCSIDILASFTNLHLPAVSIHPTHLREFVEIVLERQETGGSYRFHFDIRGIDWSVFQWSNRYPNTQTTVAVRFELDRSIKLWKGEVQAELYTGSSEVQVLFKKKQGQN >PPA04199 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:313264:314534:-1 gene:PPA04199 transcript:PPA04199 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYTWHRTNPLTFYNGDHGIKGVKGFKAEDVAIKMTTVQEYRSVYDTHAKSRSTVEVNQVSNRIPETPYVKAIDVWLGMDDKNELLLSKKGRSKISHKRTETKGIDYFSSESIY >PPA04217 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:398703:400898:1 gene:PPA04217 transcript:PPA04217 gene_biotype:protein_coding transcript_biotype:protein_coding MILYLLAFILFTYIVLFYRNLSPEFLHEKVHEIGKEYGDNFSGRSHLPPETLLQMNDQTGVLISDGDVWRAQRRTSLRILRDLGLGRNLMEAQDNFYVLVVQAFPWAKNIPFIGERGFKIPFENVSKKNEDLDMENLHAIVVDFWMAGMETTSTSLKWALLHLMKNPEKQEKMRSELLAVVGKDRRIQMTDKPKLPYFVAAIAELQRVANMLSFVFFHRCKNELPERFLEADEKTVNKKATERMIAFGMGKRQCVGEGLAKTEIFLVLGTLLLNYRFEPVGPIDLSPIFGSVLNPRPSMCRVVPI >PPA04134 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:15919:16582:1 gene:PPA04134 transcript:PPA04134 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFLFWEWLPSDTTGYVFSCIGVGLISLVYELFRFLRYKVQNDERVKRKASVTSSENCCCDDNETQPILKKEKSHLSSLYNSHHIADSILFFIQLYGSYTLMLVWMTYNVPIVISSAIGHIIGYLIFGPIMSFKEEEKIGDCCA >PPA04168 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:175048:176551:1 gene:PPA04168 transcript:PPA04168 gene_biotype:protein_coding transcript_biotype:protein_coding MHIGSGTKAGGLHKERRKRLPMAPTPKAPPPPKKSCPLKIKTEKTDDHPFVTSTPKSAVGSKQSSAVFAKERGKKPNNLFERHGEVDDVVATLKADLKADEERAKKGKGREGVFASSLKKELKELASKNIGSSNSAGVITHTNHYLGTPERSQESEGFITMPPQPPPIRTEFSDSDEENQIEHDYCLKGVLCDPIESELLQEIFEGGLSRGPSGVVEADALLEVQGANEAVHDERLTTGKSTNRRRSDKQLVEQQEAQSQEESILSQIFKVHLTQTVEDFKKKHPGERMDDDFGFEGHEISSIHGSPDDSMVDQGEEREEMAPGEPDEDEDLVEEDEDEMRIDG >PPA04154 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:95696:97632:1 gene:PPA04154 transcript:PPA04154 gene_biotype:protein_coding transcript_biotype:protein_coding MFETRTRRARPWNIHAAREKMTCDDKGITPSIAVVRRGNAGEVDAIIEDMKANWTLDSVCNNTVFIVFSAEETQFSVSRPTNISRSSPLQAYDVAHFLRRQARQFQSGNYGTAFLSILQQTWERAVTRYTRWNVTHYPNPMMGEHRLCRMSESTTMYPFNTSSNVSSFCQSRGYTAGIAIMRNVEGGTKDEWRLDERCKKALIIALAVDDRIFSVVKAENVDIDIESFTKFFDAESENFHQSEIKSALANIMKRAVQRMIESSGAMSETTTETNEIEATDGPKVKGILVCIALINHRRSE >PPA04187 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:239136:240456:-1 gene:PPA04187 transcript:PPA04187 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLGDFTSPDRLKELVEQLTDEGGFPKETMSIYGYPTENPLYIFMLEQNEFQFEILTEGLKEIYALALPSIEKHGEILLEADTVVRATFFKLQESGYFPFTTKYSGDFYPFYMNESQKRTLIGLEITPPDGFKIDSVDVENEYNSIHFAWPYAANAPVEITRLRLANLPSVCIRNLEGNLASWEMSHHFGQLTHLFTFEPYRGKGIGLLAEILLAQIYAKSGLHVYKYVADHNDNVLRGSNKHPLWSLWKSTKNEEEREESDQDIMWSFNIFTYVKH >PPA04244 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:572119:574953:-1 gene:PPA04244 transcript:PPA04244 gene_biotype:protein_coding transcript_biotype:protein_coding MENFITSLRYAMLPYRRASRLINIVIGVCLIFFILLFLQRPQLDDIDTRQFEKEEHFDFGRVVSQLKDTDVIRDEKEKEVVKKLNKSVEKEGAAPPADPPETRDQHVYELDGMGGWENDLKTKTNLHMEDMIRGREVVDSVNYLNENFAVLNTDKFGPVESVKTVVVIQVHSRLEYLKYLVSTLSKTRGIEDALLVFSHDINIESIDNLVRNITFARVVQILYPYNMQLFPHVFPGQDPRDCPEKISKEDAKASGCQNWEHPDKYGNYRIAKFTQIKHHWWWKMNYVFDGIIDRYSLDDRWILLLEEDHYMSPDALHVLNHIIERRNTLCADCELISLGFYLKSFVSYGQNIDRLGVHPWFSSKHNMGMALQKNTWAKIKNCSELFCTWDDYNWDWSLLQISVKCLPQRFKVIFTKAQVF >PPA04143 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:63049:63593:1 gene:PPA04143 transcript:PPA04143 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTIELKGCSNKCEFMRHESSQRHCHTCTGDLCNHRGIVGQGYDPYNNGGRNGQTSN >PPA04188 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:243216:244550:-1 gene:PPA04188 transcript:PPA04188 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMDEFKRMEASSWSHFLEDGIIFLETRLKRGIHSYASSSPQVSICPVGPPGPPGENGEDGQPGQPGVTGPEGMSAMNAAYPTMQHCTSCPPGPPGPKGPDGPNGLIGPSGPPGEPGTDAYPGSPGESGALGDPGQPGPEGHPGTPGNPGMDSVIVLSSPGSVGEMGPPGPPGPPGESVYSSPPEQGQAGEMGKCGADGPQGTPGPPGPPGAPGAPGNDGGYCPCPTRAGSPYAESSSSSAPIVVSYSPTPERPATPSEGSYKKNEGYATSVPPPPSSSPLPPLPSPPPLPPPPPPSFSPLPPPSSPPPSYQSSAPPNYAMKNSQKCLN >PPA04195 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:269947:274973:1 gene:PPA04195 transcript:PPA04195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-csb-1 MEDDELPSSLSAELAAIGGAVRFRQADDLEEEIREQFSHEFWKEEEASCSSQIRRDVNPISDLLRVDKSVKHGDLTPLELLQAQNQRTQNEVKQEDPDEEEVLQSQMEDPGPSKSRQFPSANDSNESDEYLPSDGSLNEDSGDDYVEEKEEKGPKVKKQRRSNEETKKKQHYGDDGDDKTFESRIRRFLAQKELNRIEGDDEQGMKTIKNDLHINSNIWSNLYKYQKTGVRWMAELREQRVGGILADEMGLGKTVQTAVFLRAIAESKKEEQLTGSGSMLITSYSTFYKERKALTQIGWHYVILDEGHKIRNPEALISMAIKEVRTPYRLLLSGSPLQNSLKELWSLIDFIFPARLGTLQTFMEKFSIPITMGGYANATQIQSETAYKCALVLRDAINPFILRRMKKDVKMAIELPNKSEQVLFCDLAPFQRSLYTEYLSSRECNLIRAGRLESFSGLMLLRKLCNHPDLVTGGPNKHLDQDVDSDPSLVFGWPERSGKLQSRKMLTIMEQMLIVDGHGYLRMDGATPIGKRINIVNEFNETDSIFVFLLTTRVGGVGVNLCAANKVVIFDPDWNPSTDAQARERAWRIGQKREVTVYRLMTTGSIEEKMYHRQIFKQFLANRVLKDPKQRRFLKTNHLHDLFTLSDGDTTGSTDTGTIFAGETKELTKKNFFDAHEKEREKMRAERRKKKRKNGEEEEKKDEEEGSDDEKGDDSLSDQRREALKKFAAAVSRDLSMLIPVKKTKEESDKSNEKGEDLVLAELLSRNGVRSVVKHDEVIGEGKKRSDNRLEESQADSVAKQAAAAIRKRPRFNFLQQNGLIKGRTDNSPGSSSSTRFGDGKKTNGGDLMEAIRERKRRQGANGVKNISAKDQLQFRSILKEISHFDSTSKEWQLKEEFR >PPA04240 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:500067:501932:1 gene:PPA04240 transcript:PPA04240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lev-1 MPSFNQFGIREWHDFQLRWSPIEYEGVTEIRVAPEKIWLPDIVLFNNADGNYEVSFMCNALIKHDGTVLWVPPAIYKSSCIIDVEYFPFDEQTCHLIFGSWTYGRDEIQLDFAKSDMVDLQEYSPSSIWDLIDAPAELVADRSRIEFLIKIRRKTLFYTVVLILPTVLMAFLNVTVFYLPTASGEKMGLTMNVLLSIVVFLLLVSKILPPTSSSIPLVAKYLLLTFVLNIITIVITVVVCNIFFRSPITHRLPPWVRRLFLEILPMVMCMQRPPRDKGHLNAKPKRNGALKNATKGENHHPHCLAVNHMKEEKIISSTFNEDLSPNAQRAVDAIEFITDSIRDDAMIKEYRDDWKFVSMVVDRLLLYFFLGITLGGTIGIICSAPTVFDFIDQKVE >PPA04133 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:12866:13976:-1 gene:PPA04133 transcript:PPA04133 gene_biotype:protein_coding transcript_biotype:protein_coding MDMMDMTLHFGTHETVLFKWWKTESIIGLLIACFIWFLIAFFYEGIKGLRFWLATQDATARRNRREEVDNLEDDESVRSSTRLLMGTRVSSMKLRVSHAFLHGFQSFFGLILMLVAMTFNVWIILAVSIGMAIGFFVFHGQSPPPPLPYKQV >PPA04136 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:26865:28119:1 gene:PPA04136 transcript:PPA04136 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRGFFGGGGNEEERDESGAEVVEKLIDRVETSAALEDRRDALRALRSIAKKMRLHVATMGLKAFIDILEHEQSNPEMLFMSIDILVAVLSSDDETTDEDDLGERLAQEMLRKGPFIPSVLAAVDQYDFSVRRAAIQLLTSLLRHRGSEVQNLVMQQAMGITKLVDLLHETREIIRNEAVLMLCELSRSNNQVQQLLAYDNAFTLLFDVVDSEPFDSIVIEDCLFVILNLLRKNSMNQQLFRENK >PPA04208 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:357549:359742:-1 gene:PPA04208 transcript:PPA04208 gene_biotype:protein_coding transcript_biotype:protein_coding MHEIRKAMRRHHREGANRTRIWKRKDAKKFEDRLGEMRRVSYGPGGRNTEKAEAAVNEYLYQGDIVLSEDQAESIFNATLSSSASSTITMIRSSLTFWQSQTCVNFVESTTNTPRLRFIKASGCWSLVGKSSVVSVQDISIGAGCEYPRTISHEIGHALGLFHHQARPDRDEYVTVVAANIKQQYLDQYNKQTNATCNTYGVGYDVGSVMHYKQREFASAPGLITVLAKGSGYQNSIASNKWPSFADVKIVNSHLCAATCNTSLTCENYGYTDPNNCQQCKCPSAFTGRTCGRWNQGNGLQAWKPSCGGEFIATSDWTGLNMTVGEASTKVYESISNCFWVVRVSPSLPRSSLLSPLQAPPGRTLDSWITRAPSSVCTDACETQGLRVTAKTSETTGATYCCGTADPRQLTTTSNTLVFEVYSYQGISDAWVFYRLTPQ >PPA04255 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:649728:650074:1 gene:PPA04255 transcript:PPA04255 gene_biotype:protein_coding transcript_biotype:protein_coding MFVNRMREEKIRYRFPIRGTELARWDRAVSFVNEKESRIVTEAVYRRGVEVPSWKWIGAEKKQGWRGSGRGDY >PPA04165 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:160997:162002:1 gene:PPA04165 transcript:PPA04165 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRLRYKFRDERPLEKNWRDWVAVWRDDGNSYEQIIQLLAQKGYHTTKSTVKRILEKEFIPREYHPVSIKDMEIFSNIRDSVIRSYLDDSEATISTSQKSILNDFGEEISLNVVRRIREECGFKCYNTRYGHSVRLVNRPLRLAFCLEQIALDNQFVNHIFTDERCVQLSANNRFVFCLRGDVERRVKSIHKNPVKVMIWGGINWRGPTPLVMFHSGTKINNGVYQGVLESAYKDWAR >PPA04234 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:456994:457382:1 gene:PPA04234 transcript:PPA04234 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWVYLTILGLSCASIAVVLEFIISRLNQIHLIIYNDVNQMDNEWRIPATYGVWVGYVVILVLLSAACTKYIAVGATGELMGDWSSH >PPA04215 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:393519:394792:-1 gene:PPA04215 transcript:PPA04215 gene_biotype:protein_coding transcript_biotype:protein_coding MCFAIGSFTAAFMTLKLSERFSDFAMRPLMSLAVSSVFFASPHLNIYAYAGILTGFLCLAIASFLFTSRRVKRYEKTVNQITNVPTIEYHGI >PPA04180 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:212369:212770:-1 gene:PPA04180 transcript:PPA04180 gene_biotype:protein_coding transcript_biotype:protein_coding MFDEKLEQIKEIKKEVESDDEADEICDDYMKQARRGSIMPVADDVAAARREARLREIIPNTFVNPNQSLPVKRTSSRSKSTVVAPSSFGQQ >PPA04183 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:220413:221374:1 gene:PPA04183 transcript:PPA04183 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMRVHQKTMIIPPKGGVPEKPKTLPPRPLPPPPPPPPPQQQNNKWADRKNGGFDGPLCGDTSQINQKSNASKVQSQIPQQSSSTNKEDEDVDEQTAPGKSLRSGRSSKEKKSSKEKRSSKEKRNKSSKEKRKDKNSKREKDDERSDRKKRRDKEEQESSQAGDAKLSKKDDRLEMKKEKAMKKREAEEGDDIEEGVFVVGGGGGAGGKGKKKMRNLIVFILIFIIVSSCIGMAAFLIINNKK >PPA04226 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:421332:421560:1 gene:PPA04226 transcript:PPA04226 gene_biotype:protein_coding transcript_biotype:protein_coding MWTEPYEFDLMTEEDLYPLIYGIEQIPPSVIMQCQPCCIPPNGLNDREKKIKEKIAEDKWK >PPA04230 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:431555:432844:1 gene:PPA04230 transcript:PPA04230 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSIVLIALLFTAVVSARHLQYKDHWPVSRVPFNKERDTPFNVLSIIVPSSDVKTGDYYMPHSTVRLREGHSVFPVFSVKLADAKMNHAAVKLLMANSMGELWSHHYTLRNFESVCAEDIADNDFVFACTASPNLATIEGIPLPAAEKLGDEFRGRMNTVRVKLIGIYQDVPTTLFAVDFYVTVE >PPA04220 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:408477:409846:-1 gene:PPA04220 transcript:PPA04220 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRELQWKTKETTEVGTVDATTDSPESYRQEIDGTGGSAGSADELRLKPTELTQEDREITQDEPDQREIRNEPTLRLAPIPAAIQPSREVLTTVRLPPIVPSIPVIPSIPSKEEVKSPVVQTPQQPVKEPVKEVTKREQRKIPSVKPSAREQKKAIPSPIVSPQPPSSNNNNKSMREEATKKQTGVKKKEEPTSHNYVLAVKLMKILKRNNYLENALRFEDSEKVRKHFEANGFSQTPPPPIMSLLNRAMDFVLETVLFRADDLDTGITNELRVFIIKKQEARVLMLEVIFNRPDLMPTKWGGARAEVRSKNSKSRDGGKKGWFSFFR >PPA04138 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:30977:35527:1 gene:PPA04138 transcript:PPA04138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-uso-1 MLGELCRVLLSETGVGVDVLTESVVAVAEIIRGNYTNQEYFASTSLETEDGGVRPSLIVLLVSMISEKQPFKLRCAVFYCLLSYLYDNEFAKTKIIETLLPTSQPEHSSMTTGSIICQALSSAESVQSWFGCISLLHCVLDVDHLREQLLRVQLTVAADETMGLLHHLTHLLISLGNRRPQSRGGLLQLIGVWLHDCPRAVSTFVSHDEILHHLTAQMLDESGEGSESEQQVLKGLIAFVLLTCRNCLKDDDKSLGTLTALLDRRVGRDRLADAIEGVSRTEQFVRAAQKTQPLAKIPSELFLDFHFVKLFKSAEGKLLKSLRSNDPLAVSTSSNSEAIIQSFKDLIKRQDEEISVLKQDSKNAAAEIEKLKKEADKSDLERQLAETQSKLEQTSISGEKTAALEAQIATLTGVNTQWRAEVDKYKGWAEQWQNYQLTQLPNGGQDTVIQQLQYQLATLEQQLQYGYTAFEQQGQQMAAAMGESEQWKNHSSAWERRANEMERLLNEEKEKKELNGKPNGVNGNSSSSDSPDVVRLQTEQEELLELLADQHTKMSGYRKRLRALGQPELILWDRDEKGLIETKNAIPYSVSRTAALAIQEALENEVSAMGKNVVKNDRGIKPTITAEDVAEETGGLVNFMMIPAKPATLLYVLKNSLPRSIVQRIFFGK >PPA04253 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:640803:645922:-1 gene:PPA04253 transcript:PPA04253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cars-1 MCHLFALGGPSSSIRHFVCLSFYRLSISGRPIISLIAPLRLLRRASSSFIFAMSTEAPTKREQPLWKVPENGSPPKQLTLYNSLTRKKEVFQPNNGKVVKWYICGPTVYDSSHMGHARAYLSFDILRRVLENYFGYRVVYAMNITDIDDKIIKRARQRHLLTSYLDAEKEGINVTKVVEDVMGALSHFKVKYDTETDGDKKRMLDKVMHKVNTACSDLEKALISKDNEAIERAKGNLLNDSRDVLSDWLDSKKGDTVNEHSVFDQLAKTYENEFFSDMSRLNVLPPNILTRVSEYVPEIVSFVEEIISNGYAYATADGSVYFDTAAFSANPMHSYAKLVPEAYGDVDDDQLAKNMREGEGELSLGSEAMQLKRNANDFALWKAYKPGEPLWDSPWGKGRPGWHIECSAMCGAVFGDKLDIHSGGSDLKFPHHDNEIAQCEAHFKSDNWVNYFLHAGTLRIQGLKMSKSLKNFITIREALRQYSCRQMRILFLMNTWTDTLDYCSNAMERALHFERICNDFLQLVKDILRKNYKPDSSTGYQKLDASELEIQKKFNAYTEEFHTALCDSVDTRTAIDKLRDLIVVGNAYIVEKEKAHKMPNCVLLRDIGVYITTQLRMFGIPTSGDIGFAVDSEGNNAVNGNLEETIMPYLSVLADFREKVRSIAREHKVTPILEECDRVRDEVLPELGVRLEDRANETVLKLVDKETLLMEAMHKKNAEQQKQAEKEKRLREQAEKAAAKEAQRRINPIEMFSKGEDADKYSQFDVKGIPTHLSSGEEVKKSARKNLEKAWNNQDKLYKAFLAEQK >PPA04179 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:209109:210219:-1 gene:PPA04179 transcript:PPA04179 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRAAMIEFAQRPAKLTPGISDLVTALHKRGTHVYLVSGGFRSIIEPVADVLSIPRNRIYANELIYDTHGEYLGFDENEPTSDSGSKTVGKAGVCGMLKKNHGYKNLVMIGDGATDLEAAPPADTFIGFGGNAVRASVKDGADWFVTSFETLLKSLQSFVYPDYPRMTV >PPA04161 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:120052:120786:-1 gene:PPA04161 transcript:PPA04161 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLVSLVIKNARLPDIPQGIFDYVPNLMTLDLSGSDLRIEPYALRSLQNLIHLDLSNNSLNFLANTLGSMTKLRVLSLDYNKLTNIDFRRLPSELTDLSMRGNLITTIHYVGAGGGANTLLRLDLSGNHIDFVSGTGYINIFPPSLKQLDLSHNRINLVQEGALTNMKKLLSLDLRYFLLMNE >PPA04221 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:410758:411421:-1 gene:PPA04221 transcript:PPA04221 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAIGEGKTDMQKAPSLVIPEKKDEKKEDSKPSSEGAKSSPCPVGEKKEEKEGEKKDEKKEEEKKKEEEKKEETKKDDIDNASTKNRPYPEVKDPTPSEVERKKKALEKEKAEKIANGDYQKKSDDDDTLEKIVSLKEEKTEKSGKKKKKSAEAEGK >PPA04191 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:256693:260779:1 gene:PPA04191 transcript:PPA04191 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sars-1 MVLDIDLFREEKGFDPNIVRESQKRRYKDVTLVDRVIDSDKQWRQERFKADQLNRQKNVLSKAIGEKKKKKEPNGTEDPVPAEIEAKLIEVKVDDLAVLSVNQINKLRVKLDALIVETNAAMEKCEAERAQALVQIGNLIHDSVPISDDEENNITVRTSGEINADHKYSHVDLVVMVDGFDGERGTVVAGGRGYFLKGPLVFLEQAIIQLALQTLAEKDFTPLYTPFFMRKEVMQEVAQLSQFDDELYKVASKGSEMAGDDTVDEKYLIATSEQPIAAFHRNEWLNPADLPIKYAGVSTCFRQEVGSHGRDTRGIFRVHQFEKIEQFVICSPHDNESWKLFDEACFFCIMIGNAETYYKALGIAYRVVNIVSGELNNAAAKKFDLEAWFPGSKAFRELVSTSNCLDYQARRLKVRFGQTKKLDGETPFVHMLNATMCATTRVICAILETYQEENGVRVPPVLQQWMPPKYKEFIPFVKPAPIDEENKKKEKNKCPVNDTYLKHNSDYKCLNEELTILIDL >PPA04242 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:513883:514473:-1 gene:PPA04242 transcript:PPA04242 gene_biotype:protein_coding transcript_biotype:protein_coding MPSISTSIGIKIKKLRSLFLSRSSIRRLKNEEKEESSIALLHPEYELIDSIRPSRSLLSIPILLIRSITKTRFEIVGETLETIYTKINEEVPCSSLKKGVMIAPNECAYEVGKAIGHIVSGITQLWLDSKVNSDFVRGLIDAFIDCETNER >PPA04182 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:217784:218195:-1 gene:PPA04182 transcript:PPA04182 gene_biotype:protein_coding transcript_biotype:protein_coding MHNRTDFYFQDDKYDEVYAWTDGTKRDYEHWNLNGYPSHLIANCTAMQTGTIEGRWINVPCENELPFVCKRKRENNQRFKN >PPA04232 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:449527:451387:-1 gene:PPA04232 transcript:PPA04232 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLRVSCLGSLLNRPLSYFDRQSSSPSSCALLLSQQPPIALSLIDHKLSSVVDGFVACFGVIILTFIVCLPSGIVGVSYLGLYLILLLIFEKINNKAYQEVVEVDKSGELAMEIFDNVATIQQLAVERHFQSKFNDILEKRDVPLAKSLVADIGFATYMMSFSFKDMVSASSAAKLLFGLINPMREGKEEKEIKLNTRGNLKSEKITFAYPSQPNRNVLSEVSFGVGQDNIRLGTEGISDEDVRRACEFANANEFITDFPEGYSTLVGEKGRSLSGGQKQRIAIARALVRNPKIVRVALESSTKGRTSVMIAHRLDTIKHCG >PPA04177 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:202251:204179:-1 gene:PPA04177 transcript:PPA04177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-idh-1 description:Isocitrate dehydrogenase [NADP] [Source:UniProtKB/TrEMBL;Acc:H3E3B4] MVKINGGDIVEMQGDEMTRIIWDLIKEKLILPYVDLNIHFFDLGVEHRDATNDQVTIDAANATLKYNVAVKCATITPDEARVEEFKLKQMWKSPNGTIRNILGGTVFREPIIVKNIPRLVNTWTKPIIIGRHAHADQYKATDFVVPGAGKLEVRFVPEGGNGGEIVHEVFQFKGPGVSLSMYNTDESIRDFAHASFQYALQRVYPLYMSTKNTILKKYDGRFKDIFQEIYKEYEGQFKAAGIWYEHRLIDDMVAQAMKSDGGFVWACKNYDGDVQSDSVAQGYGSLGLMTSVLICPDGKTVEAEAAHGTVTRHYRQHQKGQETSTNPIASIFAWTRGLSHRAKLDNNDALAQFATNLEAVCIETMEDGHMTKDLAICIKGMDKVARSDYLNTFEFLDKLAENLSKKQSKL >PPA04184 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:227326:228254:-1 gene:PPA04184 transcript:PPA04184 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLIEKGSVNEIPGYNCKTANGERLNVVNPPFGIFMLIVGIVMQIIYPIYYYVIYSKGDLQYSSYKALSWIWDPQIPNGGFDYLVGIY >PPA04192 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:264806:265946:-1 gene:PPA04192 transcript:PPA04192 gene_biotype:protein_coding transcript_biotype:protein_coding MPHTRYKDVTLVDRVIDSDKQWRQERFKADQLNRQKNVLSKAIGEKKKKKEPNGTEDPVPAEIEAKLIEVKVDDLAVLSVNQINKLRVKLDALIVETNAAMEKCRLRELKHSFSLSLKRRCKRKTVL >PPA04150 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:80075:81998:1 gene:PPA04150 transcript:PPA04150 gene_biotype:protein_coding transcript_biotype:protein_coding MNRENREIGHKLVKLWFLGENVYMPTCGETLKDILDSSEEITKGDEYAVFIPWLGRGLLTSTGDKWRSRRKMLTPTFHFSMLDGYVSTMNRHAKICVQLLEEKAEAAMGKELDSQHHPNQPYVAAILDLVKLGTEIAIKFYLHIKIVRQIVGIEKAYGEAMVVAHAFTKTVIAERADALSRGEVERNKRAFLDMLLEQREKQNLSDEDIREEVDTFMFEGHDTTSAGLGWTIWCLANHPHVQERAYREVIEAFGDNCDLDIGREDMGKFAYLDRCIKEVELQAMRIYPPVPFVSRQLTKDFPLGKYLLPVNSQVSISPFVVHRNESIYPNATQYDPDRFLPENVAARNAYDYIPFSAGPRNCIGQSPSFESQRYATEAILRPIDGIIVNVERR >PPA04200 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:315419:316343:-1 gene:PPA04200 transcript:PPA04200 gene_biotype:protein_coding transcript_biotype:protein_coding MNIWNQKGMPKNKLLMGIGAYGRGWNAQTCALGAAGNGAIAAQAITKEDGYAAYFEIANMGGKTISTPEGAFLEATVNGQKVCIGFDDRNSIFKKTDFIKKNGFAGAFTWTIDFDGPGFPLHNAIKDGLSGGSVAPVPVPIPFATRVPIPVPSATTKKTNPVPIPAPAPSGGKCTDGTVRANANKAKYDQCLWGGWQTRDCPPGTIFDPVTNNFKRA >PPA04160 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:118192:118839:-1 gene:PPA04160 transcript:PPA04160 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDEDLQKHQNAVQCKDLRGSRLSEIPREITALNLAMSKGGLDTEKIGKLVHLHTLNISNAEVAEISGTSFDNYPKLRVVDASGNELHSIPVKLPLHIILFVI >PPA04172 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:186481:188321:1 gene:PPA04172 transcript:PPA04172 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKVSLSRACEEGTEIIQDEKSPIEELFEPVTKILYFEQLLIIYNLTSGYLLIGWSIQIQCASEKEAIAFFTDSIRRNSRLSLSVWKAKKLDTVNHLQRFAFFEIGMIIPCTLFTTFAAIMRRHAPLIEFLPALILVFLCLALFHIITIHPARLHNQVHTAALVLSSHLEQNDVGVTIWGFALLSKPLILTSLSAMMTALAIFLQFSDCKKQIETNFDFQNTTMFEMIDVI >PPA04252 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:618702:625419:1 gene:PPA04252 transcript:PPA04252 gene_biotype:protein_coding transcript_biotype:protein_coding MANIDKKGEDSAMDVVENPDASLTTQNENEVTKSATKEEMKVEENVEQEGDEHTDEIIEEDEMEESEEIEEKPTIEKTNETTGTVNAYAITKVVQDASSVDIGKCMIMSGLPSSLYDDDDDIFNDCIISMLEEDFTVKKDSIASITRLLKGEDEENGCRVMVLFKTRVHKHRVMAVKNRSNVGYSLEQVETVPPEVADLLKEIKKSEEKDTHDLKEGIKKEEEKKEEKFKDEHSTLPFVIDWDGAPEFQWKIPEGKGGTTLVIENADTLDLYEPFLYRASLKAQSVSVRMPGRIIEDQPTAKHYGTISLQFLNDGEAIRYAMVNLIYFRSKGDRRIKVYLPQTIVALKRKSEFEKRLGRKIAYPEAMRKIMVKSTDLSLAECTFGMDEAKAVFPHREIESVERITDSNEKVGFVITFKCAHDTVIAHASTRSVKVGDINCRIYMLGSECNGTERQGKEYLTRMEVITQNKEDQIKKKKEYDEKVAKGEIVPTVKKTFPKKTTGSSTIGLRKTRGPLPITTTKSIPMNKTNGPSFKQPMGSVRGARSNTYPQRMNTSPRGPPPLSVSSSSRGRIGRPRRDDDQGGNRSRGGLSPWMENGRRSGGAPPLSSSAFNKDDIRMEQMVREQRRQMDMQERLLRQQEMITVMNDRLHQPVLSSSAFRQRDHELSASQSAFEGRSGYGVPPSINYNKTSGYTPSPAYEPLTATMTPSHLSNPAQDTYLSSAYGSSS >PPA04222 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:412717:413201:1 gene:PPA04222 transcript:PPA04222 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQIDRMGAISGIVQNLRLIGALYQIKMVIDTKTTEYVPYTMQFIMIFFVSQMTLYAILSGNFYMAVGSIPGVILCVVNISLYIIYPPITWRVPILGVQKKVEKSE >PPA04152 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:91363:92204:1 gene:PPA04152 transcript:PPA04152 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYAGENLAALIRKETLTNHFYYLKDFKSMLTQLLTALQFLNSSKVIHRDLKPQNIAVKPNGKLTLLADMWSVGAILCEMITGSILFEDRNPFVKAIQICGPVGNKVLCQI >PPA04214 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:391440:391956:-1 gene:PPA04214 transcript:PPA04214 gene_biotype:protein_coding transcript_biotype:protein_coding MIRILGGENIYFIWSTSDVNEEELARNAMKAFYEEIKYYNYNRPQLQLRAAHFTNLVWKSVEKMGVGLYMGSYTNHHGACNVVANKERPATGYMVVVHESPAGNIMTSEEFESNVLRPNKVFNFKKK >PPA04211 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:377840:379028:1 gene:PPA04211 transcript:PPA04211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cdh-6 MEIPIYARDRGKPSLSASSAITINLIDVNDNAPKFEQSSYELTLEENSPPGTIVGTISATDDDEGDNAKIEFRIFGGSDARYFDIIVDEGEPNSVKILSRVPFDYEAKINTFYVEIQASSGQLSSTTGVRIRLLDVNDNQPQLRDFVVYVVSHEDRLASGNIGIIPAFDSDQSASLEYSLADNELLGVERTTGKLFLKTIWRSSFDASLDSCVTDGPNTVCVKCRLVYVYVKSEWLSEAVTVRLEGTNEDSFWDPAVFNRFRQSISTLSDWNESDILPISVHKSRESQLDVSFLVRHKQRVVK >PPA04207 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:349884:350848:1 gene:PPA04207 transcript:PPA04207 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMHVGKMTTTLNKEGTSHADQGGGVDQASHRVWTRRPIGLPLWRCGPGDPPGVDQGPTGLPFRVVWTRHPTRSRKTSAAQIFTQGEARISSAWTRPIRLSLMRDSNF >PPA04167 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:169514:173871:-1 gene:PPA04167 transcript:PPA04167 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGVSETDISYGRLLALKKEHKKDVTVAKAIFKLAGMDPRQDEVKKCATFAKRALDLAEETKKKIYKGRSPQDNYCGIASHLGITFSLAPFPVDLSVPSRPIPSPPLVSPPVIPRKCGGCAAQNSRLATELRFSENARLESEESLAEQISRVVTLKFGQSYSADTVVTVLELLNLGVADEKIGGVMESVAKLTGVKLDRVPSPSTVRNIAIASLSVAKAHIHQRLDQAIDQGEQLCLYSDETNKLGSKLQCFGAGLVKENGGQEVLLFGLAQVADKSAQTAFDIMRNRLDSLSRGVSDCNRGNFTDRFFAAVSCVMSDSAATQQKFNFMIEEYRASVLPTVINGWEEMSDAQQHELLKFHVFYCQLHVIANYTNVVLEALAEHERLVTGREIPDLSPTVLSVVKEVARLFGDRSAGMHACSKEFKVWSVFLLASRVFYHRQSLKQFIDERGSGRSELTKLGELLDLPIVVEHLHILLDQLVTGGKYSGIIDDVVVDRTRCAPATNRFIESAFGFVDRLFTHAPNMLVSRRESRLFISENHTMAWLSSKSSEERNAIVLAARASIGTIRAEEKHAKALLAEAILQKSLEKEKEYNAKVALQVKMRNQAVDAISTFGFIISVNSISALLGSSSETERANALVAQIRFRERALQQQPPEKGIFKLSNKGRKLTEDELKRRLVVLIEADQKGTLLTSSIDHPFIGRFVRRWKEEVSEDGRVSSVKKRGETPLVSIQFPSGQLTIPVSTLESSLDEGSFDLLDDLL >PPA04227 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:422444:423224:1 gene:PPA04227 transcript:PPA04227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gcy-25 MMRIISQNGCGDSYEGVAVAADMYHLQKVKAFIGPYCNAEIDAVARMAAFWNLPIIGYMAASSALADKRAYKTLARISTRSTNSIAEATCALLKHYRWNKVAIVTNVGAVAYDRTVAFEEVFHIRGVQVIKKVE >PPA04186 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:237847:238453:1 gene:PPA04186 transcript:PPA04186 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKNDYSDKNIKTALEANDVSPPAQAFVRKTFSFLQTGQIEVIAAAFAFGREDLIPLMFTGLLKDMNKGLGGTLDTFIVYLERHIEVDGEEHGPMSLQMMTEICGDDNDPRWELAIETAYEALEARVKLWDGVVELIKTERKKREAAQ >PPA04162 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:123249:124778:-1 gene:PPA04162 transcript:PPA04162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dhs-26 MALRGQIAIVTGASRGIGQGIALQLGEAGATVYVTGRKPEISDRGGKGIAVYVDHGNMEEVKSFFSRIDEENNGQLDILVNNAYAAVNAIFSETSKKAKFYDAEPEFWDLVNNVGLRNHYFCSVYASRLMVKNGKGLIVNISSPGGLTYLFNVAYGVGKQALDRMAADMAMELKSDGITSVSLWPGAVRTQIIQGALKDEKYAASGVGQMFLEGESIEYAGKAVVALAGDKRVIEKTGRILSTADLGDEYGFVDIDGRRPPNFRSISYALNRAGWTKTSQFIPGWFKCPGWVITAFCSKL >PPA04185 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:229970:230199:-1 gene:PPA04185 transcript:PPA04185 gene_biotype:protein_coding transcript_biotype:protein_coding MMTEICGDDNDPRWELAIETAYEALEARVKLWDGVVELIKTERKKREAAQ >PPA04146 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:69842:70601:1 gene:PPA04146 transcript:PPA04146 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFFHGRTVFITGASRGIGKEIALKLAKEGANIVVAAKTAIPNLKLAGTIYTAAEEIEKSGGKALPLVLDVRDETNVKDCVNIAVEHFGGIDILINNASAISLTGTEKLDMKRYDLMHSINTRGTFLMYSR >PPA04246 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:584363:592567:-1 gene:PPA04246 transcript:PPA04246 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSGVFVDPQKCEMRRVDSVLFAPYRQVGVVCSEVRPVVRLVKRKLARNMHSLLCAVDNTVVHYNAANLRPVAISDPLEYPVTAIAAHSGGVYVANGPKISLLPTCRFVESEIELSSDIKDLLLFGDNLFVVDVDNKLSVINTETKETTLTLEWPKEIFEISVIAHPSTYLNKVLLGSTDGRMRLLNLRTGKMIFEFVSRCPKKSRITSITQSPALDVVGVGYESGRVELRNLKTDETIMEFKHENEVTAIGFRTDGEPLMTTGDSSGMMAISASMDNSLRVWVLDQVDGMPRQLIINEGHAKPVNSCIFSSKHEVISSGKDESVRKYSVLIDTLRQKLGSAGTMKRSKAKKNGVNIDAIRLPPVVELAFGWTREAAWDNVLARHENEVIVTTWTTRKQSLGTHSLVHDRFKSDPALRNSVATAIAISPCGNTAYIGYSTGHIDVFNVQSARHVRTLVAPKSTTAHDSDVTVLTIDGRGRELISASSSGRVRFWDTREGTLTAQMRAPSDGNVVRASACNANSLVAIVLEKRDGNKLRSASVTLIDSLCRRVVRSFPQPDGMGSIPAVSFTPDGRWVMISDEKGHLRVWDIASSYLVDVIKFGSPCISVSFNPSGEFMATCHEDQRAVFIWASKNHFDSPIEMEPLELNYEPSWKGVKRDAEEAFFTQIIDDDSLDYLMDDDEEVETAKEESNKIDESRLVELSGLAPSRWVNLPDLDIIRQRNKPIEPAKKPKRAPFFLSAAATLDGFEFEKEKDDTDERRKIAEAKRNMLELESAFSSKLRNASTHSHLLDAFRTLKKMSLSAIDFQAYLATLIKVHRTQLWSEGDGLNDETGDILDQLLKAVQSVWNRIDEPFIETSSIVAFSKSALMGDDSSWKYDSYEDHSDLSGMLASNRDSVSEEEQEIVHDDGKSVAGSESTLTGSESNATLVDNKENRTPFAGNTPASRLSSSTQINRKKDRTINVSAVMERNKELESTVYDLKMKIGVINKELPWIMVNGKDHIAQYIQFKNDNEDLRKQLAEQNTNLELLRRDNEQFVMEERDRMQQEHSDEMAHLRLQYERMCQEYDALREEVGQSNPSPRDFDRSMMSDGSSMWSDRSRQVGMFEMRIEGLEKDIKAANNALEVERNSATNARRELNELRRKMEEKEKEWDRRASIATLQEIDEQFERIDSLENELKVKSENENKLAGLVKDQMKARKDLENELRMAREASISIMENKEVDEIMRELLELSAMNEELQRNVDDVSNEKRKEEGIREGIIFR >PPA04250 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:612669:613984:1 gene:PPA04250 transcript:PPA04250 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTQRLRGLIQKLYKQQKLFNEGDAKLMFTSLHALDPAADILLPLLTVISNATAIQSNQVILRENGITHRISEMVSSGLTLSKGGKVMLMQCLANMAVSKENHKILSPCIPRLIDRISSGDETEGSVAMQALTNLSIDITKDQVLSLPPSPHH >PPA04201 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:319219:320256:1 gene:PPA04201 transcript:PPA04201 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDFHFGSEPKIAHQGAYSETIAAMNIWNQKGMPKNKLLMGIGAYGRGWNAQTCALGAAGNGAIAAQAITKEDGYAAYFEVIANMGGKTISTPEGAFLEATVNGQKVCIGFDDRNSIFKKTDFIKKNGFAGAFTWTIDFDGPGFPLHNAIKDGLSGGSFAPVPVPIPSATRVPIPIPSATTKKTNPAPIPAPAPSGGKCTHETMRANANKAKYDQCLWGGWQTRDCPPGTIFDPATNNFKRA >PPA04205 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:333901:336023:-1 gene:PPA04205 transcript:PPA04205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nsy-4 MSGNDFGRKGSITASIILGLIGMGLTIAALLTPSWQVVNLREYNSIHEHGLWLDCTRHSRDGERPLLQRYATITEPLHCVYKFDYDKYSGTFDLEDDNSPVGEVNRHKFYGWHTSTLILLFLAILCTFLATCLGICSCCYGSISVVYTCITLGTTIMSSLAVGIFFFYSHRADNRFIKGIVGTYEQRVGTAFFLQLAACFFYFFSFIVSMLGVYFSFQGGKDLSMENYSINRSSRTNMTNVARSMEFDALQFAPPQMSTPRQNYYKEDDRHVAESMPELGGRAFPSEIAPRGFDTSLSGRIRRKSETCV >PPA04145 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:68496:69334:-1 gene:PPA04145 transcript:PPA04145 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIISWILCYSIAYSNPNSTIVKEVSIMCAVIILLMIYVSIHPHNEQANIMDQQQFLSSQWASIPGLFFNFTFLIMYIVYPPKTWRVPIIGTGKKITAGEKKE >PPA04173 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:190308:191554:-1 gene:PPA04173 transcript:PPA04173 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVGKMWLISVPSSKTTNMKTVWMLSDGHDPSYSDDELAGVLWTWQTCNEFGYYKTTDYGDGIFGTPVPINFFIIMCERVFGLGMDDIEKGISKSNYQYGGRNRFNTTNVVLPNGDADPWHALSILERGDLDESVVPIVIKGTSHCADMYGETKSDPPQLIQARKTILDNIQKWLASNPSPTAESLSSTTEATAILETKTTGVTKANTQTSKGTSTVSPTTSVASTPVSLIITFLLSLSSFAI >PPA04174 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:192198:194698:-1 gene:PPA04174 transcript:PPA04174 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFNSDLSVENLKYLTSRQAIEDIAEFIRQKNEEKKEEQKWIVVGGSYAGALSAWSRLIHPELIVGSLSSSAPLLAQMDFYGYLQTVEEDLKKIGGPCHDQTFTVHGPDYDPVKALKTVNPYLTMNINFTDTVLELSGVGFEGDTSSRLWLYQTCNEFGFFHSSDRGTSVFGQTQPSKSLKGTNVIFSHGTQDPWSFLTKKNDPKHWSVVIVEVEGGAHASDIGLSCTIADDYCSDNMKQIQTLTLENMKRWIDPIFSVPDRVEITDNIGKRPQLFDPNFDPYLQTNEKAADSTKLRAKRSTHSPKFPSKIVFTKWNKFTGKGRKVLLPPPVSEAIEILESAGNDWIEQTWDHFNPNEERTFKQQWFYNYQYGSVDGPNFLMIGGEGPEDIYWVSNEKLSWMTYAKEVGANVFLLEHRYYGQSKLG >PPA04197 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:305375:307775:-1 gene:PPA04197 transcript:PPA04197 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLFCCSKNEDLKRENSGESSKKNGVTIQQPDATWKVGEPLAADNGIGAEPVRRSEHIELTRLTSDASRLNDPKIEPDDDLDPNVIEEVSHEDLARYSADSPFTPRDSSGQGTSNDSFHDIDLNAREEQNTARSIEEVAREYNRSKREILDEIEREEEEVQAVISKKPEISPLNFSTIPQSEAENETMKIIASDSVLNQIEAEFKEIMDEVDEESDEELKRMMNERVNASHQNLRQVLSRNEEREKEEDKKNIHDDADSPSPASSTTGDEREEKRGIDHRNRPISSFDDDSDVEHLLNGMESDRTNASLPPPPPQIDTSSSSMGGVIGPLSSSSGFATSTMYSAASDDSDVSDGEQIPENKRVFDVSSDEGTAGHEKTTIIDDIHRGGTRLSLKSTGEATVASGEIRVTLPKEKSITVTDEEFPEKLV >PPA04144 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:67229:68247:1 gene:PPA04144 transcript:PPA04144 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGDGEPPEHNYDPYHKEGPDPAEDFDDLKPEKKNEGTLSSGDASSSTSNPNKREHLTSSSSTDSGPDAKISRRASNSDSDIEGRERMMLAGFNPQDTPLENRVKDSGSIGMGAMIPIKEYENEMEDNEDNGDNDEVGENTEEVEVDENNEDEVDENNEDDDTSKRQDPDVSPGGSDADDRLVSS >PPA04147 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:72979:73628:1 gene:PPA04147 transcript:PPA04147 gene_biotype:protein_coding transcript_biotype:protein_coding MVHIWEKDPFPHGDPRLPHHIFPPRRLTPDDLFKKTGANVNISDPLDELYTIRAYRDEQAKMILDGEIYFDIENGDGWIRVLCEAGDLLTIPENKAFRMTTTPKNFIKMKRFFKGEE >PPA04203 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:329004:330315:-1 gene:PPA04203 transcript:PPA04203 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSSFLTGKSQKGVYDSVYDHDSADFYLEPNSRAEARRSTSTLNTFTSDERNRVHLPVMPLANGSVQSIPIPPREETLIDDVIRPSSSIDDKGIDLSTRTHIREMQTIEELQRIERIKRTRPQPPPKPPTVSKQIGSALLPPPSTSTFPVTQNPLPPRTPDPLKKPIMGPRDLGIPPGPSNGYGSLTSLKFRPGFESGGNGAEIFDRPDSSIEPTLPSNSSTSSTTSKKEVPKPISLHRKLLIGTLPDEVDRSVGSSTILENETLRESNSSPLSYARYNQ >PPA04175 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:196432:197085:1 gene:PPA04175 transcript:PPA04175 gene_biotype:protein_coding transcript_biotype:protein_coding MANTDCAAFGAAVICGNIDTTTGFGCCQVTTTTTTTTVATTTVNATCVDLLNPLTGVSDCPFKSYLCTNTNYTAVMTQQCPRTCGFCGTTTNTTTTCVDLTNAATGISECSSLRAYCNNTIYQPLMRIQCRATCGFCTSG >PPA04209 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:370532:371360:1 gene:PPA04209 transcript:PPA04209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-srsx-25 MNSSSLPLPPSSSGLVPLWMILTTMITSLLGLMGNILIITATAKTNKLQNRCGIMIATLAVADTIICFYLVQLRVLQLFDWYFIPNQYCFLVSLHGIFCLSVQSFMGLSLGIDRLMAVIAPIRYKLLPKWVHGALIVSALIYAMIITGIGAFDMGNTTVPVCMPPTAFNVFSRFIWIGASFILGLFTLVVYAVAQYKCARMGRHEN >PPA04237 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:489614:489928:1 gene:PPA04237 transcript:PPA04237 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLRLLLLLIFNCINLIDTAPANIPVLRWHRSNFRDIDTTLKFKINQKLSISCEGDSYGTINETKRS >PPA04202 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:327447:327883:-1 gene:PPA04202 transcript:PPA04202 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSTRRPLITSIKSTINSSLCNSIQLKSIIDANLSVNSTTPQLSIHSAIKAKFRGEWIVFCLDKSLSSRVDFVADQTSFCSSHNEKTYVNL >PPA04194 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:269152:269483:1 gene:PPA04194 transcript:PPA04194 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAQLGSAVAPNIVSVIAYLVRYKFMGIGLPYKWSIVFGIAASLQILSGVFFIIFGSGDEAQWAKSIGEEKEDPEKKALNHSIMKE >PPA04243 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:516888:517336:1 gene:PPA04243 transcript:PPA04243 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSVDIDRFSFYTNLTSLVFLALLPSLFPCALLTPIFAYFVYYGPINSIYSKNLHETITSKTIATTDLPCSH >PPA04235 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:460174:461010:1 gene:PPA04235 transcript:PPA04235 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSHLDEKIDLDSIYIDETPIQVTYRTSLFKVRDAIEAVHNGELKPNDDNTDSPQVPRSFLTNRKDDEESDSEEDPLQGRLEIIRGSALIEVPSQTNRSIRSLDPSVNIAMVARRNIRKGEYSERIV >PPA04159 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:115685:116748:-1 gene:PPA04159 transcript:PPA04159 gene_biotype:protein_coding transcript_biotype:protein_coding MYMVSDLSSIQCELNGVGRVNMIEGPIQITLDQGSDPTSVTRSEKGITSEDSIPEEGLYPVIRTSSEIEDVTKLQETFPVNQKVSTTSMTYPASIIKKSSTVIEVLRKLSYSRMNCLREHELILLLPLDRIKLSLQNSQ >PPA04206 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:338150:340727:-1 gene:PPA04206 transcript:PPA04206 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKDQLLLQLIQMLRRIPLFGSISEPLARSEGWVGGKGMKKIMIVKDKKKFNVSLNTGESILPPITDSTESTEWPPMRSTLPPKGEKGKKRSQRKEDEEWNERRRIPMIRALARSRPIVRIDDIAEAPNGISSIVSITTTSPISTTQRISRRHRKRMKKPFQKIFKEFSSMSFSPMEEKISVTTVIPPSRNIETTEFVENLAESIEKEESEVVSKRREHRKRNYGLNPLMYKVVDGVLYDRAGQPVRRVDIPFRDEPRKPKSFLGPAKNIDDQEVGKIEHDEISIRSTNHIFYEPTTPFSPHTPSFDIDSSSLSLDPLPLPTAAMVSFPQSTTQFHWGSPLATTPLSIMRDGKIPPPFPFVNCYMNNDGFMCCNRTLESILRSSYESLPCRKGRNGCSVQHIVKRVRNEVEKRFGTSFEVLSSLGDFAMHAHFASDLTCKIEKEGRFIAAYATPKSTDSSFSQVTDYMMIPRDRSLSNPKELQIKNRKKIISNQAIPPPSPLPAERFNGGFAQTPEQSLEFLHSSTLTTTIPNISVNLGEQLDSPSVDVTKPDDNFHRAVPFHERSRGKNDFSFQ >PPA04238 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:494128:494724:1 gene:PPA04238 transcript:PPA04238 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTSSLLLVILLIFSLANLPASHYIGDRAYFLRQNSECKGGKVYEIKNVRDIGQCEEACRQFDCAAVNLFQLSEFII >PPA04155 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:97712:99401:-1 gene:PPA04155 transcript:PPA04155 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLNETYWLPRDVQWSQMPTDSRDLLYPVYFTIPMLFCRVLLETFVGIPVGNLLGYGEGTIVSQIMVHKSWLWDVKQCWIGYPWHTTDDDVWLYYMVELTFYYSLLISSIFDVRRSDFWQLIFHHIVTIGLLSLSYAINFVRVGTLVLFSHDAADILLEGGKLVKYDKTRTKTTNGIFVVFLICWIATRLGYFPFTVMRSAVFEAASLIQPDYDVFDLFQVPYAPRIIIFMLCCLVVLHIFWTIIIGRIVYKTAMDGEAADIRSDSEEEELEAKRQSERKKILTKKRPTKQD >PPA04135 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:22946:26021:-1 gene:PPA04135 transcript:PPA04135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vha-11 MSAQEFWLISAPGEKGTNDAWEKFEKCANNLAASNKFNIPDLKVGTLDQLVGLSDDLSKLDAAAEQVTRKLVQYFAEVLEDNKDKLTDHLQIGNKDMHTYVTRFQWEGAKYPLKQSLKVLSEIIGKQVTQIDNDLKAKALGYNNLKNSLASIDRKATGSLMTKDLADLVKADDFVLESEYLQTLLVVVPKLASKDWEASYASFSLMVVPGSSKLIAEEGEFSLYTVTMFKKVIDEFKNAAREKKFMVRDFVYDEESLKAGKNERDKLVAEKQRQYAPLIRWLKINFGEIFAAYIHLKALRVFVESVLRYGLPVNFQAAVVEPNKGAAKKLRSELNKLYSHLDGSAAGPIDTFEDSPALMSLGVNEYYPYVFFALKMDFIDSKR >PPA04239 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:495712:496433:1 gene:PPA04239 transcript:PPA04239 gene_biotype:protein_coding transcript_biotype:protein_coding MQYFTLLVILIIFIGKIESRPNKYKTFMDSIEFDDEGDLDEESDENTKLDQESVFTQGETRDFLRFLRSIKYDHRQVPDGKNGGPVIVNCSVVVSNVRAVSEITMDYALEIFYREAWRDPRLKYSKKKFKNKVTRKRQRMRSRQIN >PPA04233 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:451939:453741:-1 gene:PPA04233 transcript:PPA04233 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGLGEKIGEFVRCLSTFITCCTISLIIDWQTALILFWSGPVYLLTTLIPKLSSNATKQSLKISEEANGISEESILNVKTVASCNGQKQMIEKYSSILQSGISPSLRIAIVSGFLDALSNFLYVFFHCIGLWWATISFHNGRISSAGDVFAVTFLSLSSAGAFSQLGPHLISMIKARTAAAIVYETIDRAENDPNTSSQSKLDPSRADLTIEYRDVSFSFPSRAQPVLRNLSFTLQSGESIGLVGTSGCGKSTTLKLLTRFLEEESGSILIDSIPLSHYDKRKWREMMGVVSQEPCLFTGSIRENISLGRSFSDEEIEEACRIAHAHDFILSLDKGYSTLIGPSSLSLSGGQKQRIAIARAIVSRPRLLLLDEATSALDSKSERIVQKALDSASEGRSTIVIAHRLSTIKNVNRVIVMKDGEIVESGGMKSEIGGRGNKNIEDTLNYE >PPA04248 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:599654:601758:1 gene:PPA04248 transcript:PPA04248 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSSSVRPCLDLVCNLLPSPQNSSELVKKSIGNHFSGLLFKIIHDKRKGRIGYTRVYSGELKGGSHVWNWTRGEKEGPIELFEAQSDSLDPIKSAKEGDIIAVRGLEKSLTGDSFISIGFRDCDKRIIPEENAGSHIIFDGIDQPDPVFYCSIEPPDMKKRHALERALKELVVEDPSLRVREDTESGQTILETMGELHVEIVKDRLVRGYGLNVFLGPLQVSYREVVQNTVEHIEYVEDTVDGRTYSASVKLRIEPEEGLGKWKKLKMDLPPGTRLPRPDWQQGLREGVSSALHHGPLLSFPVYDVSVSLLELNVSGGKVGANLVCAAAHKCIEMGLKRCGVRLVEPVMEVELTLPADCPSQSVLHELTRRRATIDEMSGDDERESKIRATLPLSSLAGLSSAVRTLSRGLSHLNVRPIGHELLDGGEEMRLIKMRRTGR >PPA04247 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:593681:595284:1 gene:PPA04247 transcript:PPA04247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ech-5 MLTHGILSTRLGISPILSLRFSSAEPPCLTVQRLEGRDKGIVVFRINRPKTKNAISKELIARLHQEADSLATDSDTRVLILKSDVPGAFCSGADLKERKTMPQSEVGGLVKKLRGFADKISLLPIPSIAALDGVAFGGGLEFALACDIRVAASNTKMGLTETRLAIFPGAGGCQRLPRLIGGSKAKELIFTARIFDGKEAERMGVVNSSVEGGPEAAYEKALELAREIIPRGPIGVKLAKVVIDTALRADSEVTNRVEEQAYDRIIMTKDRVEGLAAFNEKRPPVYKGE >PPA04170 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:182577:183451:-1 gene:PPA04170 transcript:PPA04170 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMLHPQLIDRAISSSAPIEAKLDFYGYLEALDNDFAQIGGLCYKQISEGLNEATQIVKPAFSDYDVSENDITVFYSHVIRFTSKGDRYKALLALRDINPYLHSSNVLDNVFGQTQSSK >PPA04249 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:604780:606843:1 gene:PPA04249 transcript:PPA04249 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHYMNLIEGERWRKNYGIRVGYGKSIDKTTADAIMAEGKLALMSGSMHKRFAEMRDAIAAFPDDHLLAVSYYSKKKGAPHITLQVSGALSIVVSSSNISIYTEAHKLLSKLSQAYLCLPPLSKGCDEILRSLHKGLAEITHWVGKLCGHLCTQVHEVVWKETNKEVLRSLPSFDQIFSIHRKSLKQLATRLFLQSSASSLSSALHSSIDASSDFARNIEGNEWEKAAENYIDFIEAAQMFTHGYVNEYT >PPA04139 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:41615:42507:1 gene:PPA04139 transcript:PPA04139 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLIFLSWEGEQIAGSQSIPVAMTMARDVAISFLKDRLDISNDEDELDNISKKKHGNDPGGHFLCRPTEIAFQRARVMSYLVMI >PPA04164 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:155150:156230:-1 gene:PPA04164 transcript:PPA04164 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLKASSLLQSSPSIMIDHAEMYDSPLKNTIYIVFHHVSIVLSLHVNVILITLILRCRRKEFGAYRFLLLTFASVDIYYGLVHFLVMPIPEAWANAFYMGAHGYITGKVAVCWFAGAHSHSFVVLVFHFLYRLLAVKGYCVLYYLYDADQFVIDYMEPILSEHAIGTALQIDQYSTAVFWTNGTFVGPRWKPIFGVFVMASTMSSGYGFMMYAAYKIGWSVNDSAKEK >PPA04251 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:616048:617427:-1 gene:PPA04251 transcript:PPA04251 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSATFHIFGCQSARSRQNYLQLDLFGISAGILSMYITGIYTSFYCFESHLRSYLAVLLCLFLITGIVPFSKDSLGSKEWIPSVLIVYTLTGAAFFFYVSMFPERIRPGCFDLVGCSHQWWHLLILAAMVSWQY >PPA04190 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:250074:251687:1 gene:PPA04190 transcript:PPA04190 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGFQIDIRVPNAFGSFEATLGVMGADAFLLFIFLICTWKLSSKDVAKPFLCILSLCMLISTVGTISLFVFKMCKKVDEASAYASVVRTIGRFASVNCLLFGGGYFLLGAGNAARTRSPSFCCSSWFIHLAIAVFSGVIAGFTIFNSYSMEHIPLALFKIMPTIGVLFLLFAFVIGFFVSCCGDSEKENEHKEKFLVDAKSRYLQYTLFFIEPVAEIVLTRALDGGNFIYNYLRFESTRYWDRNAIARQAMAAPMIPNMSEIEMFFTISVCLSALILHPSVRNVFCCSAKTAKKTKIAPIDTSLPSFTSAHPMIMTPMMIPHPQMVIPSSQMTSSLSIVPSSLPTNNQYPVVYGMGGRPAYMMA >PPA04218 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:401436:403605:1 gene:PPA04218 transcript:PPA04218 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLVFIGVLTYIVLYYQYVRKYPKGPLPLPLIGNLYHLKPDGLHEYLHEIGKEYGHCFTLFLPRPIVVFTDFSTIKEALVTQGDNFAGRSHLPPETYLQKTLQTGVLISDGEVWREQRRTSLRIMRELGLGKNLMEAQVNRSIDELLDQLKTTNDGVKPFDMNTPLQLCVGNIINETLFGYHFKYTDTERFQFFINCVNKHLQNIRDNFWVMLIMAWPWAKHLPVIGEKGYKDPIQNISKYQDFIEEEVNKIAKSFDTDQEPTNFIQSYLVEMKKNPELDLVNLYAIVVDFWLAGMETTSTTLRWALLLLMKNNHVQEKMREELLSVVGKDRRIEMGDKPNLPYFNAAMAEIQRTANMVPFLGFHRCTDDSVIGGKLIPKDTLTMPQIFSVLKDDEVFENPTEFLPERFLEDDGKTASKKQLERFIAFGMGKRQCVGEGLARMELFLVLGCLLLNYRFEKTEPIDMKPIFSAVLVPRPYKCKVVPI >PPA04210 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:374793:376313:1 gene:PPA04210 transcript:PPA04210 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSVIARDKGIPSRESTALIELSLIDVNDNSPIFDQSHYNISVLENTTLPAVIAVVHANDADAGLNGEVHYSLASSTSLPISVDYTTGEVTLRDSLPLSEAAVSLLIRAKDGAQPARSTTVPLYIHVVDINDHEPRFVNTHKQLQVEEGIAIGEEIGRVLAIDEDLGANARIRYSLNGTDDFTIDEETGILRTSKIIDRETTDRYDIVITAKDGGDPPLSTTYQLTIIVKDVNDNAPLFEKNRTIVSLSEDSPRGTKVVLLRAFDADEDPKITYSIEKSTEDVFSLIDMGLEVGQYSLQSLFIHSSFRELFFLSRVR >PPA04245 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:578077:583424:-1 gene:PPA04245 transcript:PPA04245 gene_biotype:protein_coding transcript_biotype:protein_coding MRESCKRLFARLQSSYTLFDEIRLALGGEEHSELARQMENLRLDLEISVMDSGEAAKAMEVVENSLFELQSLLEVSMGGEGQGRESMFNLSNALGTVEKEEKEGKRDMTYSIEVIATGERQSASEERNQLESLIEEHRLLQIENDEMKKKNEEMVLLGVDQNEKIEELQKEREELYGEVEKRREKEERLLDENKRLMSKLEEAVVEVDRCKNEMEDTVARMQDHIDRLDSEACESNRIREDELRVKDEKIWAMSRSIEEKEVEVRNIHGRIEEITKELNKMRDCERRVMEKEERKISRLEKESEAYREQLERTNDEYVKISEELEIGKKREEELEKRLNKFKFKAIEEEDKIRKANERDESSTQTSLTMEKLSRMEWSQKEMISDLNRLGNIIENSFLEKDGRVDDVQSCLGDVDVNRLVDIVTREKKKKDENMEKEGVDSRESRESSSGKIARKEVNEGKYKDPLRQLFYSLTSDANELVQMYKKEQMKIMNGGLSQNEYARLLVKMRTKSQSSQDMGDAKTILRLEFLMEENNKLKSRIMTLSNALRSNWSDEEKAKLLEKIEMEMSGISKTLKDIVGAKEGLFVNYDYTSSN >PPA04157 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:105299:108456:1 gene:PPA04157 transcript:PPA04157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:H3E394] MYSSSSPGASLDEWRKKSTFDGGKMRSILQGGEDKLKLKDEIWGILEKDPLFKKPNGQLGLEEVRALTHQRWKKIIEYGFVCDPYAEYEKFSALLEVLEEYDTALQARVTLHSNVFMGALTSMGTERHAKLIEKGNRNEIVGCFALTELTHGSNTQNIQTTATYENGQLVFRTPHIGAMKVWSGNLAQSATHAVVFAQLHIGAKCEGVHGFVIQVRDETNHRTLPGIKIGDMGEKPGQWNAVENGWMIFEDYRCSTDALLNRGCDITKDGEYVHSFKSDRDKKSVSLGALSIGRIGIVGKGINACRAAATIGIRYSAVRKQFGPPNEDELPILCYPLQRRRLLPSLAAAISIGFFQDKMVDLFAQYMARVLSGEKSDELAETSKEVHGLSSCCKPRATWLGVAALAEARAACGGHGFLYISRLNELRDTYDPSQTFEGENNILVQQASNYLLSQKKQGILSSPMGSTDFLLSRPSNFGGWKEDTLENVFSAYEWLLHYTLNKTEKALEERKKNGDDQFTARNETQIDTAHPLALAYAELTMIRWANEAVEDIEDEKCGYCTDSEFGTRVKDEQLSLEVSLSSECVSLVDALAPPDFALASALGASDGRAYDHMVDDFRSREIDRAPFADDLVRVIQANKAKL >PPA04219 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:404623:405709:1 gene:PPA04219 transcript:PPA04219 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTKKTLTRKVSVHRRVKRSEYRLIPKGYKQVNRYIKRVTNAPNLIDPNGSSVQRFTKSVSKLFRNEAVDGSIPRWADTYKRLLKIKRDMEIRERDPTARMYDSPVDELVFNKMLSPRFAPVLPDKYEGRGLLSPSVLAFYKDDGEDQIVPIPSLLESTGMERKDRDSLLEMIMEISGARETVEEASKVSKRENHSDSMVKEME >PPA04204 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:330731:332266:-1 gene:PPA04204 transcript:PPA04204 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRREKKRWIVDTHVCPIRLLLSRIDSIHNLSPFSPQSFLAMGRIRSHKIAALAACGVGVILAGASLLLAFLSFAGVGAFYGWASNQDNSVVKQQDEQETYEVVEGGNSASQVDLLASAHNLSETAPFKRSFSAIYRVDSTSLQQWEKDYAKKVKQTQDAFVRTKSMPMLGKRQSMR >PPA04148 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:74792:76218:1 gene:PPA04148 transcript:PPA04148 gene_biotype:protein_coding transcript_biotype:protein_coding MCVELLEEKAGNKVDMYPIVKMCALDIICETTMGKELDSQRQPKQPYVEAIVNLMSLGTEINMKFYLWPKFMRYVLGVQQKFDYSLAIAHNFTRTVIAERSEALERGEVESNKRAFLDMLLELKDKHSLSDEDIREEVDTFMFEGHDTTASGMGWTVWCMACNQEIQERAYNEIMEVLGDDPDRDLTREDMGKLIYLERCIKESMRIFPPVPFVSRQLQNDLQCGEYLLPQHANMSISPFVIHRNESIYPNAMQYNPDNFLPEKVATRNAYDYIPFSAGPRNCIGQKFAQYEEKIIMAWLLRRFRFESNECIPGISTIRSRSRSATNQWNKSYGS >PPA04163 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:149569:154120:1 gene:PPA04163 transcript:PPA04163 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDAAHGTATLSFLNGAQRNPSVVGLNGSSSVGKHKTLFSKLFRRNGPTSVPSPSSPITERSNVVARANIDDRRNGGDYFVRHGSLRLPSSSVPQHFSRAGSLRAPQGSVDHKKIIDSLDRYLQGHTNNRASPLTTSAEIGSTWPKSVDGSTESSVLRRRSGQRPSVFPVFAAPPPPPLETASDRHCSCSSPSPWGDDPNRRDYSPVPSSVASHRMSTYSPSLPLPPPYPGPRYGDESMSTPSESEAEVRRVCLRKEQSNFPPEFGVGLEDGSEGGVYVAHVHERAATHGVNKGDRVLDIGGINMRSADKSAAARVLSQFHASQDEVTLTVSTRGSVPSAPFWVTVPRGKVRLCGGNAVGILADTSVGDLMAGDCILELDGFDLRKSTLEEATSALKNGHSEMLDILAERGGMGMDKLRSGADGDGFYVRVNTDRIGETNDELDVKQGEIVFVDNTLFMGQHGRWRAWKIDREGRQRQCGIIPSATVVEASVVRRKTRLPSSSRPHSSLYRPVYERVERISTQQPRPVLLFGAIISPFIQALLDDPINKFSPCVPECRALSQSELDRLLSSGQIIDARRRDKLYDVISLAAVQSVLDLGMHVVLDVSPSALHQLRLLRVYPIVIRIKFKSPKQIKELKEELGEKMTSKQAKEIFDKAAIVDSQLEASDNSGVTVPIGVQTPMKNLVKHICQQTYSRSLHNGICFRSFSFLPITEEAAIPVKY >PPA04254 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig123:646560:648763:1 gene:PPA04254 transcript:PPA04254 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAQLSDAQLRDELKQFGIVVGPVVGTTRIVYEKKLVAARKKGVPTPAAPPKSPAAVPARKTSTPSVSTKNTTPSRTPSASRSTSRRKAPTTRSESEERSDTETESLTPSRVKSVVASTPKKKEKVVTPPAKPTPTYPIPGLNTTMTRFNSSADKPGHTPPRSKVAPKTPSIKPNLNVSSYSASRSRSMLEPEPATSGDESDDHEETSGVLSPSSSSFLSSFGSGQRKSMRSPVYSAMDSMKSKAESSFNFLRKKPTLDFDDAPLSSYDMQVGGRHTRVIKDHKTGKMKETAYDVSRILIIILSLFLILLLGAYLFTAKKEVIVGSVKTVVGAAQDTVFFVYNYAIFPAILIALSAVIVVTVYWVYMRRKAALIDEEEALYELIDKITEI >PPA04223 pep:known supercontig:P_pacificus-5.0:Ppa_Contig123:413876:415751:1 gene:PPA04223 transcript:PPA04223 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTGVAYSADFAAVGVIVVRWAPLTQTAIFISFLTTFSSIASMVTNPVAGAAVSDRELERIQEGKTQAHIERDRFVPYKAICSSPVILVIWLNAFTELVGYIIMLTYGPTYIYKVLGYSIEQTSYLSTIGATMHFVFKMSSGLLSDLLSFISEKNKMMFFNTLAVGVSGLNVGGFYKCATLHSRQYAHFVLATVQFSKCIALIVGPLTVAIFASDEKDPSGWIIVFLFNGVVMIIANILFYFTATGEAASFTEITRVTNNEQKESGIRLKELS >PPA04256 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1231:68:1223:1 gene:PPA04256 transcript:PPA04256 gene_biotype:protein_coding transcript_biotype:protein_coding THGENAPSLHTCFNWFTRFKRGDYNLEHQPHPGRPSSRVRGRVLRELKANPKSSVRDIEKTIHIPKTTVARILHDAGKTPKLPQVIPHDLTTAQLKKRVDVCQGLLHRRSNFNWVSHIVAMDEKWITYDNPERKLQWVDVDEKPQQGPASLLIYHYIKHNSFSSSQTKLHYRMLMLLVQQAVVPFTFVYTLALICAFSILIRADISFMAPIFVRDN >PPA04257 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1232:181:4044:1 gene:PPA04257 transcript:PPA04257 gene_biotype:protein_coding transcript_biotype:protein_coding SDIFHLFSTARRVARSDSTLYEDATALQMEFIRVRDETCRALLHSDAYTVLAKDIAEAIEMEKKAKVAEEAEAEKKDDEKGRENAEPEVRVGDYALVSPSEKEVKEPHLMRIEKMTKEEEGATLVTGRWFYRPHETYHLATKKFAANEVFLSNFRDTVTSDRLMTRAAIVQTKQWAKCTVKGYAPEN >PPA04259 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1234:939:1327:-1 gene:PPA04259 transcript:PPA04259 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTNLKGKLGHGGKLIPGLESVKGLLGDYMDQLNIKKRSSSMVDQYPVTEPEREKRFTKENLLGLIGNLDDGLTNLKGKLGHGGKLIPGLESVKGLLGDYMDQLNIKKRSVVE >PPA04261 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1238:4419:4639:1 gene:PPA04261 transcript:PPA04261 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGKKKNGAVDKKAAAAAKPPAPPPVPPVPKEAPKPAAPPAADPPPPKKEEEKKEPE >PPA04262 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1239:46:1192:-1 gene:PPA04262 transcript:PPA04262 gene_biotype:protein_coding transcript_biotype:protein_coding STQPSTTTLQSTQPSTTTLQSTQPSTTTLQPTQPSTPLFSRRSRRPPSRRSRRPPLFSRRSRRPPSRRSRRPPLFSRRSRRPPSRRSRLPPLFSRRSRLPPLFSRRSRRPPLFSRRSRRPPLFSRRSRRPPLFSRRSRRPPHFSRRSRRPPLFSQRSRLPPLFSRRSRRPPLFSRRSRRPPHFSRRSRLPPLFSRRSRRPPLFSRRSRRPPHFSRRSRRPPHFSRRSRRPPLFSRRSRRPPLFSRRSRRPPLFSRRSRLPPLFSRRCRRPPSRRSRRPPLFSRRSRRPPLFSRRSRLPPLFSRRSRRPPLFSRRSRRPPLFSRRSRLPPLFSRRSRRPPLFSRRSRRAPLLSRRRHRPPLFSRRSRRPPLFSRRSRRTPLFS >PPA04287 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:120746:122332:-1 gene:PPA04287 transcript:PPA04287 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEAREAFFDGNDVRYFHALCCSAHVTNAARYVACSSLFYFFIKAAPILAHWALCIVINSVEIGIWILELVLHNPVDLRLMERSIVFIEAPEYFNNKRYEIKDEPVYAPRPTVAAADKMWVLLFISILCAALTVSIYFFTIVVNFYHYLERSTDPILPDNQKVINTISQSISQSEQEPSVFIYRGNVVSRAFETRVASVSQTLFARSKLVRIIMKVSRTFT >PPA04268 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig124:23810:24655:-1 gene:PPA04268 transcript:PPA04268 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPLVLLSSGISETPESKPVTSVLGAAIRVNRVENPTDLEVDLLHEKYCNALVDLFEKNKALYNFPDDQDINFY >PPA04293 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:142324:143499:-1 gene:PPA04293 transcript:PPA04293 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQTAARYLAYASLFAACFRYVIGIAFGFDYWGFLSSFHTGLDIICIGTLFVAVYNESYILTLPYICMQILNMTLVIGLSAFHLLLADVEVAQLYATRFIWLIFIVYSLKIMKNFHNFLNDRELWSTEYQDQSAEYHVRSQNQHQNQEPAYHQPPFNPDHHTPLHDHHPEYQQSPSEPPPPYPRLHSFNK >PPA04271 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:41199:42546:1 gene:PPA04271 transcript:PPA04271 gene_biotype:protein_coding transcript_biotype:protein_coding MNDNIEQMIRVDELSVETLLEKTWFFEMFTYGVIAYEIKHIMVPLFINSNLAIIQVDELFDERIVYMCLQPKLEAPNSRFNEIHNGDFWKADSRVLSKHNVLT >PPA04277 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:84044:84865:-1 gene:PPA04277 transcript:PPA04277 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQSHVLAYDYHLAVQKKIALGSGQFGNEYMNDIDEEIDKNLSRMDAADRMIARCKKNKRKVEAKAAEEIHQFIVLFSSCIQMVTPRCP >PPA04269 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:26104:26975:1 gene:PPA04269 transcript:PPA04269 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLEAHNLATACRYCLHKVNLQVDEHSFNYEVQTLVKDLRVHNNVLGRESEMEMLDGSFSKKMVKDPFLVDTFTFKVDGDILKATAEAEGVASTRYYSRVV >PPA04300 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:169328:170624:1 gene:PPA04300 transcript:PPA04300 gene_biotype:protein_coding transcript_biotype:protein_coding MQRISTYSEDEIVGISIGLFIVCIVVPQQAQMQWAQMNQMGGAPRYYPPQVGSADYTSLEAPYQVATVPSLIDPCSSSLYR >PPA04291 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:131556:133134:-1 gene:PPA04291 transcript:PPA04291 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDPNHPRFTHTLTCNAHVTKAARVVVAVSLFSVLIRVVIEVAMQTWDYRENAILAIDFCLSICLLVAVYKEIPCLIVPYLAYLVLNVLVRFIVAFQVVNGKMVK >PPA04298 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig124:164528:165178:1 gene:PPA04298 transcript:PPA04298 gene_biotype:protein_coding transcript_biotype:protein_coding MWAGKGTQREPANKTAFSKHAQKNITITRRSLLVGQKESDQVALKGEHCVSILMEDSEQKDNSKQSINLCVQTDKFL >PPA04312 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:222045:222988:1 gene:PPA04312 transcript:PPA04312 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFDRPVRIPIGKGVRQGDTISPKLFTAALQDCMKELDWSEEGILIDGKKLSHLRFADDIVLLGTDTIALERMLKELAETGEKIGLSINRKKTQLMRNEWCAGPGISLGGDPLEETDAYVYLGRELRSDSTMHTELMRRKRAAWAAYGSIREVTRQLQDPKLRSSLFDSHVLPALCYAAETWPLTKSVLYFIQTTHRALERSLIGTNLYTMRQKNMTSSDVRRISLLTDPIDFIRRAKHRWAGHVLRREDDRWSTRVTQWFPPPDLHRTIHPIVFSTRSIPTLDYTRTR >PPA04305 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:190540:192830:-1 gene:PPA04305 transcript:PPA04305 gene_biotype:protein_coding transcript_biotype:protein_coding MALQIASACAAAARTTVSSKNSVCATTARTTVSSKNSAMSDRRMIRESVDREDEEFRFSFCCDMHAAKAAKMVMKCVYAIQITHFCGFIFYIEVILQDIYFTLLISANNEVIKRDYARLTDFIENKEVYVICFALACVFEFLLFILFYNVMNTYNNFLKDQEKARIESMKLKEVLVRKESGAPAGDSKKVETTTAKTSLAKN >PPA04278 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:86852:88003:-1 gene:PPA04278 transcript:PPA04278 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYRRGAMSAQFDPNDGKYFHLFCCSSHVTSSAIGWNALFEFLCTISLIYAVSDEVAILALPYLIHQAYCFGTLAVDLAEMSRNWDRQYYFSKFPSSFYATYNLLKMFKLFETRNIEQTEKVLAILTRLSRLVVAIYFFKVILNFFLFLKRREQKVCSLLNASERRKKECDVGITS >PPA04341 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:400871:401392:-1 gene:PPA04341 transcript:PPA04341 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCCPKDQRRADITAPISIVLHCFDNQNQVPRLGQRENKGERGELIPLGRSRKLVILRRALLKMALEER >PPA04330 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:301712:303301:-1 gene:PPA04330 transcript:PPA04330 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSEEAADLLHHISHNVLTVFSLITVVVEVRLLYVIFAKRSRIPSLFRIIILHILVSHIGITTFQLIHFTIDRKSEIEEEFWPHCDGLGTVIEYRSSIPQAVLRTTIACFALSSVDIISNILALYVFFSAPSSRDLLDFIDFEAEFDDNTWRSLWT >PPA04272 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:58219:59448:-1 gene:PPA04272 transcript:PPA04272 gene_biotype:protein_coding transcript_biotype:protein_coding MVYASSSCFEMFIAFERILSAMKPDSYHESSAYWRMLISLTIFAYTLGTCIGYTIYIAGHHILGVIIYNVIDISTLVINTFGIRYCKARYLQLYGNGSLNARYQVYEAYEMAKAMHPVYWISFCLKNKYRTRNCAWPEHYRDDKDK >PPA04303 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig124:184143:184688:-1 gene:PPA04303 transcript:PPA04303 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNVVKFPDVTFNSPFIRFVCVVSTLAIVELFIYINLKVHYVLWRFLKEEEMAKREAVHQPFNHPSAPPAAYQIFKTILQGSYQGYEAPQPQLVLNNVY >PPA04288 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:123390:124688:1 gene:PPA04288 transcript:PPA04288 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLLLLVAGLVLSVEARAKGPKRPVESEEEPDVDAAEEAPEAEDDSDGDDGEPEAKSDGGASCAKKLSIRDQYKVAKATELTCYELLMEIEKNEKENDRATAKQAEIKEECLKRYTKKFLVKRYGGVIRRHIPFWKTSYPTKDLRKAIRELPNAPCAKEDKIIDFGVGFVRGKY >PPA04340 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:395987:399722:1 gene:PPA04340 transcript:PPA04340 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRAAEGTQAIRRVNDIVHTSIALPRPLDVLVDTVEFQRLRNVKQLGAAPYVFPSGNHSRFTHSIGTCHIAQLVLEKLKSDASLAVNAEDVICVSIAALCHDIGTVTVRTRTSTTAHLWRPLGRMEDGRSWRRSRRRADGR >PPA04313 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig124:223590:228014:-1 gene:PPA04313 transcript:PPA04313 gene_biotype:protein_coding transcript_biotype:protein_coding MREVLLFLAFVVSQAGDWIECQDGIFVGQLEAGPKTIALTEKFQCDPQSKKLTCAIAGSEAPNGCKIQQYLPGDSNPTTIHIFGGLEAYCPSTQRLVFSDDDVVIDRVECFESGGLKVYICNEAIWFSPGYGPHFKCAEPFCAIDNNLSNGTAVRVDLKPGAEKLLCTNTERLQWHSDSSDVASMECSVSGLDIKDMAGKSWKYPICKASLQCVPATCPIKNKLANGTIDDVILTPQKERFCPHGQIARFSYGADLKFLECNGAGLHAYLADHSVVTYQKANPPTLGCIAACNIMDKQPKGLVGMTLNPGKEQYCPEGEIVQLEDGTPAKDISCTTVGLTIVKQDGSSVTTPYPSPTLLQCGPGTCSTTGSCGKTRTCSIPSGCGDPTM >PPA04266 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:16566:21683:-1 gene:PPA04266 transcript:PPA04266 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMRSLLVIFSTIGLAQSACSPYLSRSQTFPRKTLVNGVVEGFCLIDSPVQVNAFLGVPFAEPPVRFEKPLPKNNWSGVLATKTQPNMCTQVTGAANSEDCLYLNVYAPAVAPSADCRALNKDSCTHGLPVFVVVHGGAFATGSAQEGAPEHIARYLAAKGIVVVAIQYRVGPLGFCTTKDSAMPGNYGMWDAKIAFEWVRDNIAAFGGNPNDVTAYGGSAGAALIDGMHLSPLTTSDDRSDDLFHKMVLFSGAARDMWDAHTTEHCEERATAIGLSWTDSASFKSALLSANAADLAGGWQVIGEENFDYLFDSKKSTHSDWAPVLDGDFFPSTPAAMRAATQPKPSIFGISFLEGAGMSGAITIDHTTVEKIVDFMVPATIANRSLFQSYFLESYRNQGQILEPTAIDKHAMMAAFGERTFGATMDAVLRRNFELFGNNQTAYRYVFKHFNPAPIGGLYPFISYATHSFDQWYSLGLPGFTLNTADQIVIDIYTTALVNFAKTGNPNGSSASALPVPWVAATAQNPSLNYVIETTPSMDAQFFYGRPNLNNILNKIGGTFKPV >PPA04333 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:317614:321487:-1 gene:PPA04333 transcript:PPA04333 gene_biotype:protein_coding transcript_biotype:protein_coding MGILFSYPDSRIVGTTCGSVQGRRLIYKGDKQVDAFQGIPFAKPPVGDLRFRKPEPPEKWEGVKATKKFARRPYQAPFFYIDNLIRGCPSEDCLYLNVFTPCWEPPKEGCPVMMFIHGGGFEIGDTISYGDRNICENIVTRDVIFITIQYRLGYLGFFSTEDEACPGNLGLWDQVAALKWVNENIEAFGGNKNNITVLGQSAGGVSTDMLHISPHSTGLFHKMIPMAGNAHLAVIASNRNMALHSKKKVARLGISDYKNSFELLEKLRRISASKFLEPVKLFRKKNPEEPEFETVPNLDDRDFFPDTVDELRKRAIPKPLMTGITREEGTLFVLTKKCTEQSLNEVISLTCCEARNKDKLAQELRILYVNETLEDSKEKFSTAIVEVASDYYMNAGTLQLCRNTVATQDKPAYLYILDHFNPKVMGILAWFMPIKLATHGGELTYLFNKGFFGKYPSMTKEDQTVMDAFVTSFTNFAKYGNPNGSDPSHSELPTEWTQVTKENPGRSYVLTGEKNYMREDFFQGRTAKFIEILAKHRSTTSDE >PPA04323 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:263241:265271:1 gene:PPA04323 transcript:PPA04323 gene_biotype:protein_coding transcript_biotype:protein_coding MFLYNFHFCTLFIPFIYPFTGGGFCMGLLCSLGVRFHYSMVYQLDWVADHKVFSIVNGREKFLLAQVIKYVMVANIAVFGSITVIIFLLTLLKIFRISSYQSTNKAQRVYRAKIKNSIVILTQMVLSLLLGVVPLCVMVYAVGSADKGEQGVGQETMKIVLACEMITTSVSLINSAVFILGNKQYNKFRKIGIRNSNNRLFISLALLPTGAAREYVSLIAGQAFYLSDQLK >PPA04289 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:125405:125601:-1 gene:PPA04289 transcript:PPA04289 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAITVYFFKVILNCVLFLNQKRNSIDHSGVTCTAGERGTATRATMEP >PPA04337 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:370494:381299:1 gene:PPA04337 transcript:PPA04337 gene_biotype:protein_coding transcript_biotype:protein_coding MLNCLIFRRGCDHADLSGASGAYERVLSRPIDSGNFDGDGTSSVGGNVVRLDVYMEAQCPDTSRGNVVRLDVYMEAKCPDTSRFIRAQLMPAWKKLSATGRVDWNLIPFGKARCIERPDGDFDCTCQHGANECVLNQLMNCVIETIQHPDRFMEVVECIQGKPNLDAALEKCIATSESLSVDQMQQCASSSHGRKLLALAGAKTASLSPRLTFVPWIMLNGERVVDALYDLPGNLCKLLEPAPNLVVSSYIVR >PPA04332 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:313123:314746:-1 gene:PPA04332 transcript:PPA04332 gene_biotype:protein_coding transcript_biotype:protein_coding MFREQKRPSLHVLCKAKDDWLKGKDEGRSVCPATVYKCMRAMGFSHRQLTTRVHIFTNPSLSSLRNYYLKTMADLRTRTGSDSPYFGYLDETWIYPGMRHNFCWVDSFVEEDPFLAMKIGLTPGIDPEYKKGERLVLVGVFSEEGFIHRKVYRTGKKEDESCRDYHGEMNSDVFEEYAEGAFAELAARASALNKSPILIMDNASYHSRWDSETRNEKETFTNVIAKLDPKVYNRYAVEEIAKKHGSDNGETAKAKVEEIFDSFDPSLGPKYLRHAREKEEEHISKGSLTFDHRDIDTHAYIRAQARADVGLDSHPVPLTVSDGEEEEGGDGGAEVEEDEEPLLDDDGEPLLEDLSDDEDEQYSEQF >PPA04296 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig124:155648:156563:-1 gene:PPA04296 transcript:PPA04296 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWASVLGETTIASFFFEFICISLLSFAIFKERGLLIWPYIVSQVFPVATIVRLSVIEYQTEYYTYDPHNKRLNATAISIAMAKLVGRLVWITMIAYFVFIIINFYIFLANRAKAYYTFHSTRPIEPAATTPTNARQTIFNYQLTLAPRYPRPHPVQIPAQSVPHNQPSSCRIPAVNSPGIFEPPPPYEP >PPA04290 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:127816:129684:-1 gene:PPA04290 transcript:PPA04290 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDQNHPKYFHTLCVSAHVTKAARSIAVFSLISFVLRTAYFLATQYKEWDRYITFMFFLDFCCVVGLLAGVHKEVGWMVLPYLLDQLLTIVLMIGVTFAIITEMPDLLPEGEEYTNEFKLKITAVFMGVIVIGFVAYFSKIVLNYFVYLSALQSHQSLSGPPSVSYHASVPSAPLYEKTGNQYPTKHQEAHKMEAGELPPPYTH >PPA04299 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig124:167341:168857:1 gene:PPA04299 transcript:PPA04299 gene_biotype:protein_coding transcript_biotype:protein_coding MATAETITTVAPVSKPNLPPTPLPAAIPPPPPSTSQISGPCFQSTLEARIVARGYRNLGKLPPQENATVLLIIIIIISILGCVGCCACCYCCYKQGKEEAQREMQYNQQMNAPRYYQPGSYPPPPPPPHGSLPPPPPMAPVS >PPA04329 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:292891:297231:1 gene:PPA04329 transcript:PPA04329 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGVSETDISYGRLLALKKEHKKDVTVAKAIFKLAGMDPRQDEVKKCATFVKRALDLAEESKKKIYKGRSPQDNYCGIASHLGITFSLTPFPVDLSVHSRPIPSPPLVSPPVIPRKCGGCAARCRTIKNLSAKLGRARKKLVTQGEDLDSENNSQIQRLSSVNRVFSRVNSSLRVKVASLSAENSRLATELRFSENARLESEESLAEQISRVVTLKFGQSYSADTVVTVLELFNLGVADEKIGGVMESVAKLTGVKLDRCFGAGLVKENGGQEILLFGLAQVADKSAQTAFDIMHNRLDSLSRGVSDCNRGNFTDRFFAAVSCVMSDRAATQQKFNFMIEEYRASVLPTVINGWEEMSDAQQQLLKFHVFYCQLHVIANYTNVVLEALAEHERLVTGREIPDLSPTVLSVVKEVARLFGDRSAGMHACSKEFKVWSVFLLASRVFYHRQSLKQFIDERGSGRSELTKLGELLDLPIVVEHLHILGLLDQLVTGGKYSGIVDDVVVDRTRCASATNRFIESAFGFVDRLFTHAPNMLVSRRESRLLISENHTMAWLSSKSSEERNAIVLAARASIGTIRAEEKHAKALLAEAILQKSLDKEKGYNAKVALQVKRRNQAVDAISTFGFIISVNSISALLGSSSETERANALVAQIRFRERALQQQPPEKGIFKLSNKGRKLTEEELKRRLVVLIEADQKGTLLTSSIDHPLIGRFVRRWKEEVSEDGRVSSVKKRGETPLVSIQFPSGQLTIPVSTLESSLDEGSFDLLDDLL >PPA04292 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:134774:140215:-1 gene:PPA04292 transcript:PPA04292 gene_biotype:protein_coding transcript_biotype:protein_coding MRESYYDLLCATLLGLGNLFMFMGYDSQLTIVEPVLRSVHDGSPYTIAAHAGYYGAAICNLFFFLTSLAAPCVLAMLGSKYTLLLGSALFTLHLLSFQYIHYLLYYGTSATIGIGYALFYSGHGAYITEHSTKATIERNSALSWALATSCLIVGGVIVALTANNPSDVTSDLANTPNATVTEGRSYRQYSEWEIRLVYGVFALFCVLSNAIFALVPTRNVTDSIAAGFKKRQDNFVEQIKRVGETFIDARTLYITPLCCLLGFSTCFWVAAFPATLIFSKTLSGHIFLSALYLVTLGSGEIIMGMIISFASKRIKDFAQLPSLIIGSIMFLAAMVLALLSTPPEATYSPTDAPTPLLEPSPVIALVIALLLGMSDNSFNTARTVICALIIPGKIPELYSISKFYQSLLSTVVLFTAPFTSMPVHFGIISSLCLVSLFCYWRAVIKMRKEDKKEKLENSESTDSVVTQADIVE >PPA04267 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:22605:23500:1 gene:PPA04267 transcript:PPA04267 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKRKPNIQKPHSLLIPVRRRMYSNRLDIRRIYWKFGFAGEFLSQLLKQPVKLELAFVESEKDCAYNFV >PPA04315 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:232254:233356:1 gene:PPA04315 transcript:PPA04315 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSIQAEKGFYKPVSAPATRTRLLAPFKTSPDCAEVKAYPPFPPLPTADCRAIFHDPTSQLCALLGESVYSACTTEYVLGLCEDVMCSNRGTCKDFNQGPGNGDQNVNETATYCECATGWQGVKCDEEIEPDEPNECDDDPCNKEKNLGHLCIRQKALTTRLGHTCICARGRMFSPKYGYCTMMG >PPA04297 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig124:157401:158151:-1 gene:PPA04297 transcript:PPA04297 gene_biotype:protein_coding transcript_biotype:protein_coding MISFASLLSVLLRTIIVITVGQWGSYFNGFLCLADWICCFVLLLAIVDDAKFLVAPYLIFEIYVIINSVLEIHRVLLVHFIDHNFVHLPKQAYSDDEYFAKQETLVTIVTVIVTIAVVIRMFVNAYFTTVIAHFFYFLHIKNENEQLQEEPNDPLVETTSV >PPA04318 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:248769:249387:-1 gene:PPA04318 transcript:PPA04318 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDFYAKVGEMMEEVSKDDIVILGGDLNGHVGRSAEIFGDGGVHGGKGYGRQNVDGLRILEFAQRHDLAVLNTMYEKRKSHLVTFYSGNAQTQIDYVMMRKEDRWRVKDVKVIPSECVAPQHKPVICDVRLSGRKSKKRNKLKRMSPKIKWWKLKDPKVRVMYLE >PPA04273 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:65922:66987:-1 gene:PPA04273 transcript:PPA04273 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSDKKVRRMMTMRNFRKSSRLAQQEREWLKLTSQDLEKSKKKQFCDEYRKDIEDERNKLLNRQRNLDITLASLTGERVWEMKRRNASTGADDDISNELTFSLSGY >PPA04328 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:287972:289346:-1 gene:PPA04328 transcript:PPA04328 gene_biotype:protein_coding transcript_biotype:protein_coding MLEILSDYVLPVFVIVAIAVQARLTFRLILVLSTKNKTVPPIFRVIILHILASHIGVSVFGLSRWFIYYVGPSESIYLLYLTDYHVNNGAGMMWIAAAVVLVTDMQFDIGVLELVACILAVATTLTYLITLAYWKFVKASYFKVFQTSRILTQLHNYSSTPGRIRCLFISKNAYIVLKRGGTQKSMETAHL >PPA04319 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:250208:253054:1 gene:PPA04319 transcript:PPA04319 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMIVQLWNPRIISPQPWQQRVRFPKRCRRPNIHPAGAEPAIYDCGMTGQATDSSVEAAPTSNSRLGQNRTQVEDRFVVTPRVEKTSYRWIHVDGYEAPARLNCGEAVSDSYPYCRVYHTYPNGAVSATALYAGNEQYCPAGMVPGYADGQGIRSMSCKGTILTIEHEDGTITMSNKTPSTFPTLKCVPGVCKQVCSGPTTTTTTTTAAPTIPPNCPENGVWSEWQVVGPCATTCGSCSVAKRTRTCTMECGNCPCVGPSEDVGPCGIAVCPFPPNTCCTQEKHYKKSLNLWHGGFFCGLANVEKPSYNDGRDF >PPA04324 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:265871:273527:-1 gene:PPA04324 transcript:PPA04324 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVKVDRLASESVESICLSEKRCKSQEEITQGNKYMDEMAIANKEEDEMRKKWKVENERARMIVEAQEENWRREFKRKHNDTMEPFKPFLVNLPEGFNEGSGDDNWKPDFGGWSLDNETAVETETTTTAASVDTASVALGSTADKPEAAEVEEEEAEVKKEEEAEVKKVETAESAPGAKFKPLEHALPTIDFVHEVLEQTVQKEDSAPATSSIAPKGEAETEMEEEPAVVMTAGSNLAAAVASSTSGSIMTDGVESTTTAAASVDTTPAASEEATTVAASFDTTPATGEEGPEPTTLFATTEAPEHFTKSAVEKAGEEQARRTLQEAILEAKKTVDEDVGFMSKPVVVPNREGAAWANGPRVNLHEFVSDHHLGTASSPKATVDAANVGKPETASVEPTISPPVRAPVQCDPPKKATPFSAHPATGQASDAAPQTAAAAAEPEATKLEVAEEQEGPANMAIPRSRQMRVVNRVVNVAFSVDDIAK >PPA04294 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig124:146387:147503:1 gene:PPA04294 transcript:PPA04294 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFDPNDQAYYHTGCCDTHVTKAAWYVAITSAVSLVFRTSIVIAFNGKDWNLYASVFTCADLICILLLIAGVYSEIRHLLLPYLIVQIFGAGKIMYVTGLQLSGERN >PPA04311 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig124:214304:218116:1 gene:PPA04311 transcript:PPA04311 gene_biotype:protein_coding transcript_biotype:protein_coding MGIASYWLSCDEYAIALSCAAKKTDATRPACPLPKPVPVDQFPAPYQKVEDIEPFRVNPGTGEVTDMIYCDNYIGQLGNGTKAISFGGDIYTCDAKSGKWKHPTGYEAPDFLSCGTLNGSLPTHCGIYDVQASGVQGNISIYTGKEQYCRSGEVVQFANGTAITDLQCYNNVLTIVTEYGHTKYYPENSANPDPSLKCVPGGMRNSRVYCLAYLRWMDDVPDATAMDNEFKAVCSRRTVSKQT >PPA04326 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:280932:282801:1 gene:PPA04326 transcript:PPA04326 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVSLVAALLHVCESFDAYSANHPTETIGEEERADLQSELNALLREVHPALFFRPDTNLDILLADNELSEVSDMGDITRHELIDDSTAPIKPYQSRIEEESEKGGVEQGQSETRHKRINHSHNNSETVSLREEEKATDNRVSQRELMTSSLYEYNL >PPA04264 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig124:12585:14592:1 gene:PPA04264 transcript:PPA04264 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDFPVQSLSKIASENYYFSNLTSYVSNLCFAKPIASVIQPTHCTRSTMMRGVRERKLIIHGGCFHTSSLSAPLSRAREVCQDIRSALVSIHDAEKEFFVNSVVSGLGKNKKYWIALQYNGSEWNWDDQSTDPFNDWDVGQPYTDDGQLECAYATQAMGLNVKWTATNCSLGGYVTVCESAPCSVGHNAC >PPA04327 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:283541:284477:-1 gene:PPA04327 transcript:PPA04327 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYLVFLTLISCVFGEYHVIFRNKCSSKVEVKDARGITYSTLGPNEQEPVVFDKNLSFRNGNDPGPILYLSQKKGIGHYRVNYAYGFVTPVVVRPIGSNGPTIVCEKNQCQSVENGKVHQSRIGKLTAAEPHFEVTYCPK >PPA04308 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:199527:200962:1 gene:PPA04308 transcript:PPA04308 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPAKRCRRNRDNTFSRPPTIGDPDLFSKLPDDCLLEIFAYLEHPDLDAVSCVSQRLRPLSIRIRPKVRSGTVDKIRITRGLQQQFDNDPSIAVLHPLFDSIPKAFNFETVEFDEVILGDLLIALCKKYFDVPCKRRVFSNPEIDPTMDRGGIRRYNYYSRIHEWMAVGCSEELEVLSDDLAPLEPTVRQLCSLAARLIVELCPNC >PPA04335 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:332969:341442:-1 gene:PPA04335 transcript:PPA04335 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQIHVGVECISVACDEADSIGEPGPRAYGALPGWITPEAAEPVPVISNILEAAGPIPVARRSIGKLLKLKPSVFDRFDMKEKQFNEIRRVIGNSLLDPDDACGDILKENEFIQLILHPQDDDQEPSEEERLAELREKIKRKEGKRITFEYEEPERRAGVGKKPTTILILDGESLQPSDLVKCEKGEVILQLSIEAEDHIRRGRELLERIASEHKTVYGITTGFGTFANVRIDKDQLEQLQLNLIRSHAAGYGQPLAPPRARMLLALRGGCWMWAAIVDSSKSEDAARATIRGCLVILWAPTGAPLRARIILLAAHRHRRHDHHDRHDHADDDDDDDDDVMMIVMITLYNRVLNINILAKGHSGISVANIKKMISAFNAFCVSYVPQQGTVGCSGDLAPLAHLALGLLGEGRMWSPVTGWDDAAVVLKKNNLQPMKLGPKQQ >PPA04310 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:205330:212313:1 gene:PPA04310 transcript:PPA04310 gene_biotype:protein_coding transcript_biotype:protein_coding MILLPLALLSLPLAASLQCDPPQPVKPSEFPQYLKPGGGTAGVDGKVGCSTLIVGQMGYGTKSFSTGANFLCDVTTGKWKYRELYEAPPFWSCALSNVSSLIEKVCSVNDTQPNGGAANLAIYAGNEQYCPPGHALMFADGRPITSIICKGSTLVVELATGTNNYTTSSPPTIQCIETVFSVCPKPQPVNEAIFPSPWKRDDNGLVKDTNGSRQAFSCANGFVAQLGEGSKPIASTWKHFVFHCDHETRKWIETNGYGAPPLLSCAAMGSGQKTYCSSIDEQWNRAEALIAVNPGNERYCPSEHTLVYEGIPVKNITCDASVLYFELMDGRKGFSSVALPQSFQCKKDLKCPSIPPIAPDDIPTGMKSSSFGLVDPDNDYYACIDPKMRSNTLTLVQLEVDGPVIAMVFGARFKCVGNQWSIDYCTILLQPAVGTASAVAIYPGQEQICEGERALINGTAIKSFGCAVPPVCPMPQDVPKYQFPSGWTRFSDIVLLQSGTIDTITCKYGFIGLSCALVPQKGEFAMCSVFNVYPSTGHVGLIDLLPSNEQYCPRGQTLALNHGPRIRNITCSGDANKFISTLEIETEYGKTTYNYDSNRPTLQCVDSTCTVNKLQSDGTLHPQSINLGQPVMCPEGEVPHFTNGTEIKSITCTKSATSAARKRLETRAGVGDPPVKS >PPA04282 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:97973:99672:-1 gene:PPA04282 transcript:PPA04282 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPDNPWGDYASECLADKPSLWDENRIVKEWYTLNLDDDPHDMWRQVATDYGAKMSAAVGVVKTMLDEFGGPGVWDSVLLLLKDSADMLTEPYKSEIHALSDLTGIQLEQLTLLNLFYEISKACTSIVAIDHGGKIAE >PPA04284 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:109651:111357:1 gene:PPA04284 transcript:PPA04284 gene_biotype:protein_coding transcript_biotype:protein_coding MILKIQKANLIKSLLEMKQNRLVNYRASLELTTIRPVDPHIWAKKNNEASSDSDKSMDKKDCDMKKTVQNIRYIAKKYIDTHEIEVNIKKMGVYTVVVMRSTLFKRKPFQWLQMEQDVSSMNLMFNCNEKFYKQNDQYSFERGIKGVHATSAAMFCNCDAYRDDRVQTSVVNVNKAVSKGTPGWWITGNEYANYFKSDQLKTLVMNSVKNSILVLCF >PPA04265 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:14944:16245:1 gene:PPA04265 transcript:PPA04265 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFDDTDPKYIHCCGCHIKRLARILATTEAVISAFDPVIVLSLIFTGIIVYSSYYEHRNGVIAYLVSVIIFSVIDIYEIFNHPIAQNSSSNTALTISLVIFLAIEISYFRVFYLFQDYLREKHGECALLMTVQEATNPLVPHTDNHTSPPAYEEPSSSKSANHSRNLSVVL >PPA04309 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:203007:204398:-1 gene:PPA04309 transcript:PPA04309 gene_biotype:protein_coding transcript_biotype:protein_coding MTAACLAFTYNPLSSKCALLGAVKEGICAGKGFYPYVKCAATGDKLCGDKKMKFWADEMGHLSLIISLQCTPDGWSDGAKIVKPEYVRCEKFA >PPA04275 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:80211:81404:-1 gene:PPA04275 transcript:PPA04275 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLALLHNPFFSGGEVWGVRIFRPHSLSQEMPDLRFVVNNSETNDQPDCAICFAPLSSKSPTVPWMSDHTCCICRAVTSNVYDENGRHINRLYPFEQEEERIIRASAQPGFYFDRVRLNNLASQKRHAFAGAKGAEYITDIDQEMAERDASQ >PPA04283 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig124:108365:109231:-1 gene:PPA04283 transcript:PPA04283 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVDIVSVAKPELGLASKVALQLFSLSGSVVKGIDSTYDNSTLEEIKKLRIRIDIHFNVIQNTMYKAIHQLNIKFYEHRVIAPILLLEQHLKRLIEKPNSTLAQADFLRDFNTEDNMNAKRITVELAKIRIDAFHYGYTTGSVVVGV >PPA04325 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:277324:278332:-1 gene:PPA04325 transcript:PPA04325 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAPQYLPKNPPKGIPLPVFDPTVKFNKDNPPPMFNLSEPIHVDLSKYINAKFECGFCLKIVDALKQEIAKKGVPTFTEVSAFENAVKLSRWKK >PPA04285 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig124:111408:111874:-1 gene:PPA04285 transcript:PPA04285 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQYCAAMAVKEDDRVIVNYFLFIKNNDLPIQYDEDGQFVVRYEEDKIDANSYEPITGDDWKFERFA >PPA04331 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:307556:312341:-1 gene:PPA04331 transcript:PPA04331 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKLRDPSIAFRVVIIALGALSLILLIAGTAVDEYIIHGESASAGCQKLSVPRSGKTFSHRGGFKAGATALNVTVLLKGKYKLPALGVSSAITIALLTPQVTAIFNSPEFAAEYAAASLDSIAGEIGEIGDAVNRELSRYGLRRKRRVPKGRVPEPRNSWGASYGLICSAFVFSLINQILLIAIWRMEKIGVSTFGAFIADVKILVRQAASNPSRAASYAITILNLLLFILMVACTAKDTYVDRDAASGLGRCVRGTRLYDCHKAGVPATKRTFKEMTNLCIVLLSLTSFVCVLLALATAVCILDLIRAKIPKLAQRSRQLSFAVLGLSGGSSMLIFISFIVACFAFKSSNIFPNEGKIAVFKTSFHILATAFAFGLVNAGLNALNFLVLSKKGGHPGLSTSMARATSSNQPSASGGFSSQPPSSGPPPSSS >PPA04295 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:150588:151460:1 gene:PPA04295 transcript:PPA04295 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSDGNTPTKGIRMAIFIMRILWIVLIVYFFKIILNFYLFLKRRESAERKFGPAGVPPILPYRPQYPDDEYPPQPVHYQAQLPAAQYQEYPPQDHLARYQEYPPQDHLQQQRYQEYPPQDHLARSSRYREYPPQDHLVRYQEYPPQDHLVVSNEPPPPYPKRHSYVSIEGDQTMVHQGQYQHQNQAMCQQHPYQPVSKPKYQPSGCGMLVAAMGGTSGLGGFGGGF >PPA04321 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:255725:257414:1 gene:PPA04321 transcript:PPA04321 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAGRMHGQFLGPYCASKFAVEAFSDCLRLEMRQFGVSVHILEPGAFKTELLSEESLNRRVDNIWSSLSEDARLEYGEEYKENFKLAWNSGVNFAASSNLNWVAEHYEHALFARWPRLRYYTGWDCMFLFVPLSMMPSSLQPRMFMTTHAAVHD >PPA04302 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:176358:182805:-1 gene:PPA04302 transcript:PPA04302 gene_biotype:protein_coding transcript_biotype:protein_coding MILQFLLACSFFPLSALAACPAIPPEVPAGQYPAPWVRGDDFYTSNDGTNDYIDCPDGYVGQLGPGTKSFSFGQQYRCDTATGKWIHPGDNYEASEFLFFLLNCGRVPSGASITYCGVNNHQSNGAIGSIALYPGNEQYCRVGEVLQFKDGPVIKDIKCSGAGLEITDVDGNISYPWSPTVECVSGCPIKNKLSNGTLEDKIISPGGEKYCPAGTTLKFAYGAKIKDIKCSTKCAVRDQLPSGAIQDLILEGGKEQYCSGGQIVGYADGTNINDIKCTSSGLEIEKEDGTIVPYPSTAPPTLKCGPGPTTTTTTVATTTTIPAYCPPEGVWSEWSVTGACASTCGAYNVAARKRTCTDKCGTNCPCLGPSEDVGPCAIALCAFPTICKAPYKKMETNTFFCGEDNVPKIVCPLETTTTSTTVATTTATPKDAPPTAAQLWDVKYYYNFGRILHAFCADQACISVIQSNGIGYDVGSSYSGVVGRAIREDAINAVRAACPTGSASLKNLGFTKVGNHYEHNLSGVSSSLNLRDDNLDLKSGLKQGWVSTTYGACGATVAIKRWKCHFSEDLMYKIDLEENTYYKGLVQDNGQVNVPQPVEPNTNALFCGEGNILKTVCPFDTTTTTTSAPTTTTPERRCAHCSPALECKELSVVRSGRMPYTQAVSSKAGCQPHKVLVEQPSESSDGSVTLAKYEVDNAWYKGLVQDNGQIQFYMY >PPA04334 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:324407:330881:-1 gene:PPA04334 transcript:PPA04334 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMLHTIERATNIALQADVIAALSLDVLKGTSRAYDPVVGARVRLTICGPPVGPPFGCDPPHMVVPYIHRIRPHKGQIETAGRLRALLHSEANPSQIAESHRNCNRVQDAYTLRCVPQVHGVVHDTIAFCRSIITTELNSATDNPLVFADREEIISGGNFHGEYPAKALDFLAIATHELAQMSERRLERLDLSGLPTFLTPDGGLNSGFMMIQVTAASLVSENKVLCHPSSADSIPTSCNQEDHVSMGGFAARKALTVIEHVEAVLAMELLAACQGLEFLKPLISTAPLNKVYELVRTVSAPLNRDRFMQPEIAEVWECVAPHLATLEEMEALDPDALRLETKTPTGIVMADRDLNTPDDTEHIRGCEDHTHPRY >PPA04274 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:68615:71123:1 gene:PPA04274 transcript:PPA04274 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSQSVPFGKSPQTTIIDLADPFNEQRRCPLESAIVHPSGNFIANKIGKCNTHLDIIDVRTAYQVKRGEIEEKLLFWKWIDEKFIGIVTENHVYRWTLEGDSAPVKVFECLPILSECKIELFSGLIQVYNKRTGISNWIESEALSFVKFKLDGNPHPSDILIYSHKEEDGLAKLTLKEINECAFENHQYPEQNFHLPAMPDAEGDFPISIKASTKQGVLYLITKQGYLHLFDLHSNTIFFAMRISKNTIITAAGISQRSMIFVDNSGQASSFSIDQHRMIAYVCQWNPELASKLTRRWIKSDETRRDIPVTNRENKLDSNDPEHFYDSPPSEADSPPSSPLNSHKFHPIPLPRTSSIVSRPLPILSCEGSDEVAKSHLEEQIKERDDIADRYQELQRRYRRVLIMVCNH >PPA04306 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig124:193271:194961:1 gene:PPA04306 transcript:PPA04306 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLFTSHCLSSPVSQCPSHQKLENAPGGYRSPASDHDEFQLAGRVAGECFDNRTASPPREVIREEHISGTYESCKMALKCDDFGDEAAPISAKLTPKVCTAPPSEIICEQNQCFCKNPNQQLAIKCGSSHCCSVKAICAKDNTYELASPNGKMFVPLNMSACDKLCDNKKIRSWRDRENREFPTNLACFPGGWSDGAKIVKPEIIFCERLMECDFN >PPA04276 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:82784:83672:-1 gene:PPA04276 transcript:PPA04276 gene_biotype:protein_coding transcript_biotype:protein_coding MADKLSDLRVADENYEISEECAICLAPLSSKRTAILKPCGHRFHRTCVLMWFESLPLPWMSSHKIRIDNLTGQKHAAR >PPA04307 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:197507:198884:1 gene:PPA04307 transcript:PPA04307 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTRPVAQADGRGFSKLEMEESGGESGPPVASARMENDNIQYAHLLTMFAAYDAVLIVRAIALPYILAVVLEVEYGSKVDGNYNYPVVFLTLFYNGTGSTVLAIVCLLAYLTYGRIAHNFALSPQSRSVQMRLLVAAIVQASPWPFSLSWTR >PPA04314 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig124:228919:231338:-1 gene:PPA04314 transcript:PPA04314 gene_biotype:protein_coding transcript_biotype:protein_coding MMNCGKARTSSSVVSTCPDPLPVPPEEFPPPWKAGGPPKKSSYGKFDWFACAKYLVGKWEAGSKTFTSGESFYCNTTTNKWIRKVDSLEMPPFLSCVVQGAGQQNYCSIFMQQSESVKGALALYSGNEQFCPSGVSVIFANGTGIKNITCSENQLFIESDDGKEEAFVKKTAPTLKCGIPNKPPPAHTEQGGDDNHEVPSACPIPPEVPIDQYPSPWIKVGDFVKSNDGTYDYIYCPDGYIGQLGYGSKAFSFGHAYKCDEATKKWVHHSDNYAAPEFLSCGAVPEGLQNIVHCGVRDRQPNGVVGFIALYPGNEQYCKLGETLQYANGTAIRSLKCSAWGLAIEADDGIRYNTLHPTLECAKINTTKD >PPA04338 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig124:382962:384324:1 gene:PPA04338 transcript:PPA04338 gene_biotype:protein_coding transcript_biotype:protein_coding MIARNEGLSGFFKGLPPLWLRQIPYTMMKFACFERTVELLYKFVVPKPRADCSKAEQFAVTFVAGYIAGVFCAIVSHPADTIVSKLNQDSSASAGEIVRKLGFAGLWKGLAPRILMIGTLTALQWFIYDSVKVVFKLPRPPPPEMPTSFRAKLEMKTH >PPA04317 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig124:241310:243076:1 gene:PPA04317 transcript:PPA04317 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLILLARYSTFPLTAIAPRPLSAQVSPSYFPPGWTRKGEFSLTNDGTHDYFECQGGTSLAQYKPGLTKTFALKMKFRCNITTNKWIDPVTTFAPPYITCGLPAAGAKHQCYSEFGLCIPDDEPDNATRGKTRSSTRSSTRSKYSRSCTL >PPA04320 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig124:254530:255348:1 gene:PPA04320 transcript:PPA04320 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLFGPDDWCTVQQYSDSINVNCLGAVRMCHLFLPLLKQSKAVSLAVPLHIATTEHIFDTPGVLSRTMQWAKRSGEKD >PPA04286 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig124:113060:114012:-1 gene:PPA04286 transcript:PPA04286 gene_biotype:protein_coding transcript_biotype:protein_coding MRNDVRVEFDPNAKRFFYMFCCSAHKAPRYVANASLFYLFIKAIPVLPDWKSISGSMYSDALGRNQYLDNRIIRNFYDFLKRSRGPILIGNQGVLYGSQLQPDRIAMIPHRL >PPA04280 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig124:93291:93751:-1 gene:PPA04280 transcript:PPA04280 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIVFLFLMLTIVSISAYVIENPHNAEYAIEMPQKKWTGFSCFLYRTGCSMQKEASGSSRDRDYRLRHRLLGYAIV >PPA04279 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:89479:90501:1 gene:PPA04279 transcript:PPA04279 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKRRNAKKNKSTMNRKCMNNQIQGSSTVSAVQANDEDFDLKAKTIEFSQQQDIRKNEERYCQECYGQDSTGKMKEETFLETVLVYDLNTLTVAIDEITANDELKTDEIFKKALNVAIDEMTANDELKTDEIVSITI >PPA04301 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig124:175484:176257:1 gene:PPA04301 transcript:PPA04301 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQPDCKAYFYSEPHCVFLGGVSGAPCKCDIFIRQDCLSTDNDAYPTARMQFFPICVRSEGYTFANPDPAYLECSSNACRCKGTALMPFDNIDGSTRYFPFMGMNEEGKWVGFSAYARGTDKKNDGRAHCVNYTPATYQLCGDLYTYEGMQKGDLPCGENQFSHWTEGGRKYTSVLTCAPEGWMADGFVVRPEFVHCIKDS >PPA04281 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:94299:97007:-1 gene:PPA04281 transcript:PPA04281 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLVKNEPCDFDFSRFVGSRVSSKWAELVAPRDLVIQTEWYRGGKLQFRAVTFAGHLGILTAVRPGKFSLSMNSRFGTSLETMTHFFLNGLDPDQQFAVYACREMLANCETFEEAKDYVESVQLLAGAYFIMGSTTGGMVNTRAYNGTDHEAFIDTKQQNGWYVLQTNYDWNEKDIYLDDRTIPGNKCMQQLGRKRVSLQGIFQVLSSKANLNKATVYTSVMDVDAGTLYTFIQDCPDPCWMV >PPA04339 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig124:388831:389224:1 gene:PPA04339 transcript:PPA04339 gene_biotype:protein_coding transcript_biotype:protein_coding MRFWRDGIINRLDKGISPQLPPTPIIVTSPQTAGYGLNQLAVPTVSITPPNPTQTLMPTQANMIQLNNPFLTPTVLASQSVATAMGNNEDPENFFDGLNHMDQ >PPA04336 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:346445:351552:-1 gene:PPA04336 transcript:PPA04336 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-set-4 MDWSEDGAYDVSIEKILTSMREVPNGDHFLTPNELCSYDDMATTIVVDSIMQFQTHKMNQRRRYIKTQERKDTFVIMEDFTKNNDFMATLRKIFSLKSVRQFMAHQTDSKRAGFRDHLLRFLHMFSFKSGFTIEPCDRYSAEHNQGCKLVVTRHWLKGERIDRLCGVISELNETEEEALLRKDQNDFSVMYSTRKQCAQLWLGPGAYINHDCRPSCKFVPNGRTAAIIALKDLRPGDEITCFYGEHFFGDSNERCECSTCERLGRGAFLTLDENTSDTGSGSSVESNIKAGDKGFEQVLTSLLKADDSDTSEEHFM >PPA04304 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:185722:186658:-1 gene:PPA04304 transcript:PPA04304 gene_biotype:protein_coding transcript_biotype:protein_coding MLNINNRTTLVPIVLAPSNIGIMKFGLIKWLAAEKKGFFLADTLWLNMEKNTADCDPKHLISFGPFKKHVLDVVRPLMFFDLGYCSNLVMLLVMAPSFTTLIPSLIIVAPAVLTLIAVKNGNKTHALIPLVFSSKVNGNSPSWNR >PPA04270 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig124:30986:36169:-1 gene:PPA04270 transcript:PPA04270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3E3K4] MLVAIIGIAAGIGLLITAAAHRPQQQARQHQRQALRLRQRKRFRAKSPRRRGHLLPIHLNQWKGSKISIDSKNHFDFAYKDGVGRMEKTEEGSYPNLFIDNSEFTMEGRVENDIDYSETHGYEAMDIDTSKLPEDVKITKELCKIIIIGNNAAGLDTEGIYEERSGAGSDNENDAEQQVINNNNENDEEQQVINNNNENDEEQQKKCPTKCPKIPAGVRGPVLGKRLLRPVDKTQPSGTVAEEAEPEIMAHRTAQISSLQRAVSNGKRRGNVRSAQQTKSKMPIHKKDSCIKFGRRKKSRDERYRKRRYPEEFSFEEMPRMVEVFGNVAVVTDLHGQFANLMEIMSSYSGTGKPPAWKHQKFVFNGDYVDRGPQSLEVFTMLMLLALRSDSVTLLRGNHESAPINSVYGFKTELRRRFSEKHANELFTKINAVFHRLPLAARINNIFFSCHGGPVELDNLEDINKISKVLVDPATDKIACGLLWNDPLWGLKGFKFNSERKTGHFFGEDEVIKFCNKHGLKMIVRGHQVMMNGYEFFAGGRLVTVFSAANYYPDNPNHGASIFFSRDGRVGIHKYVFLQEVAENNLALLKKLFE >PPA04322 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:257767:259525:-1 gene:PPA04322 transcript:PPA04322 gene_biotype:protein_coding transcript_biotype:protein_coding MARFTEVLEQAREKSPASEPSSIGSNLNKESPQIAERIVSTFTDHRFLMCAIRRSGERALHLHGEAGTEFKRALTEFSRTIRQQFEIAQPTDVEFLALLGLSFWSEEFVGHDDSLIKSASRIRSEILNELNVHYKLLEPQK >PPA04316 pep:known supercontig:P_pacificus-5.0:Ppa_Contig124:234299:239997:1 gene:PPA04316 transcript:PPA04316 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLLLLACLSSPLSAVASCPLPNEVPSAQYPANSIRSGNLNQWNDGTDDWFNCTSGYLLGLNCVQPPTGFLFCSSIHVQSDGERGDIIFLGGENINYCPNGTLAEYTWGGFADYVGTALSGISCYNLTDENRLYTWSACGNGIAWPEIGDHPKIKCVERQEIIAAYCFIKHKLANATGLHATAVDGNAKNYVRCDPPQLKCVGNCVPKTKNANGTIGNMVLRPGKEQFCPKGSIPRFTYGAELIKDMKCDRTGLKITVEDGTRMAYRSRMLRDVPGPILKCGPGKVETYTRRSGWRTLNENALDTAPLLPQQPQLRLWQRLQRFQHTAHWTACGASGLLLDRVLAPVEQIAWQQGIVLAPTNVEKIAHACTGPSEDVGPCGIALCPFPSTTCKAPFKKVLNYETNTFFCGEVNLEKVVCPLDTTTTTVRTTTVAPTTTTPKDVAPTAAQLWDVKYYYNVGRILHAFCADQACINVIQSNGIGYDTGSAYSGVVARAIREDAISAVRAACPTGSANLRNLGFTKSGLKQGWVSIAYGACGATKGIKKWKCHMSEDVMYHTDLENNTYYKGLVQDGGNVQFYMY >PPA04342 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1241:338:558:-1 gene:PPA04342 transcript:PPA04342 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLKVVDKDSLLRSIVLAKAKADVQFLKFKWKGMDIKSSKNIDADNLKKGNALVKT >PPA04343 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1243:24:919:-1 gene:PPA04343 transcript:PPA04343 gene_biotype:protein_coding transcript_biotype:protein_coding PSPTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTQPTQPSTTTLQSTQPSTTTLQSTQPSTTTLQPTQPSTTTLQPTQPSTTTLQPTQ >PPA04344 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1244:54:1462:-1 gene:PPA04344 transcript:PPA04344 gene_biotype:protein_coding transcript_biotype:protein_coding KEEEANQQTEQEENQHTPAEASRRPPLFSRRSRRPPLFSPTQPSTTTLQSTQPSTTQPTQPSTTTLQPTQPSTTTLQPTQPSTTTLLPTQPSTTTLQPTQPSTTTLLSTQPSTTTLQPTQPSTTTLQPTQPSTTTLQDAAVYHHSSVDAAVDHHSSVDAAVYHHSSADAAVYHHSSADAAVDHHSSADAAVDHHSSADAAVYHHSSVDAAVDHHSSADAAVDHPADAAVDHHSSVDAAVYHHSSVDAAVDHHSSADAAVDHHSSVDAAVDHPADAAVDHHSSVDAAVDHDSSGDAAVYHHSSADAAVDHHSSADAAVDHHSSVDAAVDHHSSVDAAVDHHSSVDAAVYHHSSVDAAVDHHSSVDAAVYHHSSVDAAVDHHSSVDVAVDHHSSAVYHHSSVDAAVDHHSSVDVAVDHHSFRRRSLRPLLFSRRSRRPPLFSRRSRRPPHFSRRSRRHRLFSRRSRRPQFI >PPA04345 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1245:640:804:1 gene:PPA04345 transcript:PPA04345 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSPADDQKVKAIKSLELQVDEVCVPRSISGVQNQLGIKQFTQVGIKHDGNLWE >PPA04346 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1246:598:1429:-1 gene:PPA04346 transcript:PPA04346 gene_biotype:protein_coding transcript_biotype:protein_coding FCTIPEVREYIDNSMYGIRDELRPQKIECVCFAIVNDLEESLLEVSIEIFQTAKFWNHR >PPA04347 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1247:91:1088:1 gene:PPA04347 transcript:PPA04347 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQFQYTISFRSLPALHNLSFLLPSGKSLALVGKSGCGKSTTVKLLTKFLKCQTPSILIDGVSINEYDTKKWRQMMGIVSQEPCLFNGSIRENICLGRPFNDIQIIEACKIAHAHEFIGRLDKGYDTLLGPSGVSLSGGQKQRIAIARAIVSNPRILILDEATSALDTKSERIVQEALDSASEGRSTIVIAHRLSTIRNIDQVIVMENGEVIESGNYDELRWKENGIFARMIKDQEIEKGEEIISSSESEDSFEAVT >PPA04348 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1247:1897:2102:1 gene:PPA04348 transcript:PPA04348 gene_biotype:protein_coding transcript_biotype:protein_coding MYDGANKKLVELDTSGELAVEIFDNIGTIQQLAVEHHFQKKYDEIQKKREIPLAK >PPA04349 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1248:1502:1933:1 gene:PPA04349 transcript:PPA04349 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRAKGDVLHEYIVIRRKLPTEKEPVTPIYKMQTFSSNAVIAKSRFWYFISMLRRLKKANGEILECKESVLLNLRTSFPV >PPA04390 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:161968:165269:-1 gene:PPA04390 transcript:PPA04390 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVRKSFEISALHQREMFAELGNGQLSLRKAKYSDMAAFSKIFFIGLSDFAQSAFPEFNDISAENRYHHVEEDGLRIFRKNMDQLIKVTKLRFRKLIPSIEEFMALIGLALWNDCEYEFDKEF >PPA04413 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:272051:272611:1 gene:PPA04413 transcript:PPA04413 gene_biotype:protein_coding transcript_biotype:protein_coding MTYRCISELVGDINYEEVDAELLEEWDRLTEREEILEFHLDRLDNAELEVGTANSVVLEIVEINLELDEIKHLIMENAAQIIEQVRKHRDPENEAISDIENVQPA >PPA04352 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:9195:10052:-1 gene:PPA04352 transcript:PPA04352 gene_biotype:protein_coding transcript_biotype:protein_coding MNVCYASIILCVLVAIAPVWFLFDDPTGFMQLRADNFTYYVVVAYSNTRGTSIWLNMVIVTVTCNALSTLLYSACLVRLCTFSNMRLRTAERNLFLVGFISMLFSLPYMILMVGVVDKIGMHGFLR >PPA04438 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:441800:442735:1 gene:PPA04438 transcript:PPA04438 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRAKAIIRRYRRISAVDCRLVLKPVRFSNGNTRLRVFPKHLDEKDGKCIRITADRKAADAQTTIKSKSAVAINLPPSITTPTARGKLLVCDAPQQPSPNDLFAQLMRKHLSVGPRCFGTDCTPERVTRSLCLTDAANRAHPMFSHFIGVVQKLEQTMLGDATPVTA >PPA04442 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:458525:459892:-1 gene:PPA04442 transcript:PPA04442 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLSIDDVIAIACNSIGFGFFLIEGSVFCSRPWFNWIVGCVGLGTWCGACFGCLLLVIFRLFELMNMKKRFEYVNWPHTVNNLLIVLTSAVLYIILIVVLITKQGAMSSEVGRMRMTTNGPRVMSNSNA >PPA04383 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:141095:141963:-1 gene:PPA04383 transcript:PPA04383 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFGVSDERDRLVERKRELETILDEIVDAIHKKYPELDAAVAVISDIRAIDDRMPLRRRTNLLRMGQHAWRLRSSLANE >PPA04441 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:454350:455186:-1 gene:PPA04441 transcript:PPA04441 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSNFKNLVHPKLYWVYDREMYTWHMTSTEWTEFYSKFIIILFHFPRVIAKRRVQTTVTELNRTTNS >PPA04396 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:188715:189710:-1 gene:PPA04396 transcript:PPA04396 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLQAQNNDHASTDDDRCQIAASSCVDVTNDCEVKTHLCDRPEYQTMVNTYCQKTCNRCSVQKTTPKCTDVTPDCAAKEHLCSIPSYNDMMTKYCRRTCNLCAAKMGGTSSDGGCADVTPDCKNKLSLCSAAAYKSTMQTYCKKTCKYC >PPA04360 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:43226:43863:-1 gene:PPA04360 transcript:PPA04360 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCFYGSNCPGKYERDQRMKPFDLSTTSREGKVFPALNFIVGTEMKVDMHGCSSILELLNLRANGEEVLPDNIDDLNARPVCEAHFQTLVTNWDKCEKKRKNNRQFRSNSDALKCPMEHNKFVAADPHRVVDKKMCVNWLKKEGVLVPPGTKLCN >PPA04364 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:52601:53743:1 gene:PPA04364 transcript:PPA04364 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAINDNYVEAVNFYAGASQLSNGLDLPFDNMTTLRFFFNLGVQQSRDILLSQLHDKVANGSPQLAQQLIAAATAAFSNRESVATNSSSTDTFSRGTS >PPA04394 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:180159:180827:1 gene:PPA04394 transcript:PPA04394 gene_biotype:protein_coding transcript_biotype:protein_coding MCREGASGSVVFLKIIDDSEEGEVLLEEWDRLTEQECLLEEKLLRLEQAVLEKYPDIKAAGIVIRAVLPKDDCTLLRRYAALIQMKKRAFQMIETVNREIASAQKETRRELDEVNDLLADIEAQLGT >PPA04363 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:48252:50567:-1 gene:PPA04363 transcript:PPA04363 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLDTTALRNGTLHLSYGAFNYPYFYQRTAGLRTPIGFLPEVWKIFTKQLEYHQYPYEDSSSAVCDGILLPVQEGQTLTTAGAYSPTVGRIGMFRQSAVTYYTSFNFYEADRIPDEQTSALTFFTVFSIPALFLLILAYVIASLLHFLVESLRNSNDNAAVKRHPLVDGLRFVSHIVFAVGVFLVIYYHSAGFRGNSVLFANTVHTSFVDLVKSLHDGSRLLMTKSATTIRSDSLHALVGNRTYQPDVVEADQTQLVQKLCDNPNLVAMMEVKAVYSMSMVERPCQLSKISIPQPWTSLERFDTQFPQTYFMSWKHTRKRTEEAIDQVLLRIFQQDMIESFWTNRYLVSMKNKPSIKPIKKSADGFLPMSLTRLQILFYFTGPGWLLSIIVFFMELSPRITTQFMRYLHYRAVIKI >PPA04437 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:432778:436052:-1 gene:PPA04437 transcript:PPA04437 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIDSIILGSNAYDIDDISTSLVEDFKVFSGEKDVEYKANIAKAALNDMFSVLAGDERGGGLSVARDALEPLQLYKSADASITPVGRPLRHVAADRHTTGEDLKHAALVHSTEAHARILSIDPSEALAVEGVLAYVDARDLPTGGLLRPCQQPFIRMQDNAPVFADGIMKWLLFQVESVGQPIGCIVADDVAIARRAAKLVRVEYERLSAILTIEISLGKG >PPA04353 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:12477:13640:1 gene:PPA04353 transcript:PPA04353 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLIVAAVLLGLATHAAAQCSTTENVKQSNWGFSCGLCTAGGVPHVSGGCTADANKNCAKWATKAEFAAKKMMYCCKTCAGSGTAAPGGATGGSTTTTAATTTTTVP >PPA04365 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:56273:56852:1 gene:PPA04365 transcript:PPA04365 gene_biotype:protein_coding transcript_biotype:protein_coding MKPILAIILLSSVISNTDAGMGELTEELLAEGLVSLDRNGVPTAFCMFSTKYGAARVQSCPVTAGFGARSVGCFTVWNGTRVLQQGCYSTQEVN >PPA04435 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:427539:430214:1 gene:PPA04435 transcript:PPA04435 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLVGMKIIYLAVMFVITVVCGAVPVKLLQYIRARTNSMDRFTIILSILSCFAGGVFLGVALLDMLPEAFESFEDFKRAAEFDTDVPVVPIVIGGGFFFIYLFDLLPLSCGHAHSHDVAAVIQVYSPVSATPEDGDHAAKWAVPRATDGPPLLAAREALEGRAAYLKSLTFILSFLLHVALEGFVLGVQLEDT >PPA04439 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:444673:447277:1 gene:PPA04439 transcript:PPA04439 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKDYYFDSYAHFGIHETFQNDLRMSEEAAKASGDSKPAPNEMTSKDYYFDSYAHFGIHEEMLKDEVRTNTYRNSIYHNKHLFKDKIVMDVGSRTGILSMFAAKSGATRVLTIELSNMAVQSRQIIKDNNLDSFVEVIQCKIEDIKELPFGVEKVDIIISEWMGYCLFYESMLNTVLYARDKWLASEGALFPDRQYKEDKINWWDNVRKMAITEPLVDVVDNNQVVAGNYCVNEAALTVKKGEELKGVFTCASNARNERDLDYNIKNTVDLVQLLL >PPA04356 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:20587:20941:-1 gene:PPA04356 transcript:PPA04356 gene_biotype:protein_coding transcript_biotype:protein_coding MFMSGAEYTAWKKRCALEKVAREAKRRRRMESTETRKRAYPFEQVEEVVHKDAEEMAALPTLSTRAYITLPTLPPIRIPVEKQTVAVQGKQRRIR >PPA04397 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:190139:199346:1 gene:PPA04397 transcript:PPA04397 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWVTASRQSLWRALWSSVGAQMWSLLLKEARSYGSLVVGASVVSSAVVGAAVVSAAVVGASVVSAAVVAAAVVSAAVVVEASVVSAAVVVPVVSAAVVGASVVSAAVVGAAVVSSAVVGATVVSAAVVGSSVVSAAVVGAVVVSAAVVGVTVVSAAVVGASVVSAAVVGAAVVSAAVVGASVVSAAVVGAAVVSAAVVGAAVVSIAVVLGAAVVSAAVVVGASVVSAAVVVGAAVVSAAVVGAMVVSDAVVVGASVVSAAVVVGAAVVSAAVVVGAAVVSAAVVGATVVSDAVVVGASVVSAAVVVGAAVVSAAVVVGAAVVSAAVVAAAVVSAAVVVEASVVSAAVVVPVVSATVVGASVVSAAVVGAAVVSAAVVGAMVVSDAVVVGASVVSGAVVVGASVVSAAVVVGASVVSGAVVVGASVVSAAVVVGASVVSAAVVVGAAVVSAAVVVRAAVVSAAVVVGAAVVSAAVVVGAAVVSTAVVVRVAVVSAAVVVPVVSAAVVGASVVSAAVVGAAVVSAAVVGATVVSAAVVGASVVSAAVVGAVVVSSAVVGVTVVSAAVVGASVVSAAVVGAAVVSAAVVGASVVSAAVVAAAVVSAAVVVEASVVSAAVVIGAAVVSAAVVGAMVVSDAVVTTLAHVPDDG >PPA04436 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:431569:432129:1 gene:PPA04436 transcript:PPA04436 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAKAGVETALTSVSIGTFLYITFFEILAVEKGTPGQRLAATIGFVVIGIPAIFLPSVDSKSWKQSHCEYIAVIWLLRS >PPA04440 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:448838:451712:-1 gene:PPA04440 transcript:PPA04440 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLFLTNWQCYECLICGAAKAHAHMGIDACGVFYRHLSKSDKTVMYNIVYGQFHGKNAQEPEIRSHEMRHPACSTYAQLLPKELYRRLLLQTWDAAEAGAPLATIRSIVNRIVADAVRRGLLSPDAAASLTVPPGTKYDGYVAKLIPKLPEPKPIPPIDQLPWYTSANALLDRRDWMEEDETDPRTTERPERRFKMIPLPPAQPLRGHFVHDSSAVTEASIKS >PPA04403 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:225853:228408:-1 gene:PPA04403 transcript:PPA04403 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVRVHLLFGMVQVMWPLCTGAREAAFRNIKTIAECLAEELINAAKGSSNSYAIKKKD >PPA04429 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:400184:400698:1 gene:PPA04429 transcript:PPA04429 gene_biotype:protein_coding transcript_biotype:protein_coding MKAALVELEYMRRTEKEFKAYTEGVGSSGPRCFNCGDTSHKANQCNSRNGKGGGSGGSGGYSGSNRGSNNTGSRGGQRPQQYNSGYGNGGARAHIAAASTNGYNNTKSYSNADRRVYCQRKYRSAEARVL >PPA04433 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:420575:421580:-1 gene:PPA04433 transcript:PPA04433 gene_biotype:protein_coding transcript_biotype:protein_coding MVILTSIIDPFRIVDAEYPNEIVAAHSQSAVLKFYERIGFIAVSREFLDEVDILHRSIVFPPRREKIRTLSLLSSPPSKHNEFAGDLYDGQVVTTIRRMLNEIENLSFIPLCSLVVSSISSLFIPSSLHEALCTVALRAQRANGYAENYTPFSPRLSTIEGVSDEEYLKAVAAKKMNTGEKITVEMLPLTALPGTGNGQDGLGCEGNTE >PPA04366 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:62732:63911:-1 gene:PPA04366 transcript:PPA04366 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRVVGEAGSGHFVKMVHNGIEYGDMQLIAEAHHLLKDAEGLNHEQMADVMDEWNKGELDSFLIEITANIFRFKDEKGETLLPKIRYAAGQKGTGKWTCFASLEYGIPVTLIGEAEFARCLSALKGERVRASKELPQSDVDPSTLIKDKKEFIKAIQQDVYASKIASYAQGFMLLAEASKQFGWNLNYGAIALMWRGGCIIRSRFLGDIKKAFDSNPNLANLLDNFFKDAVAKAHVRFFLCFMFNRDDCYI >PPA04375 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:110998:111865:1 gene:PPA04375 transcript:PPA04375 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQHTVIVNDITNASPQTITVKNRIMIDFSKTQNVEIAFTGLSGDQGFLMRYTTTVSEKATTTTEKPTSGSSEIKTTTVQTTNTSASWEGKSLLSSAACFAAARML >PPA04417 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:292210:292442:-1 gene:PPA04417 transcript:PPA04417 gene_biotype:protein_coding transcript_biotype:protein_coding MNQMVVRPEYRKKKLGKQLWAAVAEVATHWRSMAAKEKAVAYLIWDVLDWNKPTRGFYESVNHLRNHGGI >PPA04423 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:371666:372185:1 gene:PPA04423 transcript:PPA04423 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSISELQTSKHYMTPSETLELKPSETTPGTHGLAKEGKDYCCDMCTMKFQREPIMARNRTPVRIAPMHR >PPA04420 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:302213:302586:1 gene:PPA04420 transcript:PPA04420 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPSERSGRSGGIVEFAVQLQPELDTVEMDPIDGALLLEQRHIKMELEAIKHKLGEMAIAKNAKSLIPNRKVFTHWC >PPA04405 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:234162:235624:1 gene:PPA04405 transcript:PPA04405 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFIYRKIWKYGIPFSFAIAALIGAAGSWHLFDSTAFFYEFSYYGEVYFLMRPDCSSHPEVHLVLNASSTDCSSHPEVHLVLNVLGFFNRLQQSSRVR >PPA04408 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:243451:246092:1 gene:PPA04408 transcript:PPA04408 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAPSQLKLGKTRAKIIYIPQSPEALAYEKPLMRILAAWHGQCNYTLQYPGLIEQLSEEKYDAAFSEPICFCGFGIFEKLGIKNIATTLSTSGFGGFFRYTGAAAVPSYVPGVLSPFTDRMTFFQRVVNTLQSAMPYLVTPMLRDPYTVMFQQRFGEHFPTTEEILDKVSLHFLNAEPLTEFPQMTTHKIVEIGGISVARLHNQLNEYWSNVMSMRKKTVIISFGTIANSYHMPDAYKQTLLQTIRKFPNVTFIWKYEKPEHRISDGIDNLIETTWMPQNDILSGSLFTSSSSSDHLLTSGSAIEATKAGVPLVVIPVLGDQERNAQVIKRIKTGVVLAKADLAGGDALERALREVLDNDTYTRNAKTIGKMISPRSLRVKLSCDTWNSWRNTDRSGMPFNLGVAGQEIILALRMPLW >PPA04381 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:132960:133780:-1 gene:PPA04381 transcript:PPA04381 gene_biotype:protein_coding transcript_biotype:protein_coding MHCICNKESGCKPVGCAMDVGSLSCGYFQIKQPYYDDCGQPGKKSGDTTETAWKRCADDYNCSSSCVTAYWNRKFCTEQLVGDAANSRVGVACCIARVLCQPFRYKSKCPNKGQCEQMSRLHNGGPNGCNNSNTQGYWNSIKSCCGCT >PPA04354 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:14926:15747:1 gene:PPA04354 transcript:PPA04354 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGLISEKACHIYSYTTTFCSIIANSLLIFVLVSTHLKHAGYTTEFGYIFFGFRFMDKSTTYGIYSRVCHA >PPA04401 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:213123:215379:1 gene:PPA04401 transcript:PPA04401 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFVVAFLALTVSYSAATLGIDTISAISTSGFQCLRSNNYAFYIGRVGATGRSTRPASNIKNAHSGGTLHELFFKALGLEKLKEGGRDTKNSNVDAYLFPCHSSCCGSAKQQVSDSVNAFRNGGAKCGTLWLDVEIYNWGSNLATNQQFILDMVSQCKSMGVTVGIYTNNNNNWQNVVGIDWAGVSSYPIWWANYNGVANFNNFKPFGGWSKPAIHQYSGDVKGPCSVGNVDMNWYP >PPA04430 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:411320:412671:1 gene:PPA04430 transcript:PPA04430 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSGFYFFPRNGGIVINDMPIDTILCILFVAAFYHMFLLLAYQFVYRYNTGFRCVQKLGAVAQKLTKL >PPA04424 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:377956:379403:1 gene:PPA04424 transcript:PPA04424 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIGFYFFPKHLGSIVFGVSTSSIFCWMFIVTYYQVFLILAFHFIYRYKTVTRGFGNSFTDNWKMKHWILAAMIAYVLYIGAFVSTVAIGMAPDAQNRADVPEIVPEIIEIYGMDLTDERTGYIYLGMRRVDPVTKEMYWSAQSTIAISLCVLLFGGTGAVVVYCIVKTNAAIKSETNLLTSTTRRMQRQLFKALLVQTTIPCLFSYTPLLVILLWGGLTGIALGSFGNALFVITAIFPSVDSFFVLFFIAKFRAAVVKLFGLPARWAKSGSSVEAPRAPTTTAFTK >PPA04445 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:466076:466984:1 gene:PPA04445 transcript:PPA04445 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQFESQYYNLQSILANLHKHLSIQNDAITKFPHLSSSSDIHQLSSTAESAHMEVLSILDDYMEAYSKIEEQINEIEEEDGKKEEEQRIFSSWTSSTDGATNLPVSLPLLAKITAKQETKYDRAFKAATSRVSILSLLPPARPSIPFTHTVPDQSSPLAYVPQAIIPLPPMRIEPFDGSNITFWSSFHSQFQSIIGSRPELSPLEKLNYLRSLLKGDALKLVQSIPIRDDLYSHTLDRLKVAYDRSNHSTAILYQKLMGLKPKSSRIEDQLNCVRDMINLVYHLDDAKNLNSLPLIEQLASR >PPA04399 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:209163:209882:1 gene:PPA04399 transcript:PPA04399 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRSHSNMDMEGPGKRTTRAEQKAEIQREAALRIESLMGTAWSEEKIAHRLQDEFNLIVEMKSFLAQRKSASYPRRPLYLQEQECRSTVPILMSWKHFGQHTKHS >PPA04379 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:124286:127014:-1 gene:PPA04379 transcript:PPA04379 gene_biotype:protein_coding transcript_biotype:protein_coding MHNMECDTYDFLEQFGEGHAIPKRYYACAFNELNLVAGQICMEYVEHGRMFNFHECATEEQMKQIARALGRLQADSTKYEVHSESLKTKDVFGEFNRSTPKEQYYQLFTPIKVMDASLTEEVEKVEALLDVYYGATLPSTIHKQLGLAPVLVNGDMRTENVLVDEASGELRALIDWQCSHFGCGVEDLLHISFFAQSTDDRRATARGLLEEMYSAFIARLGDQPAPYSLEQLREVCDLLFPHCAIFFATGLGVLMPANMPRPGHEDASQQRKWEIVLDKASDARGVLEDIVAYDEKNKKSTRTMDWNYAAA >PPA04398 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:196533:199994:-1 gene:PPA04398 transcript:PPA04398 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWLIVTSIVAVACMAAIPTRDAADSVAVVTRRMKRQCGCFGQTTIAPTTAAETTAAPITTAAETTEASTTTAAETTAAATTAAETTEAPTTAAETTAAPTTAAETTEAPTTAAETTVTPTTADETTTAPTTAAETTEAPTTAAETTVAPTTAAETTAAPTTAAETTEAPTTAAETTGTTTAAETTATLTTTAVETTAAPTTTAAETTAAPTTTAAETTAALTTTAAETTAAPTTTAAETTEAPTTTAAETTEAPTTTAPETTEAPTTTAAETTEAPTTTAPETTEAPTTTASETTIAPTTAAETTAAPTTAAETTEAPTTVAETTGTTTAAETTEASTTTAAETTAAATTAAETTAAPTTTAAETTAAPTTTAAETTEAPTTTASETTVAPTTAAETTAAPTTTAAETTAAPTTTAAETTEAPTTTASETTIAPTTAAETTAAPTTTAAETTEAPTTTAAETTAAPSTTAMETTAAPTTAAETTAAPTTAAETTEAPTTAAETTAAPTTAAETTEAPTTAAETTVTPTTAAETTTAPTTAAETTEDPTTAAETTVAPTTADETTAAPTTAAETTEAPTTAAETTGTTTAAETTEASTTTAAETTAAATTAAETTEAPTTAAETTAAPTTADETTEAPTTRLP >PPA04374 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:98368:99675:-1 gene:PPA04374 transcript:PPA04374 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTIAIIRRYRRAPPPADCPLVLNQVRFANGSTRLRVFPKPEAFEIENELRDPNARRFVCLSPLLILDHENDTGAVFSERSQTKRLACPNDMDGEYIALASHTVPSTTAPSTPNKRRLPRNRASPSQHSKNCGASTGPRHQADIQTEGSRALLDPVSLALSRPMNHRMQPPQPRYAMNECVVAHFPSAIVVAFRTSQSMHDAWFASLLATPSSVLLAQLTSGSQSAAPLPLTPTTPTFPIFVNGLCAQPAPAAGYSPFTNLTPAMHPGITLPANDKNT >PPA04372 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:80645:85878:-1 gene:PPA04372 transcript:PPA04372 gene_biotype:protein_coding transcript_biotype:protein_coding MSPANLSSTRIQLLLIQSWVVGVATHKILVYNFKFGHSHSNYLGNIADILVDAGHNVTTFIPEINAKLKDGTTKSKVVRVSADPEVAAYYAQIDRGELDFFAFSELNPMMPFFMSQGAAFMHTKQCAKTLDSGEVEKLAKERFDVYIVESFDICGMKHVLPSICNEALKSIVSKFNASQLITQHQQASSLNLFPSSVPNESTRLIGSPSFPSTLKRRRTLRYPHPSNYRFIDFAKVLIQDNFESALEVHPQYQASGVLKDEGSEVKLDKVDATVHGVLASKFESFRAGKPTECTGRDADAIVKKKTGPAAVTIESSDDLKAFAEGNDVYTVAYFEVRPRLHRPHDGEHCLLQRVIPRRRAQAGPYVPEDWDTKPVMVLVGKNLNEVGKNSGKGLLVKFYAPWCGHCKSLVPVWEELGEKLERPPRRTRRGNSPSLY >PPA04404 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:231559:231913:1 gene:PPA04404 transcript:PPA04404 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTHETSQRFIRSVHGSYLRVRLGFVGKYRNTCEHWYIEDMNGKVSQVDHHVAFFPASSSSAVDILAKAACESS >PPA04414 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:282904:284421:-1 gene:PPA04414 transcript:PPA04414 gene_biotype:protein_coding transcript_biotype:protein_coding MARISNIFEPAQAVAYGYFFVVMPALVGFLIVFRAQVIKTLHKQRDGRSMSDKTYAMHIVFLKVDLPIM >PPA04378 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:120527:123894:1 gene:PPA04378 transcript:PPA04378 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRLGVKLKERQPRPTSCIVCRDSAAGYNYGAPSCNSCKAFFRRAVVKSEIFPECSSFGACSAVAKLSIIKKERESCAVRGSLEKQVEKALEWYPQAAIRHSRKSTYAPQLYTELCIDDFLRGPSKLGEKFEVMYAVPYEPTAMRMVPIEVIINKRVIMELPNFDYSTKKLWPFQDVVYSIEFIKALAIYQLLEKTTQVGFRFRSPMNRTLLASALLCADFTAAFYSYSQNSACTYYPDGTNNSRPDLHVDLNVREYTLLKSIIICNPSECRNQEYCSKEFTRAISPYYSMKRNDTGDLCEDIIIICFNGYEAECN >PPA04373 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:94652:95655:-1 gene:PPA04373 transcript:PPA04373 gene_biotype:protein_coding transcript_biotype:protein_coding MDVICNVNEATICAETVVAINKEIAESESSSISGNTSNNDIIDGPSATFIDHNSYFDCYDSHTDTPLLDRMKRAYSTLCLVRKSCEINGLKQHEMHAQLRNETMQH >PPA04406 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:237557:237949:-1 gene:PPA04406 transcript:PPA04406 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFLLLLVFGALVVTAQEDREIILQKRQADEREIILQKRQTEDREIVLQKRYAEDEEKVLKKRCGSRPAPASSTNATITALRASFT >PPA04392 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:174944:175520:1 gene:PPA04392 transcript:PPA04392 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRRLLPLLALFVAVFAANSTKTAFDDVIVDHIDQAIVDVTDDDGIAFDAVEVSNTSAEHHQPSYPTPPSHIAENSTDGLKSKDQTSSSSALVPLTAAIYCGMKNFRFGIEDE >PPA04432 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:416058:417234:1 gene:PPA04432 transcript:PPA04432 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGKIGMPSARDSQSRTELASSLAKGSWATGDPARPRPHEPSARDSQFLHHDFFGSFRTMTPGSWSRITFEA >PPA04407 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:238904:241576:1 gene:PPA04407 transcript:PPA04407 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPTLIVLLSLAVSVLASESADNVAKELSREVQEEFSGRDYRDELEFLKKQFSSTHPRNDTLIRIPNELNINHNHTLFEGDIHLTPEQWKAALDIDPSTRRRRQAIYNLTQMWQPMGAPVIPYMFVQGFRELLGTIAYLGSKTVIRKNSSLPPEYSLTSTQYQQVVKDAMAFWSSRTCVRFRQAMNNDNAFIIFNHNAAGCSSSVGRRKGGQYVNLEAPLCMTNSIITHELSHVFGTLHMQSRVDRDSYVQIDLTNVEQGMDHNFAMEPSGFSTYGLPYEYGSIMHYFPYAFSIDPELPTIYAKADFLRYQGSMDGPQATFWDTQLINKMYKCTDKCAKQMPCYNGGVTDGASCSKCFCPKGWAGTYCETRPTNAQVINVDTTVQKVKLEFGVMEKGFEEKLVILQAPAGKRMEAIVNSFGPHRFPGCRNIGLEIVPYTDTRSAQLRFCGQVPYPSKPIYTDGNTMLFWLYRDINLPVTVEVSVRAF >PPA04409 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:251692:253499:-1 gene:PPA04409 transcript:PPA04409 gene_biotype:protein_coding transcript_biotype:protein_coding MDVICSNGILSFGYLDEYFPFFYMEKGQHRGLSLQIWRIVAKRFSCRRSETENNASMTQLSLFEAASNNTEEGWTPYEFFFVFSPPILVLIIISTIVIQLANKSNTRLKSTPGLSILFSAAILNLFILHAAVFKGNTIVAAKPALLHWDDIVADLWSGSKHLLESAANLDDSEYGQLMGSNRPIRSGSTVNAMTELCKRRTSTIAALYDTQVLYITDIGSMPKDCQIHRIPPHGNSTLINENFLPSTFVYLIPRKNPAKIVEKINEIILKLFTFEKISDFWMHKELRRPSSLQKETPQKQEISSVVDPLSLTSLAGIFLAYIAACAHVHYSIS >PPA04418 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:293435:294640:-1 gene:PPA04418 transcript:PPA04418 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFVTEVLQRCIASLRHVHGSYAYLPQLTDQLKELAIFQKFESKVVISREQYAKDLEDGCFKAFIVIDDETGEAAGMAIYHDTFDCWKGRTISMNQLIVRPQFRSKKYGKLLWAAVAQAAKDRGVAHMSWDVVDWNKGAIGFYNSVPGVREVMNDKGLIQYMMSKEGIEQFTASSKHCFL >PPA04427 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:387697:388122:-1 gene:PPA04427 transcript:PPA04427 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAAISPSFVLLHVSITIQQALSTFRVSLRTQSIVARSCIFAAYLIISLNLMMALEIVNLTFAIFLVVHNKKILKNE >PPA04421 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:307112:308044:1 gene:PPA04421 transcript:PPA04421 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIMMIICAIVELDGQKTILNNNQPYYEMWNSEASTIRIPSSPSPPFIIFTTGRDRINRRPPGAPPSYDETMTPDQNIIQESLDK >PPA04410 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:256632:257262:-1 gene:PPA04410 transcript:PPA04410 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQLLQEQLLLLQALLLRMLWMRMRLLPKKEARSLKRREEKQQKELSSARFSSLKRVLGKKTPEQRGMKMVEKMCGKDSDKRKESGAQN >PPA04422 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:369239:370073:1 gene:PPA04422 transcript:PPA04422 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPRVSNSTSISLVSVKKLPGALEANSDWQNVRNLDKAGFIAPPGFHGCTKVNPTQTKHMVQVFRQYDEMFVGEDNVNVSWAIEVTSSSKT >PPA04369 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:74392:75822:-1 gene:PPA04369 transcript:PPA04369 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFERMHNLLNHLAIQLFLPSIFRPFDAMFARRFGERTLNVKTWSAILDRRSKNVLISFGTVAKSYLMPAQYKKSVVETVKKFPNVTFIWKYERPEDKISDGIDNLIESAWVPQNDMLHDSRLSLFITHCGQGSTIESVTAGIPLIVIPVLGDQQRNAQVIKRIGTGIVLDKTSLMDSQELEHLIRLVLETEQYSNKARLVGEMIRNRPFTARESFVRNMEFVAKYGPLT >PPA04411 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:262555:265985:-1 gene:PPA04411 transcript:PPA04411 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAFPLVFLCEEVGEMKKFTILHIAITLLFCSARDDSYAHDGMVHNYESGLESGRCSTSKAHTRTLFCSNCLTIVGVINEIVFEDVYRTKQVCDDLCYDLFGHTPSSGIACKQWLDSDLEKIQYKLNNNWSPAKSARDGTLDAHQPLVHGVVAVVAAESQRSTASTQPAFHQTSACSDWKENERKNEETSSRRPQMRTGFSGRGRGFGGCTAHAWMFRRQALVCVPNLGPSKLTSCDQAWAQVVPPEGSVQ >PPA04380 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:127786:128614:-1 gene:PPA04380 transcript:PPA04380 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRTVADGILGTHVTWDEFEGFASRCALIYCDWQGDDADELPKRVVLKMGSCLPLVALSQVLPAERNVFKDATPELWAMTEHGIKGVRFPAQQNNFRL >PPA04412 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:266619:267110:1 gene:PPA04412 transcript:PPA04412 gene_biotype:protein_coding transcript_biotype:protein_coding MHHIMHQQSYDAWTARCSLEKADREARKYEHLETRKRIYPCYGETATIHTSSSLSTRKTYVNLPTIPPVRVLFDMEMSTVVVPEKKRFLPTFELLCP >PPA04400 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:210670:212104:-1 gene:PPA04400 transcript:PPA04400 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHRIGSLLDCITRDKINAKTPSDWECIAMMYCDVAAFIVLVDKKDEKFGPIFDEIHRMAVCGFNSGNVFIATEMYAQDVIIVDMERNKEYYGVDQIINSVAHYITMGTIEMKIIRRIMHHAGPDRFSAWVEFETTILATGNVIRGFFQQLYDKQGDQWNLAFHAAEHGLAKNILRIAV >PPA04425 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:380513:381102:-1 gene:PPA04425 transcript:PPA04425 gene_biotype:protein_coding transcript_biotype:protein_coding MMRFPLFILSLIAATASNVYSSTCRYDGSTVYETGFAPRPMTSNEVAQMSNYAAQSRLSAVENEQIARGEFPLNRLPTPAPKLPCFCHNCNIMS >PPA04358 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:29722:32975:1 gene:PPA04358 transcript:PPA04358 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKEKAFKCLICGAGIAHAHMGIDACRACGVFYRRSINLKYELICTCGETSSTSKGKIVSCRKCRFERFKDIYEKANSAGIACTRDEPTSSGQFEDVPNQTPGSSQQDDVQSIQTERHAGPLSDEPTLLYIDHNRKYRDNLKQTAIRAKSEFGKVKPTIDEFIALFGLALWNDYTASLSPAMTSIVSKIREAILKELKTMLRMYNYE >PPA04357 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:25956:27901:-1 gene:PPA04357 transcript:PPA04357 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVKSEVALEMARRLAIENVPLLTNNQCQVAKLPQNAGKLFLTAVNSCGERYRPS >PPA04431 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:414020:415165:-1 gene:PPA04431 transcript:PPA04431 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFPSVTFIWKYEKLQDEFAQGPAAAVDNLVLADWMPQNDRLALLIPIFGDQPRNSAMIEHNKLGMVLGKLDIGNYAKIIALLKELMENEEYAENSKRVSRMLAKKPFSSKEKLLKYVNFAAEFGPSSALRPQSVDMSFIEYLNIDIIFVVFLNPL >PPA04393 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:176600:177961:-1 gene:PPA04393 transcript:PPA04393 gene_biotype:protein_coding transcript_biotype:protein_coding MCTCSPAKLRFVEIKRPNGGDPSAPSAVCQCDCRHCPDGDRSTMVTAPQRGYEKRAKRQTRGPPQCNCCLCGRGSNRNPYAYFAPKDDLERRRADYERAYAPYPRSGGGQREIDYADDYPPPAPNQRYRPYAAEAAAAYPAEAYPRFRSYTGDADAPARAAAPPAYADFRTRGAFGASTHDDLYPYEPYPSSAPPRFRGGAYGAEAATSPYADYYAPPPANPRALTRAGDPYGYADAYYPPPPLSRPRFRSYGVTEADPAPAVGDPYAYPEEYAPAPARPRFRSYGADVAEQPDAAGDPYRYPDEYQQPPARPRFRSYGGEAADPAPPITADHCPYPDEYLPSAYPLALPKRG >PPA04428 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:398525:399399:1 gene:PPA04428 transcript:PPA04428 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNDANVTPMDTTGAIGDAEHNQISIPGEVSKRQTRGMSEERRKNEMSVTVRMNTEYDAISKAYKDCKDRVKSQTSLRVGGEVTVVNDCFKAAKKEADKAWEGIVKAVSTALEANVSNEREVNFLKSVEMDSFEQVEAVIRENREELDQFRLLLENVKELEAVDDIPSLLEKIKDEREAREKERLEWEDMKTELKFGALKKKMEEDEANALELVDSFEELEKKVAKLVEEKARLKAVNDEQLARLIAAEDANQVQMEPVLPKKCLNLREGVKI >PPA04351 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:6577:7336:1 gene:PPA04351 transcript:PPA04351 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTSQHNIVAMQCNAKNEGNYSGVDAALMEEWGQLVKREYELDVAMESIVNAVYESHPDAGMARIQSIDAEVNIAQQQILLEVRNIEQKLCEVEQKIKA >PPA04426 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:381529:384569:-1 gene:PPA04426 transcript:PPA04426 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLFLFTLLGAALAQSFQMHLSRHDSLRKVLAQEGRWEAYVAEKSKQALRMSRASSAPDGGYTQRVSDYDDAEYVGNITIGTPGQAFQVVLDTASANLWVPDSTNADSSTKHKFRAEASKTYVKNGKPFTISYPTGSVKGYYGQDTVRFGAYDKRGATLMVPGVTFAQAASITGVFMNDAIDGVLGLAFQSLAVDNIKPPFIEAIDKKLVALPLFTVFLHRDGPVLSPKGGVYTYGAVDTENCASQYTAYLPLSSATYYQYRLDAAYIGTYRNQKGWQAITDTGSSLIGVPPDMLEYVARSVFATFDPTSGLYIVPCDSTIPALELVLGGKEYDVKSDNLKFYASPSFVLGDPFIRQYCQIFDIGNKRIGFALAKQP >PPA04434 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:422531:423437:-1 gene:PPA04434 transcript:PPA04434 gene_biotype:protein_coding transcript_biotype:protein_coding MCDDAVREVAFDPNYDPKRKGEGPGGDYAAYFSVRLRVFCDEQEISIDDEVDGADEKCKHYALFKGSQAVAVCRLSIEPPCI >PPA04359 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:35579:36530:1 gene:PPA04359 transcript:PPA04359 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAVFCALAYSAILISIWRDKELMQMTCYTVHARLYVGQQRVLGVDEQVCAREEEGGGEKRKKETREAAASRKRSYPFDDEEEEEEEAKPSTRKKVNERLSRPRIRRTHAD >PPA04382 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:137571:138613:-1 gene:PPA04382 transcript:PPA04382 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSIFGTVDNSNTISSASPFPIHSLSNEILINIIERLSPEDRKQLAQMDMRMRELEKAAGHRQFDCVELFAENSHGHLNANTAIMLQNAIKTLEFRTLDIEINDEFTYRQLDRRESMQIEESPHCDITNAYYSDVLHTTSTAM >PPA04419 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:298166:301490:1 gene:PPA04419 transcript:PPA04419 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNRSLMLPTHVAAGQGNIDFLRAAVAIDVAVVHCRDEFGCTPALYAVQGGRLAALQFLIGKSKTVLHATNRTGMSLLHIACIAGHAHIVSYFLHKMGKSAVTATTRDHANAVHCAAYTGHTHILAQLLGCFSKKKRKELLGARDSRGNTPLHLAALGNFVDSVLFLIEAGAKPALLNLHHQTAREVALLRGHCGIGDAIVDHHGSTDAMPKKRRSLAVPSPLCALVTRSVKPIADKASNGSIASAPPISSPVGVNDQIADDSRKRASMPVQCDSSAPESCGASGRCSPIAAHVHAEDHSPGSPVEIPSPSAMMKSSSFRSNGISSGYSSAGESWTDGHDYRFDSMPRSFASGSDFSDDKKHSDDAPWMSEGLSAVYHFLKEMAEITNEYEIKEDEFEYA >PPA04370 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:76194:77076:-1 gene:PPA04370 transcript:PPA04370 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSLSVFFVILLHSAHALKFLAYNPVFARSHVTFIGALADALADAGHEVHMLAPIIDSRIDSYGTKKATEMQSVIDALHGQCNFTLHYPGLVERLSREKYDAAFSEHVCMCGFG >PPA04367 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:66546:67755:-1 gene:PPA04367 transcript:PPA04367 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMRAREWRLEGRLAFLDLLLHMWNEGSMEGIYEGHDTTATALTWALHLIGNGDNIQESLRLFPSVPIIMRELGEDQELNGHLVPKGAHLLLNIYLTHRDHNHWDDPEVFRPERDRSRTLALALRKHRSSVSSRKSVRFADSLGLDLEKMEYFTGDEENLFAHTMPK >PPA04389 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:158125:159429:-1 gene:PPA04389 transcript:PPA04389 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPILLVAYTAMTLAKDVYSGSCYYDDEVVFEVGYAPRPMSGAERDEMRVYGMQWQQYGEQRVETEILYCIVAYRAFKMLSKLNVLFSDSAQRSVVVREMNSTEESKEYHQKV >PPA04361 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:44418:45101:-1 gene:PPA04361 transcript:PPA04361 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYAVVGEYVISIAFCCLFAVVVCSHTFYTLSRLEIMSEKTRAFHRRLTKSLVLQI >PPA04385 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:143947:145461:-1 gene:PPA04385 transcript:PPA04385 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEYFLLLPFSLILFIIYMHMMQVELAFFGIVASSTAKFAEETIDVSAAPSSLCPRGGVWSEWTTIGHCPTTCGGCSVAARIRECTTRCGDCPCEQTGSYGAASAAMIISGVFCSVSVPEEARGPSMEVGPCGLALCPFPSAVGTCCGPFRKAINERTQTFFCGTDSVEAHTHKHA >PPA04362 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:46757:47079:1 gene:PPA04362 transcript:PPA04362 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFTFVFNKKYGAKKGNNKKPAPPLAVGVITIRRPTVTKKDSTSSAEGAKR >PPA04388 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:154620:156749:-1 gene:PPA04388 transcript:PPA04388 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKYCNPPYIPDPDPPSTRKNHIVHCEKTRAFILRAHAFMAENGVEDLSPSLLSKLLSIHPQTVSRTIDAAKDSPPITRFPPCAHPPLKQTKKAKEQRIVGRFSPETIEKLRRYVSFFAQYKRVTLKLIKDGREEWLAESDKEQTCRTSIYMNEYYCRLQANFLRSMLEIRTEGKCLIWSVDETWVHKGMRPNIGCTDKEAQKAPLTFIKNGLTAGNSAQWERGERLVIVACLSEHGFRCPLIWRTGKVDDGGDYHKEMNSEEFEKYIQNVFKELVKEAEERELRPVLLMDNASYHSRVLDKMPTTNDRKAELIADKLKKLSRKEHNIYIVDKMAESCNSRDYGVTLVRTPPYMAEFAPIELGWSAMKRAQYDLINRTDDGRVIREKLLEWMENYPAEKCKKNMEHSRKVEEAQKNSGRCLTFSPPSLTTEEVLAAAAEVVDDEDEEAVKEEHIPHLEMSSTGLRQRGRP >PPA04391 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:166517:167555:-1 gene:PPA04391 transcript:PPA04391 gene_biotype:protein_coding transcript_biotype:protein_coding MHEIFHCLVCRAVITHTNMGIESCRACGVFYRRTVKLKYRLECHCHGKDASKRVKQ >PPA04444 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:463785:464669:-1 gene:PPA04444 transcript:PPA04444 gene_biotype:protein_coding transcript_biotype:protein_coding MWMRTFCPAFVKNVPRPRFRLINKTANVLMAEKLAIGILWITMNERDWPTDEIGNRQFLLDMVQQCKDRGINVGISTKKSDWEQIVGASWNKLSKLPLWWTTLTGSANFKNFVPFGGWSTPSIHQYVSDTKGGCSVGSVNLNWKP >PPA04416 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:290444:290802:1 gene:PPA04416 transcript:PPA04416 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDHPDFVVYDKNGHDASELTDNSTSLPSMQLNMSRKQHDHSKMGHAVFEGAIPFAQTAENPIPPKMSFFQA >PPA04387 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:149972:152003:-1 gene:PPA04387 transcript:PPA04387 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSSGCARRCCKTALVAVTRRHVDCIRAMATPPSQSTKDDDGTRQAAVRQERRLLAVDVYGESALHLAVRRDDKTMVKLLLSVAPSLLVQQSMSGETAALLAAAMGRQAILLELLSGTDAKRIAMLTDSRGTSVLMAAVARGDTKAAKYLIERFGADLLMLPNRSRVAPAHVAAAHGDADFLKAALKVSSTVVHCADSFGRVHARAV >PPA04402 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:216860:218733:-1 gene:PPA04402 transcript:PPA04402 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSRPLIMVHDATDCTRELCIVRGGRLWLLRLPISYMFARIIDFMQDIARNNGASPTLLNLPPLIPNLRVHFGVYPDVTGINFIEICTPRLERISSPRLTGYRIGRKIKRSGQVAKARRVKQTLSDLTWVKDGIVAADSLDRYLDTSYCYLECSAIK >PPA04355 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:16919:19505:1 gene:PPA04355 transcript:PPA04355 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDSAKSVAELANARDYCGCAVHRFFCPYQYGVNKYRSIQQTYGVDLDADNAPGYLVFTYWLPQADGSKKFTPLPMFTMLFAVSVMFTAVVVILLCLVGILREMRRTTLMSNLQSKTKHMQRQLIRALMWQTIIPTFVCYIPVAIILFFPLFFEYSLGGMATLGLMSMELFPIIDPLLIIAFITGSREMILPSLHFAHYLAARCIG >PPA04415 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:287402:288613:-1 gene:PPA04415 transcript:PPA04415 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGFYIFPRHGGIMISGFPVDTLLCTLFIGTFYHMFLLLAFQFVYRYKTVTRGIGSSCTDYWSKCQWTTIGAVIYALYMAVYLMAVEIGMKPSDAARESMPTEIYATYGVNLSDPTAGFLVVAVRNVNDTTNDVDWNVESTISLALSVGLFGAIFKNACCTSNTQGTPGDVPCITHADHRSMHVLLHPTIDDSSSWIRDG >PPA04376 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:113257:113866:1 gene:PPA04376 transcript:PPA04376 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGNATFVSQWLPIWIWPSLPEYNCSRVMPVGDNWTIAKGVPHPLFGLWSVSWGTLCELLYIPCIYALYKEIGHSCYRIMLWLAIVDVIAILCNSICFGFFLIDGTVFCSRPWFVWIVGCVGLGKALRLEYGSGVANSQECGAERALGVCCL >PPA04371 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:77525:79825:-1 gene:PPA04371 transcript:PPA04371 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTILVGDHHDEFGVPAALSYNPSQWPPLSRSLTRKLDVHSFSSRAWNLYAHWLTRLQFAGPRSQVEALFKRRFGDDYPTLKEISSNVAYVFTNSEPLLDFATPTLTRVVSIGGLGAKEPKQLDEYWTTVMTRRPRVVLISFDHPNMAVFITHGGMGSVQELALRGKPAILVPVFADQPRNAAMMEHNRLGKVLSKLEIGDHEKIMTLLQELLDNPEYADNAKRMSQMLAKKPFSSKDTLLRYVDFAAEFGPSTALSPQSHDMSFIEYHNLDIVLVAFLLALIVVYVAIKLICFVLRRIGARKVKDE >PPA04386 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:147670:149724:-1 gene:PPA04386 transcript:PPA04386 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHVACIAGDDNVVRFLLGKMGKAAIEATTKDNANAVHCAAYSGHTHILAQLLGCISKKKRKEMLTARDSRGNSALHLAAMGNHSDAALFLVEAGAEPAQLNLKQQSARDAALARGHGSVAGILAVAKASKAKNRRSIAIPSSSSSSQTAALEGQSSSGIRLAVPLPKKTSSSALSSGYSSAGESLDSPKSVTNLQVFAWYK >PPA04368 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:70080:71343:1 gene:PPA04368 transcript:PPA04368 gene_biotype:protein_coding transcript_biotype:protein_coding MFAQLIVVLIAFRMGQNARMYVAVLFCCAAIALVCTFFYMIIARQRQHFVMNRRFELNRHSVSGQYQMIENKRCDRFIVFYIPYQVIISMLIPVIRHPALYKPFKHMLRRERKSESPEHIELPSIDEPKYVIVRSIEGKQLTFARDQEGDLYFENYNMTWECTAEWNRMSK >PPA04443 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig125:459975:460448:-1 gene:PPA04443 transcript:PPA04443 gene_biotype:protein_coding transcript_biotype:protein_coding MRQERMAVPPETVYSTVNQWLPIWEWPSLPEYNCRSCTFRVCSHCSRSVVMLAIG >PPA04384 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:142380:143535:-1 gene:PPA04384 transcript:PPA04384 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIEVPKTVKEGSIRAPPPLTLVMTARELLDRLAITSSHMTSARTTTDIGAYCNRQHTIGSDKIMREHVADQNDSVQSALSQDVITRVWADDKIACRTQNEPSLVEEMKKVFARRTLPKELVTQEQSGSGSDAPCNDNSSSPSPISSPVIKGQPLKIYTTRSTLV >PPA04395 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:184021:184698:-1 gene:PPA04395 transcript:PPA04395 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPAMSATDPLGLSWPMLNPTLNVPFFNREIYRTVNLNAKASKDSSGLDLPFDDITTLRFFFNLGLQQCQSALLSQCCIFGASGTRIIADLTKFVYP >PPA04377 pep:known supercontig:P_pacificus-5.0:Ppa_Contig125:113941:114876:1 gene:PPA04377 transcript:PPA04377 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKAQTNLLILMATMYALYFAFLTPPILTNSEFNAMFYDPFIGDVPTEVYVNWPHTANNLLVVMTSATLYLLLIFVKSKTSEVGRRKKLAVNGPIFIQATIICVFSVAASLESSSLCISNAKSNGTCSIEIVKSVKSAIKR >PPA04446 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1252:2552:4060:-1 gene:PPA04446 transcript:PPA04446 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRNVSLSPGIDEAVAASRLTLSILPGLSPVREAREHGKSTPGGECICVPPVLLSPVLSRPVRSASAASSPLPGRGNHMIAVSLNEYLSFEVCGDNR >PPA04447 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1254:726:1651:-1 gene:PPA04447 transcript:PPA04447 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKASRSQKGKKKTTGLTKKAGARSSRSLGPAVSQGSPAIATDMQVPRPLVDGDVMDQPIANGEVREEQLNAADLIGIPTLDIIPQRFMDDYGAHT >PPA04449 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1259:726:1181:-1 gene:PPA04449 transcript:PPA04449 gene_biotype:protein_coding transcript_biotype:protein_coding MHETVHDRKALMTQQFEVFWGLDKNLARGSSSHLSIGCSSSIIPFRFCPFYKTVGMLKNMIGFCELARHSIETTAISESKITWALI >PPA04471 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:116548:117093:1 gene:PPA04471 transcript:PPA04471 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPYDGMDIRKYAWMKDLKGICTAKGSFTINHKTGRLEKKEEPYVFKANEPEDPSYWAACTIL >PPA04484 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:167605:168318:1 gene:PPA04484 transcript:PPA04484 gene_biotype:protein_coding transcript_biotype:protein_coding MAICLLKFTVAVTTLVSPESFFGEYVKETHTPSDLDDETRREKAATFAKVAIGTSTLVFIVGIRNIFVHSQAYKILKERATRKPEDCAGKEDPEITAPLNPRPLVDQELLKKAQDCA >PPA04506 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:295153:308489:1 gene:PPA04506 transcript:PPA04506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-taf-6.2 MLIYLVRIVRSLLNNKTVKLEPYLHELLPSLVSCMIGRQLCMRPEVDNHWALRDFSAKTLVALINAFDKIPALRLRVYRTLRKTFDDPNSNYGQMFGCVYALNEIMTHQERYECYHRYVDLTNACHPSACTGLTPESKAEAGKLYQQLLNACHPSSCTGLTPESKAEAGKLYQQLLKVETSMLRSYRTISEGLMDGSFDDSSIPGTSTQATPPATPPNPELRRIYGVQYPNADFVRRVGDVCGVPAASLDDRVAGAVADAIRSHLRDIIREAGRFAVHGRRARMIPQDLDDALRLMGVQQPLYGMRTQHGEPFRFAGSAGKELVWRDEGEVELAAIIHAAPPRMPCEPQLKPHWLVIDGVQPAVPENPVPPAPLDILTGRLNSAGERAGGASKEERGERTEGARTLTTHSLSLEQQVFFKEVVESVIGHDADRRNEALHCLQTDRGLQSMAPRFVLTIVEGVRTNIGCRNLHCLQQLLLMTHALMNNRTINMSRVVHDLLPAVISCAVARRLSARPAFDNHWAVREAAGKLVARMCRVCGFLVEDSWGLWRAEKKMGWGHEVVVRVRPS >PPA04516 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:392423:395716:1 gene:PPA04516 transcript:PPA04516 gene_biotype:protein_coding transcript_biotype:protein_coding MHGALCSAPYAKYFKGAIAVAVVAALACGIYAYVLSSGCPAGSCGAKPTVSVRASAKQISNGGKTTRNKRRSASSARQGRNSSSPSSTPGGNPNEIPTSDSPTINKAEFNLLGFPNEIIDRFFSFLGVKDRMRARLNKRLANVEADSKYYLKEATLLQMPTDNSVCFSINNHEYPFDLLSRIAHNTSIGKLKIFDLENARGKAVDAFFLDVMTRCKILQFDFFMNISAEALYQAFQIMINGGRLRFMYIKEFTMETIKAFFRLTGIYYDNGRFVSKGKIENDEALTKAKTTPRDGMIRTKIDVYSE >PPA04480 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:146885:155213:-1 gene:PPA04480 transcript:PPA04480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3E462] MGNYLSRVTGAARPSERDAAGGTAVEATGVSTTTATGKTAGITDGATDVNNATGKTYSPEMLEAMALDPPFALDFCGLVPDPDGLYEDYVPDPRIKESLLTPEEKTKFSELLDQIFSPDSILGVRYWFDPNDVVEIATKVIPYLESEPMLIEDLPYDITIVGDLHGQLYDLDLVLKAEEKNGKKGWENMKFLFLGDYVDRGRQSLEIVMALFCLKMLYPDQIFLLRGNHEFLSTNSRYGFSLDFMDRYVDEECTGIYFKVNEAFCYLSVAAIVAEKLGLRRPRRSKVREERVKRSKIPQFTGQPFGQKIRGLPYKSGDSYFCAHAGISTHAFTRRHLLAIKKPIIDSREDMLIHDIVWSEFAPGLKGSAFNAKRKTSILYGIEELSFALYNMNCTTLFCGHKVMQYGFEIGAGLCVNVFTATGVTDACNDGAVAIIDANGHVSFRILVCSPERRELVKKLRARDAGVDHDVVESDSETIYEEMTISNRDDSYLEIVMALFCLKMLHPDQIFLLRGNHEFVSCNDGAMAVIDSEGAVSLDIFECNPKRVEWVKKLRAIDAGVDHIVDPVQEETVYEEDVDDEE >PPA04486 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:181685:182314:1 gene:PPA04486 transcript:PPA04486 gene_biotype:protein_coding transcript_biotype:protein_coding MHARELTRRLRARGVSTVTANSLHPGFVATDIARDLPFWIKLIAGVFTPFLKSKRDGAQTTVYCALSTEVKGVSGEYFSDCARKDVAPLALDDLAAKQLYDYSLKAVGL >PPA04461 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:51793:58053:1 gene:PPA04461 transcript:PPA04461 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRLIAALFIIGSAIAQDDWIAKTTYGSIQGYETTSNNGTRVKVFKAVPFAAPPVGDLRWKLPEWPKKWNDVKDGTKYSAACMSNSTTTKSPQEWVDEDCLYINVFAPAHCSAEHKCPIITFYHGGGMNYDSAVYYDDKALIETYPANGVILIVPAFRLGFTGQFSLGDNQELVPSNLGVYDALHALKYVKQEAAAFGGDSDAITVMGHSGGGGLSILLAFSPDSYERRTIGNHRQVSIDRFVMMSPGLAFDPPGNNEKLSKEMVERAGCSSISKKGTLACMRKKSGPELLAIQRAMEEENAPSRSIFGGIVMCPPLFPFKSIPELLENPPKASILIGTTTKEMDLTPSENAMHGANVGTFIGAKNVKELDEIYDSMTRSDKNSTFSYQTHVPESQGLYVGVYITARAMLNKGQRAFVYSYDQPTHNKHTDDLSYLMGIHLFEQDENEKEIAKFYPQLFLNFSKYGEPSPNWKPLNLKDRYYSVEVNLTSGRMPAMRNHYEQETIDFWVRKAPKIDKTITVAKAAGGKMKWDKTTGEFRDLIVEDDSTAATNEAVVPVVVVYASYFHSLLLLCFVFLLGVILSCCFLSDSSSREERVWILGPDGRGMRIDPRKDLMLAKQLF >PPA04474 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:125020:125963:1 gene:PPA04474 transcript:PPA04474 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHLFFIEATYDNFNPSQPRASRPAPTVFCYICGRQFGSKSIDIHLPQCLKKWHAENDKLPKNQRRAAPTKPDDFNNDMANPTLHITPLT >PPA04462 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:69045:70503:1 gene:PPA04462 transcript:PPA04462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tth-1 MSTEAITPLADLPKIPTDIAQAIGENEVHLKHVEPTEKNVLPTKEVIVALTTPPLPDIAQEKTHQELTEGIEGFAAEKLRSVSTDEKNVLPSAEDIAREKTLDAVEGFDATKLKHVEPHVTTTPGELKF >PPA04492 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:221177:227632:-1 gene:PPA04492 transcript:PPA04492 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNAKLFVSALSHAIPHNGCRTGLSGSAASAAAVAARMVCWVVVALGAIPAALFLYSRYVSQKPQIYVKVGTKWAETLRKIPVFEETYTPTWWCPFGWAQTIVRELFRDLPRLDWERELMRYPDGGQSALDWLHPKDERLREDATTPIVVFLPGITGSTHTAAYLLHAAVEVHARGWRAVVANGRGAGGVELLTPRLYNAGTQEATEDLGEHDPVELPGALRYEGGGQGGLGDGRVVALALDGVST >PPA04494 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:231362:233806:-1 gene:PPA04494 transcript:PPA04494 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSLILTAALSLVALTVVLSMASAARMGEERRSERKPDSIRYGLQLIPDLHQLSNGMLVYSTSLDANATRQKYVDGINEFLDTYVNEQNSRHRFMADCAEGQTEKPKDKWCRFDTTRAFSREGRGCTRYDNFGYDSGAPCVLFALKDLACGVVLKK >PPA04463 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:71839:77451:-1 gene:PPA04463 transcript:PPA04463 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFCTKKKWNGLIKPDAATFDCLTREGDDHLPYWIERKEYIAGMVSQLKTVSKRGQWLAEWIIGERRIRCVKRAALATTDTIPSRLPVILFSHGVGESRHQHSSLCSALASHGYVVAAIEHRDYSACWTYKLAPECVVTSASSIRGGRAGRVEKPMTFLDIGEHDGKRENKTRNQQVHKRVAECVRLFHFLEECDLGLINRCPWYVARPPPPHHPLPRPLTRRPCSHSLRRKDRLDLTSSVIMGVGLGAATALACCSFTTDFQKAIALDATLRPLGADVYTRVVQPILFLNRPGGAAPEAQAKFEDAESITLMDAEPHTFSDLPLLLRPVLGRRLRLNGATDADQMAETVVRVCAAFLQVDDY >PPA04505 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:285587:293636:1 gene:PPA04505 transcript:PPA04505 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKDDVPPKINKWDGQTVKNTLDDEIRRVLNNLSGWKEIHSLMNGRLIISFIAVCFSAVAIVYDYYHPFPKSKIILAICSVSYFILMGILYLYQWYVERNTFYQAQEVITSSKKRQWKWNSDLPSYDDKYTISAEYYADGRSGKGSVTKSIANFFDEDGQVVRPRVKAEVPSAMAGQQPRAGPLILPRIEPDFVRHVAESMGLTNLTINGNIYICEQVSHLLKRVVYDAHKFAIHGRRAKVVGGDVEAALRLAGLENLTPLGHTLPNPPPMVEVANPYGMENWFVPGEGHESKLVGPTTTCLHPAVTTDDIEIDLAELREHPPQSLPAAPYLRAHWLVYNGKMPRVPENVQPEEVAKSEVSCAAAAAELAARRETSEATTSSAGASFKRDAREVATSEQVMVQPVPSEALSVEQQRYFKEIIETVVGTDDKRSLIVKIRHP >PPA04459 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:46526:50077:1 gene:PPA04459 transcript:PPA04459 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVPSSSSPYRSLLPSGRFICPPSAYADALAQFQFTSDAIDGVQKDIPMSIQYYVYSGGDGDPIDNVFSNRDNFTEASIPAYTKFCAEGPEENDFERFIKLTITPSINTELLVIFTAAPQDQVDNALPILSSHVNQTIVLGLKGTSASNAYPKSAVTLADFSEPERVSCMINALYETIHDKVSDIDAVTFCYPQLIPPTVLAQEITTTVTPTTESPLNRILFINDFTTDFIKDDQSFAQAKQMANSIKCPGNIHPEAGAQFDFTNAIIQGVSDDIRMEIYYYANNGDGRLISNFHITKDSFPYDTDFKDVVCYDDAHPSDLPDTQSEFDQVFPLDNDAAVIVVGMREASASNAYPATATNIDDFSQPEVITCMVEATFNGNEDPVGSCGAPTRMPRPPLTILFVNDFTSDFVGDYDAMVKMVTE >PPA04489 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:202264:212641:-1 gene:PPA04489 transcript:PPA04489 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vps-33.2 MKTMEEGLKDGSLPSSDVEGGGRATRVFLMRCSLANARLLAAFLRSDEATPAAVIWVDRVLPMAERELERAGVYGAVDQSALALPMLPIEADLFSLELPQSRQTEYYSVAQSLYQLQSLYGQFPTIYGVGEAAERVSKMMKVLYADRGEPRALADQPISHLFLLDRNLDPASVLMSGLTYESMLHDAFRIRCGKVQFGQDVEERLRASMREEGGGGGGKSSKFSIVALDNNDGVFASVRNMHMTAVFPWLSAKAREIQASYDKGAQLDALADVKAFVSSELKTLKTRHRQLETHICACEVLLERSGSGSASERLGLEHALVAGQADMNQVLEFLEDSMLAEQSPWQVLALACLASLASCGLPQKIFSSFRESFLHAYGFDTLPILHSLCTRRLLFVKPSPLSKLREDGAGGRGGGDAREVRKKELLSSLPAAAAAAAAAAGSSPTHSASSGPPIPTLPFIVKRLGLVPSAQQLVDQLVVYPTTSRRLPQPRDTTLAFRIPRTAQELLHRRI >PPA04515 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:384781:389462:1 gene:PPA04515 transcript:PPA04515 gene_biotype:protein_coding transcript_biotype:protein_coding MGMCRYFYSDKKMFFFNLGVQQSRVVLLSQHLAQMHNGTVPTVPTQQQQQQAAPTAAAPAAAVAAAFPAGLNLAQLQNIQAALAAQQQQQQQQAAAAAAAAAQQQAAAAAAAQQQQQQAQAPLSAAGLNNLAALVQQNAAAAANQMRALQAAAASNGGSAVATQQAVTHHDMIMQLRAQQVLENLRTGNSAGAAAAQQSVQQLAARLQAAQQQQPAAGAAGTSPAPPQQQQISQETLLLQQALLASNTNIDTQKNTSLNDLRLQLANNSQQAQQGFQQNRALADAVAAIQQQAAAAASAATSPRPSTGTIPVDPAPSRLELAARMQQHALLQQQQLQQQAQQQQAQQQQQLQQAQAAMQQMDRRAAVDMAARNINSMMNGGGTTNGFLSASNMPMRKESAPEISIVHPTAQKPAGFSSPPASSSCSSSASSSSTSLTPAQQAAIHHHHQQLAASAAAAAAAAAAVSRPSTADNPIINHSESMFSNTFRKEEAEMRRQSLALAAGGSAAGAAAAAQLAPNHFANVAAQIAANKSMIGIATSAAGGSSGSPSGSAVSGGSAASAASGGGNGGPSPPNQRSAPGTPPGCMDKDDDRPALAPILMVSYLNSCIGKAKTSLQHKGLPVPTVDSKGMPVDFSSRPIDPIFKQARAQLEHDRNYVDSPGVSASDSSPPVLEAAAAAAAAAAAAAAPSRPSMSPPKRPPSDSRDSSSSPSEKRLRIVEDE >PPA04451 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:7579:8209:-1 gene:PPA04451 transcript:PPA04451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3E930] MAQSVPPGDIATQPGTKIVFNAPYDDKHTYHIKVTNSSARRIGWAFKTTNMKRLGVDPAAGVLDPKENALIAVSCDAFAYGQEDTNNDRVTIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA04490 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:215049:216091:-1 gene:PPA04490 transcript:PPA04490 gene_biotype:protein_coding transcript_biotype:protein_coding MLELILALLGAVPIALFFYSRFAAQGGHMDDDLRLTIEVARGDLVHRLESLPGPKHLLVEQCLLRPLDKVASMSLLAKHGCLRVFTIRNCIPD >PPA04477 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:136222:138317:-1 gene:PPA04477 transcript:PPA04477 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKLRRSIKCRLTLPFFNSMLKVEKVDFAQKSAIVNEVNGFVNGATEEMIRKMIDEQMITDDMVAMFVNAIYFLGKWKYTLKKPYNDTFHGLAGDRKMEFMSQKENYRVNFNSKFGTALVLPYKDESYSFFFLMPTGKAALASTLKSLDGKGLLDTLKAATQTNIEIIIPKFKVDSKLDAVKVLQKMGVNLLFADSADLSKVAHLVSELRYSPKSTRDTHCCGGGKARRVGKGAEPISNSFPIFGGKSFAMSCAHFGQCSIDCECQ >PPA04514 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:368738:370149:1 gene:PPA04514 transcript:PPA04514 gene_biotype:protein_coding transcript_biotype:protein_coding MITFNASSLAAAIQQPPQHQQQPVYVVAPAAATAAAVAMTSSAGAAAAAAAAAPQQQQQQGPPVVAAGAAGIPMPPGAPSAAQIQQMAGGAIFDL >PPA04481 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:156380:156810:1 gene:PPA04481 transcript:PPA04481 gene_biotype:protein_coding transcript_biotype:protein_coding MVINRICVFLLISIAFGAVLSVANDTVKTVENAIEQPVELQVQGPLANAKFIFQTVHFEWRKTLDLDECNGNCQLNGGSSGFNA >PPA04464 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:78672:79365:-1 gene:PPA04464 transcript:PPA04464 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLTAPSTSTSALPQPGIGPHPVGMVDVMTAEGANGDTGIFARVFYPAEECAAKVSWRQRESNRRPSDTYAGALPLSYTPLILACPRARTMVS >PPA04485 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:168718:171411:1 gene:PPA04485 transcript:PPA04485 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQNEQLSEPYYWCKAVKEGLVRKYEKSRDYCTYFELYYSDLFSPAGGIAGATIGVSTTNMTPIVYGLLAGTFGIFSVMKFRRFLRGGLFTEPVSAIGKVAVVTGANTGIGLETVRELNKRGAKVYMLCRNEQRAVEAIRDLEESGCDASRLSFINCDLCSKENVRACAKTIYELSDSIDILINNAGLAVGKYQLTADGHEMTWATNHLGPFLLTELLLPLIEKAPEGRIVNVSSIGHTKANTRVENEL >PPA04460 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:50635:51047:1 gene:PPA04460 transcript:PPA04460 gene_biotype:protein_coding transcript_biotype:protein_coding MTADVLVLFTAEQLYQPLPDISSNFARIIVVGLNGVDPSIAYPDSSLSIADFSQPKVVSCMIDKLWDDISDASLLRCVN >PPA04513 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:329207:332823:1 gene:PPA04513 transcript:PPA04513 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGPGIDLATRPVIEQMRQLSHNRFTAPIENHHKIRAAFGNYFNLLGLPNEIITRVFTFLPIADRMRARLNRRLNEIESKNKYYVNSMLIAERLSFTSGYASNDIIFLDGKSYSADCIRRIARNASIGSLKLELYGSGPFHREVYNLVKDFDLRRIDLTLMYCELEKEFVIDSFFLVQARKCETLTIIGYDDITSDALHQVYTIMIDGSSNLRYLKATSIRNEECMSFLLLIGITYRLGKFYSKRNIQAREEFLDDEDVCVHFFDGRIEMAISCVELTGDDGVVIEFKDHETPETLDRAKTAPGLLRFRVYPEYLLRRRDMESHCCSLPLE >PPA04521 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:430249:433211:1 gene:PPA04521 transcript:PPA04521 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQVLGSAVLWPFAFAFPGLFALLLVLVLPFSPESPKYLMSTKKDRMGALDACRSLVGHDAAQHMYEELVKEHIQEQDQSSATFRELFTSPQLRVPLAAAGIVMLAQQFTGCTAVFAYSTDMFVNAKLDQCVSCRLFHRVCSFCSFRKYRNTYTTNLRVTARFATLAIGIAYFVFTCSAPLLIERVGRRPLLLFQLSACFVSLLALTIFTGLQTYSKVSWASYGMIGALVVYMCVYGVGSPIPWMITGELFNQRFRSCAVTVSVFIAWFLAFLTSTAYLPFSQKAAHHTHNPCVFQLVGVTFSYLPFLVGLLVSTTVMFFLLP >PPA04519 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:419155:423269:1 gene:PPA04519 transcript:PPA04519 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDHARTTAFLKILLIASACINLCVCLGGVLLGVLREDMLLAAVVLTGSGALGIVAFVVCWLARARAIQYSMEATHYKDMLTELGEGVVRTSVIHTAPACTSMLDSEDEQDEERTAIKTPRNSGAEEQWLPIGERWRNEQLRLSLEQPSHPTTLFVFPFRESTPRSERSMSAETAEVTSMIVPDRGSTSFMHSSMIETEVTTPDHRKRVVRCIGMSAAFLTKIGSGIGSIEVPQQKEEETA >PPA04456 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:28272:28847:1 gene:PPA04456 transcript:PPA04456 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQIYAAGYDAPTKDECWAVYKANSLSHAQQSQIDVIGPIATIRFDGLQAGTAASVKVSGDITYQAVEKNLICVAEFGRVILRRAREKNRVK >PPA04488 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:191970:200535:-1 gene:PPA04488 transcript:PPA04488 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSKIGAVNLRAPDRMSYVFSGAFAPPLCTLIADTMVRGWNNAEAEQTFGRAFAASHTMQPAERRPDSRMRKAIMAFFVGGVTYAEKPTLYVKNSTKWADLLMERVKIIHEVYTPTWWCPFGYAQTIVRELFRYHPQLDWQREIVKYADGGQSALDWLHPKDEIANQKKNTPIVVFLPRITGTVTSLGGVELTTPKTYNANMTDDIRDTIKLINTRYPTAKKFGVGFSLGGMILYNHLAKCERAEDTGLHAAMILSSPWNSLRSTMDIETFWPRVLFNQNIMKNLVNMVRPYKEMFEEMVDWEAMLQAKTIRDFDKAFVVPLFGFKDYKEYYHESALYYKVHRIPIPLLALNAADDCFALPETLPLDDIARSENVAMCLTAHGGHTAFMQKT >PPA04507 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:309823:311547:1 gene:PPA04507 transcript:PPA04507 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGVLRSRVAYLFTKRFGAGGGAGRPKPAMATLYGSVFGLSELGLETVRTLIVPRAQWLWDECQRAMVKREQPPPPEKKAAIEDESSQEEVDSLNDDRKAASKMIEMVTFDNGKKETSSASIGESGAAG >PPA04450 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:3245:3873:1 gene:PPA04450 transcript:PPA04450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3E432] MAQSVPPGDIATQPGTKIVFNAPYDDKHTYHIKVTNSSARRIGWAFKTTNMKRLGVDPAAGVLDPKENALIAVSCDAFAYGQEDTNNDRVTIEWTNTPDEAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA04510 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:317083:318012:-1 gene:PPA04510 transcript:PPA04510 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLDRVHIALFIALMGIPQIGLAIAFTFFGIPTANDTCAQLNLYQNPSVTWNYDRKNHAKYLAEYHATHIMIGHLTVMLAAISLKFAFVILTKYTYVQSGALLKVIDLIPYTMPGHSAIVSYFRCRVTQRKPGAQSANTLSITM >PPA04472 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:118278:118531:1 gene:PPA04472 transcript:PPA04472 gene_biotype:protein_coding transcript_biotype:protein_coding MNERKGRAAPSFPIVLLDDAIVAHMEAVVDVEALFIRYLLAAVVLIHDLRN >PPA04478 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:138918:140480:-1 gene:PPA04478 transcript:PPA04478 gene_biotype:protein_coding transcript_biotype:protein_coding MVCATALLSASLAVSLLRNSAPPDKSFVMSPFSLDTALSVVNDGAEGCTQKELTDFLLHGCTPADVTAHYSSLALALTANNVSGVTFKSANRFYVDESEV >PPA04499 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:257370:258435:1 gene:PPA04499 transcript:PPA04499 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSERPQASDSLAELYRTPHPTKGPEQHHQTASHVEPDSSNKEKTCIEDKSDAMQISLYKAKKKDAGSDSGGHVSKPPVEEKQDSPEVFENNAQVVSLNPINVTQIFVDSVIPQTNVSKQHTDIVDQVPYPKPIPGLPYFIPIED >PPA04483 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:165400:166488:1 gene:PPA04483 transcript:PPA04483 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKCCWGYCPMEILAQSRLLAVLNVIFSIYNLFEAIRFNHPVWIIAIRTVLFLWLGLAAVATFEAIRWNEPFLLVPIIGLTAL >PPA04479 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:142069:145543:-1 gene:PPA04479 transcript:PPA04479 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTSSVGYWEIDQTDLFDKLDVAFTIILVFAGIITFPPACFVYYRILTLRSFQKQYLMKLFALNGFSNLLIYLFEVEDDVFAYIPYIPDQYKWSSQLAQVFHLLLSAATLVVDTIISIVIVQMRKEYSAKMKTRPEQGLLLSSVIALMMHIMNDLLLTSTSLFVNITLTFQFTLMSTSIFDNITLTYFITLTIAVSTTLPFWTMMTFAHTMRCQGKRTVFAGTWFVGVSSSAVGGNTATRTSSSANSQCNYEPRP >PPA04502 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:269923:271885:1 gene:PPA04502 transcript:PPA04502 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMRTTPYKQDYFFTPPPARLTLFPPSTTSTSTTTAATTAFEDKTLDPPSTRQPSLRDVIDIEWDVRPAVARDYQEAFYDMHIKFFGLFEFRIWMLLAAGAALVTTMIIITCCIIRLRIPRSRREIEILAARRRERMHRARRKKEKEKAVNDERSPAIVMNAAVQRVKKRILQSDNPTYEPFFGQEILESNLFRQSQTPLDEATESNGLKERKRRQESRQKEE >PPA04476 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:129404:130024:1 gene:PPA04476 transcript:PPA04476 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKIIDSWNYHGSEWAETYAIVFSRAYPTCFCSSCHLLNGVIVRHTSSDHTRLARMYRNGWVAIEL >PPA04511 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:318715:321030:1 gene:PPA04511 transcript:PPA04511 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMGTHGIALAFLRLLGVLIKYVRATRPASMKDLADYTAQLGGFAAAVYKETVTDMVSDMTSSAAAAASSSSSRPSTSHHTFAPSGSAHPRPTMQHRLPVATATPRGRVAAPGYGYGSGSGSGAYRPQQQQPRGGQYQGQPRSCKELLILL >PPA04487 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:182674:188982:1 gene:PPA04487 transcript:PPA04487 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNLNRIAGAGGPSDRDAAGGTAVDATGVSTTTIATGKTDDGITDGTGGAGAQNADVIRDWNVEAIEPDLDQCGLDPDPEGRMVAVWTPDPRIKESILTPEERIEFRALIDRIFEKIAVSGKRVHFSPREVLDVMTKVIPYLESEPMLIEDVPFDITIVGDLHGQFHDLARVFADDEKDGKSAWEIKKYLFLGNYIGRGRQSLEIVMALFCIKMLYPDRTFYQLCFKVNEAFCYLSAAAIVGNTYFCSHAGMSPQAFTRRQMLGVRKPIINSKSDIIVHHSVWSDFDGKLGQSTFNARRGTSYVIGVEDLALALDNVGCRGMFRSHTIIPGGFAFIRGLCVSLVTGTGLTDECNHGAVAILDGEGKMEIRVYACDPKYREWVNELRVMDAKQADRSVFDKDEDIVYDEDWDRLE >PPA04512 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:321893:328694:1 gene:PPA04512 transcript:PPA04512 gene_biotype:protein_coding transcript_biotype:protein_coding MEELTPPQSYPFQGNLIQLKKKLISAFFLALSSKRIALFQLKKERPIAIFKFDEKIVDHGRVQVLHLGMRKEGEFIVRLIIPIALNSGTIRKMRLTVHFDEYQITKQIIKCLDELSMEDEKMRLCVDLGNDNFFGFFEDTVVKSDGLVTRAEEPNTDKKRRIAIVARELWKGNIILATENGTIRVVRVKDGIEKTSNSKMIVSDGQCHEPSVIISTENTVIVGTKRGVVHFLNEQLELWCKIDTEGGPINCLKVDENDNEFLWAGFESGELRMLFMPDSEQNGHTGDEIALVENAKLPKKRERTDQVFGGRCKRSETDIVCPFCDECYISNFTVVRKPEFGPIRTFADKMTPQCTKCKVFPKTIHGYAAHLYYKHDTTIDKCKSRFYSLHKLGEDEEKNDETEMDQLKKKLITDGEKDDEKAFFLAISSKRIALFHLKKESPIAVFKLDEKIVDHGTVKVFDMGMRKEGEFRVKLIIPIAFASGTVRKMRLTVHFDGSQISQQKMKCLEEMRIENENMRFCVDLGSDNFFGFFEDTLVKSDGLVTRAEEVNENDADFLWVGFESGELRMLFMPDSEQNDEVAETSATKPKSDPDTNGSQYKTRVANTFAGHLRRVHRTNLTLASLLNNIFVSCECKNVAKHMDLAYVNCSISNFTVIRTNEFGPVRTYADEKITPQCTMCENYPRTILGYSKHLYEKHDSSIERCKSRFYSLVKIGEDEEKKDETVMGQKICLPTVLEAIERMY >PPA04509 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:315059:316029:-1 gene:PPA04509 transcript:PPA04509 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPDRVNISLFMTLLAVPLTALAISFTFFGMPQIHDICAQLLVYRNNTVPTMVQFYLLFIQPFGIGLQLYNLYYLRRFIPRPKKENLKKRRASMAPNKAPPRPSAKILSKYLAEYHATIGMLAHVLIMLIAIGAKFSFDLILMFTNRKIQSGALLKIIDLIPYTMPGWVGLISILCISDSRSAIGRFFRYRNFKRAHNQQTTTISLTVASL >PPA04454 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:14717:23215:1 gene:PPA04454 transcript:PPA04454 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVPTLLLLMNAGLLAARTMKIGMLFALNDTTLTLFVGYKRHAAAAMVAWNRIQAEGVMPDIDDIEFISRYDECIDGKSVANVVDLFDNKTGAGVEVILGPGCSSPALYDGSVAAYYDLPLVLWGPPYDSTLDDMVNFPTVLSSALSTDPRAIVLVSLLRKFNFSDVSFIYTTERNPLVGRCLNIFNSFSLQLNRASDISMNYNRRVTNTTVDNFQRRLREASAVSRVFIICSENPISRRNILLAANDNGYDTNDYTFIFMEEQGTAFKTYSTLGTNNVWVNSTNNDDPRNFDALSAARKMLVLDIVAYNDTAAFVQKVRDAFTQAPFNCSDCTEIETAVSRVVNFHDAFLLFAYTRNRTVKANPNYATKISGRALMQNAQGTFNETSELDSNTGQSGAVRINVNGTRDPSFVLYSLDAADVSQAMIRFDVSLSTDRKSRTVLSFFQQAVELYTSESQLWISRGGVRPVNDPRCGFGGDECPLSFQEQYSIMLQVVLVLSEYEFEIGQSGAVRINVNGTRDPSFVLYSLDAADVSQAMIRFDVSLSTDRKSRTVLSFFQQAVELYTSESQLWISRGGVRPVNDPRCGFGGDECPLSFQEQSSIQPLQYLAIVIAAVAVLLLVVCTLIFFLIWVIRSRHREEALRDRIWQITFNSLIKPAQKVTSTSANRFDRTRNIMTQYHELQILAFFITDDKYQTDVGFEKGFVETDRHAFFFLNGEAIAATKHAIRHYLSKRETMDLRTSFFFEMCPIENALCGSIPNLHSLDHDNINKFLGICTDGPQFMTIWRFCSRGSLRDVIETGRLQMDWFFKFSIMRYISEGLHYLHHSPLGAHGWLSSVDDRWQLKISYGGCRFIKETEMRSTKNLLWTAPEIIRSGDTVGTLSGDIYSFAIICSEIVTRRSAWNIEEDHVDPEELIYKLKRGGVKAIRPELETEDGNDVNSSMLLIIKDCWAEEPEQRPNTDQIRALLKSINHGREVATSANLMDHVFNVLEQYASTLEDEVESRMKELVEEKKKSDVLLYRMLPRQVAERLKLGQAVGPENFECVTIFFSDVVSFTTLASRSTPIQVVNLLNDLYSTFDAIIEEHDVYKVSRNNWRVCPAYRIGTGMITRKRHVYPTISQTLITLS >PPA04497 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:250922:251383:1 gene:PPA04497 transcript:PPA04497 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIALLTWHGSDLNTNVDGVDDAVGFKLAWMGVGNAIGCPVTAEPQFLQLLHTLSASRWCEVASLTGKTIGPHHRMN >PPA04520 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:427951:430009:1 gene:PPA04520 transcript:PPA04520 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIDYVIVALVVRLGKIVCRNLHCVRRFCHFPTCRYYLTVVTVVLGGSAQFYSYGVVNPAQRLIMDWINQTYYDRNGDALGVTELNLFWSFVVSSIAVGAIVGALLTRVISERCGRRNGLILNGAVNVVGAVLELLAKPTRSPELLLVGRFVLGVNMGLTSGLVPMYLMEITPASSRGVAGTLHQVAVAFSDWFSLLIGLPEKDVPKPPAPFPT >PPA04496 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:250187:250809:-1 gene:PPA04496 transcript:PPA04496 gene_biotype:protein_coding transcript_biotype:protein_coding MVRARASTFTGHNEKGGMQDKFFDQEVELSIGTKLTKDIVKVESFDDLEFRLPENVANAGYTKPTPIQKYAMKSVQSVFRILAPTNNKVIPIGRHYCRPLKQTQYLVLDEADRMLDMGFAEEVKGYLCTFNLIRVGSLVELS >PPA04458 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:34164:43587:-1 gene:PPA04458 transcript:PPA04458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:H3E440] MKVGMLFALNDTTLTLFVGYKKHAAAAMVAWNRIQSEGVMPDIDDIEFTWRYDECVDGTSVANVVDLFDNKTGEGVEVILGPGCSSPALYDGSVASYYDLPLVLWGPPYDSALDDPFNFPTVLSSAWSTDPRSIALFALLRKFNFSDVSFIYSTERNPLLQLNVVTDISMNYNRRVTNNTVESLQRRLKEASAVSRVFIFCVEHPTTRRNLLLAINDNGYDTKDYTYIFMEEQGTAFKTFSTNGQFNVWVNNTNPDDPRNFDALSAARKMLILDIVGYNDTTAFLQKVRDAFTQPPFNCSDCTEIETANPNYATKISGRALLQNAQGTFNGQSGTVRINVNGTRDPSFMLYSLNAGDDSQAMIRFDISLAADRKSRTVQLVELYTSESQLWVARGGYLAIVIAAVAVFLLVMCTLIFSLLWVFRSRQREEAQRDRIWQITFNKHHKLEILAFFIADDKHENDETDLHAFFFLNGEAIAARKHAIRHYLGKRETMELRTLYSLDHDNVNKFLGICTDGPQFMTIWRLCTRGSLRDVIETGRLQMDWFFKFSIMRDISEGLHYLHHSPLGAHGWLSSGCCLVDDRWQLKISYGGCRFIKETEMRSTKNLLWTAPELIRSGDTVGTLSGDIYSFAIICSEIVTRKSAWNIEEDHVDPEEIIYKLKRGGGKAIRPELETEDGNDVNSSMLLIIKDCWAEEPEQRPNTEQIRALLKSINHGRSANLMDHVFNVLEQYASTLEDEVESRMKELVEEKKKSDVLLYRMLPRQVAERLKLGQAVEPENFECVTIFFSDVVSFTTLASRSTPIQVVNLLNDLYSTFDAIIDEHDVYKVETIGDGYLCVSGLPHRNGNDHAKEVAQMSIALLAAIRIFKIPHLPAEKLQIRIGMHTGPSVAGVVGVTMPRYCLFGDSVNTAARMESNGKPMRIHISSETNHFLTNVCGGYRTELRGEIIIKGKGTVETYWLLEDHELVD >PPA04517 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:401076:401832:-1 gene:PPA04517 transcript:PPA04517 gene_biotype:protein_coding transcript_biotype:protein_coding MVLITLVSSDEQNFPVERELLKQSGTIETLIVNMNLDDEDTDAAAMPIPLPNVKGDVLEKVIQWMEHHKGDPVKEGDNEDNNAEIVVPQWDDDFFKPAMYGSKHLELTVAASYLDIKNLLTLSCKAISNTFKV >PPA04475 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:126330:128191:1 gene:PPA04475 transcript:PPA04475 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRMICLRSQTERRGVVDREATNEALWKSSQGLMLECEHCGRRFKEDRLPVHQRSCTADNPAKRLASKSRERPNK >PPA04498 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:253891:255303:-1 gene:PPA04498 transcript:PPA04498 gene_biotype:protein_coding transcript_biotype:protein_coding MKARLGTMDNFIDEPRNSSDSPIEFVPSATMLPVNSFLGFSQKKAVWPEIKR >PPA04455 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:26148:27036:1 gene:PPA04455 transcript:PPA04455 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVSLLFLILVSSSESRVKFTYSEFLDGSDLKTVSETDFACPQGCMVYTATENVNLVIINKATKVEITNLNTLANNNNNDRSYLFGMTLPAATYTLKEKNGFTGTQFSLYVVANNARKYAPLFIE >PPA04453 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:11454:12932:1 gene:PPA04453 transcript:PPA04453 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCINASPKMNEIIACQQYCMRDLTQWPKLNKMCQAQKEFVDALIYVDHLENDPMLARIAVLAKIHTAYLKYGFKPHFLDLWRQHVDAQMHRLTMDSAEEKAGFLKAMSMLNEFIVDALYTSFNEEKKLRVSAASTPAPV >PPA04508 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:311649:314311:-1 gene:PPA04508 transcript:PPA04508 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIRIPVHLLMSNYQFEKIETFEFWDPTVLKVSYRPYAIQHSIIALVAHIPVVLLIHSINIFSNAFSRHRSTVVLTTHGLLLFTVPQYLFYACLALLVWDNTYIPIGVCSILKNFSSYLAQTGYNVIPVVAFYRYLNVVWQFRADNTLAWLSTLIVAVPNIGLAISSTFFGLPTPGEICAIIYLYHSNSAVMMIQAYMLTLQLFSIVLHLRVACYLRKIDPGLTSSSSKSSTNKKRPRLMTHSHTLNVVVQKTRTDYCITLALLLHSLTILAAMIMQSVWGLAYMNAGYVVTRSFALDLIDALPIAAPGLIALFSLLSIRESRTYVRQLFKRAKRGNPRIVTSAPSVSIVEKDGFH >PPA04457 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:30774:31825:1 gene:PPA04457 transcript:PPA04457 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMRNSLTISSPGFHGCFHASANLYQYNMNKLDRRGVTIRVRSKLWITVETDALYISGLQDQTLKLMGTEEYSPPVPFSVNKVDVHLTWQRLNQNSYFAIQMDATKGAGW >PPA04503 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:272035:277374:-1 gene:PPA04503 transcript:PPA04503 gene_biotype:protein_coding transcript_biotype:protein_coding MERFLRFGGLGGNLGNFGGGPPADSNQVDTSETVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVNVIDVFAMPQSGTGVSVEAVDPVFQAKMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALSDRAVAVVVDPIQSVKGKVVIDAFRTINPQAMALNQEPRQTTSNLGHLNKASIQALIHGLNRHYYSIPIAYKCHDLEQKMLLNLHKQSWMDSIQVEAFSKCCGQNKSGMQSMLKLAKNYRKALEEEEKMTDEELAIKNVGKQDPKRHLGEEVNELLSDNIVQNLAAMLDTVSFSRSKGPEEIARIVHDGDVVWFAKGAPGSGKGSPHFRSLPTRR >PPA04501 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:261159:267206:-1 gene:PPA04501 transcript:PPA04501 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGSFIRQILRRVDWVPKVVIVALRQVADKWCRVSIMGADLSPDALQKEESDKERVVILMIPGNPGNEGFYADFGERLLKNLMSRDERAGGSIDRTYLFYTISHLNHVALPPELDGHAGALKANADKNPSWVLLLMIQMGDTRPVAQADGRGFSKLEMEESGVHLCSFFDYGASFPNKHTTHHSADRFLLDAQVQHKLNYVRDHLPHATRVYLMGHSIGSYMMLRVLPYIVDDFNIKAAIALFPTVERMAVSPNGLRLRRVLAALDSQDWLAKALTFWLNFLPVSAKRWLVGLNLRADGIPPCVAEAAAELLNVNVFRNIVHMSNDELENINEFDEATLLQHRELVHFLYGTTDGWVPIEYGMEMAERAPSGHVIIDDKECEHAFVIKDGKAVAERLVPLILYYCFFAQL >PPA04491 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:218664:220380:-1 gene:PPA04491 transcript:PPA04491 gene_biotype:protein_coding transcript_biotype:protein_coding MFKDRLDWEHLMASETMRHFDARFITPLAGLGSVEEYYEATSLAPKVARIPVPTLSVNAADDAFSPTHSIPFNDVCRSANVAVCLTAHGGHTAFMQGANPNDPGFIEKLIVQFGDAIFAEFTMVVNKIELVLYM >PPA04504 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:282174:284881:-1 gene:PPA04504 transcript:PPA04504 gene_biotype:protein_coding transcript_biotype:protein_coding MADKVNMSLDEIIKASKNRRDTEASGSRKSFPKKQGARLSTGRGRAVGRPGGMRTNFSKKIVKKAVQNLNRGNAARRNVNADSGMIPRSEVKNIINKAVKQAMKQQNAKVGIAGRLGGGKLPRNIITATKLAQLSQRSRTIQRNRVAPVMRQRGRGFAQPAVQYVEEVVSPQPVRIIRQPVQAPVVQVVERVVPARQQFRKQFVGGRGDAIARVGGSGRIVRQTPAVQRVVQQQQRFVQRPAQQQRIIQRPVQQQRIIRQPQQIIYEQPAQQRVQVIRRQPQVQRVQVVRQAPVQQRVQVIRRQPQVQVVRQNAPQQRGNRQNVQYVEYTSAPNAARNKNDRMRNALGLGTPRHVVREAQRFEPSSSFLANRTVSNVRGRGFSGRRNDY >PPA04468 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:96066:96718:-1 gene:PPA04468 transcript:PPA04468 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIEAAEEASFRSSPAPSFPIGLLDDAIVAHMEAVDVEAVEDYPLSQRSCLSDISGQSWN >PPA04473 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:118615:118885:1 gene:PPA04473 transcript:PPA04473 gene_biotype:protein_coding transcript_biotype:protein_coding MCNIEADFDARGLISATIEGLVLHEAEARGAQDAAVQRAVVAELRANINQELAKW >PPA04452 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:10051:10474:1 gene:PPA04452 transcript:PPA04452 gene_biotype:protein_coding transcript_biotype:protein_coding MGICSSAEVYPESSQSNSQPEKGGAPQPMLLLTEEEKGLLVKHFRATLIEQRPDIYHKLIRLVDILKN >PPA04518 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:403043:403392:1 gene:PPA04518 transcript:PPA04518 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSKHLELTVAASYLDIKNLLTLSCKAISNTFKGKTGPQIREEWGVPNEFTPEEEEAIRKENEWSE >PPA04500 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:258585:261094:1 gene:PPA04500 transcript:PPA04500 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMVYDRLDSSEEQFPEVPQPRPRANVLQPEDDTIVDNTMSERATKPSEKRATRNAAPRTVFPEHPTTPDRIPSVFTPQPPTKSEKVVYTTGKRLSRGPISTRTAAISRPRPSGARTPPAADHVNVDNTCPSHEPLTNRAHRHTGPQTAKSGAVRQHCDGEEDCAAGPADGRDECDIHCTLQGPLSPFSLSRGRAKKKPIESDCTSTDKLSMILSLVVHQP >PPA04495 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:237220:237861:1 gene:PPA04495 transcript:PPA04495 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPYDGMDIRKFAWMKDLKEIWTAQGSFTINHKTGRLEKKNGPNVFKANSRLKKKKGRNVFKANKPEAPSSEPEAPSSEPEAPSYWAACTIL >PPA04470 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:114620:115183:1 gene:PPA04470 transcript:PPA04470 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSTNKIGSSSLVFFWERPSETEDCVNYIVSNEKDVRVGFCKSQLESCSRSIRDLYKLAGYEFSSPTGISFADFSWFCLRGAACCEWE >PPA04467 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:93713:95320:1 gene:PPA04467 transcript:PPA04467 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFVINGFSFSIADGIFAYIPHIPPAYKWTSAPAQICQLSLAVATMIINAIICVFVVKMRKEYSAKMKSRPEQGLLLSSVIAVLSHILNDILLAIATIYNEVMFAYFITLTVAVATTLPFWTMMICAKTMRRAVLNGTGFDAIRSSTVRIRSATRKSAKSASAAF >PPA04469 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig126:98555:99081:-1 gene:PPA04469 transcript:PPA04469 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPYDGMDIREYAWMKDLKEICTAKGSFTINHKTGRLEKKEGPNVFEANEPEDPSY >PPA04466 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:88665:89325:1 gene:PPA04466 transcript:PPA04466 gene_biotype:protein_coding transcript_biotype:protein_coding MERVDPFLMCLACGAILLFFGGLLSISTLKGGVTGKNARDSHTENPGHARHRSQMMEGEGGEGGEEDRQREEERRN >PPA04493 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:228125:230536:-1 gene:PPA04493 transcript:PPA04493 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRQTAKNHEGYFEHQNMEIQWRPKVGSNVTEVPFKCDITPNARSSSPPGAIYFPALRDNLRLGGFPINKLPSRNLIVNGEPVTDEDGETAYDTPSLIFVKFALPDVKMHYTISCGLKDDVEGYEIKNLNDFRGRKIFTFDL >PPA04482 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:158751:160954:-1 gene:PPA04482 transcript:PPA04482 gene_biotype:protein_coding transcript_biotype:protein_coding MVECFCTTLFYWLLGAAIVFLGLKWLRSFWRGGQFTEAVSAVGKVVVITGANTGIGLETARDLNLRGAKVYLLCRNEQRAKDAIADLVGSGCYAARLIYINCDLSSKANIRTSAAKLTELESAIDILINNGGLCVDAYQRTADGHEMTWGTNHIGTFLLTELLLPLIEKAPEGRIVNVASIGHERSTPIDLATIDAAVDYTTAKAYCRSKLANVLHARELTRRLRARGVTTVTVNSLHPGVVFTDICRDLPLHIKMIASLL >PPA04465 pep:known supercontig:P_pacificus-5.0:Ppa_Contig126:86535:87592:1 gene:PPA04465 transcript:PPA04465 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSTESGNRQKIVSEALAFTFPFLGAASAVIVVIGVCVLIKQSLDAKKAGMTFRRSRPRTTTRTTERRPSTSHHLTWPIPSSHESTTSPPGRPPTPHPAAFLEPPPYSELGRSYEKPPEYSP >PPA04522 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1260:45:659:1 gene:PPA04522 transcript:PPA04522 gene_biotype:protein_coding transcript_biotype:protein_coding VWKEVPCVLEGRLVEQFLRKNQAPTDREYILALQQEEEEAVSDEEGGEGDGDGEDGGDSDASMELGGGVYGEEVDSDREPTMKLIELSDVEDQKSEGEDEEDEEELSSGSEWDEGEAGRMALERGRMRRGRGRGLSRGGTVAQSVVTTDKSTVLTRVPANQEEEEPSTEEGKGEKREKRKVRLEKKARKVVMDESSSESEREKPP >PPA04527 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1268:959:1219:1 gene:PPA04527 transcript:PPA04527 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRKEDAGVYTCVAGNSAGSVQASATLRVYDNSFRSYTSQLDVNSGETAILDCTCDVQSGQRIEWTKNGE >PPA04526 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1268:28:325:1 gene:PPA04526 transcript:PPA04526 gene_biotype:protein_coding transcript_biotype:protein_coding EHPPEALPYTIKFSTRVSDAQVITLLGMTAILILFISIGFVWMLVTFRRSARYLNNYPFREEIPVTVH >PPA04541 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:57598:63931:-1 gene:PPA04541 transcript:PPA04541 gene_biotype:protein_coding transcript_biotype:protein_coding MAPREMRQVSQKEYLHLAFDRICALCETLADQPQTSAEDELLFGLATVTAQALDCINNEKILQRESLLRAPSGVYGVDKAESTFLKLGYPLIVLLNELLAYRFEIDISPQAMYAANMQAVGSSNAPPYVVKDEPVDGESAYLVPGITAEIGEETPLVKEELLDEPGQEPPLVDMFCPTTGGSRPVRHPICRPSGSEFIPSSSATATTSNKDGVITWNLANQMTVSTEGKINWEMATKLLEGEPFLPPEKECYLCGTVTNRYRSVPSARAERFIFLGSILRTSRREEVLVAALSASRATAHFCMRHISRSALASTPDQMPGRASRPPYKRVQRAVKTFKNEMKRAQCDLCERPEFPIGIAPKNPISCRRFLSSLCNLSPAQDVMIELAKTENSIPNGISRICSKHFKKKMIRSGTGPNNVEQPVKEEDCDDDYPEPMISQSTLRLAYNRACEAHDMLSDKDLKTVEYELLRDLSAVTSEAIDCFIFNKSLPRGRMLKLGRYLQHDAKSPLLKIGYSLTVLLNALLADRLGCPRVQDESTSTGLNYDESSQGPSAVVKEELVEIKEEPLDEMDDLLKQEEPETDYFCPTTGTARPPELSDFHAETVPGSSGTIGSAPHSRVEGRPAFALRTMKPLVHPRKNLKDAVCRVCYLCNQYTKNFYTCPAVEGREEFLKRIIVKSEREKMSMQALRATKMEVYFCLKHIVPIALKKQSLIEMQQPSTVSPQTINGIPIIGMGGVRRTIPANKNARSNDEIRLDSWLGTMSESSSSSHIDGPLTRAISEQSRIPGSECDLCEDPLFPIVDGPTNVLDYRPFFSNLATNLDKKQLQKVRWFFKNPHKQVHVCQNHFKKPGMSHLSGSDESSKPTISANVLHKPPSPSPKAAKVH >PPA04578 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:220546:221790:1 gene:PPA04578 transcript:PPA04578 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIESISILLLLVGICEAFVFSCNEVKYKLINQNLEENTRYVCLVPANGYTNLEQLKKIYAQADKVSTSFFDLLDSQCIERPNEGSWRIVADNPVSLDCTREFSLIFTSSKPAIYTTYDESAVSLSGTEVIVVNAEAGIMMRMEECVGEGNVTVYTGAGSGVQEYRYEMASWRCSDVPTWIVSFDNVITVATDSGNLIVTPKTKMICCTAVTRVSPLDRITVMSSGRSDNLQNMTPANNFIDFNMG >PPA04533 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:14226:16474:1 gene:PPA04533 transcript:PPA04533 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRQTAKVITIVVPLIIVALFVAGVWIYCKQKNATGPRPPYNAPSTNVSTGSVPRVSPSARFSPSVRKDPVEEKEKSPSVVEKVVSVISSKSIPKKSAERENLDCGSKSIPNTPAERENLDLKSRELAWPELQNA >PPA04558 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:144049:144653:1 gene:PPA04558 transcript:PPA04558 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAMLLLVAVIACLTISSKAQACCGSTSYYYPTTSANGYNNGYSTSYYYPTTGYTNANGYSTYPSSTYNNGYSSSYYYPTTGYTNTNGYTTYPSSSYNNGYNNGYNTNGYTYSYYPYNSYGKKK >PPA04603 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:337299:338813:1 gene:PPA04603 transcript:PPA04603 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVEEVGIVFVVIGVDELVGAVVGGSSFRGITGIVVDSGIMTSMITIAEQLANKLENNFP >PPA04552 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:125816:128104:-1 gene:PPA04552 transcript:PPA04552 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLIIALDVVMVVTLPLYFRIVYVLTTRYRKINLDPSFHTLMINTCTVNILFSIVYIFIQEPASAGLFFEFYKSIGVALSSQSTLFNSLNASLQLVLGLSRLTAIAFPLNHVKMWTNRRLFVLYGVLWTLLILASIPLLLPGSTTFVLNSNVYGSIGLEFALLGNYYMIYAKVRGGTGDAKKLTRGVMRTTMAAFIISSGTWLLIAFFLVVFGNIFATGRSPFTKLEFSVLIRLLNIFNNVLTAWVLVATFSKVRRAVIGFSLASGTGADSVGGSQTKGTKSSKSTKSPVSKIAPRNRF >PPA04594 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:310974:314907:1 gene:PPA04594 transcript:PPA04594 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFFPTHRHSAMPARFTTRTTTQQFYKRTTIDWNQNLENADCCVQVEGENFFVGKATLVRHSKYFDSVFFGSDGVDLTPFVLTDASKHDFRLFLNYIHRCDNAKISAETVLLFIQIAVRLQSEGILAKAEKFVVNSQFHLSMKIDLSETYQLPGLKDHCEHLLSNCCLPDINHIMATYNYESVWSEDIRKATVKRLHAIANEQHQQLQSISNGFNPFQQQQQVNYGPPPGLFQNAPPNLMNNNNPSPPIRPAPAPPRQRRAPVRRRAPPAAAPGPPSIMLQHMHPPPPGGFPPQQQGMAPMMQQQPPQQQQQNNWNPMPILQPQQPSSLLHPPTYQQPQPAPYQPNQPPANQPQLAPNLAPPFAPLPVPAPKRRRFPSFIPFPLVSTSLMRLLLILLIIALALLPLFDAIKCYERDDDDDKELNRDCGESSNFCLTKGKEKGCGKKTCNEIGEGCRDGKCCCKGDYCNPAIGPTVLLSLAAVAAMIGARV >PPA04542 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:64121:66581:-1 gene:PPA04542 transcript:PPA04542 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGDPKRSNLRQAYSCASDVYEELRRQTVPTYEDQLCMDIASMIVEAIACFNNNQRINSETFMQIMRQQRYGKQPHLYKLGFALSVLLNALLIERFPLPSTSSDHVNKASTSYADAFEVQEDRPLWKRKAPSKALPKKSAEEIKQEPAEEEEEAIRAEAERPLFSTAETGKDDVKKEPVEVKEEPADDGYEPVKEEPLVDVFCPTTGSSRPLDSMDDNHEEMPDEAGAPWAARASGLAPQPKTGVKRKINDTGDPKLNKRAGPSNFVGKGRACYLCATITEDYETTPSLSDPKTRQEFLDRIIRGSQIARDRVDKLRHKGVTAFFCAKHLNPPKKPPTIDVGKKSACDVCDDPELPLSAVPSNPFTRRRFFNTMLPLNNVQQAKVDAMINSDAKMNFCARHFKYCDKPKLDDLDKKGERFASAINARKSSSQRRQLPSAHPRPTIKIAFPRVSPIRVDVGYPKEEPVKEEPQ >PPA04593 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:309299:310453:1 gene:PPA04593 transcript:PPA04593 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSKQHTAVLLYPRSSSQKLPRTINVKTHLLDVNGFDWSVETAETLDDELESCVALRYTNLKNADTAVWYCEATLFYTILNADARKSLYWSEIVRFTPQRNHYTKTIMLSEDFVEDKGFWNEENNCEIFISIQPFGEKGHKLFPNA >PPA04604 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:338882:339386:-1 gene:PPA04604 transcript:PPA04604 gene_biotype:protein_coding transcript_biotype:protein_coding MCDVDQHWCYSVTAVNGTVLRRDCASTAACEAPTAACRSISNANGDAAYCCCQNDDLCNERAPPRFLAELTKTTTPSTKTLLPSTSASTKKSSTLTYAPTRQPVPPYMRTSDAPSLPNRVAIFAIVSAMTAAIF >PPA04557 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:140507:143299:1 gene:PPA04557 transcript:PPA04557 gene_biotype:protein_coding transcript_biotype:protein_coding MLARMYARKKRIVLRLDVRSRDMPYKTSSRNVVFEIKGSKKPSEIVLISAHIDSWDVGQGAVDDGGGVASIRSAMRAIRELSIEDGRFRPERTIRGVFFTAEEQGYLGARAYDDAHKSDNIIFVAETDMGAFRPRLSNNTFRFMGDTRKQRRLEEISSQLTSHNIPMRVNYSDAQGDVYNLAQPRDIPAVNFVPDKGGDHFYFRYHHSPADYVSVFEEGDLEYTAAVFASMANVIANQDHWW >PPA04572 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:188706:189636:1 gene:PPA04572 transcript:PPA04572 gene_biotype:protein_coding transcript_biotype:protein_coding MIANHVDIVVFFSFAAVFFCQSVTAYFYCVYYRRCIRRRRGDFRGIQNIDEHQAVLPRGNRFCWEGWRRNSLFLALHVYVWIVLAVIYISLYSVRAPVEEVPTALNWLRYTSSFIFVREQPAFYVAVGFVLFWGFSMASVVILMIIEVNRELRTGMINASSSTRK >PPA04540 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:57190:57514:-1 gene:PPA04540 transcript:PPA04540 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKRLRDQGSAILGVREKSARLRQKSAASEAMEQDQGTAQSNRDAAPILFADPMDVDKEIKTETSEESGPS >PPA04610 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:356689:357792:1 gene:PPA04610 transcript:PPA04610 gene_biotype:protein_coding transcript_biotype:protein_coding METILFFNANTKAYGTMYGPNDKHNEALSSPFQVAFGLIVTTTCLILNIYSYKSLRHLRSTKSASIKSPFLFIATCIWLTQMFNLLVTTLFAINAIGKLIPREHIGAVYEIMFVTSDIFSLGPGVYTLLLPGPIRRFLVRKLTSCWKTVKETNKSKSNSNIII >PPA04573 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:193404:196117:-1 gene:PPA04573 transcript:PPA04573 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVHNQTVNYHNDSAAAAAVPAAGDPAGAAALSAIRRSAGDPVSPWPDFRALVARLDVSIKDFPKDSPRRRLEHELRWLETLTQEAYDDVDVRERNAIHEAMSELADSLDRPSVKGDLNRRAANKVTNLVVALRSSRSTRTLPAGDVSWLQFIADVGTLRETADFERWEAWDDTFKPGAMIFTLDFLLNITAGAFDSIPTADRLTERPCYPPWDEKKDYVGAPRWAEEVIDDVKKLMDMVEKMRADSSKTTSSPPPHKRRRIRSE >PPA04550 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:116568:117638:-1 gene:PPA04550 transcript:PPA04550 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSKNYDEMLYAKEEEIRKGEVENEALNRQAAKELDRMNEQLQFEQEQAHKAFREHLNSCRSQFRDFVMLMMKKQWNRQMEDKWAERLNGLKKWFNPIRQQFFLLRMRIEDLSSQFGLPDFSAADRAKMGINVSMLVNELETIINVMENEILDMQRMSEERPQATFLYDIQKSAREIANSASELSSNLQIMVAEDKMQLALWDQCVKCYDYLENSVEGIPTVNGLKRKYQAGV >PPA04601 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:334236:335078:-1 gene:PPA04601 transcript:PPA04601 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLYSNRLDIRRIYWMFGFAGEFLSQLLKQPVKLELAFVESEKDCAYNFV >PPA04543 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:66748:72364:-1 gene:PPA04543 transcript:PPA04543 gene_biotype:protein_coding transcript_biotype:protein_coding MASYKTARQPAELRVAKDRALDVFETLRKQDAGSSLSMAESMLFLDLAQLTVEAIECYNNYTTLRPSSIINNVRYAKCGGQSQIVKLGYSLSVVLNTLLNERKAASETIRSLTKKLAVFKAAEQLANEQENASAEPPPALERVEIQPSLERVEPSNEVLFDDAREIKEEPVEEWMEEGDQLPGMSDQSQGAVIKEEEMDVKEEPLEELVDVKEEMKEEPLADVYCPTTGTARPHEHADFDNFRGQEIRMTGMRLQLSDREKVVIVRNSSGTIVERLPAAQCSPAGPSVKTFRLDDLPNSNKYIGEQHFDQKYIGRSRPCYLCGNFTDKYEALPPAGHKTKRADFLDRIILSSPAYEDRVMALRNSHITVYFCPSHIAAPTKAPTQFAKISILAKTKLSAAEKKKADYFVAKNDVEVSICAKHFAKKHDMYASKDDKKRERQAYHTALKAGIIQTSMNAPSTSTRQADLRLAFDRATEVYANLVTQPKSSPEDQLFADLALTTIEAIDSLNAKKAPLLKLGYALAMLLSELLSERQVPNSVQQNNPARQRYDREMSMVIPQEPTPRNVSQASTTLLASQPAATTFCNLDSTEDKIEDTSAHALTSSSALVKMNDLFEPIDVKDDGVKIEFGGTLDDDSDLSEPIVDIFCPTTGESRPLDPNFGNQQMQVHVIDDDDEQAAGPSSAKRSRQREPPTTKKYQYCYLCGRLPLNFSKTPWFTKPQERTIFLDRIILTSRSDEDRVNQLRSSSTSARICMEHFALPLQTVAHARRTLKDADTLMAHLIKRSSCDLCEDPEYPLRSMTDAATARVVFDALNNLTPKQTAMVNDLFKDWKQATENRNR >PPA04591 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:295955:297486:1 gene:PPA04591 transcript:PPA04591 gene_biotype:protein_coding transcript_biotype:protein_coding MTVELLNNNTKCTTAFPLSRKKLVFHADKPGSKVNKKEVVEAVTILETPPMVISGIVGYIDTPNGPRPFKTVFAEQLSEDFRRRMIKNCAQTPRFYLWVQKLLKHRNKKAHIMEVQLNGGSIADKVERAKERLEKQVLIDQVFAQDEMVDTIGVTRGKGFKGVTSRWHTKKLPRKTRKGLCKL >PPA04588 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:285049:285905:1 gene:PPA04588 transcript:PPA04588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lin-42 MEHTRRYEAEYRATWSRRLSGALKRPFTFEMPTTSQEAPAPAPPAPKQMRSNSGPPPLEWSQAQREEYYRMQQNPAVPSGVNYQITSMPLPSPATVLAQQQQQAAARRSAFSTVHHHHHRAHLDIQQQSYRILTLPPFQAKSYGPLTVSTFDPPVSVIKSTEPPQGLLLLEATKLA >PPA04602 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:335381:336035:-1 gene:PPA04602 transcript:PPA04602 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTLYLLIVHLSISHATITCPSNVDFAKKEIRREIDEKCADGVEWCAAVTVDIGKENATFAFCDTGACFVTHAMDKDFVGGCSIHMMQRAFAVATATNAKL >PPA04580 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:228604:234197:-1 gene:PPA04580 transcript:PPA04580 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTTRIEWGVYTIPGASKEPSFPLYSGCYIDARVNGGEASASENALSVFVKRSDQLRSTAVTASYLAEQPAIEWHMSSGNVSSAYEVDSDTIPLYKDILALVQGDFRIIFYTGDMDLVCPPLQVAFGARRVADDNGMRDIKAPVWTYLGDYGGARTSYINLPGAPLEPSFPMYSGFLDASDNDTEHKLFYKLVGKRIEDLCSLCEAIHADPATAPLLIWLNGGPGSSSLFGLLMIHGPFLLNAEGQLRYNDFSWNQYAIVLYLETPTGVGFSHSNDGNIAYNDVFTARINAKALQDFMTRVHPRYSNRDFYITGESYAGVYNPYFAREGIVMGNALMDNISGGVASALQLYSLGMIPEKYGKELFDFWENITEGNKIVEPSDELNRQIYDVTRFIGGMAVYDLPLQTHSGSDPTRAITIECGRDINNAYDRESDTVPLYKDILDLAPGDFRILFYTGDMDLVCPPLHVAYGAKRIAEANGMKQSNENHWTYLGDYGGAQTSYYTTDGRRFTIDVITVRGASHMVPQKQPDRAFQMINNFIIPREPFNYSSPIPRRTSA >PPA04535 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:26607:33837:-1 gene:PPA04535 transcript:PPA04535 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVVAQQWPPRGQPKWQTAYECASEVYEALRNAPLTAHEDRMALDFAAIVLNAVISIETDKKINSNCFSRVARYLSPESKTPLLKVGYSLNVLLEKLMSDRIVAPTAFTLQQVPSTSHETNWEVREASQVFCDSVAKDESYPTDLTDLKMELDEFKADPEIKMEPIDDYVEPKEEPLADVFCPTTGEAREPDQSPATRRNYPDINRPQKQPPLPHCKGSAAIPGTSQSGSCFICGRLPETFYPTPNNPVLRNAFLNQVIKNMRNDLDLLFHLKKGTNIAFFCADHVVSKPPAHEVAEKIIKKPRHDCHICKICGEKAGYPYLVSPKDQDEAKKFFSNLVELSVEQLRLVDYFLDTKEQIIICKRHYQPMMPTFNQVDVPVKRLSVTPASRVVPKTHTIASVSAKSREMRPFLSSSAEPQVGAATLPGKAAGTTAAKQAKPKSQMCGLCGEVAMPFMLSPPDQYFFSNLIGLTPEQRKRAEEFRRQGQTVVICRSHYKRPPATAHEKPKKADERICGAEAQVSHQQYEFRKSQKKNHKYEASVSHVEDRFIMDMSELVVEAFACMDANTNVAPEIFCRIAKYVSADSPTKLLKVGHALTVLLRKLLNDRFDAQSKVNYLNSITFRKYQSNVVSAYTPTKVPRTFSVRRQMPYDFGSAKKNAMRNDAEEDVKEEPMDIKEEEVDDYPYVTEEVKQEPIEDVFCPGTGSARPIDARYPLEEDTCNLRHALESSDHCNALLEDSSCKSLLNPGQISWDLPSANGGAVSEEKPSASTDFQPGPSISGDLKTHTGRECFLCGTPVLRFYSTYRLERLRRNKLLNAIIIRSPQERARVASLRCNDTLALFCVSHVIPSRVPGLLPKQARPAPTNPKPPMKFNHPTVSTALKCKCDLCDDPEYPIRLSPGTTQRARRFFEDMIGLTPEQRARLRVLKNKQKEAKVCSKHFRKELVEEEDEEVEDIPTPTLWTDKFKTYRDELDVFHQDETDSSTRFAYDLNNYRWTSFRDLTPEQREKLRAIAQKKETDAAEKYLASP >PPA04534 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:16598:24148:-1 gene:PPA04534 transcript:PPA04534 gene_biotype:protein_coding transcript_biotype:protein_coding MGISNVLSGNYDPPSHIEISLTAEQRGKTLTPNLTPEQRGKLLAIAQKNHTNICSKHIRMEQEEEEEEDNVPRSMAYDPERLFIMELASVIMESLDCIDTNTKISFNCFNRIAKLANPDAPSKMVKLGYSLVVLLETLLADKCEIGPATRPPITLLGDSQVETATMPLLEQEPSSSDSPVVIKEEDEDFADAPAEATINGVEDALKLKPTAPGDNIEPPATIKEEPIEPGDVKIEELDEFSEIKEEPIVDFYCPTTGTARPLDELIPMEQEPCNFRPQLESTNALLEDEPCYVVSGQTWDSASMATDSRPIENSRPCGSKSFEYLALRQTARPQQCTLCHRHVNKFYSTCALDAHIRNKLFDAIRFRDTIHKARIEELRANGEAAIFCLGHFPTGKQPEKTYSRLASPPQKPTVSTTAQSLVDEEKARPEKSSTLEGKFAHCYLCGLASDHLLAIPAQKEPRDLFISRIMKNTPADLARMKALHPRDKALFCHAHLRPWRSDGNLTDHDFAPIVTVENATVETAPKGDPLPDFDSSIFTDAKTPLAKFGASVAVLLKMLLSDTMPSGSTESPPAEQDSLQNSFKTEAVDDSPKLFESQETAIKQEPLDIKEEPLDDFELVKQEEPDPEIFCPATGSSRPMDEMDFLNHEEMHEYTGGVSAEEETPNRKSGAPRPNVRSSIGSTSKSAIFAAPTLPPSERRRLCHLCKQRSDSLRKFPANSRPVVQEQWIERLDRNVDDAKQMLRVYRDKIDRGADIRWCSEHFNSTSLGLPKDLRPLTTHPDRTKPIPELYLRPATRPTLQVRPLRPELQLERLQQRYVNGLAAAAAAAATAKTVKEEKDSEVKRQGTPRVLHQKTSSATPVKYPHGQETAIVSPPLTKCPKPEKFDLVQSTLYLLKKKDQEKRTDTLQDDAHPKSTALKKPPIKRKATAELS >PPA04600 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:333593:334103:1 gene:PPA04600 transcript:PPA04600 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDYVICKPVTSVLGAAIRVNRVENPTDLEVDLLHEKYCNALVDLFEKNKALCNLIM >PPA04596 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:317578:318557:1 gene:PPA04596 transcript:PPA04596 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLPGVLIALALLPLSSGLQCHTEEIADGIVVKARRKGHCNDSVAFCLVLDVPKVNTTVRGCELPGTCTAAGCSPLMLQGLRGSQCCCNEDLCNDNDIDVMRSATFAPMTTTTEAAEGDWDANFGAEDAAHSSSAACPTILISLAAVAAVIDALS >PPA04544 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:72579:77003:-1 gene:PPA04544 transcript:PPA04544 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEALERSELKRAFKCAREVSTLMMQEKPTMLNRLQSDLADIALETIHCIQDNTLLHTGCLIRISRYMTMDKETPQLVKLGYALTVVIEKLMNDRSKYLTTSFYDRMQPSTSRPVPHLVDDSIDINTEPADIKEEPMDDYNDNDEVKQEELDEPDADVFCPSTGISRPLGSSGIVQNDLFLSSQAAGVDEGDRRIQSDRSSGQTTEKFKKVYTVKSGPVQPIMKRVTLPTVVAVPPTTFRVPGQSKAPVQEKDGPIIRCYLCCANDPEKYETTPPFSQTAKRKKFLDKIVVGSVAGKARLDGEGHESKLVGPTTTCLHPAVTTMLRRSHQTAHFCELHLAVPLEYKKVNKKSSCELCDQPKYPLSTTPLTAAQQKKVAFLLENPEKRATFCSKHFPKYDAQREGAIQVNKIPEYLESLKYQHNIRKKALIAPASIPPIDFDILRATPVRIDVGYPNEAPVKEEPIPEPILEPIIEPIAESSLEPTLNSFTEPVPDSGTDEVQ >PPA04592 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:303569:308714:1 gene:PPA04592 transcript:PPA04592 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPPAKRHKENNVAPSSSNDEKIKDVAWVHSKTEERASSTIDGLYGFNWRVESYFHLVDNRACFSVKVTNKKSDESSLWHCQALLNVYLLHNDPGKTIEKIDLTRFTPARSSATVYLAYRDDLWADDGFLLLDGSFLVSVKISVRSVRGDTFLKNPSTNWSEDVTGSDMCLIVEGEPFYVGKYNLCRHSLYFEVLFGRDFSEAGKLQIELKEISKDEFRLFLDFIHNMGVEVDIGTVWSLLEMGRYFDAPAVMSAAEEYLTSTDNEFALDESFVLADQFHLTACLDWCMQQLTTFARCGQVRYSNRWDALSNQTQMLIDCVYQRLAPPPPPPPVYQYPMQSYQQQQQQGFYSAQNNNQWAPPPANNYAPGGNYGNGGGMHPQFAPLPAPVPQHTTAQAPATAPQPFQPQLAPAPAPSAPIQRAPRQRKPQRNPLRVVPPVNQQASS >PPA04547 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:103296:103970:1 gene:PPA04547 transcript:PPA04547 gene_biotype:protein_coding transcript_biotype:protein_coding MYPDVNVYTPQDGSAQRFLFTAEFVSEGHPDKMCDIISDTVLDAHLAQDPNAKVACAVLR >PPA04608 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:349192:350172:-1 gene:PPA04608 transcript:PPA04608 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFLLVSAKNEVLLASGDKAFQDYVRQRLDNEVYFHRTRIESTSYSSSSGVYTDSEPSSSVSSRPRLKLVGKQKTIDEELPAIGHLFMPLISTYRASEQQHDAFERISACGEIRPIMKRLWTT >PPA04553 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:130677:132272:-1 gene:PPA04553 transcript:PPA04553 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLPILLIALALLPLSSGEKSGWHHCYKEITEDGVVTQPGEPWKSERKQACRGRRMHGGRLHNFQTAGRTCCCKGDMCNAPADTWMKCDYSWRKDGVYGYNNKQPCKTACKVREFPDDQSLLAGCATSKEECWRCSICTDTIVYEAGCTNITDPERGKGTRCCSKGEYYPAAEYKDLPTTAAPPVTKAPGNPAAAPTCLVFLAAAAAVIAARD >PPA04560 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:149174:150999:-1 gene:PPA04560 transcript:PPA04560 gene_biotype:protein_coding transcript_biotype:protein_coding MIINLLTKSTVAVASTCYAPVTSAQHFQLHAHYAVVNQTACAGFCDLNSECTGYSYQPTPLENKCALLGAVIPSEVCLVPKQIFQQQKTNVNCNFCPLPVNVHPDSCIDSVVGALEKGSMICPRIKDGQASFYIIRATLPNGTLMTLENDQSAQLVCTNDEWMYSFNFYNIFTVPLVTASCVLAGPTKCPCAPLNQTAGTTSAVTVDRINPCPANMFWYTNMNNTHYANLEETEKSIIRCMAGEWFTFQTVSGNTWRVASAGCM >PPA04566 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:162540:163091:1 gene:PPA04566 transcript:PPA04566 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKATKMKNLVIGALIKQLYMLNSSAQFVVMLKTNKHFQKVLTDNAGRILKIPSWRNQKTQQHTTIIVTPSSQ >PPA04583 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:258417:261147:-1 gene:PPA04583 transcript:PPA04583 gene_biotype:protein_coding transcript_biotype:protein_coding MEATYRNLYYRRSFKDFLKLIAVPQILSKILRSLTVNDRLRLRASRNCSCTASLRKYSPIMAVHMGAVKLTGEKTDLDGLLRCINRLCSRAFMSHVAIENVDFNQHTDFIKDLLKRCTLDVLRITIFPKEFHSSVIDLVRDYDGKEVYLTFRGMLLYSEILLGLGPRKGISFYDEDFGDAKEKKDVFLKLVQRNHRILEVPVTISSGKIIMDIVESKEDKQTVEFRFESDIFDEFLELIGFSCSETIIEQCGDSEFTLGETRVDDDDEEEYEKVLWITLYHEEKSEFN >PPA04538 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:41131:47554:-1 gene:PPA04538 transcript:PPA04538 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLLLVFCPATGTARPMHGVKCANNAINGKIGAAPDIGLDHERIERNRIDYENRKRTIQTSWDDNARECYLCGSMTNRFYLLSVNNKYRRAAVLNEIKTSSKEDKERIDSLRGNVLPAFFCKDHVNGPVKILTLKDFRNPSTLIIKCDLCEKPKTPCILSPKNRLSARRFFENLIKLSKDQKKKIKWFCDHPGNRATICSKHVKPEFQDAELYKLQNTNPVETRFSERKALNDPEPASNPYTSSNVEYDFDAQLLLSRECQKRKVCHLCKQYTQTYLSSPMYPKFAKEFFSRLIDITPSQQELIDKFLATTARRYVVCSRHLPLKGKAADEPIKEEPSLTALSPLFAAGDSLVKEEQIIEEPIKEEPMDDSGAMSLHEVQRKTMPQEGGMSLLETPTAAQCFLAYDAAKDVYKTIRQGATSSMVDRMLMDLSALIVEIFDCMRTNQGVSTECFERLSIYAKYTSKSPLLKIGFSLRVLLEKLIAERNEDQMNRPSFSDPKRAFLYASLYDDDLESDPLTLPTPSDPSALIDPPEELEAMVHRPLFDAPDTTGDTQLPGAAEYPTVKEEPVEVKQEIKEEPIDDDYEDATGYEKYDEVKDEPIADFFCPATGTARPLEEDDVFVHVAAKRKRMNDMSLNLEERLRRIPAEKNVATAVITATKPIPEYAPSTSGPLTRECYLCGAVTDKFYGMPIQRKRRSLFLTEIITKTAKDNAKVAELRLSMDNAFFCMTHFKVEPRKKANGDWTMEDCECELCDDPVYPCILSPKNATRQDLYFENLITLNPTQKETICKLWKGTKRVNICSKHFKKPKKSKASTVTLIDKAHEAKQYAYSGNPLIQNRHPNRAAKAGEFDPTAPSTSKSLTNNKAARSSSPVKTKSSSKAPASSSPVKSKR >PPA04551 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:119194:124121:-1 gene:PPA04551 transcript:PPA04551 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPWWWHGSRYDYYNYYYGYGNRRADAAEASLSAERKNREAENQMRERMQNKVDEQRAAQDKILQQQIRQAQETSAESIRNLERDRKEIIAKFESSAAATAKANSAEKAEIMNAHNNTIREKDAAIAKERKDQEEKVEKLRKEHEETRAKYEAKVAEEMDKRREDEKEHGKQMGELHEKRFEDMRVASEKFLALERDKTNIAMQGMMIHGQNIANSFLSLQNVTTINAIQGASDNLKTKIEAMLSEINRMEMRRGQLLDWTVEAKISSAPVPDYQAKNCVSDLSANSTFARDRVEAAKGILHAAITITRPFYDAIAAVDLRLIELMCIKTERLILKKWRNKCC >PPA04528 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:1973:3813:1 gene:PPA04528 transcript:PPA04528 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLAILFIVLATVFHYKRGEEVKTLPAQLLLAFSARKNLRKLCELPKDPQATLTCMFGLRFLAMVWTLVGHSFIFIQAYIENVDDFKNDLVDNFYNQYITNFTLSVDAFLMLGGTVLSYSWFRKWLKNNNEPEPTWTSYGFWLRFYRHRLVRLWPAYLYTLTAVTLRISITHFHPMWPPTDPGVQCPIHWLVYY >PPA04532 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:12196:13541:1 gene:PPA04532 transcript:PPA04532 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSAAPPPSDDDVYPVPKPSDLPDDVTECTASRQPGKQVLCYEAPSCGGNQNLFNDDGSLLLASVDVTTPGCKTIACSGRANERASGANVVSNRTSQGAFAGDCVCGRKQITQHWFADQGQKQLHITQPASVHCKEYTKDGATKYCEQLGERKRIMHGDRLFLVHYLRLRTRNAVVVPPSIPTPQTPPSSGNGTAVSRRI >PPA04570 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:179805:182405:-1 gene:PPA04570 transcript:PPA04570 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSNPLRPAILKLFRAGIPRHDIVLRLAIPKRTVYDAIARYLELGSEEDRKGRGRPATVSTPRNRERIRKRIGRNRKQSMRAMAKNLHISNTSVRRLIKSQLTLRPYKYLKLHGLNDRQIKLRRDRCRLLLMRCARAEHFSTVFSDEKIFTIEGKMNSQNDRILAHDPEEAYKSGGFIGQTSHPLYVMVWGGVCATGKTPLVFVTPGVKVNKEFYVKHILQDALLPWARSHFGQSHWTYQQDSAPSHKAKKTQDWLKAHVPDYIPTSEWPPNSPDLNPLDFSVWGVLQAKVSTTKYKNRDTLKAALLKAWAELDTNYLRELATAYERRLKACVKAGGGHIEIRYNIIV >PPA04565 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:160229:160900:1 gene:PPA04565 transcript:PPA04565 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRAQMLVHDVWACFIFRPYPLQPYPALIFTTKIIAIIGGPNAYLRIL >PPA04579 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:222837:225560:1 gene:PPA04579 transcript:PPA04579 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVKYLTDPVAPADLWDSSDNFVCDIRFGDYIEQPTTDAPTTLTPTTRAQTTPPAPTADADPYCTCTLDPKFENPLDFDPKQIWLDVVIILDITEEMGSDSIDEATILVEQFIGDGDFDVLITDPKAPFYTRVGLIAMSDRAEVIYNLNMTKADSVSDKVAMKKGVKQIDVVAAFDAAQQMLIDGEKPERSNTKQVIYYMTDGDPKFNPTTIDVFKQYGTIIVNDFIDSGVLEHPGLKNLSSPGYYRTDIQNSYTRTIQLFCKANCFCRQDIDRKPYAGHNEDIAVQAAGGCFHAAPAGVSYSKMVSTCNNLEGGGKIVSIHDMDKAQFVNTVYNNASIAKDYYWIGYAKDDAGKWNWEDASTNPWTNWDTANGEPSLNSVSKCAYVDRTTGNLLWGAGNCQTGFPSVCEFKPCMVGNANC >PPA04585 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:264907:267148:-1 gene:PPA04585 transcript:PPA04585 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRNSTTIMLLLCLLLTGSGARSTEYSPDPQNAIANPYMDELLSVLSKENGQELLYFSELDTVAARYNLSLPKYTHACLDSYNGMKISMADNDWKEQLNATYAILSNWRTFLIDAKTKVLPETAAFLQHVDAQLEYLMKWLDTFGYAFLYREKRNKAKMDAIQAAIIQISLKYKRLSSDAQRDLEYSLCIGQTLRWLNRDNKMTLHSSFTTFADVVGFDIDAVLEGNYFMSKMPFHQVRKMTSFIAYAVNMPVQEYVAVCAETMSKMRITNDEMEEMQTLSQLFGREWGKNNYNSTDELIDKLINDGSPFIRFITKRRDFVMSRAHKLLDVTQLFLKKMDADLHESLLKMNNRAAFGASSSDYTIKLFSESTRSEYFTQEQVLGRDLESVYCSDFFFQSIFESSNGLDAVFRLRL >PPA04549 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:114768:115809:1 gene:PPA04549 transcript:PPA04549 gene_biotype:protein_coding transcript_biotype:protein_coding MENEETIAMYEAKFAALQMERMEDMKAAGEKILALEKYIDNNHSNNLKTKIEAMRSAINRMEMHRGQLLDWVFLDSLKEDLNNLKVNRV >PPA04574 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:204280:205361:-1 gene:PPA04574 transcript:PPA04574 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLGKTPAQIALRWAVQHGIHVIPKSTNETRIQENLDLFSFSLTDADMAAIDALDKNWRILDLSFRDSHHPHFPYKTSEDW >PPA04587 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:279233:280209:1 gene:PPA04587 transcript:PPA04587 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRSLFCFVYAPDVHIIRQAHIDLHAGKGRIVRSTASIRFVAFNGALIRAETEWSAYVNPWTRKMELVCARHKLVDAPVGDANVLGVPADGFVSPVLPQPMIRMLEEDLRQIMAKVYSGPVISRLI >PPA04613 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:363198:364215:-1 gene:PPA04613 transcript:PPA04613 gene_biotype:protein_coding transcript_biotype:protein_coding MRRILLLSALGITVVTQYYKPVSAPASRSRHLVPITVTDREECQRACDCARGDCTNCSSFKPLIPPSDCQAYFYQNGECALLGEAGVHNTCTRNKTEYVLVPDDPCANLTCIHGECLVSEDLTAHCECDVDYSGTKCDTKAGKSPNL >PPA04569 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:178613:179551:1 gene:PPA04569 transcript:PPA04569 gene_biotype:protein_coding transcript_biotype:protein_coding MIADVRRELRNGMYHASMATRRYQRMAINALILQGAVPSIFYVLPVFGAVALYAKLLAVGLEASARDMTASKISCLLFLAIAMHTFAHSLTILACSPTHRRTIRYAFINFIWLLRRMITGTEIPKHKMSVSMQAAYRTRITSLANW >PPA04575 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:206376:209894:-1 gene:PPA04575 transcript:PPA04575 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPCEKLQSGHEMPWVGLGTWMAAPGVVGESVEKALNAGYRHIDCAHAYLNQPEIGAVFGKVFAEGKMARSDVFITSKIWNTFHSYERAKESISTILRELQLDYVDLMLIHWPMGYEEGGEFFPKEGDKMRFSSEDYLGTWKAMEEAVAEGRIRSLGVSNFNHVQMERLMEHATVKLSMVQVELHPYFQQAKLRAWCKEKGVAITAYSSLGNPSMPFR >PPA04562 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:154642:156116:-1 gene:PPA04562 transcript:PPA04562 gene_biotype:protein_coding transcript_biotype:protein_coding MLSESSLLLLAASSITSADLTQCLKGCGVTDMSKLLNIDDDEFVDPKAGGGSRRHSIEWLGKGPLKRNHFHIVSSESLHNALNVYVQNELIDVIQNPSKPPLTHESRTGVDSFVKENQCIWKCYAELSDEFAPLEVGEKCDGEHANHMGERFYYEIGEYQRWAVPGPSCGYWTVSIRTNFEISVNVTRGASMLESMRGLKLDGQVAWHKELRARKEQNGY >PPA04555 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:136249:137782:1 gene:PPA04555 transcript:PPA04555 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLEELCDDYGPRQTGSKNLEKAIDFVVETLRNDGFDNVHTERVDNLPYWVRGNDKVEMIEPRRHKFNALAVDGSPSGFVEAEVVVVRSYGELAFFFLKHPLTFMEKEATMW >PPA04589 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:288124:288846:1 gene:PPA04589 transcript:PPA04589 gene_biotype:protein_coding transcript_biotype:protein_coding MIVELYKCLQNLLVWLDVIRWHPGRHQELSRRWQPELFIFLFQLVLHHSMFWFMSDVTERMDDKVANLDSDLQQRRDSFWIRC >PPA04619 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:379034:381815:1 gene:PPA04619 transcript:PPA04619 gene_biotype:protein_coding transcript_biotype:protein_coding MFCAVNSSKIALFVSPMSSSHVMFTIKVAEELAKNHDIVIIRPTVNPATESITSKHSRVREIRTKGVAVELFNQFKELERNYIWSDSSWQDWRAMSGGYKEVFSAVCTNMINDDAIMTQLREEKFDFALAHHLDLCPVATIHALRIPGYGFLLSTPLVRTFVNYVGIPPYASFVGSAKSIVFISFGSVADPKMMPVSWKKAFVELFKKNSDVHFIWRMENDVEVPKNVLRNTWHPQSDILAHPKTAAFITHAGYNSLGESIASGTPIITVPLFGDQFRNSRLAEFRGFGVRVDKTKLNVETLNAALHKIIDNPSYKRSAQSLRNIVFSSPFKAGDALRHAVNFAIEYPDHNRDLPALNFVQLYSLDVIVVLVSVVAFFVWINVFLIKRLLRCTCRVSVKDKFE >PPA04545 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:78099:87419:-1 gene:PPA04545 transcript:PPA04545 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHYMQQADQVAGYFNRLGASLLTAKHHSQQVPGDVGVQITEIAKSMEVIGFEFYHNFDHLWNMRPSIQMVIKQQADALTACRELQKAIKQLLDLINFRHFHYKKKVEDQMKVNPQFRWTGPAEMPTIMPVTMPRADPPPIIVHSLQRPINIVVGPQSTPLKAASAARNGDAPNPTVNGVVKEEPLDESMDEPAKVPKKEEMKEVKEEPLDEGFPEVKEEPIADVFCPTTGTARSNDQINFHENGGQGTSTGPESVPQRRATTSTPGNGSIKLRMKRTSQPQSDYHQPYFKNHHVDYDGTREKCPKILDAKMCYLCGKLTDNYSATPTNELNRDVFLKNIILLTPQHKARLASLKKNYNRAFFCKIHVATREIPEPQADDHEETEEERKQREALSIPIKFKPSLNREKIREIGLQPPPKKLAHLQRTCDLCGDPAYPFCFSPKDPPVAKTFFEQLIEMTDKAWETINHFVEIDKRVTVCRKHIRQKTEEPRKERPKFMADLTRDTQRVYKLMRRPVELNAPELVSVPGNKRKQTFQKRAPPRDPIVLNISPPSLFDDVQPSLDPSPFQASSINSRGSRHQPVMAAVAVDDFVWPVGDRHRALTFATDVHTTMNYLKNPTITNKILHELSALVLEAMQCIEDNTPLLSSHLQQIAQFIPARSSTRSFPEKPLVKVGYSMIWLIEKLMSERYAQLPCASKQEEPAGPPSTETDQPLFHNPQDVKVEPVDVKEEPIDYVEDVKQEDGEEPLADVYCLSTGTTRELDPSEFARPVENMDGVISGGLSLMRRLGGHGIATKRLNADTPGPSTSSFTREASSNDDERIRMPFNQPLKVVFATPKTVPNSGMKIGKWAGRTIIIHRRPERLEFLKTINAESPEGRKRIHALRSNREIAYFCLSHIAVPVVERRKRQAVVKEGAANPTRVSSCDLCTQPRFPLSQSPSDAAERQRYFTQIILISAEQTKKIDWFLKNRQENAMICAKHFMKSAVKVISKGAFIGTSPHVRKPYFCELELT >PPA04607 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:347986:348463:-1 gene:PPA04607 transcript:PPA04607 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRDIVFTVNSSSSNKPVSKQLHVEDLNGLLDSLQPLEPGAPAEISQSWIRSSHSGLPFFVDVIRTKLTPSLDLLCLSESKSNTLIRTILVFMEALEKV >PPA04539 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:53037:56868:-1 gene:PPA04539 transcript:PPA04539 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDLKYKMFQRFKRHIYTASNFTPDLEGFVSAHIIQLIDIVDNMCIGIYAKWDSSWEKGNGKKCLAEQQKDVMRASTEVLKALKVFNDLLQMKIDEEKEKPPWMRPRLNPPSSMGDVPSTSNGHPLALRAESRPLFAEKSGEEIKKEDQSYDNASDATEEREIKQEPVDEDDQEVGGLGEAKEEVKEEPDEDMQPIADAYCPTTGTSRPLNDMQLDTMVQSYEGNRNGRVDGEIKPVFKRYTCFLCGQITTDICRIPTNPGRRLEFLESIELNSQLDEQRLETLKRCAEGVQICREHFAKTKMDAFQAQPQKCYLCDTITTDIIVSPFPIYQRQAFLKKIKVNTQREMSRFLALKKSGDRAWFCRKHIMMPKGDKQAEMIRKRLSIPTNFKPSFTREKIAAEMKPPERKQQRRERVPRDEKNALMSAAISKCITPEADEAPSYAGLAVRLEPGQKAAAMSKGRKCCDLCKEIPPMFSVSPKHPAMAKTWFRTLENVYPPRQAILQSYDNARWDPLLTPEQRERSALFLQRNKKAYVCVKHIPLVVMDRSEKAPEHVFKHVDGRLFMKVQDKPPKPDDEHDASSSEGLEAILESTSALDDVPNGEPTNEELFGDEAAFSGV >PPA04617 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:370605:373766:1 gene:PPA04617 transcript:PPA04617 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLLLCAVICALNAAKVAVFLNAMSNSHVIFTIRVAEELARDHDVVIIRPNVNPSASKIVSKHPRVREIRTNGVSEETFGAYKSIEKNLVWADPSMSDYFAMSAGYQSIFAGVCQDFASDDSKLAELRAEKFDFALAHHLDLCPVSVIHALGIPGYGFMLSVPLVKNFVNLVGIPMLPSIYPALLADSSNQMDFVQRLKNFFAEAMMATFAGYFQGKPINSIMREKFGEDFPGVLELSAKAKFLLANVHPDIEYPVPVTSKVTYFGGLGMSNESKPLEEPYASFVDSAKTVVFVSFGTVADPKMMPVSWKNAFVELFDYVSYPTLPRIDFVRDAQKRKWFWLHKIANDDNWKWSRSVIEWFPTRKRRRGRPMTRWSDIFRKTVGPNFLNEARNASWNAMHIRALT >PPA04614 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:364747:366246:1 gene:PPA04614 transcript:PPA04614 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRNAIQLFYGIPGIALYLLAFNCLYSIRKSINRSFLRWKRSFLYVAIIVHTLAFGTNLAIRIPMVTVMTFDTANARYVAMQSDSDNASISLKLLHKLKLLKSTTTEKYFFVFSVFIFISQFLNLIIAALVVILQQWKDLFGIDLHTVFEFTYFTSDLLSLGPALYLIFLPGPVRKHIGEKIGMALFKLYLALDSCDQPPEIEVYRNPQAAINQYNS >PPA04530 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:4797:8224:1 gene:PPA04530 transcript:PPA04530 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-oac-46 MRGGNGWWENVFFLNSLFDNRCMPWTWYIGTEFIYYLLSPIFLLALRKSVKLGALVCFTAIAISAGFNTYSIIENNFPPTQFLWKQPAIFNPNFIQARIDLCDLCSLLFHHIELYIKPHFRIGPYIIGIMLGYYLAFFQRKAIKPERTPTFVATGWALSAAAGFWAIFGLYPSLQGWDWPVYHVIYGTIHRDVFAIALAWLVYACHTGIGGPINALLSLKPLLPLSNLCYSVYLFHMIPVVLTYLLTSFPMWYTTKMTIFAHCLVQLFISYLFAMLCVLVAEYPALNIERLLLHPPATKNLKSLVLHAH >PPA04597 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:321555:323870:-1 gene:PPA04597 transcript:PPA04597 gene_biotype:protein_coding transcript_biotype:protein_coding MQCIGDRCAAFGVDEGVMRQEAYHEPRGRLCKFCLVNIAAYDGGGGRMGKDECYGQKYCDFTKPHDDVMDYWCKNFTVLSTKFTNYFPNVVFLITNSLSYKSWDLKDTTSPVEIFDQDFSCSGENCEQRFNKTIANFPTASKPLIKCHLIAHPIKVFVWESSAAIERTCVGHFCYLQEIRGYDDIPTQVITGCLRVDDRNASRKPTTLVSGFETLYICNKDFCNWNKTTAGKGGLEVVNTASSRFCVANVSMYSGSNGCVEKYVDGYLRGKTFLQTAKAHVKIAN >PPA04581 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:240255:240942:1 gene:PPA04581 transcript:PPA04581 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLDGRKRTKANEMAGKLDAATRVRNTGRQLFILHLTGQRDEIYGNMWHGDCSGS >PPA04559 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:145121:147849:-1 gene:PPA04559 transcript:PPA04559 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNHNITSDRDASSTSTTVSYDSVEKIDNNPVLNHPQIIIGCATAGIDLTKAPGHVDAQCAKDCQLAHVSGIHDGQMVTEGPAQHHVFGIRPQDVDDYWIDENDKSDNRTGTTGKGRRHSVQYSGPNTGVVSYFQIVGQIDGQKQTVRVYQGNKLIDIIEDPRDISKVTTKFATGYFPSRITEQNTCLWQKCLEPSWVNYAPLEVGEKCALPSEGAEFSSFLNFGDYTREAAPGANCGYWMLSIRSKFTESSNRRRVVVVVVVENRERIPIVADLRYERAPASEKDQADFEVLFEEYKKAKEANPEQ >PPA04568 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:176145:176503:-1 gene:PPA04568 transcript:PPA04568 gene_biotype:protein_coding transcript_biotype:protein_coding MHMSLARTYDDNTLTDPAARRSSAYDDTRPAYDAYHPRSHPYRGETRRREWSLWNLIFSSEDSHEYYYRMRRDVNGLMKVKA >PPA04531 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:9479:9702:-1 gene:PPA04531 transcript:PPA04531 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRINSPVQTLAQSYPAGHPAAYHTRHHDAYHPRAHYQPRKREFSLWNLLFSASDDG >PPA04623 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:393196:393743:-1 gene:PPA04623 transcript:PPA04623 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLFHGNLNYWTHLLKKLFVMLAKSLEDSQKNVVLDAIFTIIENSATAYRSRLRFPITCSVRMLSNCARIVKELTREQKIRIKL >PPA04576 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:212404:213895:-1 gene:PPA04576 transcript:PPA04576 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTMKMFRNFTTILRLANKYQVERLIDECEQYVLTNKLTLLKPDEVLTLLLAAHDHHINKEAMVVLIRRLAEEEQTTFNRLKLSRFCPATIYGAVVGANLNLGQLREMEQMNGHFYKMERNKTRWRRSTCDSCKSISEHTAHCDGCKKVRFSYYIEMKEA >PPA04556 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:137882:139613:-1 gene:PPA04556 transcript:PPA04556 gene_biotype:protein_coding transcript_biotype:protein_coding MLAILFLLMVASVSAQDATECAVQCEKRFPYPMGTAGKNAFKLVERDTNNQWSDGRNHSAWWIGPKYANINHFQIMGSPDGKQQMALVYFKNKLIDVVKNPSECNEPIMSKKLEQLMDQNYCIWKCLGVNRPMNAPLNSGEDCSNDKLLHKLTSKKFTEWGDLVLSGERILRAQLGDGTVLRTMDVLVPDEFFQYKFYVNSIRVMREDFDEVKAAMPLEELTHDDYVSEFHTPVHNGRRN >PPA04554 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:132877:134340:-1 gene:PPA04554 transcript:PPA04554 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLPILLISLALLPLSTALWCHSEKIGKGKVTMRRHDEDCDKEIDFCFTSEYIGGPEKNRGCSEAGKCTSDGCTTEELEDGREKRRCCCKGNCCNGLEKRYWCPKEFSKDGYSLPKKMVPCDEFCATEEYLRTKEKVKSSERPRPGHCKSAGCFEDPEAIMKTRTCCFAASETSAPPDPHFPVPLSFVALAAAVIGARV >PPA04586 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:272345:272825:1 gene:PPA04586 transcript:PPA04586 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPSSPAPSSSDSSLYPSSTDSDGSPERGAGAPRVQQQRPVPVPAARQEEAVAPRGGHFGGVHLFTITVRMPEGSILHSKMMRPDIFDSELLGS >PPA04571 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:183830:185402:1 gene:PPA04571 transcript:PPA04571 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTELDPIFKAFLVNYHHVSVVRGVIVFIANSLVSIVIIMDKDSRATTYRSYLLALQITSMTTDSLLDAYTPFILLNSHLVFSDSFLAQHLDIVVLPAGSRFCWVGCSRYSLFIGLQVNVAVVTFMLVIVIISARVPAKDVPEDLSWLCSMPSFGLLNASPYFYTAAAYAGSIAVFVFGSLVLMIWDVQRELRRGIKHASGATQRLYVVRSALRSASNAHVHAFTNDLSMFSII >PPA04561 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:152677:154270:1 gene:PPA04561 transcript:PPA04561 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPLLLLLLVAVANCFPKLRPVNVTCAMECQRQHQEPFGGQQMNLNGTSYYHYFDFRTSRDRNRWIDDNDKSADRLGFNGKGRLHSGEWGGPDFAYATYFQILGKVNGQQQTVRVYKGDKLIDIIEDPAHATAEYLRASTYPDNLIDQNICIWQKCVETSILDFAPIQIGEENCEPPRDTRLNTWSLTYGWDYRRVAAAGPSIERSDASAQDKIDIDNLREELKKQ >PPA04567 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:164289:166255:-1 gene:PPA04567 transcript:PPA04567 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWWSSVVAARAAVTVLIALSIAVRAELNFYTEKQVLRFINASATHTELSADCRNALLRVEPYLKDQETLEAQRIFFSQSYASGISDLFLSRDQDRWIYRGYECILSAGETVFSRSEHPMHYCYGYNEDDPKTDAYSVCIPSPCANDHVKLIELWRKMARKALAPETKPIDFSACTQSRHEKQCSEIYVKNNGEQ >PPA04584 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:262078:263535:-1 gene:PPA04584 transcript:PPA04584 gene_biotype:protein_coding transcript_biotype:protein_coding MPDQKEQGRHSDCETRLSFQTAEKSASVREAIETEKAALCEGIHVKSEHAWRYSAQFRLADFVRFHEMKTILIAYDFDLDVLLSFQRMKELHIRMFDYAIPEETFLTFIQRRHAGLEFPTQITSGKTIFDAVEIILAYDDDRMKVSFTSTFEAMTAFFRMCKGAGNRLDYKDQAAQTMEFTALYREKVLINSTPAIIRSAGYLIVEVVKEL >PPA04548 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:113422:114659:-1 gene:PPA04548 transcript:PPA04548 gene_biotype:protein_coding transcript_biotype:protein_coding MYLDINNNLDDHSHRHLLLLLLPDTANLYIDIDVEKAAFYHGFPTKNINSGRISLRVKGNVSTSVIRPTLLSRSELCSISENDGQSDDDEEVDMKMLRWASGLCEERIFPNNDKMCESGGHD >PPA04529 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:3968:4773:-1 gene:PPA04529 transcript:PPA04529 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLPVSAIVSCVLLCFLAHAQQDPDGSFHLTDPVAAARPAHHHPAPYDPYAHRPHHHPRMYRKRKSFWDWLLSRSASDEYYSASWEHHYRRRRDANELLRVKA >PPA04536 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:34970:37827:-1 gene:PPA04536 transcript:PPA04536 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSQAKWKTAYECASRVYESIRYTSPSSPEERMALDFSAIKINPNCFTRMRYTSPDAKTPLLKIGYCLNVLLERLMQDRIAAVPGTSSFELQSQQASSSSTVVHFALRQEMLFDDPAVPQETSSVDTKSIKQEPVDVKDEPLDEYVEPKEEPIADVFCPLTGESRPLDGSTDNQIDECGANNDDVEEVRAEGALLHTRSRYARMDSRYGRCFVCKQLPDQFWSAPADPSMRIIFINRLIKNMKSDLNHLTQLKSSTNPEEAYFCMQHVSNRPPAAAKVSTARARGRTRHFAQICELCGDMFAEQYLKSPKDPETAREFFSNLDGLNQGQLDLVNEFLENGWEMTVCKKHHRNMPLHAMKHIERIGPPKIRASRRAKNQPSTAQDSPKEKRPRRGYIDPADERVTKIPLRLNKNLAMQYAQSKQNYSIESSLAQKPNVGAARLCDGLRFQKTKWFATIRLLVEYQ >PPA04598 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:327081:329401:1 gene:PPA04598 transcript:PPA04598 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKRMNTKTAKCAYRGRKAAQCAEVVRGAGNSEGQWPRRKENKTARSLLVAVALLSAALLLCGAADVHVQGGRPVANVTVELREWEKFPPHGALVSSMRTGADGRYRVAGGKEKVYDSRSPVLPRVEVPVLEGRPEGAVGGRKPCDDGDVNVLTAAAWKVDDTCVNGNPRYQYPKTPGK >PPA04582 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:247673:254246:1 gene:PPA04582 transcript:PPA04582 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVTPSPFYSREYDSDLSIVYPLKDDVTSTRSPLTSEVDQSTSTTRVSTDSEENEATSTLTLVNSEENQDDGTSTTAAPVASSEVEEDEDDDVTSTVSSKTVEEIEAERLRREAAEKVARTKRASEIAGKRMIKTHYGAYLRAWSKGIDWYVGAADENENCEQWIIERHDDKVALKTLCGGKYLRSNLERYVDLADTAQAHELWTPIKNDASTWSFKSHHGTYLRAQPHGRVSMQVEAHGDEQFRLEEWTVPETTTTTSTTAPSTTATEEPKTTRTFFTASGPVNEVKTNVTIVLMMPAAREIPTATVIPAENVQFIDTSANTTSAYANTLVESLQQGEFTGILMLSLLLICLALMCTSTYLVCRLSRKILSLRNASNLLNPVYEKMSPPLESALLIAHMCLSLVSITLSLLLVFIVFRNTPSSFATFAIHSVAASFAFRLMLAILAARDDDEAIRSLLIHHFQNDKADVVTVLGWPLFAIILYLRSQILAKLEQHSATISEKSRIMQRKLLAMLTAQSAVPLVQLYFVAVFILGFLDILRNPALELSGHLVSGTTSFLSPLIVFLSIDQYKKAALNMFRAKKKENTSTVFQMALKYDAKHDPQPLPTSPVDPTSRRLPCCLCGVLLFALMVYCGIFYYFFSSSSSYPITTYAPRAPIAPMAPAASASMEPPRPTGTAEQNCHPSNIPEAFFNDTGRFPEEELHFLPCVNAFRFNVDLMTQVKNRMEDGVWDRENPTKLPVGGEDAYKKYSIEYALALARVTNGDYEELDGYWGKEYNKPHFAEGEWLVLWQEEVAGLSQTDWIRHNVCMI >PPA04546 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:90749:94653:1 gene:PPA04546 transcript:PPA04546 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTLLGKAMVHDNKQALATCLEYFPTTFVTGVQSGNKVKCTVKAAYTCVDANHFLMYDKCYYFSPTPSAINKQKISEYVKMDATTKRSAQLEANRRGIDKLGIGMTTDGMTIDEENGKTSMLHKNMWKLIEGDYWEGDKLVKLEKGDKPDYAYPSTANYLFMRTQTDHIFNRIQGSFERATADVAVTFACEVPTVFTEQQRWYCDKMGRQGLGLDTVYFEGNKLKTARCLYLTAGKYTFEGAHADMANVKGCQERPSSLHSTGMDDGAAESAKYGQFKFSGKLRLAGYKDGRKMDYHPDAGFKTQLPCQAEIKDRNTLKRLQEQWKFLLHNGAVQHSSWSSILWDTNYPKNECIDFPGESSTLGLRKVFFQECENRMAVEKKSPCGDKKTPIDQLPMFECKSTKTFQPATKGVFTCDCPEQHQKIGDECKWNTDGDNVDGAIKSLRIGVHEKRDLAFGGQDPNEYLPDFGPEDNTWNDKFLAPRSRGLTSSPCSKTSLMARIM >PPA04537 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:38179:40869:-1 gene:PPA04537 transcript:PPA04537 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHDRVELRLAYNSAFDVYNRLRMKQSASVADRFMLDLSAIVMQTIDFLEMDKASTNKPSAFSYKINGQYPTTMEPEPYVPDPLLVDREIKEEPVDIKEELLEEEEMVEVKEEVKDEPLVDVYCPSTGTARPLNETSLSFTEMDEMDGPAPPLKRVAWGHASDIKKGLAMPSTSFYKAAEQPQAAPPKRYVGRFFWRECYLCNKRTSKFAVLPTPIDRRTVFLKNIITLNKEDEARVMDLQNNREVVHFCESHVAADLVLGRRRRPQTVELNKIQREKLEWFVARPELKANICTRHLRSTNEVDDPGKIRPVPDDVPPVQSSSDDDDDS >PPA04590 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:289726:292688:1 gene:PPA04590 transcript:PPA04590 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGYIDVEMDGLQHKVYYVLCEAIRAYPLEAPLVVWLNGGPGASSLLGLLLYSNVVLYIESPSFVGFSHIDYSKMIRRRNAKTFARGATPYNREMIAPSLHFVHSLALSVNETEVHPRYADRDFFMTGESNGGLYAPFLAREVLAGIKKGTFTNDRLKWMGDFRYLDWHIEKKVQHAECTFKAVPERYLNREDVQKALHVISEGNTPLKWIAINHDVTLSYYQAFEIFHIYEGIVDSAKAIKDSPKIWKYLGDFGGRSKSLTIVSKYYSK >PPA04615 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:367217:367853:-1 gene:PPA04615 transcript:PPA04615 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAIILLMGWGFLRCFGELFKAFMILLLMICFTCLGMLSLLCCCSYRFCGRFRRR >PPA04577 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:218232:220245:1 gene:PPA04577 transcript:PPA04577 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSPLDSEFESFLAIGLHIIGSTRTEKWHAACKSCNEKISTASDECVDAIGSTMSVLFFSMLSLHTFAHSITILACSPAYPRKTIRNMAPWCFKGESSISATAVFLVFQSASYELIFR >PPA04621 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:389254:391369:1 gene:PPA04621 transcript:PPA04621 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLALAIFCAVTISSLVPGIVGAIYCAWTRRKQRKRTPTYDLNIPIDYNALGIGGLDKELTEIRRRALASRTLSPQEVEEMEIEHVRGILLYGPPGTGKTLIARKIGGLLKAASTKIVNGPEILDKYVGVSEQRIRELFAAAECDQDRQGANSGLHVIIFDEIDAICKKRGEMDNHVNDTIVDQLLAKMDGIEQLNNILVIGITNLPELLDSALLRPGRFEIQMKINLPDEAGRLQIFEIRTAKLKENNKLDGSVNLKELAKKTKQYSGAGIAGLITMADFKRALGEKPKKKEEKDQNEQNVDESSSLKKSEEKEEKANMTLSKKKTYNPIKRVRHYVAKKTCDALRGD >PPA04599 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:329975:331003:1 gene:PPA04599 transcript:PPA04599 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFLLLQLAIVAVRPLLVSADFMEVDARGRLVCKAANGSVVPVEGAVIHEWNEIRNGNSANVQSAPNDDHRAQVCGGADRWSDQVFYLVCKMKCTQVASNSTTIVTAAHEKHMNTIDGKN >PPA04563 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:157826:158555:1 gene:PPA04563 transcript:PPA04563 gene_biotype:protein_coding transcript_biotype:protein_coding MFAWLNLSRDDLRELFEWHYCTYNYAARMRAPMTPAERKPSLIEGQDSECRGKTAVKKTPISKMNDPVYWRESVRGPRCGGFTVSIKTNFVQTLRVEAERSTQPFTGTITPITEEMKQYCSPYC >PPA04612 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:362385:362773:-1 gene:PPA04612 transcript:PPA04612 gene_biotype:protein_coding transcript_biotype:protein_coding MRVATDTAHAEHSTSRSATANEPNACEPSPCLNEGLCLRRKKGFRCLCKEKFGGRNCDQNA >PPA04616 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:368404:370506:-1 gene:PPA04616 transcript:PPA04616 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLILYGRKTNHQPRYQCAKRVQLWPFLSDKNNGTTEGLDTLVFFVLIGGSVLVVFLITTWAFCYLLWCFGRMVARRG >PPA04611 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:358564:359713:1 gene:PPA04611 transcript:PPA04611 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVPLICGYFLVLYAMYSIRKKLSGSIILVYVMLSITRWDSNTFENITTWLNVWMFLKFINEPFFFFYYEWIKYLPILIQIHTFLVSHFYYAQNIDVLLLTFDRFAAIYALIKDFRWWNRHYLSISVLVHVVAIGVQLALRLPMDISLKFNAQIMAYILEYGPAASKNEKMATLFQIAFGIFIGATWSLLQIPSALEVNEN >PPA04606 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:344974:347432:-1 gene:PPA04606 transcript:PPA04606 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQRRQRNNHKKFGWQCGCAYSLDVKTANYFRSLWQRLYQDLSVDVDQKPENKPFLHHFKSTLSMLSLSTFGSTFTMNPVKRTAVPNSIYSLASYLHKQMTNLLQEIVSDVMRNASKYKLALFLSSMDKIYRPRQEAQLSKNSKSGTFTDDFKKFAPSELRLDMSAYSISTDEHKLDFSYVAAEFESIISSSSLPDHLNYACTVVKGKDDKLYRVWV >PPA04622 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:392313:396088:1 gene:PPA04622 transcript:PPA04622 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWNEENRQWGLPPVFLADWTALPNDIENIESSFKFLQENFTTNKKLQALLNKVEEEQRDTKTCKMPCRFCGPKKTSNCCVIRGRQKPLIIFRDVAKGWSLSAACEYSVFEPVGEYAGEVLYDPKPGASYDFELDVDAKHLDGNMNGLLVSALERARTNHRLLRGKERADTNDENIEPIVIDDKEDEDDDVVFVEVVNSWEFKKDKPPK >PPA04618 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:373925:378700:1 gene:PPA04618 transcript:PPA04618 gene_biotype:protein_coding transcript_biotype:protein_coding MENDVKVPKNVLRNTWHPQNDILAHPKTAAFITHAGYNSLGESIASGTPLITVPLFGDQFRNSRLAEYRGFGVRVEKMGLSSATLNEALHKILNNPSYEKSAQSLRKIVFSSPVKAGDALRHAVNFAIEHPEHNRDLPELNFFQLYSLDMIALLLSVVIFTIRVAEELAQDHDVVIIRPNINPKASSIVSKHPRVREIRTKGASEETFYHHKKIELSMVWDDPSWSDFAAMSGSYKAIFTEACVDMVNDDANMARLREEKFDFALAHHLDFCPVAIPGFGFIISTPLTRTWVGMVGVPMLPSIYPMLLADSSNQMTFGQRFKNFLLDLMMGTVGGYMQGAPINVIMRKKYGEEFPGALVLARQAKFLLANVHPDIEFPLPVTSKITYFGGLGMSNESKPLGEPYASFVNSAKSVVFVSFGTVADPKTMPALWRNAFVELFEKNPAVHFIWRMENDVDVPKNVLRNTWHPQTHPKTVAFITHAGYNSLGESIASGTPLITVPLFADQFRNSRLAEYRGFGVRVEKTSVTFDTLNEALHKILNDKKYEKSAKSLRKIVFSSPVRAGDSLRHAVSFAIEHPDHNRDSPSLNFFQLYSLDMIALLLSVFVFSLLVTIFVLKLLWRCICGARIVKEKKA >PPA04609 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:352721:353967:1 gene:PPA04609 transcript:PPA04609 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNMKWWEDHYVAISIIAHLIGLGVQAAIRLPMETLLLFDPKNQVYGVAYGPNDMHNEALSSQFQVAFGLVVTTACLILNLYSYKSLRHLRSTKSTAIVRIKKKKSPFLFIATCILFTQIFNLVVTTLFAIQDLGKLVPNENLGLVFEIMYVTSDIFSLGPGIYTLLLPGPIRKFLVRKITNCWKTVVDPNKSNSNIII >PPA04620 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:382533:388536:1 gene:PPA04620 transcript:PPA04620 gene_biotype:protein_coding transcript_biotype:protein_coding MRNWLLLSLVICCVSAAKVAVFLLPLSNSHVIFTIRVAEELAQDHDVVIIRPHANPEAAKIVSKHPRVREIRPNGAKPEAFWAFKNADKKLVWTEPSIKDWRAMGTAYTNVTAEICYATINDEAAMAQLREEKFDFAIAHHLEFCPVSIPAYGFMLSTPLSRQMMSFIGVPLLPSIYPNNLIDASNEMTFAQRFKNVLFEIMMATLSLYSQTVPVDRMMKEKYGAEFPRVLDLTGNAKFLLANVHPDIEFPVPVTSKVTYFGGLGMSNVSKPLEEPYASFVQSAKTVVFVSFGSVAEPKTMPAMWRNAFVELFEKNPDIHFIWRMENDVQVPKNVLRRMWHPQNDILAHPKTAAFITHAGYNSIGESIASGTPLITVPLFADQFRNSRLAEYRGFGVRVEKTSFNFETLHSALHQILDDPSYDKAAKSLRKIVFSSPVKAGDALRHAVGEFYTLVNRGPANPSFILYAAQRQSPNFMTKVVYLDATKSLKVNPKDTFGVLTVLSSSGAVNVNGLSGDYEVYATGFDAINDCCPVYQSRSITNAEKTFVKVNGPIATMRLKGYLAGAMLTLSGDPTLSSPVTVDSSAVFVSKGYVGCKNPGGYSLVPATNAPEDSSFTLADNGGLSASLDSDYSFVKSGTSEVDITVNGEDVRLTGTDQLRKTFDPAASVKVDFAWKNRRTDDVFAIQIDVLKKSGDGETKLTTLSTPETVQTTTTKVSAHLSVISACILVLICI >PPA04605 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:340702:343551:-1 gene:PPA04605 transcript:PPA04605 gene_biotype:protein_coding transcript_biotype:protein_coding MCSYFNDTKRLSCCCDSPSCNDSAPPEAIKYYKVEFELRVAVSSFACLSSESVRKIEANEETAVLHTLLPGSSRQLERSGNERDVKSREQRMADKPGSKVNKKEVVTILETPPMVISGIVGYIDTPNGPRPFKTVFAEQLSEDFRRRMIKNCAQTPRFYLWVQKLLKHRNKKAHIMEVQLNGGSIADKVERAKERLEKQVLIDQVFAQDEMVDTIGVTRGKGFKGVTSRWHTKKLPRKTRKGLCKL >PPA04564 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig127:158812:159257:-1 gene:PPA04564 transcript:PPA04564 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKDEELAALPIIDVFIPSTAASRPLSINPDEEMHSNHQTFSTRRTTVNLSNPPAPVAPKKKKKGTAWKVKKY >PPA04595 pep:known supercontig:P_pacificus-5.0:Ppa_Contig127:316449:317329:1 gene:PPA04595 transcript:PPA04595 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLPILLISLSLLPLSATRKCWYMDEYIEDGWNELHIAGLTSRYWCCSTDLCNSEDRDGEVEASANRGAPDGASPAADPTAIISLAAVAAMIAARV >PPA04624 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1272:128:1333:1 gene:PPA04624 transcript:PPA04624 gene_biotype:protein_coding transcript_biotype:protein_coding SARRSLTMNREADNVCSSCKNCGSAVTGQPMAFPSPILLEADRVVHSVYTCVQIGAEPGEKVNRKASTGLAKELLREDYLKIVIDKIDAANKSITQDLVQIERLQVFKQKTLIFVSSKTMTDTLGVFISKAGTPTTTTHGVREQNQREAALAHFRSGRRPFSAVAERGLDISGVDHSPVDAHGEPASQEAQTNGADGD >PPA04625 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1274:423:1028:-1 gene:PPA04625 transcript:PPA04625 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLSATLIYGCIFYPGMMVYSAYALHRSKKELLRITSVKRTNDQGNKIFQIL >PPA04626 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1276:388:1176:-1 gene:PPA04626 transcript:PPA04626 gene_biotype:protein_coding transcript_biotype:protein_coding IALGNKDTCEFNKGPVKDKTQFYGVMYANNSGIGVPTFCMSNMNSYPDTVIKAEYKQAPQVNLQKVIDSFTKWYNQPIKMMCHCFDYENEQTTKIIMWMPLSPTSLDTESVSFAGFDVVGFKHIVVPFGFSYDDSPLWQSLVPDRSQWITDASIYNYDIEQ >PPA04627 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1277:82:737:-1 gene:PPA04627 transcript:PPA04627 gene_biotype:protein_coding transcript_biotype:protein_coding FDGRCDEEGHHHCYPPRWHLRRVLVALLVQHVRPSLLELPLAREAHLRDRLLPDPSAAVHLLFRIPADLYGDEPRHSCGPRQSRTGSQEK >PPA04630 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:35531:35971:-1 gene:PPA04630 transcript:PPA04630 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGVEMVNPSLIVPKTDTADKKEHDDSDTTCETTLYSSVYAPNDSILPFHLF >PPA04682 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:326405:329071:-1 gene:PPA04682 transcript:PPA04682 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVVSSDEELDNARLIMLKDATSKCSMDLWYLPDTERILSLPPIEQLTIMDDEVSIDLFFKLIRAQNSLNLGCKAVALSAREWQEAMEIVSEDTSPKVVRLTMRVDTVVDHLRGFGMSETSMSGDQNGEVDIHRMPDGSGDGDCMQLRFGNCWIRILGNMLLADDRIHFVEMHVAFTAVDEWFESIGIAEDTSEGDIRGQNTL >PPA04661 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:249905:251089:-1 gene:PPA04661 transcript:PPA04661 gene_biotype:protein_coding transcript_biotype:protein_coding MELLATLIWKISKGRFDIFQFFSNILHSLVKERHWQITGMYILILFGRWLKKADEDDDDAEIPPGEEEFRFSNKIVVVVGFAAFIVFELFLRLANRIHPAFSNPFIRMLITGAMLWNAFVYSEEDEEDEFTKEGEQIVLAGLTIFYASANCLDYPHNFLCHLAIRLHFTQKI >PPA04684 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:332890:333863:-1 gene:PPA04684 transcript:PPA04684 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFRSFEKLVADSNAGYFEHAFISSWIPDKFTMLLGDTAFYGIEWSDAGMGRRLELRQRLFSRIAIGEFDFNIDDDPKALEFIRKFIEKFNIGVLGFRVETEHQLENARMLMTDFPKSKYTMDLCFLSNKKTLLSLPPMEELSIFSMIEIPSSLCIKLVGIHKNLDLGDIQITSEDFKSAVQIISSDSRPRKIRMKTKRKYVVSCLREYGISASTKTGDICKQVSA >PPA04695 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:405285:410847:-1 gene:PPA04695 transcript:PPA04695 gene_biotype:protein_coding transcript_biotype:protein_coding MHVHCAEHVLDLSNFSITGRAFLGSEQPTSPVCQKRLGEYQSGIGEKLKNLGHVTCARKPSCSNCGELQFSDVCPEGLVCGNALTEIMHANKTVTEAYALQCSEGGSWIDSLQRQHDSVVFGVTCAMARGKLTHQQSAIGDCRIAKCNEQGFMIRTRSRFNELITVNASTNATTVPTVIYDGGTELRCNEKGDWSFETFQDFDKTTVNLGRDVEAVCYWPKCKRCVVKEMPCPPGDICSIDWLAEPDRNQECDVASCRNGNEMIFRDSLGILRTVESLRCNDATEWEVDTTPQVLTKEEEVKAAKKTSLFDDDYVDGSEQVKEEKEALTPPTPTALHSTKAYTGGAAINTCRSYHLMRWNKLDTYLECTDKEEWKLPGGTVIPDHAEVACKYNGCDFGALDLFAMAGECSRIECKYKGKLAVFKPNSKEFVRTVEGPLTCVGNGT >PPA04635 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:70614:71415:1 gene:PPA04635 transcript:PPA04635 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEFVKSGATPLPDLINIIASDGYYFMDSNNMQGLQAFCKANCFCGSPDMIVNGDSASGGCYHASLSGVPFNKAKAACSNNFHGFLATVHNEDKGRFLQKLLNSSKSDYFWIGYEKTDDGVWQWEDQSSDSYTNWDEDEPSSSSVAKCAYIDSSKTTLPW >PPA04659 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:246708:248299:1 gene:PPA04659 transcript:PPA04659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-col-53 MSWSLAALSARLLNCLGSPRVALSLSIAAMICSAAIVPLLLVRVTVIRLGEVQASGPHCPTVLAGLWKRKEQVAATLRRERRQAGAACCLGPAGPPGPPGRSAEDGADGLPGRPGLDGRDGEGDPRPLCERSCAEGPPGGRGAPGAPGPRGARGRRGAAGTPALPSPSGEPGPQGEKGFTGKDGQPGARGKPGEIISQPGPPGPDGPVGPRGPSGEKGPLGDPGQAGLDGMPGGRGAEGRRGDKGARGVPGYPGPPGPKSDGRNWNAREV >PPA04676 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:308440:309899:1 gene:PPA04676 transcript:PPA04676 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLALPDVFLRELMRKVGIKERLLLRLTCRAFEQLVADSHAGWFEDGCFALFFGECSLVCKQGELEQLQRLRSRLFRGIAFAKIGFKITIESFSTAFTLQLTEQFTIGVLRMHVNTQAMFERSLQLISAHPISEYIAYFHYLPEMEQLLALPAMKEMHIVAHTEISADVFLQLIKIHSHVHFYRKTVAMVWSELKQSMKIISTETRDRNARLVLTATTITNWLKSEGLKESAKPGDIFGQFEFISSPTEDEDHLRIRFNQCRIRIDRFSWEGGDFGCLVSMTNTDEQ >PPA04647 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:200320:202725:1 gene:PPA04647 transcript:PPA04647 gene_biotype:protein_coding transcript_biotype:protein_coding MESVTVVFDSEVICFIAMQLYDGQNTYASNGAMQAIDFCSDYGAIPVNIVNQEDHDYWVSVSRSDKKNGNQYGNIILGIYCDSTNHWMWADGREVYYEWKPEDYDEDLHERCGENGQYCMWTINPTTNNWQKWCNTYQTTDKYCIIPPASKSEEPDRDCTDFDHDDDNDVCYQVGKYPANWTEANTICHSFGANVASVHNDLENSFIRRLSVSKGLFNGMWLGGALNAKKNAYKWADGTKWDYDNFVPGEMS >PPA04664 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:254086:255282:-1 gene:PPA04664 transcript:PPA04664 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASVCRLFLYILCCMIIYTVAFHIFIDDIRKSVERRHTKVQNTRHSEEEQDIEGKDAINPLIKLFTIISLVFFISGITVDLIMLKIYNEEGVFYKMSRVCLRIPAKLIDRSAKMLRVAEKLIHLGGKAIYPLLPLALYLSMLLALQYYKMKKEQAITSDATSERPEMGDYQEYRSLFGPVAPADDYLSEINPSVFNELQQKIKSWNENSRQFAVVIDYQSD >PPA04657 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:240031:242348:1 gene:PPA04657 transcript:PPA04657 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLSLFLIVSVAYSSPLDLPGYDKYGVEPSMAVKGNGGSFAFGEATRPDTHGAYITIDRSGAKVPKGIYHYTEHTSGAEAGWKLPGSGVWGASDVMLSDTTSNMDGFSVQPSILADPVNIQFLTERKVERGISGDAAPDEATALHAKAKKICRVALTAECEEALRTYYIAKTRQVSFEAMPLYRKLIELGESARASLKGRDSRSLGVLVDLPGQKPLYLGASLDNGANRDINMRLNPL >PPA04677 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:310120:311416:1 gene:PPA04677 transcript:PPA04677 gene_biotype:protein_coding transcript_biotype:protein_coding MDILALPDTFLKKLMSFMKIKDRLSLRLICREFENLVSESHAGHCCNGSISVKDVENESTMSIQIGDYMFREGEYTEEGVDLILKLRNRLFKGIAIADLDVEVTSFIPNPIFFKLLTTQDDLFFDYECVSFTSNDWKKAMQVMSRSGGTRMVEFYLKCTNIVDCMRDYGITNMSKDGDWNDEFEILSCDGPSNDVTVHFRFERCYTRILGISWAGGDALCSVTMETNEEW >PPA04701 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:434445:437098:-1 gene:PPA04701 transcript:PPA04701 gene_biotype:protein_coding transcript_biotype:protein_coding MVHYKLTYFDLRGRAESIRQVFAYAGVAYEDVRIPRAKWPELKPHTPFGQLPLLEVDGAPIAQSAAILRFLGKQFELTGKTPLEEARLDMVVDQIADFTADIKTYMMVALGFAEGDKEALFKEVFIPNRDKHMALLVKNITGDFILGHITWADIHLANTLESMLSKMPDVLDGHPALKAYVHRVHSTPRLKAHLASRPDAPY >PPA04641 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:175180:176255:1 gene:PPA04641 transcript:PPA04641 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPGSYWKLALEVLEGQDSPRVLRERSVRALYDLFLIDCGDELPVIPPNGFSIGLSNR >PPA04644 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:192893:193689:1 gene:PPA04644 transcript:PPA04644 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSCTSKVQQVKELLGASALSLFYTGFAATQFGTAIAHYYFAPKSQQTLAIIILATSGASAVLGFTGIVIIMIIASDSSFFYYDEALCVCTMTAEADRRNLQRINQVSIFQQSVSVSPT >PPA04629 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:2995:6472:1 gene:PPA04629 transcript:PPA04629 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPGGNDASVAKMNMPIAISTLENPNSQISLLDGTYAKVWDCYPTETVIVKPTLVFVKPAHRTFGLSHILIEYGLDLPTMSKQTGANIAATLCTSVKTKGWFEKNGHTLAHTTGPNVTNWKGETVPLPEGPLRVYAADMHTMKTINVKPCWGNAEGSRNDAQGTGVTFDDCNTGMEWVFSYSIVANAVMGVSDICYDKVIVKPTLVFVEPAHRTLGLSHILIEYGLDLPTMSKQTGANICATLCTSGWFEKNGHTLAHTTGPNVTNWKGETVPLPEGPLRVYAADMHTMKTINVKPCWGNAEGSRNDAQVKPHSYPPTYHIPQSIQLPPFSCPFSM >PPA04680 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:320756:321686:-1 gene:PPA04680 transcript:PPA04680 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFPSSKFTMYLYFLPEPEIVLSFPPMESLHIKDCSVGLGTPWLISSELFFALIEAHECLDLDCTALQEADCDRAMMIIASHSKTRIVQVQMDTSTIKNWLSLKGIERTVQDGDLIGKFEIVNKPYYARNVRRAGELVLRYEDRAANQQCTIRMPGSVWNYGNVYCRMHISNR >PPA04668 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:270223:270786:-1 gene:PPA04668 transcript:PPA04668 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGSASIKFTTYDCKSGTTLSFFDGLNSNQPYLTFTSDSPILNQPYSATTNVMKIVFSANDTTIPVGTGWEAEFAEL >PPA04704 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:456225:459299:-1 gene:PPA04704 transcript:PPA04704 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPDLPPSYDSIVPQTCRPQPPQYSTVFHSSQPIILPGQPVSQQQIIYTQPQPMLILPGQPMSAMEYVNYQPTLGAAPIVIQPGAPQLQMRWMAVLAPVPGCPPGLEPLRGLKKITLRQKHELVEYDLIYAVKRYAIENQRGEHVFLATGKPEPATGWGPIRGHRIIVLDAYNRIPFTITCPFREDRLSCCASLDCCKQQTTVRGSGETFGTIRTGQACCATKLTIADRQGKKIINIDGSPCLNRCCFDVDYPLISATDYSTLGQITRKYRADGDSCRPDSCSARDRGHEIF >PPA04642 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:184273:188275:1 gene:PPA04642 transcript:PPA04642 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLHPTTRENRRLCTNIFDDSLQSAGLAERIIAACIDEMKRSYVDPPRDPDIERTIAGTILASLRWMHGRSVAIANLINDEQFLPWVIQCIHDDHPKLHEYAMDLLDGVCCWGSHADRVTMIIRYSSSLRSAFEHVMARKCERLHEAFWAESYWDEDHLFDHCDMAGLIAMSPRSLWASVEQWKGKDEESTASVCCLIMRVYESALDSPKKLQELLGLGSRVRNSGRRLFISHILSSG >PPA04688 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:342520:343161:-1 gene:PPA04688 transcript:PPA04688 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDTTVVQEALEKAREGRTCLVIAHRLSTIQNSDLIAVVSDGKVVDQGTHEQLLSSSAIYKKLCETQKLVESQ >PPA04638 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:168278:169275:-1 gene:PPA04638 transcript:PPA04638 gene_biotype:protein_coding transcript_biotype:protein_coding MMYLARWIYAFFPETVVDKAIVGISEAVKPIYNEFPPLNMQFVKYPCDYEGFKNEYENFISAKKTKTDIMNDPESDIGKALAIREKKVQRFDNDLKKLMNS >PPA04667 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:268031:269862:-1 gene:PPA04667 transcript:PPA04667 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTIFILVFVGVVNCGPCPDGYFQAPNGRDCFKTLTFYDSFANYYYPKSFEEAEEECNNQNSGGLLTSIHSFGENKAIHNDGNHVCQSSYATLNIGLKCKGKDCKWDDGSPITYTKFAGDGPSENDGESCYAMSGNQGEWIKKSCGTQKADCWVCRASFNFTTFDCASGVTLTLRDPNDPIDPNTPFIT >PPA04699 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:425477:425889:1 gene:PPA04699 transcript:PPA04699 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPGYPYSASTPCDFFLAVNQGLRVKVEITLEANKCCDYLTVYDAYQGGNLIANLTGEITDATYTLNTTYARVSWIPQGGVHVKGMALGFRGV >PPA04640 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:171137:174316:-1 gene:PPA04640 transcript:PPA04640 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNAAMTSISTRAGVCTRMAGQGPIRARINMIKPNLTLLNNKLRLNDARRWMHTDPRCTVTSDAVLDLKYDYFWLDAYCPNRGNEPVVWLDGTPTDYYGPDDELAKCVYGSGYMLYSTGLTLFEYRYFGNTLCVYRPELPSTSTTSLLTTQRPITPRPTTAISPPSNETADSCPCNPGTVYLDLVFVVDASDEMTRSTVDDEIQATATIQSTLYGLTFGTGNFQTNVAALAYAETVQKVTNFGDIISTKNISTKDMDVVNEFKDNGGVIITVDYSKNLLPSDIASPGYSIHSSSEVSGDLLYAFCDANCFCKDGLSPYNTENERGREVPDSCYHVADTFAVYDAAEANCERKNGFVATVHDDNKNFFLTSLFPTKSRYWLGLERNEESFEWADGSADGYTNWAPGNPIGGLDCVYSQQQTGFNAPWFSAPCTDPLKNSMTYACQIRPCSSEFDCWA >PPA04687 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:340206:341804:-1 gene:PPA04687 transcript:PPA04687 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLALPEDVLYEIISKLKLMDRLRMRRTCRAFAALIADSHFSSPHEQTITISAAFIEQHVFDTRDTWCKKEVKRTITSKIMIRISLGELWFKPIARDDLRAVELMQGLFRSCKVEKVNIHLRGRVLSADFIRTLIGNFKIEELTVHGTEKNISIAIDLFKYISASKHCLFPIQRKDGVNTIYSNCIIDLHGKHYDKVVDLTLRHFGVKTPA >PPA04628 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:1109:2079:-1 gene:PPA04628 transcript:PPA04628 gene_biotype:protein_coding transcript_biotype:protein_coding MADNLKQLLDQTSIIHISQAGWIGIVTSPGVNDSSVAKMSMPIDIDISTLENHNPQTRSDRREERIPYL >PPA04671 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:288671:290127:-1 gene:PPA04671 transcript:PPA04671 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAHRDIYVKNDFIDGRLIPGEVRFQASEVHRVMMSASAFSSGLFKDDVTVMAPVYTTPYSEDSLLAIPLTCAKGWGDMADLCGISDTTDMPPPASQSDTVLSERANKATYKQLSSAQRLCSETGASTFMYSYVENLGGTGRGSDDPEARIRMQRTVGNLANTIIDNFNAMSNCYAAGKPTCGEKAKFFYAARTYSTLFFFARHGTVDPSK >PPA04694 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:387634:393078:-1 gene:PPA04694 transcript:PPA04694 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNAECFPRCDFQLAGRRTQATTAISMPCPGQRNMPGIELLINIQLPGGRQRSSFKPKSYLPDYESHFIGSEFSLTNLEVKFYEYNADEFHVNACLTPREAPRVCFMDQIISKRDAYEQACGSIREPGGVSFLFMSALLVFVCLLIGMAATVLIYRLYRRFRERRPMREFQPIISDPHKLSTCSPPRPFHQQLTVVMEEEEDKCSPSTPIKDLFWRSSSPTPCNTPKGTISMQRSSPILSTLLPTKKPTPKPTNV >PPA04649 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:214668:217320:1 gene:PPA04649 transcript:PPA04649 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTVSNTISNRERICDRTTSKRAFSVRRTRVIRSKYALRTQQSACNSPVPLDDVLPKWPTGWTPVPVYSKPKDEDRVLQPGVNCAKADSLVKSREKTKAFQDFLASNWNLYALLAQNGGQGEVKNSFGVLSDWFDTLRIEKEQFHLTLPEWITDDVYNQLKAAFLAGNDYTDGAAGFGEKEDKDLVKLRGGFLLHEWRSNLKDASESTGSVKYHAYSGKDHTVTALLLALGAKKTVLGDDIPQYAGTVVNELWKKKDGYYVKFLYLDNSTSPARTITGLLDACPNNEELCPLQTFMDGSNKMSTKDETLCNL >PPA04674 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:304757:305118:1 gene:PPA04674 transcript:PPA04674 gene_biotype:protein_coding transcript_biotype:protein_coding MIALGIRWAVYVQQRQRLRAQIMAAQAGPLPAKEGVPVDAVAYSPSKPHACPSNLHVDFAAPPPYMAPPAYSEKPIEPLPKIA >PPA04672 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:291393:297022:1 gene:PPA04672 transcript:PPA04672 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVEVYATSCPSCIIEPQQQINNVVNAPRNVNAYVKRFWIDVESTGWGTDYAGIGLKNVGRLKNKERGPTRTNKNHGPTLYSTESGFKVLS >PPA04656 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:232798:239813:-1 gene:PPA04656 transcript:PPA04656 gene_biotype:protein_coding transcript_biotype:protein_coding MTMWYGALIIGAPGSGKSTFTAGLSDCYTQLKRDFMTINLDPANHVTPYHTDADIQELITVEDAMDRLGLGPNGALRYCMQTLSANAQWLIGKIRANKGKYLLIDCPGQLELYRSEGEMDKLIRVLEAAGVRLCAVHLTDSLYCPDPASFVSVVMSTLSSMVALEMPQINVLSKADLFNEAELPFDLDFYTKLPDITKLVDVLDENPLLARYHKLNRAIGEVIQDMGLVSFTPLAIQSKADLARLICTIDKANGFQLIDKDIREIIIIADEAAALCIPTVDHWHTCLDDEGEGFTLTEAESQAILEEAHEHYKKLIFKSRDEILPVLKRQMPKTHDGLVRLVGEDFADYDNVVDGIGDEDAAGFLKSMKNRALDLIVEWSQAKEDRHEMVKFMPLASKTFSKFVDISSPSCATARKVRATRTVVEGREGKGAEPISNSFPIFGGKSSAMS >PPA04693 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:384706:385129:-1 gene:PPA04693 transcript:PPA04693 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSGCSMDAWPETSTYIDYSSMLVFLLGRATFHDECKSLIIRFYPQLCAVLEE >PPA04673 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:302724:303357:1 gene:PPA04673 transcript:PPA04673 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVAIFLLFFSITSGLAAFPFAHHSDSTSDAVYKGLVKSAKSKSSNDTRLFLPSFNPSVKADGRYYFFSPESLGWAAYDHPEKLNLTGYSQTCLASWATNYNNSFVKCVRNGFNGDSKLI >PPA04631 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:55378:55877:1 gene:PPA04631 transcript:PPA04631 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLLFAVLAGSALATLVCPPKYFSIEDRCIRPYTLNANDYLDIIMDYAQAACALDGAHLPIIRSDETHS >PPA04654 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:230538:230911:-1 gene:PPA04654 transcript:PPA04654 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIVFLLVALTVSAAKPLAENEDVYAKGIEKLREVLGEGLLGLNVTAAKVTSRVRNTGRRLFISHTLSPL >PPA04703 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:450218:453602:-1 gene:PPA04703 transcript:PPA04703 gene_biotype:protein_coding transcript_biotype:protein_coding MLHYVKVVALRNRPGVWNPIMIYAKFLGNGRTCLEVTKSEVRKEEDDDEFEGLTEEQKAKKILEKARNEEDEYDPKTKKQMADYYTTAHRVKERIVQQHSTMGGGNPDLQLKPYQVRGLEWMVSLYNNNLNGILADEMGLGKTIQSVALVTYLMEVKQNNGPYLVIVPLSTISNWQLEFEKWAPNVVKIVYKGSKTFRATAHHQSE >PPA04658 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:245010:246460:-1 gene:PPA04658 transcript:PPA04658 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFNFIFAWISSSVDSALVLQACCGDNGTGCTQYTRIEDMDTVRERKMGARFAELCCGDQALAYDQTCCEGTVHNVANGNCCGRAVYDREDRSLICCNRTLTRAVGE >PPA04665 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:256654:259570:-1 gene:PPA04665 transcript:PPA04665 gene_biotype:protein_coding transcript_biotype:protein_coding MCNFYIQIRRISYLLSFIPKPDPGTVRQYLFLAVYLSLAVIGISFEKEFVKLQTQFQKDFTIDRITVFVASALVVGLFIRIFVELLTFAICDGAKAFRACYASTRAILWLVKKIIIIIFKVVRKASRIISAGACAVTSFIRPHLPRILAISVYLLVLFAGLHFRIEIERPEDWRRLGFIPSIQPPSSPSQAVTPADHYLLDIDRTVWREMLHGLALRRDSRRFITIQY >PPA04689 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:343637:347678:-1 gene:PPA04689 transcript:PPA04689 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVEMDAAAEDDESSMLLRKRSAAKKSSLAGRKITEDGSVLSLVSSVRSMEIEMEESHLKPTPISKIFNYNRDNWHWLAFGTLGSTISGAVTPIFALVYAQIFNVFAEPPEQMKRDALFWSIIFIVLGLTQAFGFFISAQALGRCGEALTKKLRMNTFTNLLRQDIAFFDDIKHGTGKLCTRFATDAPNVRYVFTRLPNVLSSVVTIGGAIIIGFIFGWQLAAILLIMVPLIIGSGYFEMKMSYGKKMRDTELLEEAGKVASQAVEHVRTVQALNRQEKFHFMYCEYLREPYKENLCTAHTYGGVFAFSQSIIFFMYALAFWLGSGFVEHGQMQPTDVYRVFFAIAFCGQMVGNISSFIPDVVKSRLAGSLMFHLIEHPTEIDSLSDDGIKKELNGEISLRNLHFTYPTRRNTKVLRGLTLDVKPGQTVALVGHSGCGKSTVMGLLERFYNQSRGIILLDNENIRNLNIRSLREQVCIVSQEPTLFDCTISDNIVYGLDRSVTYQEISAAAKMANIHEFILGLPMFI >PPA04679 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:319345:320224:1 gene:PPA04679 transcript:PPA04679 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMNVKDRLRLLHTCREFDKLIAGSNVNFCDSAGVHFEIDKFSLGFDEACFSHISYSRENFDKLLSLRSRLFRKIYVDNCEIPLEFIRELMCSVGTPSELDDALAIMADFPGRKTELNLHFLPDDEKLLSIPPMEKLAIQKLRHSS >PPA04655 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:231671:232113:-1 gene:PPA04655 transcript:PPA04655 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEWDDLSHVSKNRMEKKTCFQTALRLIDQLAEEKGQITALKKQLNMFVAMGEMVGAEIEEE >PPA04697 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:420321:421995:-1 gene:PPA04697 transcript:PPA04697 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLRVFDAIEHVNIALLNSLLLYLIHRFSRKDIGEYRILLSTFAGFDIVLCFMHWLIAATFVPLVFVYVLYFCIGTFPFLGWPDYYISDARRSRDHIYLMKDYREGLWRLVSCGKKATIVATGWKSVSRQIVAVSTLTHQ >PPA04698 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:422297:424904:1 gene:PPA04698 transcript:PPA04698 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYHYSGLDPEKLQFFAKRYFKLLLFLYAAGAYSAWHFLSYLGSSGAVDEVGTIIARGIYKEKYGIEIEDGWLLMDHWRDGKLNVPAALLLAAADGIMIASFAFASLLGSLTFYHIQKAQTISLAFRKQQLMILTALLAQTFVPLICVYIPYFCVITFPFFDLPDEGLADSCTILITCFPIWDAIVITMLMKDYRNHQGFLTVICRRQGDTDAVSVWKTDNTQSSSVRSNFTMMPEGDKDVMLM >PPA04683 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:330325:331656:-1 gene:PPA04683 transcript:PPA04683 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLRHLISDFPRSDCVLELWFLPDIETLLSLPPLKEVKIPHEQVSADVFFQLLSTYPTLDLGCRSVSLTGNDWRRAMQIISSSFGEKKLVFTMIVEQIVTNLCGFGISEKSRVGDRSGEFEVCLVPEGSCECVQFRYGNCWVLCPGSVLWSTKDGIATVMMANSENHRLIWCENADL >PPA04634 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:67468:69860:1 gene:PPA04634 transcript:PPA04634 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVFFLFGFAAIGAATPPHLSNHSSAKILPIDSVSAASDDVQCPSGFTDYLGWCAYLFHESFMYSEAAQFCSNKGTYAPSIHSESDYNFWNLFAHINEFWFDAYCPNQGEPYAWLDKTPTNYYGPKNELGNCQMDKGMQMLPSGLHAEKLTIGAYALCSAPTATSGPTTGDDVQCASGFSNFRGWCVYQSPEKMTYPEAVDEYNFWNLFGYTHDYWFDAYCPSEGQPYAWLDKTPTNYLGEHNELSNCQKDWGIQMMVFGLAAFPYDFDASPLCVYEASNPPANLATTTLQTVQPTATPPATSGFTTESYCDCNVDKFGLPDGWNPSEIWLDIVIVLDISMAMGQDQLDDAEVLIESLLSDGLTDFLTTDVQAKFFTRLGVITMPDNKVLYDLNMTRADVMEGRTKINASADMINVQRSLLKWRPATLEF >PPA04685 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:334557:335898:-1 gene:PPA04685 transcript:PPA04685 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFALPNVFLRELMKSMKMKERMRLRLTCRSFAKLVADSHAGYFESGRIFSYFVDGHKKCYCDVFTLNFLSNLTERFTIGALSLEAYNEEALNNSLKLMTNFPTSKLMLNLYFIPDADKFLALPAMDELCVAARSEELQPIPADTFLKLAVIHKTIYFKRVTMSADDLTRAIRKISTNDACKRTVQWYAYPPTIVIWLNSQGVTEDSEAGQKCGEFLVKRTVHDGGSMHLRYRDWMVLFDGFSWTGANYSIVVSVSNEHEDLKEDTSFN >PPA04700 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:426257:432396:-1 gene:PPA04700 transcript:PPA04700 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTKRPPDFYAFHNYNEKDFKTISLDIENLATDKILEEKETRLIPDEASFRFLANPCADNVRVVTPKSKANWKKRFAIVGIIIVIFCFFLLLLNMNQGFQTAVKCGGCVAAAYSTGQLAKINATEDAILWVAKWACSTFSTEPYAVCDGIAEQFRGEFFYVFRRLADDPGRICASAIPECADANDPLGAGWMIDLPPPPKFPFPKLLPKTSTPSTLRVLQLTDIHVDFEYAEGSEAACQASVCCHRDKNASANLNITTPAGHWGSISKCDIPYRTLESMLRHINETEELDYIMVSGDLINHFDWEYSWEGHVGILRNLSSLFKSHFPSTPIFWGVGNHEGVPVNSFPPHTIDQDFSPSQSYEELTAMNEPWLKEKEERESSRFFLYLNQSDPDGTMSWFVQELYQAELAGDFVHVLAHIPPGYSDCLEGWSRNYYRVVQRFSSVIRAQFFGHVHWDYFTVFYEDMHNVESEPVSVGYISPSATTFDYLNPAYRIYTVDRNDDFGILDFETFSTDLSVTSREREPEWKKLYSARDEFNLTNLSPASWNSVIKDIHSNEEVAKRFIELSFRTANPRCDADCRRSIMCFLRSGHHNDTLYCPITITITITKTHYTALSDIPN >PPA04663 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:253648:254006:1 gene:PPA04663 transcript:PPA04663 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEIAKGGTWIGAQQWHSSEPRSSDSAAVGQGMKQNHLAEGFEKRGFLQYPLISMQVII >PPA04692 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:379202:383054:-1 gene:PPA04692 transcript:PPA04692 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMVAAWILDIHPKWRQQFRLWTINGKQGNPIADLGRSIPPKYAFEIDDWLYFSDDDFAEPLEFEPKDGQTEVHFQFSGKACDTFWGEGVEPKLVIKYSCYGSPVWVCKTFGTIHGDFRLNEVEPATVTGVLKCDRPFSARIQLREIDGGLFGYDDQFGDTQELPSKDGQLQVNFHFSGKASDPFYDWDGVEPQVEHWLCKDYGKIDKDFELNEVVYLKNPTDLKQKQFYNCDSVYKFMARTKTPRMG >PPA04691 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:357485:360983:-1 gene:PPA04691 transcript:PPA04691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-smd-1 MPAQTAVAVLPTAGPDKDALATSPDYFFEGAEKLLEVWFGSSSEVDGVAHPSMNGASLSMRSIPREEWDDLCSIAACRILHAMSNSHMDSFVLSESSLFVSDRRVIMKTCGGTRLLAALPRLLELAEKYAGLDQVVNVYYSRKNFARPDLQPKLHRSFDGEIDYLDKYFDESNAYCLGSMKSDRWYLYTSSLPQAVSTYPDHTLEILMTEMPQHVLDLFTRETCVDGPDCTVKTGIDRIMPAGTEIHEELFDPCGYSMNALVSNSDKYATIHVTPEAAFSYASFETNQDHVCLFAQTKRVLECFQRMSVDVVESVLAAARDTVAEGNEFQRLIVSIHSRPHKFLLTIFSNEHSESAKATQQQLWEREMLGYRRTSVQFVRLETEEQLVYAHYVRKHNWGSSSSEDEGERSE >PPA04650 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:218502:220774:1 gene:PPA04650 transcript:PPA04650 gene_biotype:protein_coding transcript_biotype:protein_coding MERFNEEQAGKTASHLISHLVSSAVHLVFSLVLCFFWTEPYNCPGVGTGPTYLFGGLTFGYSTITVILFAMAISHLGCKPECPKKLATITIGTSTASAAIAIAGIVVFEVLINDARTPCAFSTLYYISLLFLVIFMALDILLFVVSLLHWKQMLIAEKKMNEPKNSVCGSWRLQASQVERPTYEVAGPDYSTWRKESAHHQRSASDSSSRTVYEITNKPAEIVHTINEPPAADYVSWRQRPTSFRMALQTISEASITDFHEPRVPTRLSLAPYSPSSLYPMDQISPDTSFDHLDDLYERSP >PPA04690 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:347784:354322:-1 gene:PPA04690 transcript:PPA04690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pgp-2 MAKKSRDEQVPLLPTPSTSSYGSERKQSDGKKKGSDGQIGTTFTHTKWGILSFCSTCDYLLLAVGVLFSILHGAGFPLLSIVLGGMTTIFLRAQNSEWVVGAGMSTPDGMPGISLEDFKEQVTIFCYYYLGLGVAMFVASYIQIACFESFAERVVHKLRQNYLKAILRQQIEWFDLQQTGNLTSRLTDDLERVREGLGDKLSLFVQNVAAFFAGFGVGFMYSWQMTLVMMAFSPLIIASGYFMGKMMASRTQLEQNIYAVAGAIVEETFSAIRTVQSLNGQKREINRFEAALERARSAGLVKYFYMGICVGFSMICMFGSYAIAFWYGSTIVYADPTFDRGLIFTVFFSVMSGSTALGGALPHLGSIAMATGAARSVLKVINQHPVIDPYSDEGIFLENLKGAVTLKNVHFTYPLRKDIPDNIKLQILKGVSLECKAGDKIALVGSSGCGKSTIINMLLRFYDPVKGKISIDGVDVKSLNVKHLRDQIGLVSQEPILFDGTLYENISMGSDSATQESVIRACTMANAMDFIKRLPDGLGTRVGERGVQLSGGQKQRIAIARALVRNPRILLLDEATSALDTEAETIVQAALEQAMAGRTTIIVAHRLSTIRNADQIFVFKDGNIVETGTHEQLLEKGGLFKDMVHAQQLRQEQAIESRKH >PPA04643 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:189739:190265:1 gene:PPA04643 transcript:PPA04643 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNHRVSAVSEYSSPLKEWSECTRVRNRAGTRTILEKHPEFSQQFREATHDGTDGNSTTNIGRNIRPRRDRPGVQYVLEDEDMETL >PPA04652 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:224449:226904:-1 gene:PPA04652 transcript:PPA04652 gene_biotype:protein_coding transcript_biotype:protein_coding MRSILLFCVALAVALAAPLTEDVYAKGIDKLKEALGEGVNVTAAKAIVADEAAALGITTEEHWATCFDDSEAFSISDADTDEVVKEFSQNSHHLTFESKDQIFPKLKQHMPKTHASLVKFVGENFAKYDEAAESIGDKAATAFLMSIKDTTLEVFVKWSQAKSDPSELLKFFPVAARGVSKMMTEWDQLPKMSKNAMEKKVCLQTAFRMVDSLGPDKGQITELKKQANMLIAMGAILEEQMTKEE >PPA04662 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:252290:253379:-1 gene:PPA04662 transcript:PPA04662 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHSPVLLLVTATLLFRATRPVWNWLIFGQGEQLCKEVLKKFELDEVAWFVLGYAYRAAQMLCRRYGIVGPSSLMKRILRLIGVYAFLIGVGWLDDEDDSLAEYDLLVWIIVGLIFFGVLEAALYLISFVVEAPKAIDRVAKGSFFKRLPIYMVYASPVLLLVTCGGYLFIPTKIYLTPADRYLGDIDLNVLRELHDKIESWNNK >PPA04645 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:197336:198332:1 gene:PPA04645 transcript:PPA04645 gene_biotype:protein_coding transcript_biotype:protein_coding MRQIKAILTAMALSSFYVVIFAIFTSFASAVLGAAGVIAVGIIMKSGGLTIMECEAKVKESTQFEASAINEVSQSSFPPVVPTKTTLVPPSALLYPIHQLGSIASFESLSELTEKATNT >PPA04646 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:198740:199731:1 gene:PPA04646 transcript:PPA04646 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSTCTSKLQQIKGIPVVIYCCLVDCASHNFTGAHVLLDEWRVLHTAIDARRVISIACTTFSVVQFSMAITHYFFVKRSQKAITITILAISGASAMLACIGIIVTVIIVNSIVGYCYYDVVRYPLFICTMTFLGGCSTSSGYASWGKEPSQFEDIDLNELAKTAPVPVAPKTALVPPSAPLYPTHQLGSIASFESLSELTGKAKNT >PPA04653 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:227640:230133:-1 gene:PPA04653 transcript:PPA04653 gene_biotype:protein_coding transcript_biotype:protein_coding MIVADEAAALGITTEQHWATCYDGENKPKTHTRMAKFVQEDFAKKGAPESIEDIEAKAFGPAMDDDDSDTIEDEFEKNGHLLSLKNRDDVFPSLKKHLPKTYDALVKYIVKDQLKIVGENFTDYDEEMAAIGDKEATQFMKNVKESALDMLVQWTHARGDEDKLIKLFPVAGKALAKALTDWDNLSKASKIAMEKKTCLQTTFRLFDELGAQKGQITKIKEQVNGTIAMAELIEKLNKNAKKKN >PPA04705 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:463886:466337:-1 gene:PPA04705 transcript:PPA04705 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRIAPMEQMRSAAKSCGNGKCISEWLVCDGKDDCNDGAEDEMDCRDHPQESCDTLEFQCEETRNCIGLERKCDGVNDCSDGSDESWCVEREILCKKEKEFLCKQGRKVCINSLRRCDGESDCDDGSDEEDCSARNATSWCGEEGCRGEEPIVLITTFTGIRQFNLMTKTDASLIENQEHANAVDVHMTKQLLVWSDMRTNKINVCKTVNDTTLLAVKQCTEHESVLVKNEKIRCQESPYGIAVDWVHDLLFWTGGSYKRVSVVDLKTRRGRVLFNDTMHDLKPRAIVVDPKKGLIFWSEWSYDSGCIKRAGMNGENKQVILQGNNVVVWPNGIALDLQEERLYCEDAKVKAIFSFDYDGRDLKVILNSTHLLREPYSLAVFGDRLLYPDTDHGGVIAVWHL >PPA04678 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:317299:317957:1 gene:PPA04678 transcript:PPA04678 gene_biotype:protein_coding transcript_biotype:protein_coding MIESKEESHQGDGIMDDNAPRYLGACEWFNALKGFGFLQQDGSGEQIFVHQSELQMKGFRSLENGSRVSFSTRPGKKGIEAYNVRGEADGDELTGSSVRPLGTKKNSLILCWNCGGYENHVAAKCRKIQTDNKVLREV >PPA04632 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:56492:59046:-1 gene:PPA04632 transcript:PPA04632 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLLLFCAIAQVVHGACPTGFDLIGNECRGTYAKTLLGLNNVTETTKKMCSGILGQPVIIHNEEEQSYWAKRVQISPSKPGDPMLVLGLTCEGNEYRWADGSPMDYLPWNYDTRGGSAYTVDVSCTTKLNQPVPSGNGCDSFEDDSEDGVCYQIFETAERWEVAQNICKKYGAKVASIHNSQENSFIRRLAVSKGALNGVFLGATISENGKDFGWVDGSNVTYENYYPGFPIPGSGNCLAMDTSKSAGQWMNIDCSTSLPVACIREQKEVTEPTCNGGLSIEGTVINSPGFPYNASTPCDYFLQVNPQQRVEVEISLEANPCCDFLVIHAGFLGGEMIAKKISKH >PPA04636 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:102539:104228:1 gene:PPA04636 transcript:PPA04636 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRILKTTTSGRILEWTRNNIGFVAGVTAFVGIAICYEIKHPIALDYNDRIRKKRRENVSARSGAVRVDGNLEEGAERIANAVISCSQGKKMLNIFQQTLPPDHFSLILEKIPVAKQYLSVRFDSDFQEQAANEEEQRVTFTVYTEDDDEADERPFSPLIDPHDFLLPEPHPHLPLYDPNHDGDPRGHLRTPGLTHSASECSLPLAKPGAALIGNRCVRPHSAVNVVRTVFSSHFLPRL >PPA04648 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:202885:205457:1 gene:PPA04648 transcript:PPA04648 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLGECVAMQTNNVAGQWVNIDCATELPFACIRAPDIQDHVCDGGLRKENEILGAKAITQSLLVCLLLSLSNQMREITNPGFPYDASVPCDFMLTVDPGMLVEVEILMLEANSCCDRLVLAEGLLGGPEIAILTGALYNGWTFRTTSQNAMRVSWQPNGGVNVKGMMPSCRSLSVECPNEMPTMRGKRAVAVYIITESRLYYIS >PPA04666 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:261770:262888:1 gene:PPA04666 transcript:PPA04666 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFALPEVFHRELLKKLCSNGTGERTIRFLKESLMVASWLRDYGVTEDSIVGDTCGEVCY >PPA04702 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:438652:441566:-1 gene:PPA04702 transcript:PPA04702 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVYTQPQSAVIQAPYATYQPGNGASPIVIQPGAPQLPACWVQIPAISLPNCPPGLEFLHGRDKIVIKERQTTMEVLVGIELPNMYSIQTPTGEQIYCMAEEPRYLQAEVMGGHRGYKMQVIDGYRRVAFTIRRPLQCCANSACACCSCCQRVSKVEGSGMVFGSMHTRMSCWSTALSIQDELGKDIIYIDGPCCCSYCCSSADFVLKSAINGSVVGHIKRKWKGCLRSAFTKMNEFHIEFPADMDVRSKANIIGACIMIVRL >PPA04686 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:338144:339716:-1 gene:PPA04686 transcript:PPA04686 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFALPDVFLRKLMRTMEIKDRMRMRLKLVAETHAGTFRLFSINTWLHGKQLMAFHISGVRQELGWGARGVDTSNANPALPIRKWNRFFSGITFENFTLDYPYQAFTIPFIRDLLRNVKIGRFSFNNERCLADMERSLELARGHPASKIAMKLTFVPPLNKLQSLPPMETLTIWPTNVTAAPQNRTDYPPITADIFLNLVAAHASVHVFYATVGPEEIMRVIEIILSADSRKRSANWESGHAPILAFLKCRGLTNRSQARERIGEFTITCVTRAVHLRYRSCLIRFDHFEWAGDRDVSVSIENGQGAPGTEYAYLYADSDSE >PPA04669 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:280678:282116:-1 gene:PPA04669 transcript:PPA04669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-clec-40 MKRTLLSLLLIVPSISAGPCPDGYKQAPNGGDCFQTIKYKDFFSGNYLPQSYDAAETDCNNNYWGGLLASLHSSEENDFIGSNCGLSGATLNIGLKCKGRLCKWDDGSPITYTNFAGEGPSDSDGDACYAVKKDCGQQKADCWICRVKARKIECTDEEYERNGGCVSIHLAPLDQKSAQESCPNGGHLEIIWFTSIALESGVNGSIYIGGQYTNGVFEWADGSYKNYANWANGFPNTIFGACVQMLLDSAFGVQGQWTNVDCTTKMPYFCFRDGATYGPPVAPR >PPA04670 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:282612:285172:1 gene:PPA04670 transcript:PPA04670 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIKFYDSWANYYTPTSFEEAEEACSNWNSGGLLASIHNFDENEAIQSDAMRTCGVSYATLNIGLKCKGKDCKWDDGSPDRAKMMENHVMQFMEIKENGLAIDCVDGEIEYKGGCVSIQTSPLDQKSAEESCPGGGHPVSIHSANENKFYVNAAVDAGITGTIYIGGQYSKESFPNPVFGSCVQILLDSEFGVQGQWTNIDCSTKQPFICYRDGAVYNPADIYPKEGPSCPFGAYYEEKGEYKIGNASINFTTFDCQSGVTLELRDPGDPIDPNTPFITFNSTSPPVIGQYYSSGSKEMEIQFTTDSSPVGTGWAAKYTGIYAGDN >PPA04675 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:305878:306523:1 gene:PPA04675 transcript:PPA04675 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSLPDVFLRQFDEKQSTMAFLRRFLETFTIKQLRFFHIRTEAELER >PPA04637 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:109090:115218:1 gene:PPA04637 transcript:PPA04637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-glr-5 MLLLTLAAAAALAARSSANDQITYKLGAVLREREDAHIAAALEFSVMMLNDNEKITPKVHLQVEYIKPDDHYGAIRKACSLVEDHSVVAVVGPSDTYLNDQLSRTLGQLDLPLITVNDDFRLDNHEGRLQLFPRLQLFDAVSDMFKYWKWQRITIVYEDDAIGLILGIRRIKSILESDQFKNTRFILIRVHDKDYMRAAKEVKESEECRYLHKKDCSEFNRVLVDLSPEQSFNFLLAALRMGLIELKHWFVLTSMELSSLDMDLFRHNHARFISPNPVDLSFEKAHGNRTTFSMKGFQQYVLNAWNQKTNGNLDFKLMEAVFAFDAVRAFAKAQKHIPQVPYSAPIATCRRPARPARPFPHGKMVGLLMKNVNEKGLSGDLRRLTGDEKASNFTMRIQLLGYSGDLEDIGFWNSNVSVDIKPDGRKQLMKNKQVSDELKPHFRVTTIMERPYVMHKTNHYELSHNNKYEGFCIDLLTELSKDLGFTFSIHTVKDGSYGNAKNGTWDGMIGEILRGEAEMAVAPLTVNFKRAEVVDFTKPFLSLGISILFKVPSDQQPDLFSFLNPLSWQIWLCILGAIGGVTLGMFAVARITPYEWNLNFSCCTAHQPHPAASFTPADAPVELSNNYSFFNTLWYVTSTMLKGGCDFGPRAVSTRLLGGAICKS >PPA04696 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:416287:419575:1 gene:PPA04696 transcript:PPA04696 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYMQVLIFLSAQIGVALSTTCYSPTIFTTQNQLLSKSTLSSQLECDKQCAGDTKCVAYSVSRFSDHVLCGFLGQEVDWTICAKPARVYKKVGCDGFAYNGYVAAAQWTKAGAYQQFVTVNVGQSAQDLSLFYEANNSGNPLSFVVLNGCEVHVLLTNKTIVVHEVALATTCYSPTIFTTQNQLLSKTTLATQLECDKQCAADPKCVAYSVNRFSDHVLCGFLGQEVDWTICAKPARVYKKVDCASTRVSGFYVIGIEACGMRPGLGAQEGCDMPDPALSPGEAAKFTVLKSCEIHVLLPNKTTLDYEADAKANVEFSDGFSVIVNAWGYCP >PPA04651 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:221373:222124:-1 gene:PPA04651 transcript:PPA04651 gene_biotype:protein_coding transcript_biotype:protein_coding MHESKLQQSQAIIADEAAALGISTEQHWEKQIFSASTVCVACVFLPFDITDLDEEEIEKEFVEKSHLLTLKHRNQDECGDQGSYNKGYKDADSVSSFLALTHRLPAQQEYKLVGVEPESSALQVFPTLRKQLPKTHEYLVQMVGENFKEYSEEMERIGDKKATAFMMRPICLDTRASVTVNQQGVHDPRR >PPA04633 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:61158:66035:1 gene:PPA04633 transcript:PPA04633 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLLFAVLAGSALATLVCPPKYFSIEDRCIRPYTLNANDYLDIIMDYAQAACALDGAHLPIIRSDEDNDMFTRIAQTFLQPSGTWIYLVLGVVCDDETEMTRWVDGSPIEYQGPPGSDPALFNCSLSPTMPTSMLPENQWKRLLLGDAHLFTSLCVLEEQIATTEEVVTEAPDNKCGDYERMEDGMDDDTPCFKVFTEPLSWENAQKKCEADFGSLIAISSAEENSFFWNVATTHKFTGGMHIGAHQCPDDSTTWTWIDGEIPITSNTYNNFIRSFPIAGSGKCASMVTESVAAAWIFSPSYPKSDISCEYFLTVSEDKLVEVEIISLISEKNVDYLEIREGTSGMKSLANLTGTLQNPTKFTTSKSNVLRVNWKPVGTTDGRGFKIRYIEVDKTDIVEPATVPVHDEETTTKSATPQGIFAVIVTLLYSLTTRFAKREMCLLHFVSTTALTQLSWPLNYFFFEDKCIRPFAVMADDTLLNLLPLARESCAQDGAHLPMIRSHEENESYARLVNLLTAPPKGRMIRVPLDLVCDANTTLMTWADGTSVDYVPYTSDGMKFDCTQYNHSVYTEPMYVTSTVYRWTRVELDTVMSYTSLCVHDSEVVQDVTTSSATSATTLLALLVAILRYDVNAIRE >PPA04639 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:169436:170675:-1 gene:PPA04639 transcript:PPA04639 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCQKTRMKIYNHWVPLYDQLVRNPLDYEGFKLIYERYLVEKRENSAWSEFEIDGIKYDTSADICFDEDEHDVIIGLNFLRTHNCVIDLPNNRK >PPA04660 pep:known supercontig:P_pacificus-5.0:Ppa_Contig128:248587:249304:-1 gene:PPA04660 transcript:PPA04660 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRPLLLVAIYAGIVYTTKEVADRHKIELVHYAISIVLSGWLLFALVDALLRTFVIMLKAVAMMAIGGIVIAPFYLFPESGALISLLLLFSFAYSTLK >PPA04681 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig128:322704:322927:-1 gene:PPA04681 transcript:PPA04681 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISLPDVFLRQLMKSMDIKDRLRIRLTCRAFEKLVAETNAGYFDDGRIVQGADEVT >PPA04706 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1282:354:1998:-1 gene:PPA04706 transcript:PPA04706 gene_biotype:protein_coding transcript_biotype:protein_coding SARRSLTMNREADNVCSSCKNCGSAVTGQPMAFPSPILLEADRVVHSVYTCVQIGAEPGEKVNRKASTGLAKELLREDYLKIVIDKIDAANKSITQDLVQIERLQVFKQKTLIFVSSKTMTDTLGVFISKAGTPTTTTHGVREQNQREAALADFRSGRRPFSAVAERGLDISGVDHSPVDAHGEPASQEAQTNGADGDSQREGTEGTTPREGVQECDQEEFYELK >PPA04707 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1285:727:2473:1 gene:PPA04707 transcript:PPA04707 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLQLELHKIETDLSKNEADKKELEAVTAQAKLKAESDQKEMDGYKVERDKSAAAFKDVITLKNAKIRQLEVELMKVQAESDFHRDKVKSMVEAESTNGVAALQLKVHQLTTESELIKNEIDRYERNCFQSVITKLTAESNELNKELQSERAKIRQLEVELAKVGAESAVYQIGNENASKNASDFIQNEKVKSIVAAELTNGVAGLQIKLERLTTESEFRKSEIDRYKKELAETTTKSKTVSNDLHKSLKTDRAKIRQLEIDLANAQAQSAVYQTKLNSKIATESRDMNRQLEVDLANAQAQSAVYQ >PPA04708 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1286:263:942:-1 gene:PPA04708 transcript:PPA04708 gene_biotype:protein_coding transcript_biotype:protein_coding VKVPERSDLVKRGVTNVNPDWYYIRSPVGVNTFRNIHGSKLRRGVQPNGYAKASESVIRKALKRGRKDLDRIAAELRSTAAPAEL >PPA04732 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:183684:186017:-1 gene:PPA04732 transcript:PPA04732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ttm-2 MSPVFEDCKRRYSNLHTIVDQSQEVWKYRTLGELLGLNEHKDPSEPSSHSISANTRFPFCFRVPDCAPTSLTVPGVPVTIRYSIEFRILDATTVSIYYDHPVVVVRPVCVSRPIAQGRTSHSKAIQLPGDRSILLELSLGKTVMTSADEIDAQITVVNRWKESLKFMRLYLITKVDTTGRSTDSKGDETKKSFVIEHHGVGLPAEKQKISVGESYSMKPVHNVPGLNPDADLPHFLKLSHSICLEVGRSKTLVLAKLQSLIPVRVTKERVK >PPA04715 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:17628:18140:-1 gene:PPA04715 transcript:PPA04715 gene_biotype:protein_coding transcript_biotype:protein_coding MGMATVASSRRRHHLVVWFQNRRTKWRKKEAADNALVKREDMQNAHPNGHGKCSPLVETTTPSPSEQLAAFHAIQPFLAARK >PPA04739 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:226683:229129:-1 gene:PPA04739 transcript:PPA04739 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFPEMLSGFLFFSLFTLTSSKECQKRLPSVCTTCCALLYDNDDCETDNLFRVKWDADGFLGAIWEQQPRVVEVYPGCLLTLWEMRNQTVPAVADSNDTTSVDVTVPKEGGKGDAESGYGSDPEGHLEEVKSLEDMGTGDDEKKEDKDEKEGLERKDSQEDQASLANVSRDSHEKKDDTEDSSEERLADSSTTVVPSEQSVGADQGLDSPPLPSEISIPSLDGPIVDLTMTPPVSEIPLTIERIIAPKRNATS >PPA04746 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:310243:320869:-1 gene:PPA04746 transcript:PPA04746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-kcc-3 MTGTFGNLALFKDDDDASTPNQSASIFSAYTTPGPKERATSEHGKKANLGIMLGVYLPTIQHILGVTMFIRLFWIVGIAGIGYTALILLLCSLCIQTFLTSISLSAVATNGVVESGGARNLGAEFGSAVGILFYLANTVATSMYLVGGVEVMLMYLAPGMTLPLGLSNEEPHDEGHSLFGSQLNNFRLYGTLFLLLEVLIVAMGVKFVQLMAPVSLMCVILAVLAVFAGGFSNMISPDGRTRVCELNDSLIMTEPMKAIALLQGITAANISEDYCMLCDKSMWVSHITDLYCDNKHSDDASCTQMLAHEDHTSSFFILLAIWFPAVTGILTGTNMSGDLADPQKSIPGGTIAATSTTSLIYFGLALIFGSCIDRRVLRDKYGKSIDQKMIVAALSWPSPWIVTIGSFLSTFGAALQCLCSAPRLLQSIAKDDVIPALAPFARVTKSNEPFLGLLFTAVIAEAAILLGEVDAIAEVLDFFFLMCYASVNLICFLHSILKAPNWRPRFKYYHWSLSLVGAFLCFFIMFASDWKKALIACVLTFSIYKYVEWKGAKREWGDGIRGLALTTAQYSLLKVEDKDPHPKNWRPQLMLMLTSDWKDKEVTDNRIVSLINLAAQLKAGQGLAIAVGLVKHDKGDLSRKELEEIKTDITRRMVKSRLKGFGKTLAHGTISSLLQSIGIGGLRPNTILLNWPNFDDYDSLVVFAEQVILGIQNENCVMLTKGITAFPEGTDRICGTIDVWWIVQDGGILMLIAYLLKQHKVWRGCKLRVFVVSESSDSSSLSMVQKNLQKHIYQLRIDAELFVVNMNSDEELTREVQEKTLEMEAKRLPRLEEMRARSVTGSYINESFSNDETLPRSETTKAFARSVSTMIKIGEQSETSFTEGRYADLFADDQSSRNYSEEASMANGNGKDASKLNVQKMNTAVKLNKVIMENSPDSQLVILTMPIIPKKIEHFINQYMTYLDVASEGLKRVIFVHGSGKEVITTQS >PPA04738 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:213289:222568:-1 gene:PPA04738 transcript:PPA04738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cup-5 MTNFDELFEQMQDSDREVGDRLRRHLQFFFMNPMEKWSVRHQFPWKLVLQVLKIVFVTAQLVLFAELRMSHVDFMEDTTVVMRHKFLKNWDDDRDALQYPPSEGRYSVYDGKGIFEHLAFIVDAYYSLQSESFASFSYDLTRGNSKRYARDTNASLTANVAFDKIPYMEACLDRIANVSVYNNTYEFDINDVYECTSLNFTKEEVDAIRENDTNIIDILARRKITFHAEDALRISKATIKMRLRTIHFSPVTSDQRPECYLIKLSVVFDNSHHTGQVHVYINNVISYVNECNGRVIKGDSIAWDTIIMGILDICVLLLSVASFVLCLRALIKAHLLKSRAEEFFEQALKMKLSVSDKLDFLNLWYVMIVVNDTCLVIGTIAKISIEFRDFDSDLFTLTGILLGTGALLVYVGVLRYFGFFSQYNVLILTMKKAIPSILRFLGCASVLYAGFLIAGWVIIGPYSMKFRSLTSSSEALFSLLNGDDMFATFYTINDSNTVIKFPKREQDLTSRLQYPPTVFASLQVFGCAYIYIFVSLFIYVVLSLFIAIIMDAYEVVKTCPLPEQLNSPSTRAAYSPSNLLNLGRLTGNICIFHQLY >PPA04726 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:71730:90243:-1 gene:PPA04726 transcript:PPA04726 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAREFTTMSTDPAREKMISGICDTATLALDLLRPRVGHMTPDQRRQLAGMVILPFCESTHEKLLDMIIKLTRELIVTHTDELTANPGLSIVVKLSQVIRSRFRSKTPAYIELSKMYLQQLVLYIFEHDVLRRTEFAAKLEDAYYWGLTNPKHVPSTLPARLMYIFAQHDWTLMRDTFWIKHALFLIIRCAFPADPTKTRHKLNLRETATFGATIDWLIKDGPADEERGDEPMETDEEKHRLSELLNERRNLLAESRSDNLQKEILNHLMGLIWAVQDPSMVRSLFTRLLTSIWTELHPQERHQLQSVLPNFLSSATHMAQANQPVSALSVIIEALSKCQPPFQFAPALVKYVSSRHRTFYLGMLMLEEDASHCESLTERMKEVAGPELPTRFAKQLDALESLNALYTDMNEYDQQCAVWQRRAFMPETVRAVEAMAKGEYVFALNLLEELQIEHLERLERLVSKHAMEGELGADIKEEEDGESHMAVAVAQYETDAWTRMHQECLMNLGHWADVEELANLPNQADAKVLMRAASHRQDPFLMMRQCKEQLSACLPPDFVLQYHQYSALIAVMDGMGDTVAEFKQVSERATEESFALGVSKWRLLPAAVGVSHLKLLQMAHLTQDLCDAANVTCALHPSNPPFSQPLIVELKGVIKTWRTWSHNNGAFFAQGGTYNCYRSPSLHDDLPVSGDFLNIRKRVFVKLSRVFDDWVAKGQHTQQNPQINMGHLPLPMHSLIHTQILAARSFRSARLYEQAEHALNLIHSESSMPVASVVAKIVEHVKLLREWANDEMTTNEEQKEILLRRALQMTEEVQMDDVCKEFFSRIYAQRGLILSDLRDSDNAYKTFEMAVGMQDPLVLCHAYAAWAKHLDKMFERLRVNEADREQAVSYGVQAILCYVEGAKIEHDSKARRYIARAIWLSRLVSETGWKEGATPIRDALELPVKLEKAARSCCTNYWIEWLPQLCLDVKKEIGNLGGFVATCARIHPIHTYYAMRQQMSKKSERMAIVEEAARNPQTNCSLLEELDKGEVSKDYFILPKIKDLCRLAAERRPSDILAMERLFTAIDEMKDVWAERQLRTVINLQKELFKCLHENINTLSTRPVVEITKRWRERLEMERRDGLCRNEYVREIDIKRSTDERLNEDEGENEEVQFIHSFSSSVIDFLARNNVSTIELASMTVEWRRALEKRIEELPKTIPLRLCSPFLASFCSQTATIDLPGDLFALKNLQYMSTVSRFGPQYQISLQGDQCVKGISIRSQSGKTSVYFIRKLRRECGSRQTSSRVPQLMRMMDHLVQSDRGTCQRFLKLIPPIVVHCGNSELVEYTNKKECGFFLDEVLLYHLSSVVKVRTDQLVVESEQERRRLMNEGMGEDMARMEVYRSLQSRIPSDLLLKMMQKRVPDATNYYLMRKSLVSEWALLAGLEFALNLSPTLPSSILIDFGTGRSSNPNSRIDLTKGASNEDRMVPFRVSDSLDRYMGFTKDGHFAWALQATLGMMNRRKPEMYLRPIVWDCIAEENDMCRLPIVNERSMEVVKNIMDRVRRVNRANSEEDSFGLLKKARDPSNLSRMPAYWHACTFIAMDQGPAASASLFYTVHAPSKVAGADTTPKHQNLPDAIRHEHFDVPATIEWIREGGYTRIALQFPDALLGEAVPVSRDIELALERVLVFILADTSYRSCCVDEVAARHASCDAIVHYGDACLSALTQKIPVRYVLGRFPLDVAALGAALGVVELTADPLVLLTDTQYAYATEAAAASTSTAIHRPVEACLLAATPNGDVAEGVDSSRVSLGRILPEGCAEKEAVQLIFVGSQDSALLPLWLLTHPQCARILHYDPAATTTPVECTVAE >PPA04728 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:130351:131430:-1 gene:PPA04728 transcript:PPA04728 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTRSSLKISSTKCEDCCEEDNKFTGVYLLAICTCHSYSNQRLTCGANEKGIDCSVNDEGNERKRGQSGWSSHFFDQLTVSLDIGERAPSVLAIFLKQSVDDRSGCFAAKGNGQFHEQMLL >PPA04711 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:8053:8268:-1 gene:PPA04711 transcript:PPA04711 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGYALRKVPSRALPNEIVIERAVGCICCLITGLAKKCRCCGAGPSKKPLFLGLP >PPA04729 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig129:132955:136603:1 gene:PPA04729 transcript:PPA04729 gene_biotype:protein_coding transcript_biotype:protein_coding MSDADKEMNGNDDGLGVLTVVTSSPPCQPATVAYPSPVCSSDFEALIRKLQDQNERDDTKLRELWASFGDFTALPQYATLMDSLMSCFIKLFTLTTAQFICENNTQQLRRLMLEIILRTSGVETMRLHTEHIQTLMMKIIQTDNEAMGILAIKIMIDHIKLYQVQLSDKPAIHVAKLVSFFSSSFREMEGHGRTGKIFEQRSKNSTQRCGNTGEDLAIEMALQHCFYQHQMLLEARGSELQLQLIPRSSHSVKVFGEAIHLITLVYQKYSTVIVTEFHDLVQSMLTFINARMPKDQGNCALIEALASSEIVSYRAATLKPSS >PPA04716 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:19200:21037:-1 gene:PPA04716 transcript:PPA04716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cog-1 MKKEEDFGPAISSSENGVDMAQAAQLQQAYLSLLLNNAASNQLRGMMGDGGNPLAAFGLLTDLQSLAGNQMRMNSSNSALRLSPNSMIQKKQSRPTFTGHQIFMLEKKFEQTKYLAGSDRAQLANELNMSESQVKVFRTLSFPNSLADIEIA >PPA04743 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:286658:288068:-1 gene:PPA04743 transcript:PPA04743 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISLLLAALLASRVFSRPHQEREHQNAAHRSFQKLKIIDDVKNTPLRINAVRANVTVSNRNADIVSFIRDDEAKSVRRLLTHVERVCPEDNDEYQCVPGAAAIAKPVRVPEISQFEVATPTHFDDAVIKSPAPVEYFAISILDNEDNILACAVVAAHIDFAKYLLFDD >PPA04745 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:308233:309275:1 gene:PPA04745 transcript:PPA04745 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSGVLAPLLSRQTEPHLELPPQSVMERATILASEVLIDDAAIDPAPFQLVNGTSLFKVHTLFSLLGLNHAYVTERGRLVGVVSLKELRETFANIYLRGALPIQRRSMARPAVSGSDDSGSGSNA >PPA04722 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:50391:50840:1 gene:PPA04722 transcript:PPA04722 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGTCRPALFVQCIHGHLAVRLPHIFANGADPWPGRPIEEQRGRAPVLPDLTPSSIVDLAENAVKPNLGDG >PPA04723 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:51066:57007:-1 gene:PPA04723 transcript:PPA04723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dph-2 MALDRKPSSWRGLRRRLFMVEKVRDANTIGLVVGTVAVAGHREAVNRVRALAKAAGKKVYVISVGKVNVAKLANFSADVDTFVLLSCPFGVLLDTSSFDKPVVSLFEAEIALNENKEWMAQAGWTSDYTQFVNDPTISLSTRTDDAPDVSLVSGRVRVRAVEEEEKTEGGVSTVALWEAGNYFEARSWRGLDDSVAENQECESSTAILEGRKGRAAGYENEQRKSVEDNGKRAAMMKKEEIIGETPENEFT >PPA04735 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:202420:205870:1 gene:PPA04735 transcript:PPA04735 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKALNMITDKIYTTFVSYCIVPLITKFLKPMVDGTSEQILVDLPSINKCFTFGGQKKLTANNNETESVTLRIDQPVHFCWSLLLDQKIGLGESYMHGDWCARPGIKAFLTALIRAKSKLAFDKREIRSQSLFYLFYFLFIYSPCHTSESITPNCSRLLTTFRSLVAFYNYCHHRVRDNTILRSRKNIEEHYDLGNDMFNLFLDDTMTYSCALFDEADLGKSILTPVDFPHLRAAQLRKIDALLETLNLGPNDHVLEIGCGWGAAAIRAVQRFGCRWTGLTISTEQLEMAQERVREAGLEASIDLKLLDYRLETARYSAVVSIEMIEAVGEKFLPEYFQVIYDRLEEGGKAAIQAIICPDAYYDRYCRSSDFIKKHIFPGGHMPSVGAIQKSLPRSSSDDSALFAFNIERSMAQHYSHTLDVWLAAWLDRERDITTLGYSSTFHRKWQFYFGLCSALFNYDHIDVIQFTLTK >PPA04712 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:8417:9976:1 gene:PPA04712 transcript:PPA04712 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRGNDTRSTYNGFTQREGELVWVGQGCIHWVQALGQLEIRQLEIRQLEIRQLEMMNYCNNVAWNLIPNTHAQLSLAAHQYEMNKLKGYQSVVPLQNLFWDIAKKQRVTDEKIHSLVKGMFIRSLANVRMIAQWAEDKKGWSITEREREEGEAAYYCVKCQVEIFNIFFCKEEKQTEEGIRLSTMVKEQLEEARNRAESRSD >PPA04737 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:209823:211134:-1 gene:PPA04737 transcript:PPA04737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pen-2 MIGVFLLPFVWLTSLVIFTPFALKGEPSVHRTTVRRCLAATAIGVLLWAVALICWESIFQHYRTLHAVWTENWSFLLPVGKF >PPA04744 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:299038:306585:1 gene:PPA04744 transcript:PPA04744 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPDVPTPDEAPSPIGATQPKVADEDQREGLLSAEEREENDDDHWDEDNSTEDDVATGIRRGRTETMSQFLNRQYQNIVHFFVEDWFLSAALGIITAILSIGVDVAIEYLLHLRLHMFDYLNEVSVYSGFCYWVLHIGVCVTFAALFCSLVSKQAVGSGIPEVKVIISGFVLKNYLSLKTLIAKVIGLVFTLGGGLPVGKEKNKNIQPKLCNCQGPFVHMGAIVASLLTKATTACRYNQFFSNEGREMEMLSSGCAVGIACTFSAPAGGVLYGIESTSKYFAVKNYWRSFFATTCSALVFRFAMAAIVPPHIGGTITAYYQTSFPNEVFLVEEIPLFVLLGVMCGLAGALFILVHRKIAMFMRTNRLFLAVFGKSPVAFTALVACFVAVVTYPEGIGKYLAGKLTFRETLADFLANCSFTLSNETGHGCKPELIAHWTAEGTIHPLLTLTCYLLVYFFLVAQCISLHVPAGIFVPSFVMGACGGRIMGEALAMWFPEGIRGAGNPQIYPGLYAVVGAAAYTGAVTHSLSIAVIVCETTGQLCALLPVLIALMIANAICSFLQPSIYESIILLKNLPFLTDLPPSRISVHTLKVEKVMVKELYFISRHTTYKELRDLVIATPQLRSYPFVTDKGESATMTVKNTKKKVDDSGIDVSARVGVSSLPRLPSLQQARTRPRPTHGQAEIENRVGAPVNHPLVQKVVRADLYPDKVTNMTRAIAHC >PPA04740 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:236443:246014:-1 gene:PPA04740 transcript:PPA04740 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPTNHFSSSFPIPVPLLLSILPSILSSRTETEILLDLIQQDPAVAFSLAVGPLSAAPASSIWVDLRAEKVSVSVTRVDSASGAPFDRLLHPSATTRGAKAKKALDTTHLATRLAKLSGVPSLPLRISPKPTALLPLLHLHSAVEGGRVAVQGRSTQEILKELRKQKPVADPANDEYGEPGEDSYLDEEEADRLEGLKQYDLDGELTMDEEIRVLDEAEAAQLAAGRAARAAHRLDAVRPARTRSLPATPIHRSMLDESMVSSTASVRRALGQATLEERQQEDEEMIEHTRGAPALDDDDHLVLAPLGRPEEAGESMVMSADESLLEASMASAVPPTPRPALATVSRGDGGEEEMDHSSADPTVLDDDAHPTPAPRDGLSEEKEEVEEESVMEVDERDASAIVLTPPPGYEPLPATPTQVGAKEGEEKDTKPVETHASMESPARQKVVVKRRLAPPAATEPASTGTTHPAGIPLSSLDPLEALGENGAAASPRLIHLAGMPLTPHLPHAALVEKGDALGPDAASPVRTLPAEVPLTPTDPHEALAENGDVPAPAAARAETVEAAEQLTHLLPSVPIDPSLIADGIEEMPLEHGDNGAYDGVETSQETFYSAASSPSHFSAHSMHGDDEEMVDLSHPDHSHLEEAGGGWDDWRPEGYASMEVDEKALIGGKRFRSRSVDEGGHECPALKRARKDLVELKTALEKTKKEMEEDDDNWKRVMNRLAAERDKHINALTQEVEDAKAETAREQEHIAEANEHFRKKMKDVVEERGEAIARREARIASLEADIAAERARADQAAADLAALQAAQAQQPAAAPVVVATAAAAAAPAPTPVLAANHPLLLLKARLLGYLGPSLLTVKPACISDDRLKKLWCSLAISHGKRLSAIRAAVETGAGRLTQSTILDPAHAQALAEFEAAATLALDEYDAVRDAIQSFGEGIRRTFNRPGHQGKVGWTQRREDRKAAIT >PPA04719 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig129:33299:33713:-1 gene:PPA04719 transcript:PPA04719 gene_biotype:protein_coding transcript_biotype:protein_coding MATEWEKYTTVRFNHSELISIVGCENGFSEQRARAWMADHWYIVVQYEHHHIYKPRSPTFS >PPA04742 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:275671:284983:1 gene:PPA04742 transcript:PPA04742 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFRKDYRDKIVAYTKYLHDNVTINHKGEIWDFRRLCEPYCELNTAFLAFLKLYDDSDPSSGTYPAIEIFGTKAFIGNNVYGVKLTNESIIESFSTAILPIYLVAPYKDTSAIYEWLIAAKDAFEEPQFALFRMGVTGDALVSAEVRRMGMETAPLIAGSVVAMIVFVVMSSFRSDPSRAKPWEAVAGCIVPLLALLSAMGILNFFDLKFQSIIVASFFLVLSVGVDDVFIILRAWDRTNGPVVERMGSTLEEAGPSITISALTNAAAFFVGMSSSTPAVQSFSLFSAVAIIICFVYELVFFSAILALSGRRESGGMQSLLCCFQADPQAHCRLVEALVRVQHTVISWWSKAVAWWSIRVVMVVSLLFYYYVSALGIMDIHTFITIEKMALPDSYLQHFQERYETALRNMQPISVFITKPGDLTDPENLAVIKSIVADFENALHSYGPNSTYLWLNAYEDFLSFYNEDSSFTYEEIPTFFKSSSYFYLSSFVKYNESACAQNIPECIPSFFFLTNFHDVIKYHELIPVVNDWRRIADKYKSHGVYAFSDHSPFVDQTMAIDSTVWSSVATALGCTALACFIFLPDLKCIICAVMSVFSITVGVIGLLSLWGLDLDPLSMAALLMAIGFSVDFTAHICYHFYKARHPKVSDRIEECLTSIGMPLVQVGLSTIVALAPLLFKQSYLAVVFLKTITVVVLLGMIHGLIVLPIVLTFVAGDSSSPFSKSSTPSTASTDSERSSQKSVERKESFYRTQALIARVRQEFEHAKVAPVSPEEAAGKSPAFKHKIALGRTPSTQ >PPA04741 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:272077:274266:1 gene:PPA04741 transcript:PPA04741 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKHRVEHGLARFFTALGRFRSGSSSCDADRIINSSLHARKYPWAVLSVTLATTAFFSLGLIWFEETNNVRTEYSPIDSPSRKEYEVTKEFLNHNGTLDPSYIMIEAKDGGSLLSLDKSLGTDARTILGIGKHKNYKPNQDNDNNNNNNYYICLAFVIK >PPA04747 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:330890:337729:-1 gene:PPA04747 transcript:PPA04747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-35 MKVFTVGLADEKGVKVDAPEKVHVEKAAPTAIPPSPILLAAAPPHQKHRRSLKVGLFTCFALLIFALIVAITISEIAYHRSRDEAFFRLKWAELKQRMLGYDLMRAQMQQQQQAQALESSRLQAAAAQPLLQQQGDDAERPPVIDERLAFSNRLRNGDRSETTTTTEAAPASAEQEDAPVDVRFAFLRAILDKLRERAAAAGMDGKMHVSLIEVRRPSPLEFNDENNNNVDEPRDVSPDSQAFQDGFGEIAAPHPLTGHFRLGGVRQNMDDQERMPASDFFGPRWEHPSQFLPEPVRPWNSFPRGGSDGDSAFFPRPWEQPSSEDEEDRQPHMRVTHHFDREGAQTNPSRVVTELFGAAGRRALDNMLLRGAPMMQLPQEQPQQTMGMDSPGSSFPRWEATINDLPRPWLQNQMMMQQQAPPFMQPQHDFPMQQFPMQQLQQLQQSQPMWNTMQQPGPMMNGPYMGPGNFWSIPPVQPSQQPSPPAFPQQAQNDDVMMGQRLGANLGAHFRDQEAERPRLPFGTQELPQNDVVEVRPVSGGANGEKEGLQQLPWYVPRGFENERPAWASDDKTPVNDAPMIFPHPTAAERPIDAPPTVESTNKPSITNFKATQTDSDFLHEGEVAPVASRFAAGSWQHTQPEAAADTAPEAVQPSVDTPRHRLPERDTFDDVPPRPTHDDPTGPVDDDMDPSRVLFQV >PPA04718 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:32527:33047:-1 gene:PPA04718 transcript:PPA04718 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRRKVSSPFREPFDLQRPLNIWNAILALFSTLGFLFMAPDFFGTLWSGGFRATYCNLNGIMEGTPGIWTFVFFLSKLAEFTDTFFIFENIV >PPA04733 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:192622:194982:-1 gene:PPA04733 transcript:PPA04733 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPARNVRRWGSNAAVADLGQCFIAVDTSAFAPDFSGRMQEFMDTMRGLPQSNPDEPVEVAGDVEKRHEELVHALGGIPYHPNQIEFANNLAKKLGVAPPQLKWCGASTTWKMYEQPREEAWSGASPAESIVPVFA >PPA04717 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:21877:22753:-1 gene:PPA04717 transcript:PPA04717 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVTLSSQPEPSLNGLIGGSHSPVKEVDTVQLTPSPEPSSPQPRKDSTMKTGSYSISNLLEKKEENSSPGSSSAASENDDAESGCDTDDNMK >PPA04721 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:41424:43347:1 gene:PPA04721 transcript:PPA04721 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSPEKLDRFIRKASGSANNSTLGTPTKSNERPNEQAKEAAVEAVQLDVSSGSKSRKELSSWMRNGLEMLAPFQKMVEEVMKENKENNQTTARVAVGATPPATTSHAASSSSTMTSSSRYSSTPSTSRLPAVPSTSKTPTTTTLLNFFTHSQKETIVPKMAASVTPGTSGIENAVPSITPRKLQLPTEQSTPAKMTQTNSGSELMLTPKNTGDTTPSKKEVDKNDIITLDDNDDDIQLVGEIPKCAPRNRKILTINMQMSPSSQWMPLTRKEGDEDDGE >PPA04725 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:68397:71325:1 gene:PPA04725 transcript:PPA04725 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFRILSNNAWDEMIVVTRQPVDSISYDQSRPREARAATRSYGAPSVAPFDFVESMRFSRQNEDGRMDDPFFSAPVAFSTDRPACSRCSQHARENLCEAGPRGPPGEPGEAGYDGLPGVDGQDGMTWIAAMAQALPCTRCPPGPPGEEGPPGFAGPLGPPGDEGMPGRRGSDGRPGLTGSAGPAGPPGHDGQPGSRGRNGLPSIRYKGLPGTPGPSGVQGASGPPGLPGMPGPRGFAGEAGGRGVEGRNGTGGADGAPGATGRAGAPGRDAIYCPCPGRGYVTEAAVVEAEPRDDYLGAVAQAAEEIENAEQEEEGYGTDGYGIEPTPLPELAVVDSDQTQVNASNRIVEEYRTRFRGLLRKWRTRRRLLKLRQAAVV >PPA04714 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:15467:16483:1 gene:PPA04714 transcript:PPA04714 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLSILLIVPLAAALPTLFEKKLQVVSVTGKLICNGKPYEHAKVKLYDENLALPDRFLAEGVSKADGSYTLTGNTTRYFTIDPKVNLYHNCNDEKVVSISWNKFIIYVFTPLKN >PPA04727 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:93882:130137:-1 gene:PPA04727 transcript:PPA04727 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPSVISNDFDLLMRKLQDQNERDDTKLKVLLELWESFDAFTALPQYSNQMDNLLRCFMKLFSETTAQFIAENNTQQLRKLMLEIILRTSGVDTMRNFSKQIQAHMMKIIQSDNELNGVLAIKILIDHLKLNRVQTGSSEQAQVTGLLSFVRKCFNDMEMHVRTGRMFEQRTQNTTRPSGIAGEEQSLQVCFQQHQLNLDTKGSALLFQLIPRASQSVKVFSEIIHLIMLIYQQYKMAINAEILDLTQSFITFINVRVPPEQAQSETRNQELLNEFHNAQVKALGFFSYLIRSTGTTRNPILEALVGSGERIISGTLLLLETCPILVVNQRKELLGATKIFFSFEPLRVKFLPYIPRLFSEKLMIGPAFTANDCLRKMMYEQLADLLHHLRAQLSYPVLCHATYVFSRCLHDPLLPPSMQAMSIRLIMNLCDSFVECEKKNNEPSRDLFFGVLESVISKLRVLVLYHLPLMFAAAGETTVFRGTEFAASEMHPEERQKLVDIIASERRHSNSAVPNPAAVDLDDPSLHCTVEGVPELEPRPSANVPDLSAPTKQPPEKGYSSVDSMLAATWTASGPPMPVQEARHMIRFLIQSCKYIFTALKDTKKTDRALTPAEERDTCARLLKYGLQALDVYMINPQSGMPMRQSHRDDKECIETFAMVFTLVNPDVFNEIFSNYTPFLIERIAVNPSLQIICNTFLVNPETCSRFGSILAQYLLKRMPEMAYSNPRADLYLKLFKLIFSAISCTQSSAQNTAGQNVEKMIKPWLHQIVRDSMYCSLRGREPTNYFLLLRALFRSIGGGSQDVASIYHEFLPLLPSLLSFLNRMQAGQHRMAMRELFVELCLTVPVRLSSLLPHLPLLMDPLVCSLSGAHNVTPSTSTRVSGLRTLELCVDNLQPEYLHEHIAPVRASLIQGLWRVVAKSSDQQSAMLALKILGKFGSTNRKMLSEPQPLASLRAADVDPLCLRVVFERPPQLQLPGAGLMQQQQQQRDTATMSSVEEKVIKMERKSRSPVTDDMHNSRIVVVMVEFDITWFRFTRSLYGDFHLGGVKASSMLPEGTPSRTAIQNPLIQIDDDRDASPSSHQQTTSTESMREGERMRQERTENGQRERMSVPSGPIVGELCLSDAVKVALDNLRWTSMPDERFNSAGPNQPPPPPPNQEGMRRHAAALCRMILMAAVEERGETIEGNMERVRRRLESMSPDSLRTASIYRSTNEQARRMYVNALMGIAIAVTGKDLRQMHIKFFSAIVRQLTTQALLEQMEPPSDPSSCMDGLIVSDVITMALADPVKHDFGHAAVVMLRIMCDTCYQAARDVPTFCALPLLHHIVTQLAELCYASSAVSKLGGTTALAYVVEEFPRMILRKHLEVILRAFVETIVGLAYEVSSGAVEAAVGAIDRLIVTIFVGRDPKGQNTNEKGASSSQAYSSIRMVLPANDEEDVIDEREKIRIRSLMARILVPLLDSADTYTHNIAVKFVSTLSSLSGVSVAELTTDGELSRMCARGLSDFPGLSLWKQLGVLNAVSFATRNGVPFEFPPGTDEQKVLEVYVEQLSRICRESSEKLLTRKTYMLVGASSPTIPHAVSAKFIREAAIRGLCLAYAVLPRRETIEGLQADRILTTVLDALRGREKKEEGLRDAAVEGILEAHKLRPLPDEVIRAELHKLIEAIEQIKELSNVNARLFCCLIKINGVLFDDRCHAALMSILRSWDERTRRSSADLKQRTTSDVEAIDSVLLMLPHIPKKPRVPSGNVKNMTEDEKVESIIKKEEEMEVDEEDDGKGKKTSTIRSLLEARPDPSDFVPVVASLASTMHAAFCISRSTFFSSGALVDFLSAYPIRTLRFFLSSDSLAVMARRSLFKRVFEAEGAAPLRKAAAESPYLMRFMLKLQYLSPSSTNDPWSDTEFNKPLSPYDDKTMDHELLTVWMIDVWSRNDVEAYCKRGSILVKTLQEIWRSDDFKTRYMVKTDEQSSSDYTPIRIQFMNTPKYEVPKLFASCFIRYLRENYDNLDLFTDLLFVFIGSFATDFSFVRNYLTTEVIPTYPLKWRRDLFSYVLSKFEEDKETAVGNLHIARVMQYALTPVLQYAFERFDVEEILGPRTDPSETEPKNLVIRLSDIITSMGKQLSDTMTLAYYHLSSLIVIHAPNYIHVNTRTSHSSRLRIFMLFAWPCLNSSSMDVTLKFMGHLFLCHIIEKFTINRKIVLQVYNSLTGASQQDSRDIVKKALDILTPQLPIRMEDGLVELVKAVRKALCEESHNVSNVFHCLQTVTRNFKTYFSIRHELLPLLLNGISRLLSYQAATGFSDGKRLAVEVCEMVMKWDIMRKQEIDKMNGVPIPMTVEKVLEGLKRLEQSKTTSSQSTTTAAAAESVVKTEKEGAGGETMNESDRPPQPSSMPSMEEQMRPMPKEQMDQVVNILFKIATSQQSLPGTPPAHVQAAAEMSRRSIALLRAALKPALWGELASIKIAWLEKQLTITNDQIAALQAVLGHQQREYHAISSHFYTASNTLEVLSQLITVMKSCGGEDSLLCFNRSLNSPAINYYAYHTASTVLKPKKLALATIHPLQNALKTCIQVQSALLTRAATALVSRLMDNSSTSSCGLDEYESLSQFVGKYINDTFTGYDKNPSASITTVYTAFNLLRAMCQSQPDYLDTVCMQNMMKTHEITVL >PPA04713 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:13012:14296:1 gene:PPA04713 transcript:PPA04713 gene_biotype:protein_coding transcript_biotype:protein_coding MKLALVLALVALEAAQASPFGTVQSASVKGKLTCNGQPAGEVKVKLYDVDTFDPDDLMAEGVSKADGTFTLAGSEKENTKIDPKVNIYHKCNHKGICLKKIAIVIPKDYVSEGEVAERTFDIGEINLAGRFSGESVDCIN >PPA04736 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:205802:209064:-1 gene:PPA04736 transcript:PPA04736 gene_biotype:protein_coding transcript_biotype:protein_coding MLSARSVVLRAISRRAVSSEERMAKTGDKVVCRREMHRYMVDCLTTAGAVKSHASQLADVLIEGDVRGHYSHGLNRLEMYVQNLQEGSCERSGEPTILNERAGTAWVDGRNLLGPVVGNFCIDLAVKKAKEAGVGWVSAKGSNHFGIAGWYSLRAMRQGCMGLAFTNTSPLMYPTRAAKPALGTNPISLAAAGQEGDAFVLDMATTTVAIGKRELDDVDVIVVEERGAQA >PPA04720 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:37042:40024:-1 gene:PPA04720 transcript:PPA04720 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDKKVQVLEVIKKELLPKGRKGRHATAAYQVEDGTIFYRVRYPPDKLLVVRGEEKIVAQLPDDCIYNCGAHGNALYFETANHKICKASFTPTDGITVTHLRNALENEEFLPGGLCTRNEDGTLYVYRMSDDPDVDAIYVDTSSDDLYGASLVGVERRKCYPINVVVFEIRDDSLNKPSARRLIDSVILLEVPPSFSPSFIRDSFVYLGNVEKLLTLNTDTMEFLPSVQIGDSATIINIVGVHKDEITVTVKEGEDSYLISSFFSAG >PPA04730 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:138040:172272:1 gene:PPA04730 transcript:PPA04730 gene_biotype:protein_coding transcript_biotype:protein_coding MYNEYHGAQVKVLGFFAYLIKTAGSARSPIVEDLISGSGDRVVAGTLLLLETCPVLVVNQRKDLLTNTKIIFGCDPLRLKFLPYIPRLFSEKLMIGPAFTTNDCLRKMMYEQLADLLHHLRSQLSYPVLCHATYVFSRCLHDPLLPTAMQAVSIRLVMNLCEAFVMCEMRNTEPPTPARDVLFTVLESAISKLRVIVLYHLPLFFAAAAAAGGESTSSFFRTTEFAASEMRPDDRAKLADMIALERRHSSSAPLNAAAVDLDDPALHCPVDGVPELEPCPSSGVPALPAPTVQAPPKGIRKMAQSIPSPRTFGPSGIRKIKYHLSDLVPGYSSVDSMLAATWTASGPPMPLHETRSMVRSLIQSCKVVFISLKDTKRTDHALTPAEERDLCARLFKYGVQALDVFIVPCAGANRPSYREESKESLDAFAAVMAILNPDVFCELFANYLPFFVDRIGANPNLLIVCNYFLVQPELGPRFGSILIQYLLQKLPDLAYSNTRSELYLKLFKQVFSAVSYIQTTHTHTASENVERMLTQWMPQLIRDSMKCAMRGREPMNYFLLLRALFRAIGGNNQNVESLGRLNPGCNPCSCRYRDFLPLLPPLLSFLNRMQASDHRMFMRELFVDLCLTLPVRLSTLLPYIPLLMEPLISSLSGHYNSNENTTSRGLRTLDLFLDKLQPDYMHDHIEPVRAKLVQAMWRAVSKAADQETAIFALKILGKFGATNRKMLSEPQPLASLCAADVDPLCLRVGFERTTNGLQQLHIKKGVAVTIKELRPVHIKFFSAFVRQLTTQALLEQMDPPVDRSSCMDGLIVVDGVTTALADPVKPDFGRSGVVMLRIICDTCNKAAGDPRTFAALPIVHYLVQQLAELCYASSCVSKLGGTTALTYVIEEFPRVLLRTHLETILRALVETIVGLAYEVSSGAVGAAASAINRLMRIMFPARQGQNTAEEAASASSHRKSRRAFDDEDVIDEDESIRIRSLMARVFVPLLDSADTYTHKTAVKLISSLSALSGASVPELTADGELSRIDFPGLSLWRQLGALNVVGFAMTNGVPFEFPPGTDEKSVLEIYITQLCRICWESSDELLTRKTYILVGTSSATIPHAATAAIIREESMRALCLAYVYLPGGGLDGLRAERILRTVTDALRGRDPKEEGLRAAAVEGILEAHKLRPLPDEVIRAQLHKLVEGIERIKELSNVNARVLCLLIRLNGALFDDRCHAALMSILRAWDERTRRSSVDLQERTTSDVEAIESVLLMLPHIPKRSYPATDDDAMMGEMQPEKASTIRSLLEARPDPSDFVPVVASLASTMHAAFCISRSTFFSSGALVEFLSAYPIRTLRFFLSSDSLAVMARRSLFKRVFEAEGAAPLRKAAAESPYLMRFMLKLQYLTTSSSNEPISEADFNPPLAPCDPKTMDHELLTMWIIDVWSRHDSEAYCSMGTELVNTMQEIWRSDDFKTRYMVKTEEQSSSDCTPIRIQFMNTPKYEVPKLFASCFIRYLRVNYANLELFTDLLFVFIGSFATDFSFVRNYMTAEVIPTYPLTWRRDLFSFVLALFENDKDATVDNLHVARVMQYALTPVLQYAFERFDVEEILGPPTIPPDADTKNMVARLSEIIESLCKKFSDTMTLAFYHLSSLVVTHAPHYIHTNSKTNQMPQLRTFMLFAWPCLNATAMDVTLKFMGHLFLARIIQKFTIHRGIVLKVYNSLTGASQQDSRDIVKKAMDILIPQLPARMEDGQGELLKSVRTALCDESHIVHNVFHCLQTVTRNYETHFPLKHELLPLMLNAVSRLLSYQAATASMDGKRVAVEVCEMVLKWDILRKKKLDEASRRVNPMTVEKILDGLKRHDHSKSTAAACLSTTVVASVENVEGAKEDASATAPPMVEQLKPIPKSQLDQVVNILFKIATSVTAPQPGRHAHRTLAGVSGDQQKKPSHSWNCCLLIAALLRAALKPVLWGDVASIKIAWLDKQLTITNEQVNALHSGGQYSAINNHFQTAISTLEVLSQIITVMPHALALATIHPLQQALTTCFQGQTGLCNNLTRNATALISRLMENSTGGRLDEYETLFHFVSKFINESNPSASITTVYTAFNLLRAMCQSQPDYLDSVLIKAAREFKAIDSDDTTKEKVVAALCETATLALDLLKPSLSHMTPEQRRQCSELVIHPFCDATHDKLLEMIIKLTTELIVTHTDLLVANPGLSIVVRLNPIIRMRFQTKASQYVELSKMYLQQLVLYIFEHDLLRKTEYAEKLEDAYYWGLTNPLLMMDDSLRMAFLNVFEKHVSPILPARLMYIFAEHDWTLMRDTFWIKHALFLILRCAFPADPAKTRHKLNLRETATFGATIDWLIKDGPADEERGDEPMEIDEEKHRLSELLGERRNLLTESRSDSLQRELLDHLMGLIWTVQDASMIRALFTRLFTTIWAELHPQERDQLQSILPVFLSSATHMSQATQPVSALSVIIEALSKCQPLIEFAPALVKYVSSRHRTFYLGMLMLEEEASHCESLTERMKEVAGPELPTRFAKQLGSNNIQDALESLNALYTDMNEYDQQSAVWQRRAFMPETVRAVEAMAKGEFAYAFGILEELQEEHGERVDKLLEGQRRLMGSSPTGQNAREVEHMGVAIAQYETDAWTRMHKE >PPA04710 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:5666:6998:1 gene:PPA04710 transcript:PPA04710 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSATRGASVEGSWGLGRAHEPSARDSQSRTELASSLAKGSSGTRRATTYNDLCSAAECNDSWSEKSCSSSAYSNCSTSKFFRPPSLSNGFSCLQHKTGRSTIPENSDIQCQGRCNAYEKGYRQRMKASNHRLDRILPRPVPHPDQQRSCPMGRREV >PPA04734 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:195860:197025:-1 gene:PPA04734 transcript:PPA04734 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKMVIDKRTVELASRKGEQVPATWGADSRGLVSTDPDTIVKEGALLPLGGDERSGSELTAGTRATVWRPSWRSSAVCWLARTGDRTCADGDRTRPWPIW >PPA04724 pep:known supercontig:P_pacificus-5.0:Ppa_Contig129:57810:60298:1 gene:PPA04724 transcript:PPA04724 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKLVISLALLLSGGAILAALASVGLIYSDINDFSDGVMGEMGEFKIYANDAWLTMVAIPSAGSAAPTPTLAADSLAKALFRGKRASGGSCSCGDQANHCPPGPPGPRGTPGEAGFDGEDGVDGNPGGVFVPEPTASGYEAAPPACIQCPAGPRGPAGPDGPAGAPGADGAPGPLGAPGRDGHRGAPGPDGDAGRDGLPGKDGRPGAPGRDGKRGSGAPGAPGPVGPIGARGAPGARGVPGAHGAPGEEGPEGAAGRDGASGEDGEQGGEGDAGLPGADGAYCPCPARTAAAAAYGEEAPLPPPRATAPVESIGYRRAAKRS >PPA04731 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig129:172457:181725:1 gene:PPA04731 transcript:PPA04731 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGRWTEVEQLANDPSQMDIAAMLRAASHRQDPLDVMRQCREQLSACLPPDVVLQYHQYSALIAVLEGLDDTSSAATLQMADRTTQEAFALGVSKWRLLPADVGASHLKLLQVRAVTRGRGQSQATAVGTDRAEAKDQKLKIEDHRSMIKDQHSFQLAHVAQDLCDAVTLAKELADPNSPPFGRKMMEEVKQTVMTWVTGSHNGSILSEGGPCHSYRSPSPHDDLPLAADLFNIKKRVFVKLSRAFDDWTAEGHHKKEYAQLSSRDLALPMHSLIQTQIQIARSFRSARLYEQAEHCLNLIHCEASMPVASVVAKVLEHAKLLRGWANDEMATNEEQKEILLRRALQMTEEVNMDDMSKEFFSMIYAQRGLVLSDLRDSDNAYKTFQVATGMHESSVGGFAAWAKHLDRMFHEMRTSETDRERAVAYGVQAILCYVEGALLGDETKARRYIARAIWLSRLVAESGWKEGSTPIVDALELPARLEKAARSCCTNYWIEWLPQLCLDVKKEIGVLGGFAATCARVHPIHSHFAIRQQMRKDDRMAIVQEVARDPRTNCGLIESMERKEASKAFVFPKITDLCRVAAESRPSDILAMERLFTAIDEMKDVWAERQLRTVINLQKELFKCLHDNRDADILSSQPMGEVAGRWRERLERERRDGWCRKDYVREIDIKRSADERLNEDEGEREEVQFINSFSSSVIDLLTRNDVSTIELASLTVDWRRALEKRIEELPKTIPLRLCSPFLASFCSQTATIDLPGDLFALKNIQYMSTISRFGPQYQISLQGDQCVKGISIRSHCGKTSVYFVRKLRRECGTRQTSSRVPQLIRMMDHLVQSDRGTCQRFLKLIPPIVVHCGDSELVEYTNKKECGFFLDEVLLNHLSSVVRVRTDQLVVESEQERRRLMNEGMGEDMARMEVYRSLQSRIPSDLLLKMMQKRVPDATNYYLMRKSLVTEWALLAGLEFALNLSPTLPSSILIDFGTGRSFYPNSRIDLAKGASIDDRMVPFRVSDSLDRYMGFTKDGHFAWALQATLGMMNRRKLEMYLRPIVWDCIAEENDLCRLPIVNERSMEVVKNIMDRVRRVNEVDSKEDSFDLLKKARDPSNLSRMPAYWHAWPMTMA >PPA04749 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1292:4:957:1 gene:PPA04749 transcript:PPA04749 gene_biotype:protein_coding transcript_biotype:protein_coding MRIREEREQKVFAPGVDEEEAADCKCECCPPRSTQEQTDYCCRSLFSLPLLKKGQLLRDGLIGCMKEFEGHSCITKHPHFTEYILTESATKAAAHMFSMMSGEEVPDTNKSRRYGAYRLFIASSIGHLGKGIRIRLPSCFVRAVRDRWPSPNYTGFASSELTDI >PPA04751 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1296:899:1122:-1 gene:PPA04751 transcript:PPA04751 gene_biotype:protein_coding transcript_biotype:protein_coding FVTFEQPKEKKEGDDKKEEKKEDEKKDEGGKKEGDEKDEVKIIQYIVPAFNSYPFWFRK >PPA04752 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1297:20:936:-1 gene:PPA04752 transcript:PPA04752 gene_biotype:protein_coding transcript_biotype:protein_coding MLAREVAHFEKEAHEDLLLFKEWSDSAWSTMGEEPAMKRQRRNAHSRRFVVDDEFTVDSEVELNVRDEDFQSSRATTLPPSLRPFSRDVSANTISVRQSDSPTCNCGARASSCPQGPPGPSGAPGVPG >PPA04815 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:296606:301366:1 gene:PPA04815 transcript:PPA04815 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGLNVYESQKTCQMNGGNLPAITSSEQTKIIQAYLSGKFLQNSQFWIGLFCKYSDGPGSIGERIWIDNTTYSSEWTNYLDKADDTETCNVAFVDTFVYNGALKGQWQKEHYNLTEGMNSFKGPRAPRAEHQHINDKENKYTRPTKKTTTEAATETMTDDGVTYSDEPENTDPNVQTSTSTSTTSIEPETTPSKGRNLTRLELILIIALAIILLAGVIIILLYFLCKRCRRERVEEIFHNVQKRFSRSNTNVYTVRTDEWEIRRQFVGIDYSRQLGRGAFGSVYLGRVFAGNIPEMAVKTSLQLNTLKQEDDFVAVKMLHETADYQAAAEFLDEINIMKKIGFHERLVNLLACVTETEPLLLVVEYCSNGDLLKFMRDRRMFMLKLKDTVGDDFADRHSIITQRQQLMFGIQIAYGMEYLSQRGFVHRDLAARNILVDATETCKIGDFGLCRQISGESEQYISRGGRLPWKWMAPEALERYYFSVESDVWSFGVLLFEIITLGGNPYPEWPAVELLYRLKTGERMPRPDGCSSGYYNIMSDCWRFVAMQRPSFEKIRRRLAQELEESSSDDYYLKLDAGAKYYQEALESPRFEGRFNSF >PPA04953 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:1020099:1020301:-1 gene:PPA04953 transcript:PPA04953 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGRDRQGYLGPVDPMKKRQQAREDLYDNRANEKNETKQTNSSTATGTIRN >PPA04914 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:847572:851201:-1 gene:PPA04914 transcript:PPA04914 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTVVTGPPVKRRKEFDENKELPFLDFPNEIIFRCYGYFDLESRMKMKSLGNKRLNNIEMETKYRYGSLDISYNNINHPRTINDIRLVCDDSVVDVPHSVALKEFELLAKNTHFNLVTYKGIDDATLDMVMQNKERVIVRRSNVTTDALWNKMKEGSIGVKYVKVETHDVESRFEFLGRLGSNYDRIDGFTPRENQKMKFENFKLVVTEGNLKVVASVLCWCGRFPGFVSFEIIDGEDKAEEDDEDILNANNVEKKYYIKLSRMLAY >PPA04975 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1148705:1151165:1 gene:PPA04975 transcript:PPA04975 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPYPEPSQYPEPPPTYDEAMGLLPAGGQPLTSGRSHQGSMDDILARSLANSRANSRQSSRQPSPTRHVACPYPLTDTVVIPRRDSPHIIRPYPMGGSTGSIAVLEQPRLEGNGVSWVPKPPEEATCPTGLEYLLDLEYVYVRRERKHFLGALRSFSVRNAYDKMIYLVVETAKVRCAAVDHYEKPVFTYVEDWGIAGENWLELHEGHQIAYFQESFGCITNTQKILDSQKSPVLYLDSECCGENNEAYTIYSYSHATIGSIHKGNLGEKIKIKFPLDLDVRMKAALVALGMRLEIGEERRRGDYS >PPA05004 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1371122:1376213:1 gene:PPA05004 transcript:PPA05004 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVTKSSSEGGDGRKRKDKSETEQEKKRKQEERDQKKQERDERKATEANDKKRKAIEREISASKKSKAEEFLYLRVGREAMGLIPDFEAALLVLMSDRKIGAQLEKKDELEARVEWWRKSVEAIELEPGAGVERVESMIGSDFGRRTLPALECIGQQGIVVRLTADVTKPVEVIVVDAVALAAIIKAADLEQHVIKLRSSLGVSNALLVLLANGKLNVKDSERDAQAAVLHERWKTQIREVHTANEAVLFLCQLHRATARLHMERAERIIVDVTKGQRDRVGLVADWWGKMLEMIPRGADTVERALAEVRTDIGKRIGNKRARKVIELLTTSDGMELFT >PPA04806 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:272480:273220:1 gene:PPA04806 transcript:PPA04806 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAPAATVVALAIIAMLAQLVREVPNTCCTIANTTGQNIQGAVPSLVHLIPGYANSCIQYAPVTFDVGERFDRFACVVSPVLWVFITKHTFKTSNFSVEY >PPA04846 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:453869:454286:1 gene:PPA04846 transcript:PPA04846 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMWNSTSILIYRSVTAYRVSKRDNARMSAFFAHRRIGEVEFQNYCHPDTSETRCVAYDKPFDWQGIALQAVIGHAEAWMMLLHKEMKVIAALYGCGMVHVAWTGNLERPPGWWLRGPSYHVIVGFP >PPA04987 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:1229886:1231074:-1 gene:PPA04987 transcript:PPA04987 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAHRSLPLRVTRDGLECPKCRTNFMHKEYTAKTLFEQQTFFDRLLDFFTAVKAIKNKDQRTTIEFRPNFKAVEALYHDLKRLNDSYMTKNSYNIVDLCFVFGPMMSKCFI >PPA04889 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:658367:662158:1 gene:PPA04889 transcript:PPA04889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mef-2 MDKVLLKYTEYNEPHESRTNTDIMEVLHRKESKSGGGGCDSDDDSPGPSSSPPVNHHSHHPPPSGGQGGGSHGGGGGGGASSLLNSHNQGHPVSSAPSSNSTIVTSSPSNLPAADPAPGGSGHYPNSQQQQSFRMNSLYQNLLMGSQQPGQYNSLVSATSDSQSSLNLLKNSSDFGQPPTSAPYMPYDATAGGQGRQRVDPPDIPIGYYQGGPITGDPSKPPVYIKSEPHSPPEKRPRLVSGGVPLSTLPSPHHVANGWPANHTVAVAPAQQAGSTSIHSSSNSPPHDVSPAHLPVDAAAATAAATAAAPQQQQLLQQSQQHAAAAPPPAALAAQHEEGHHHHHHQPPPSPDIIALSPPPPPPPFYPPNQANPAFPHRPHKTPRRHSAPVVHYQPPPPPQAPPPQAPPPFDPYNQYTQQSCPPTSYYQNPYNPPPTQYAQQL >PPA04952 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1014135:1018666:1 gene:PPA04952 transcript:PPA04952 gene_biotype:protein_coding transcript_biotype:protein_coding MSATFVGATREASASLASSESSSTCSSSSSSPTTSSRRSIVASPIFGRREPPAAAAAPPPLERPASTESEASSSKENQQQPAAAAAGSKPASAKSPTHSSSNGGIVRPNQTDVVNHHPIFVKDTSKYWYKPHISREQAISILRDKQPGTFVIRDSNSFPGAFGLALKVATPPPGVAPGDGTELVRHFLIEPSPKGVKLKGCNNEPVFGTLSALVYQHSMTPLALPCKLLLPEFDPATTAESLSATQALLEQGAACNVTYVGSVDCESLTGAECVRRSVQRILEDLQRGEAMPVSAHFKVSSQGVTLTDNTRKSFFRRHYPVNSVIFAGIDPAERRFDNSRVVGYSHGAVENARLFAFVARKFDRAENACHIFAEFESEQPASAVVNFINKVMLAQRRRD >PPA04879 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:605441:606400:1 gene:PPA04879 transcript:PPA04879 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHEPPYPNGLPTAPPGFLLTTMFPDEPIEIKYENLTHAGTPQPMLALTFTGITLLSVVANLVVLIYIIYSKLYHNFISSHFIAHLCLTNIICAVPSLVYDQQAPEPDIIDS >PPA04755 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:13448:14926:1 gene:PPA04755 transcript:PPA04755 gene_biotype:protein_coding transcript_biotype:protein_coding MSLESLPLELKDQVFFYVLPPDRLNLSRFSTALREAVSQTHLVPRLSKGYYDGQLSNIIFDRKEGPVSGRGGPHNGPQYNGNDIYVNLALGGGRISGELSECHSYTPTLVPFINEFSEKVFLIGPLSLIPRLSELKPLRRFNIEGIGERGRLDVEKLDDQKLLSIAAAGHARIDVKSESDITEQGLRTLLMNLASDPRNQLIRFLSMYKVDEFLRLLGFTVPADNGGRHQQMPQEQNEVD >PPA04949 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:997780:999146:1 gene:PPA04949 transcript:PPA04949 gene_biotype:protein_coding transcript_biotype:protein_coding METACKFTYESSAHAHILEDLAFWAQNSKYCGVFLVEHENGTRVDMTTKKTPHKTTDPSSIEVGEELRLRGDVLVKCFEDREGKRRLLFSCQFNTCVFPRPPPLLAFFRDQLDGVFNDTSIDSQAQIEFSFSEHPPSKENDPETSAAAPAVMRTSSYDDFNTLEGN >PPA04869 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:549549:551339:1 gene:PPA04869 transcript:PPA04869 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSSFLVLLLLMLIGSGEFSFTAPSKEYAGPLGRKWSKMNHTYTINNFHSDMSLFDTRNAIKEAFALFSAVTPLTFREVFSGGDLKFEFHRGGYGDDKSFTGCGLDHSSDEQSIMSKAYRSPVDANGAYVRPMLDKEVIRVLQRMYPKPGVTEPTSTSTTTKPTSTSTTTKPTSTSTTPKPTSTSTTPKPTSTSTVAPEMSPMYPESLVEIGRQLFV >PPA04830 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:355364:357709:-1 gene:PPA04830 transcript:PPA04830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ndx-1 MAETVHIDVLEETGQTCEVLELLSMQVQGSGWYRFAFVANVTGGDLKTKPDEESLCAQWFPVKEVKARKLEMRGSDFIKLVDEALSYRKQKTVFEIPPLMPHNINVRGLFVEFMIYKNNLDDSRVEVLVHRSIPDEDALAVHDQPFPTCEFGFEYFFAMVVAKCYKHLLEEGANVVFAPTSIARLKCLPAPMDSIEHGLAVRVACEHKKSSPKGAVRSPSRYHWIPIENPEIRTQFRLQKHQYRPSLHML >PPA04779 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:122119:126509:1 gene:PPA04779 transcript:PPA04779 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLIVFIIAFLSEASSWWLSDHEFFYDDTGEGASIYNSINDTITRIHYTNAGNDTGRSYSRDLGYIAEFTERSETDFEFEYATPVPSGAYDIRWIIAYHPKEDILLYEAQAPHPWNRHVYLAYADGNATERRDRCITCGLPNCTYQNAIVRIYAGDTNLASVPVILSVYGGPDFNMVEDEYEKRPYPIGYKYAIVRIDMRGSGGRGWNYRSPIYGGLLTVEVDDTLEAMQYGLEFDLRIKSLHFAHTLVALTGERSRIIWRQWDIVSSRMVLEKYPRLDKDRVCVYGRSFGGSMSLALTEKAPPSFFKCAISVAPVTNFLYYWAIYAETYMGDAPSSDYTDLTRDVSAFNQSRLLLN >PPA04852 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:477959:479892:-1 gene:PPA04852 transcript:PPA04852 gene_biotype:protein_coding transcript_biotype:protein_coding MGPANYVTASKRSLFTVEEDTDKDYTVFNNIVNSFGLKGKNPYLVLDMVCNAATRKLQWVDGSTITYTPKGSSDISFDCVNVHNTTVSMTDANYWTNIQTDSSRMDTILCVTIDQEEQCGGYTLMDDAKIPKPCYKVYSEPLPWNAAQKQCDADYGSLITINNADENKFFWRTAVTNNFIEGMHIGAHQSSADPSVWTWVDGEVPFGGKTYDNFISLTVGRSAFPIPGAGACASMLTESAPALWINEDCANNKQPFICRREDVLLWVYFGVSDLQI >PPA04805 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:267578:268311:1 gene:PPA04805 transcript:PPA04805 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLSAPIADSVALAAIGMLVQLVIEVKKGSFWSSASTRRYQRLAVRSLILQGAVPSLLYVVPSYANTCLQFAPTVFDCATVLSPILWITITKHTFASSLTILYCSPSYRRRIVAIITRRSDLTPQSFTESTRDRE >PPA04932 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:936902:941911:1 gene:PPA04932 transcript:PPA04932 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAESGKVNGHHHHLPLARDEIDDVSHRVFTRRWAMLLMFILLSGSNGMQWTQYTIIVDHVVAFYTDVTTSHVDWTSMIYMLTYILFFVPASWFLDRFGLRWSLLLGAGGNCIGAWIKIMSTSPDAFWITFIGQTIVGGSQMFTLGIPPKLAAVWFGSDEVSTACAAGVFGNQLGIAVGFLLPTLLVYGGTVAEVATQLNHLFLVSAVLNSLIFVLIICFFADKPPVPPSAAQAAASEELQQSHGATLKGLMTDRNFLLLFITYGLNTGVFYAVSTLLAQITKPFFPDATSETRDQRSKVISITRSQIGTLGLLIVVAGMGGSVVGGFVLDRFKKFKLTTLGVYLFSCFGMTCLTFTLSFKELWIVYANGILMGPGWFPSENNPTRPAPAGPKRPHAFLLLMQKEIRESLESVVERTYHGIEL >PPA04855 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:489418:489964:-1 gene:PPA04855 transcript:PPA04855 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDFYPPGFPNSNIPCAYMLFVAANKRVELEVITLVADINKDFLEILEGTSGAHLLANLTGTILTPAKFMTVTSNVLRVNWAPGGSGSGRGFRIRYNQVDA >PPA04823 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:328114:330122:1 gene:PPA04823 transcript:PPA04823 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYRNPNTNRSISPSQFTTSDVKSATEHVQKRELCVGVDYVLQNVTDENTCPCRAQSPVKRQKVSECEKPSLSPKIGNGVASLARKLANPVTKTQVETRKTKLRQSTSSDERIDSDEEENVIKRRRFNALADILTLKKLEHLPIAKRIISIHKKDKRRLSGVKKIEKRINKQDTFTWNIRREVISLPMRTLREQTFEKAKNFRDIAAKCKRQAFRRIARKEEELEGKGTREEDDEYSEAVLKEAAAIMHKEVPDLIAAECIINTHKKHKDRLNKTDNNGYARKPYPTMFVRCRHSEPVNLLRLRILNEAEDLKDYTDLRRAAVKLNMKNKLARVDCEIL >PPA04971 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1123572:1125331:-1 gene:PPA04971 transcript:PPA04971 gene_biotype:protein_coding transcript_biotype:protein_coding MIDHNNVAATVPITIWSSLYAQAAAAAAPAAAAAAADHSSATLAPSPLSSSSRPSTSMAGHASLLSPHSVASPLACSSSDSKISPTGSAISVPDDSDLDPNDPNRQQFWCPHCKKDFRRPDILSRHMRRHTGEKPFQCDRCSRFFSRSDHLRTHRRTHTDEKPYQCSLCTYAARRRDVLTRHMATRHQARAGRSIFQKGEVRRTLSDSDKATHDHAYTEVLGPIA >PPA04941 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:971100:972452:-1 gene:PPA04941 transcript:PPA04941 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAFGELNSAILAAAAPLDFDGLLSKVGDLGPYQILMFFVMCLPASLPSAFSAFNTPFVAGGTGLHRKLLYSAVPGIAKATPQKSVPFLKGFEN >PPA04799 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:233546:235965:1 gene:PPA04799 transcript:PPA04799 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRNSMMGPIREKRGPDWEQTTPKAPPPKPPRGVYRTTPTPGQAMRFDLYGVLASVSVFSSCFELSIALERIISSIQPQRYQTSSLATRTLVAVTFAIIKEAYQMSKAMQPVLVTVLIFKFSIIISTDIVFYISMFGNYAVGYNEAVYLLVTAIYGNCVTVMLMRTHPALRRQTMQIILGKNFIHSAGNRD >PPA04978 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1162695:1165326:-1 gene:PPA04978 transcript:PPA04978 gene_biotype:protein_coding transcript_biotype:protein_coding MHKKSRRLPSTASANAWTGDSLDLREVNDQTLEQLCVFNCPDKPGTIMDGRRAMASLPLNLTIRDSDEQPNTTGVFSSDYIPRGAKFGPVIGFMMPVDANMSMGHPTEGSAAHNAHNAGSGLPSATVWKVFSPKGSHLIRMIDCSDDSKSNWMKYVKRATASHNQNLVACQIDTEIYFYSIKPIAPNTELLFWYSRDYAMRLRVPANCEALRASSITLPHTWKVEDDDRQYTRSPQEAIDFSLKKDTSLVSKVPLDDHRPLHDDNEEHELAELTPMAHSHSSDDSSR >PPA04939 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:963821:965436:1 gene:PPA04939 transcript:PPA04939 gene_biotype:protein_coding transcript_biotype:protein_coding MSEASRVLASTLVEFATAAFEGFEELSSEDKWQLIKHFHKPFHIYDSCYRSNIAFPGKFTRHFNSYTSFLDVEHLEQFVRSSPENAHFDDAVRMSRFHLETNVRPCRIAMERFDPSEQEFLAMLGIQFWTIESLSVSDEIFELAARYRSAVLRDLRKFYAQTGITEYGARIGELFCLNNLLSAKVESNKRNFEVFRLLDIFNDDSFVYSMQK >PPA04960 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1072810:1073752:-1 gene:PPA04960 transcript:PPA04960 gene_biotype:protein_coding transcript_biotype:protein_coding MQPVNHNSDKFQPFRSTPLLLSCDHSFGECVGAVRSRLNNEYLICPVCDQESTSVVSNHVFQSAADAQCKLSYGSAHVDGELKQIRELFKRNDDLAQDIAQMNERINPIKRLFAYQNKPILIPLLNIPLLVATIATFLYGPSAWSSAYAQVARAYAWAADAAGEADGLIASPDVATEINGQ >PPA04783 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:135821:137072:1 gene:PPA04783 transcript:PPA04783 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSEKKEKSEMDEEPSMLVSIGVLAIFATCLPTITAYLCTSSAYITHADTIDKQTIPDCTEKVKLVPVSYSIASWSPQKQFWMLALMIHFPARLLILTVLGVTVLSLFHDAADDPTQVHLHTAIHFESLAMWGVASIAGMAIVIYMDRTSTHKDSFIVPIKKRSRNGNKVAPEQLEPIPCNDVESFMTKL >PPA04899 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:729697:730535:1 gene:PPA04899 transcript:PPA04899 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTLESCPACHSAKRLLAINYADVASHFLELAGEEEWQRQLHIDLQNLTGAGRFPYVFVCGHFIGGSMDLHDLHRRGQLRHMLQQCSRERPQSAAGNWQPYTVSAAAAAAASKKAAQR >PPA04809 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:279006:280807:-1 gene:PPA04809 transcript:PPA04809 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAEAIAKCAEIHGKPVIIHNEEHQDYYADRMPKYQDYNYLILGLVCNYTSKRWIWADGSLIDYRKPAEGHNQALDKECQQGETWMLTDDGYWGYGDHWMGTSTIYIYCTTQLEQPKGDGCESFDDDVEDGECYQVTEKAQTWKEAQTTCRNLGANVASIHNLQENSFIRRLAVSKGAVNGMYIGGTPAGKGNQFGWIDGTDWDYENWYPGYPVNGGGDCLAMDTLSSAGQWMNQDCSSSLAVACARDANPRPTCHPGPWKEGEVIYSPGYPFDASLGLTGEVSNKIFTTDKSNLMRVSWHPNGGVNVRGMMMTFRGV >PPA04963 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:1093055:1093716:1 gene:PPA04963 transcript:PPA04963 gene_biotype:protein_coding transcript_biotype:protein_coding MTQCSEMIVVKKEIEKQLTREESNNETHIEKNWSEEKWIVSCICGETENDGEPMVGCDRWEHIECVFPKTKEVPDGDYFCHKCSPRTSELTREEARAYQDGVKKAKKQERLMKEIEAVRVYAKKRAMEDHQNSVYFKKFKQP >PPA04770 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:78413:84965:-1 gene:PPA04770 transcript:PPA04770 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRNKWNLMAKKVGNAQVNIINTNLGYATAPLAVWIVRKDATNFGYSRVFEADNLSTAPSSLQVSTELISTLTGFDAVNTSEDECIQVITEMDFDTFLDVQTFVQSPLITLFFNDEKRPTTSLSTETGYGNDLDLSGVSFVASPGFIGCKGNQTFRSSLYDDYTSVNYSSYDRRILDISWTLQDSLSSSNNSFLLRLTPSKETMITPISTTATKPVQHSTTPVSVPSTTTKPAQGSALHSCPSPLILYRDEQCRGYYASDMEYMDDSAGQVISCCIKINAHPVSIHTDEQQDYWSAQKHERYFILGLTCNSRSKHWEWADGSVLDYKPPTFDKDCTHVVQETDFFCIAQLSQPTPTTDCGSFIDGSDDSQCYEITAEAETWDEARTICHSFGAELASIHSQQQNSYIRRLAVSRGAINGVLLGGTVSGKGKTFGWVDGSEWDYANFHPGFPIDGLGDCLSMDTITTAGQWMNTDCNFKMPVVCSRPFYDTPELAGCSAGSWNEGQIIYSPGFPSDASVPCEYFLTVSAGKRVQLEQLSYWVGKKTGYKFVLDCTHNWEYTDYFCIKQLVQPTQSNDCGNFDEDSNDGTCYEIAKIAETWNDAQSICHSFGAEVASVHSEQENSYIRRLAVSQGSTNGVLLGGKVAGKGNDFGWIDNSDWDYSNFYPSFPIDGLGECLTMDTLTTAGQWMNTDCASKLPVVCKRPFYNSPELAGCTGGPWKEGQIIYSPGFPDDASVPCDYFLTVPTGKLVKLEILLLEANGCCDHLLLTDGYTGGAIIANLTGDGLGGKYYYTTVSNTMRVSWQPNGGVGVRGLMVYVVLDKMMRDPPTNARYF >PPA04834 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:377212:379949:1 gene:PPA04834 transcript:PPA04834 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSKFVLRWEINNATARFATGWAQSEVFDKGGFSWWITAETPEYTWSQHLLTLACAASHNQPWKCYAVVDIHQILSNGEISGSWNQRKFRASDCCHKRKFGTNQPFVRDSPDNTVIFEVHINIISSEGGKLAKDRTEFAAPNRRSDVILKIGDEKLHVNKELLAVHSPVFEAMFFGDFAEKGKQEVEIKDVVFERIVEEARIHLTQSSEINVIKKLLVADQYNFADLKDQCLLSFDDPSELHWELHEFREYAKFSADMKRAICDRLLAKLNNTNER >PPA04820 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:315169:317578:-1 gene:PPA04820 transcript:PPA04820 gene_biotype:protein_coding transcript_biotype:protein_coding MWSDEHVKEVLSVYYPTLDYAHGPVTVRKSTIVHQSYTNTQLHPALSCRAAVMARTSKQIVVGVLTGIRADNCSDVEQSLLSLDQQRHNLSCSTWNKQLTCFSCEAFVYSTGLTTDSCAYYRKGSIEESLVLWIRLAARFARAIGATCSV >PPA04962 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:1089271:1092523:1 gene:PPA04962 transcript:PPA04962 gene_biotype:protein_coding transcript_biotype:protein_coding MILSELAADRATRFNQYKNELASSMKKCKGNFDQYNKLMFSMTELLSAGIDSVQKRRTFNFTSSLALEASYGILNSITNPPADKQYELLLIKFASVFVPFMEELDGYYARPDELDFEKYKHKLQAKSRDEHLMKVLSAQEGSRKRKKAATDQLDDVTAAKFDQPSAGFTISITTGETVIDLPGTSGQQLWHAPGEIKDENQIKEEEPDYDETGVNGAHEIKDESMTEVKEEPIDDLREQATRGEQGVIDLQAAIDDVTRMRNHQTCTHCGLKVQQGSMEEHVKLNHKDHWLEYVHKCPERECDFRSDQPTAIALHRQEIHNERYRTWQKQHSGKRFKFETLPATNCPFCTVELLNIAQFIRHMEQAHPRLCTYEVPILKCRKKGCCFTTSRSYRMFEHWHDQYPSCSIGMEFLYTSATQVIHADRARTIQEKSASKYHEQNRKRK >PPA04993 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1283280:1298876:-1 gene:PPA04993 transcript:PPA04993 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase [Source:UniProtKB/TrEMBL;Acc:H3E5L9] MTRAIPRSRLSVPLSASSPRPAPVAAAAAAKTVPVKRPATMSVTPPPVAEKRQKHKEESFDDDFDTGFDVDMDDVGMTNNDERMEESAAPAPVKVESKPVVKMEQPRVEQETMINDWSVEQKDPTPSVVSIAQGSESFYQERDGRQCLRVFWLDAYEDDRKHPGVVYLFGRVFTSAGRSSSICIVVKNIRRQLFFLPRVQEDGTPYPMLDVYNEVKDLLMNTHGIQEVKCKASKRRFINDGTVPEPAEDVEVIEVQYFEGKNSRIAPAYEGKSFTRVYNATTTSMERLLVECRMKGPGWINVANCAPATSKVSWCTYEFVLDMADDMSGVERPKNIVFDTATMDAAPPLRLMCLNVVTALNAKKEPEILALSYLVNTSASIEGPSTDMKYYRNKAFICEPPTGVALPFDLQRKLDERGLREDRVKIVKTEKALLAQFLNLLSSEEKELEPDAYVGHDLQATIALLMAKCEKHKIGAWSRLSRLRRSTAAKQLGHSKAAIWEATAGRLMFDSRAAAMELFPSRQYDLTELCRTLLNKDRTEVAPHEVIAKYCGSSAGIINLLSESWQECYRPLCILEQIQAIPLFRGITNICGGVMSRTLLGGRAERNELLLLHAFHRSQLIAPDKYQHVFADKAKAGKGKVKKETQSSSQTEDDVEEKGGEEKAGEPAKTGGAQYSGGLVLEPKKGLYDTLVLLLDFNSLYPSIIQQFNICFTTIRHDKNGDELPEEPKGVSAKGILPTEIENLVSQRFSVKALMKNEKNPEKYKQYDIRQKALKLTANSMYGCLGFAQSRFYAKPLAALVTAKGREVIYGDTDSIMVNTGDLDQERAKKIAAEITKMVNQRYRQIELEHEGTFRRMLLLKKKKYAALIMPPRPGMAPKKELKGLDIVRRDWSMLAKKIGSDVVDVMLDPSLSREELVEQIDKLLTTLREDLDAGIVSVDMFSIFKFLTRQSRRGVSPWVDIAVLTVDCDHT >PPA04766 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:68386:68724:1 gene:PPA04766 transcript:PPA04766 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQASRLIGKLLLTSTGKSFSYRVTLSNTSLAPNNYDDIDVEKKQGNRHRISFTKNR >PPA04905 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:771700:788658:-1 gene:PPA04905 transcript:PPA04905 gene_biotype:protein_coding transcript_biotype:protein_coding MISEAYHKVLKHTWMLKGGDARLDELVHTVLWLPGDIKEELENEANRLQRSSTMGKFRNKKNFKNRSNSNGQWTQDRVVGFTKTNDKLFDFYKKQGLIPKGEWDRFVETLKKDLPVSFRIQGCHKDREILQQELNERFIAPIAASGDAGAFEPKPLPWYDGAYQTPMSRSEVRSHPTLAALHNFLVTEAELGNVSRQEAVSMIPPLLLKPEAHHHVLDVCAAPGSKTMQLIEMQHETDPNPSGFIIANDVDKKRSYLLCHQVLKRMKSANCVVICEDGALMPNMKAADDSVLKFDRVLCDVICSGDGTLRKNPDIWSKWTPQEGLGLHKLQLSIARRSVQQLKMGGLLVYSTCSMNPMEDEAVLAQLLREAKGALRLVDAHPRLPGLKASRGVSQWKVFDRDMNEYATAADIPAEGPLTRALTASMWPPSEEEAKEMNLHHVMRLLPHQQDTGGFFVALIERVAEDADDEERRAGARAPAHKRQNMFKDELFTFLQKDDERWDDLKSYYGIVDSFPYENLFNRLIEGDNARQLFYVNEGVKQFILKNMKSRLCANMPSTHMPRFSSKVEDVLKNMKSLSIQNAGMKMFGRNKNKVEKVRYRISQEGVDYLHRFMSNQKMRIPKEDMLILLRAAGKQDEKGVKADMELLKKGVKALVDMELLQSKAELRRLKSGSVVLYVDVTRPICAWIGQRTAAPYIGKEERIHLVRDAFPTLLQEIKRKKKRIQLIRLPEMRSPLLSVLPSPSQFLDLSLTFDGVDLDELTQEQMLELSKEDLVKRYARLDIATRRSLRANEKLAEVEMDINYHYGTLDVTVEESAVLLSCNHAVMDIPHPEVEKEFQLLGKNTHFNKVNYKSRSDDREAIKAIGFLKAKKISIDDPSLDNSELQSAVQNKEEVSECNSNVTDYNWIYETMRDRQLDVTFIRIESFNVDDRYNFMMGLGHFDKDENKFYATNPKQEVVDDDDLVIITEGRLQIVCCGYKENEDGYVSSFHTLSRRSLTVERERFGTGGTVQRPWNLWIRLVRDCR >PPA04921 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:886886:887933:-1 gene:PPA04921 transcript:PPA04921 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPMPIRLNSTESALKKTRSEGEKVSSTSTPSISRRRKSSKEGKRGEWMSKEAAQREIRARRSVDSLASTVTDQRSANSRPETLGDKAPNRLSISSRPETLLDTIPDRMPSNPRNGTLGDTVPSDRRSAISRSETIPDTVPENTSTVGGRSSIDLKKKKSSTPLKALENMKRQSKKDKEKPSNLPDPLSELMLEREKSIRREKEVKKRRGVHKESKHATTAAKGYGEEEW >PPA04810 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:282060:283113:-1 gene:PPA04810 transcript:PPA04810 gene_biotype:protein_coding transcript_biotype:protein_coding MSENKISLNSVQIALAVERIIATANPEVYHRTKLAMSIDFTFLIGRLAYQWNEFEIAGTFLLFCDIMTIVTNALSFVIVISSIICLGMNDPFFNGYNEAFYTTVTAIYASIVAIMLIKKHPQLLKQARLILGIRISVAPLPPRNVCYTQDHFKSLESYWN >PPA04754 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:6446:11348:-1 gene:PPA04754 transcript:PPA04754 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLHCNAEAVDQLRFRRILAAKSEHLAKTPERFFPSIDDSEEKSPSADDFDVIDHKNKQDCRKWNPLLRDFELSVLDAREPLELSLEGPFNFELILRRIAPRSSPFAPDLVVVEDDVPVEVQPHHFLYEGHVEGDPQSRVFGSRIDVFDGHIYLSDGNSYTVQKVTKLRTKGLPGGHSIITRDKRSPAEIKKLEKEEEMREEERQKRSEDEEENEEREKKEREVEDKKRKEDQEKKKMKVEEQRENGKNIKYEDYVKQSEQADKLELRKEGWPAKELLLLDGYYKHKKYNNQVENKRAKICNMHLKIDHKLYKQILTNEGNNDPKKAREEIIAMLYTLMKSVNDIFASTRFLPELEGDSLELQFNYLARSQKGVCCSFKPFDRFGRWTNRSYNTGVVMLLLKNGNRLSARSAQRVLAHELGHSLGARHDDETLPENGYLMNPATRSTVLVEYPNMSQFSSYSIYDMSEVLDALESYEPFEPEGKRDYGNKRNCLRCKSSFDNSSAENQSCRLVGGSALSALLLGDSDGTLTEAVPGMLLV >PPA04842 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:435991:438708:-1 gene:PPA04842 transcript:PPA04842 gene_biotype:protein_coding transcript_biotype:protein_coding MFNAVASTVETPEGAAPAKLLLGLVCNQKSRRLEWADDSKVDYRKNGLSVDFDCTKDETVVSEPSKNDWLVVSTTRTDYTYTIMCTTQPPEIPDDPCGDYDRMPDPTGYESVFTPCYKLHLESKSWNAAQSVCNDEKAALARIETDEENSYLWRTAVQSSAVNGVHIGLYQDAAQNWIWVDDDSDAYYDPYVVSGFPIRMSPPGKCGALQTENSKKQWINIDCDNEPLPFFCRRNETAESNVCNTDDLEQEKEIIAPGFPHPNISCEYSLSVSIGQIVELQIDFFEANECCDSLEILEGPIGPHPIATLTGTDLETTVYHTTSSNVMRVNWKPNGAINVRGFKRNPVKNMRYRGIGGTSVASLQPVEATTTEEVTESTSASEANQHNALNKHDRRSEHTSTQQFTTVTTSSQSTAVTEEAV >PPA04959 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1068225:1068745:1 gene:PPA04959 transcript:PPA04959 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVSAKLFNEMWKSSQNLDACELIFENATYCSVGQLKPHGAEEGSTVAMEWQQFSPSYIDEMKAFLELVPEEFLYTY >PPA04890 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:664017:666829:-1 gene:PPA04890 transcript:PPA04890 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLKDLGPAVTDVELLKDGNYRVISEDDAFDISDDDDCGSSKPKAAQQPKQEPASGSRPSSLVGAAPATGAKKMDVDDGIITISDDEDVPNWAFLDVPSPIVISLLAVSPPHLFEGDSEPDVIVEDQDLRNAIQASMPGTASEQLQRTPVKASPPSDDSIIILDDTPPRPEAESRLASGSSASSGVGGGASSSSWRPVASSSAAVCSSSNAAPAAAAATTTTTYAQQQQQPRNLADALSQATGIRPSGVIPSQLQLNGGGGAAPLSAAVMAANQPIYNWGAPQSAPPMMQQQQPWQYAMQQQMQQNGYANYGYGAPGMAPFDPRQMWAPPNGPPNFAPAPNSTVIRRHDDPR >PPA04968 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1105514:1114047:1 gene:PPA04968 transcript:PPA04968 gene_biotype:protein_coding transcript_biotype:protein_coding MTETEKPFTPEITSPITEWIELLQHSKTSLKALGCMVYIGNALGSENCPARLKISEIDCDVSTNDRAIGGIDGEIKLDSKNCAKYAHHLLAVINKFGRSKYKSQERCMLDFDVVGTFCSFFKKTKPGWSYTELSKETNNTVEAFYQFFVEHNNDAFHAIGQVVSMVIIIVNYAIVSIDFEYNVASHSPLFEATRSQDLDAVIMLISYGADVNCKDENGFPPLHHAIELNFPFIAKTLIVFGADHKLVKIKGKSVQQYCIMDEIEKTIPDFFEKFKFVAGTSTGSILALAMCQGKSIAKCRNIYFKFKDFVFTKGKGINYDEKKTYVEDGNEMTMYQLGYAGSQDTLNDAPDCFATCTDDEGKEQDDIEEPTICVRIQEMCNEVSVMTGIGRAKPREQMKMNRDPQIARVHAVARCTSAAPSYFHSAEDGKYVDGGVLNNNPSMVLLNEFLAWNNAQKRKKEALRKDDGDELKLGAVVSIGTGKEKPKANTNDQCPCRPSGRGGCYDICHLAEMVCKLKGEDGAFFRFQPIFKEEILLDETDNQTLIEMMWDTKNAHDVDLYAHQMVRFINSHSKQNNPGLLSSLHLVRIGTRYWRSRNEKPVWSDIRRRDKTEQSIEDLSAFLANGDDDECTKENVQMLLNAIISIGHGHHIDRASPLHEAVKAQELDAVIMLLSHEFDYFVITKTLIVFCSDLTVKRADEEEAEIILNELDSKIPGIFNHIKWVAGTSTGSILALALAHGKTIDQCRNIYFKFKDAVFTPSKVDYLVYDTDILECFLKDIFTKPDGTQTTMHELGKMSGKKLISTTVDASRDKLKTELFKSYKFSSDLASTCFVKHVTHKTCTIERELLRTVAKRIRGLLIPRTVCEDSRDPCDSSKLKLQANVRVSDVARCTSAAPTFFKKCGIYIDGGITNNNPTVVLIREWERYNIILKNFIEEHGENHEDEKPMDLGAVISIGTGKKKNAKIEDVNGAYFRFTPTFEEEIAMNESNNETLIEMMWKTKMYMRDHDHYIGILRKIILYADYPSSSE >PPA04917 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:854663:857073:-1 gene:PPA04917 transcript:PPA04917 gene_biotype:protein_coding transcript_biotype:protein_coding MAILQRHPSSYREVHGVDARQLVPLTVKRLGEEKGRSKLEDNIIDLTDPHYRRPAPPAPPPSRPSPIYDEGTNGRRPDWRQPGAQPVPRGPYEARREEEERRKEQRREEERRRLENVHRVQFTARSPAPLPPTTTTTLPPPPPSRPPTPFSISRINTFVERPSPDPVPYPRRWDDRPYAPPTTSTSTTTTTTTTTTTAAPPRRYSWSVSRYVVSTTTVPPTTTESTTVTTTTEPTTTPTTTTTTRTSPTTPTTIRTTTTKVPTTTVKSTVAPTTTTTTTVAYDDMDEGEEEEVEESKEYDEVALVAVAGKKTNTVPQVAEREPDSGTINAIWTTVVVTTILIGALWYSSFVSRFRQIVEMYY >PPA04956 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1060685:1064073:1 gene:PPA04956 transcript:PPA04956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-grl-16 MSDLVKNEPCDFDFSRFVGSRVSSNYGAPPPPPPPPPVAGLGGGSYAQAPAFGGAGLGGGPAFGGAGPIGGAGGYASAPSAPVYGGASAGGSYAAPVASYGAQASQGASYAQGPSAAVGGGSYAGSQGAGGNYAQGPSAAVGGATYAGSQGGASYAQGPSAAVGSYAGSQATQGGSYAAAVSSPATNFESQQGTVAVAPRFYASSGVQSGAYRAPATVIPHIDDAFEVAKYINALPRLGVASKKADNGAYAAGPSAGVGAGGVYAAPVAGPQTVVAEVQTVQTGPAIVQPQISIDQPAPQLPAYTEKAAAQQNVQTITETKEATIAETQYEDDSAEQAPVAPAQPAPTAEYKEQPAPVVVEEPAPAAVEPVQQVEQVEEVHEEPAPVAVEATTAAPSHASAAEESSYDDVESEASIPAGPAATPSGTKKTTDAEYTDYQADEEQQATDEGNCDDAELRAIVESSLAGEKDNLEAARKIEGDASAKFGGRFNSIVSDAEFAYVNWYGKRNCQLRIENRHSLTWED >PPA04859 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:502737:504203:-1 gene:PPA04859 transcript:PPA04859 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVERNICVLCENHLPKAESCNNCVNNTILTPNTNNIECVMTSIDKDKYQLLIGEDTEVEELICDRDFEAFEPISWFERRCEDGKNDKGEPVKICRAHGARVEKFNARKKPAKGKPMVYVVIAVTLTAIGGMGIGCVMIFMRMRKDNLSAVTLSSLKST >PPA04845 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:453183:453553:1 gene:PPA04845 transcript:PPA04845 gene_biotype:protein_coding transcript_biotype:protein_coding MLMELQTVLDSNTAMDIILKSSYFNNSGEVWEQAVQVKTLLADNAKKFKSKNDVWPELVRRLVEDAIDKHVNADRHYKADKIQTVLDDLYTT >PPA05000 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:1342090:1342873:-1 gene:PPA05000 transcript:PPA05000 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLTDAGKKRVCRNIWGVQQRLSQLTGRREAQLERARGFYELLSSDVDRIIALVPETSKQYSNVELGHLVDS >PPA04800 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:236133:237351:-1 gene:PPA04800 transcript:PPA04800 gene_biotype:protein_coding transcript_biotype:protein_coding MACLLLILSLCSLFTSCVSMTCTPLPAFFGSRRAASSRDVSQPHMMYGENLEGNTWYQGMVQDGGRVQFYMWY >PPA04771 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:95947:98550:-1 gene:PPA04771 transcript:PPA04771 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEKRSMKTLKIETGSVRTVDKTGVVSDYDVEAVVDNIDDTQEDVPESNDAQEEGDNLGNEAHLEDTHEDREDKDEKDLDTDATQEDSNENNEDEDGMEFQSSKRKKKRTRKHKKRRTRRTTIPGTITTTKKAKTPRAPTTPGSRTPKTTTTRRPRTTTKR >PPA04892 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:671672:675054:-1 gene:PPA04892 transcript:PPA04892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gei-17 MLWLASLPGAIPALLAFTAVHQSSINKLSQFKVNDLQSLLQAFKVQKVGKKSELYTRCVEMLRTPNMTKAVIEKIKELETRCNRPSPYPLPTIAPMYNNQQQHNIYQQQRGYAGYGGAMPGLMGGGMSLQQNMNNLMVSRASERTKPVLQSVNLNQTSGASAYLLDLQSLQSNINPRPARHLTAMPLPFYDPIHTLLEPQELPASVNLNCKLQAQVNLQFVLSAEHHMKLSVNEKDFPRTEVQLRFFNTTGDILNIEQPDDFPLNCNVRINEASVNLPNVIPTNKPNVEPKRPSRPVNITQYVINQPREKQHRMRVDWTADKRQWAVAIYLVTRVNSDILRKRVVASPSFELPYETTEATIRKRLGGDDEDVCMDTLKISLLCPVRDLLIFDPCFSSFL >PPA04762 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:39562:40653:-1 gene:PPA04762 transcript:PPA04762 gene_biotype:protein_coding transcript_biotype:protein_coding MHSAHWIVRPGDVTKAWRRDSKDEYQFVITELQLNVIFSSIGYVLFGIIVYASYKKAIFWKHIHGVFLILIFAFVTDFVELRMHLLMITDHQNKGCAESSKEFEIRMIISSFHVVSIHRFIVHFCGKNLPVKFIYITLGVVFGLKVTELELELPSRHFAHSLTRPE >PPA04758 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:21720:22361:-1 gene:PPA04758 transcript:PPA04758 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMMLEQLMRTDPTLIATHRVCYYVFLLNIVCSLLGYFLFGIILYSTHKKGVLKRFVTLWR >PPA04791 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:196223:200825:-1 gene:PPA04791 transcript:PPA04791 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRTGSKDNSYWKDRVDKSAGYIILAFGGIYIHADVWCVTQPSREPFPYNDGCDGFDEDREDGIGEKAESWVEAQMNCGKLGANLASIHNTQENSFVRRVAVSGRFNVEGLEKVRVGRRSFPMPGVGDCLAKDTSTVNGQWMRILIVRENCMWRAFAINNRSLISTGPWKEKTNITSPGFPYSSYTPCDFFLTVDEGKKIEMEVVYLHANASDSLTIFDGFLGGNVIATLTGEVKSTTYTSISNLMRVSWQHNSKKNASELVYCSCPDGYELVKDGECRGFSAKIASTYEESRDVALAHCGEVQGKPVIIHTEEQQQYWLKKREAYTSSYLVLGLKCNGTTNKAEWVDGSPIDYIPKDGGIDDMNYCYTDCSWYLWNDGHYHSLCTKIDVKVLDIFCTTQLQQPVPTGDSCSSIDEDDKDAGCYQVSKIAENWEDAQKICKTLGADLASVHSQQENNFIRRLAVTNGAVQGVSLGGRSGGNGGQWADGSTWDYDNFAAGQPVAGQGECLTMDTSTSAGQWKNTDCSAAMPIACKRQPSNAVCSSGPWTEGQFITSPGYPFNASTPCEYQFSVAAGKRVEVEIIILEANSCCDQLVLYDAFTGGNIVTNVTGEVNNKVYKTKSSSKMRVSWQPSGGVNVKGMMITYRAV >PPA04944 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:977315:978634:1 gene:PPA04944 transcript:PPA04944 gene_biotype:protein_coding transcript_biotype:protein_coding MALIINVNTLAFMFLLVRMHNESFFGSEKLRLFTLLGIINVINIIGFTYYGREADNAIAIGSAAVSDRTLKLTEKMVKVFIIQVVFVLDPLHFPLIFILKTGGHKTAALAIKRPNSTQSITVINARKRT >PPA04927 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:921005:922198:1 gene:PPA04927 transcript:PPA04927 gene_biotype:protein_coding transcript_biotype:protein_coding MYLIDDTPLLWVVQTVYYFIALFIVFFTTWIIYRYPSASFNCKLLLLLVMFGHINLIISHLTKVVWFLSRNEYNPFYLIEIDWFYQLSQWQHECAYILVSITEIFLILERFLAVYRIDSYKSSTHPRVMTLGISAIIIFSCGFAYILHFTTLKTIMLLSIEVFDLVSVVSAYFCHRYTQNQWYQTLERDLSAKYQMRDVAALSSALIPICIVSFFLKLGCTGIIWLYAIYGDNTSYMARLSVYNVVSITSHTMMTPKALLPPNNK >PPA04849 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:463828:466231:-1 gene:PPA04849 transcript:PPA04849 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLFVTSFFASAAAITEQACPPDYLFFEEKCIRPFTIMADDYLVDLLPIVRDKCALDGAHLPMIKSHEENESYNRLTDLLTAPKGKMVRLVLDLVCDDNNELLTWSDGTDVEYKPLGSDNMSYNCSNSPSTVISAPLDHRWERVALNDKWLYTSLCVYDSQEQTTTEQPSTTEETTIDPAFEKCGYYDLMENTANGDQYCLKVHSEPLSWEDAQKKCSEDSGSLLTINSIEENNFFWSTAVSARQYQTNPSKWMWTNGEMPISCRAYNNFIESFPIPGVGECASMATESSLALWVNQDCNEAKLPFICQKGDFAAARNVCPKQVPSAGEAFYSPGYPDSDISCEYFLFVEANKRVEIEIQSLTADVYNDYLEILEGSSGSNILANLTGTIHAPTRFKTAKSNVLRVNWISNGEGIGRGFKIRYSEVGQEASITTARPITTTSSVASHGICGLIVALLFCLPK >PPA04969 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1114569:1118566:1 gene:PPA04969 transcript:PPA04969 gene_biotype:protein_coding transcript_biotype:protein_coding MSCDNEHLPKKETIVRSRKEIGTDEANEVVEALQFPLPPPPEMTTQLTLSSIGYARSVSSSASTFTLNAAQSYAASHSSLKCMLSDLVVSESDEVSTSSSLPPTSLSRSQRVATSISICSWMLQATMATIFLSFWQHTSQTCEIRKFMQEANKGEGIHHYRTRLSSILKTFYVVVGLWIAYYAIMIVATLVMADFGDMDTDILEIFGDRRLFIIVQLTGLYSIICWAVAFFIYSLLIHSTHYEFLHFNEQVRSIKCDDERKTDEELCSYILKLMKIHNRLTECVKHLDQMFHRYAFIMIATIIPTTIFALFIIFRTPKTSTGWIPNQLMFSCPIIILCMFSFFALVNAPSQLHATIYNTKSSLCANTHIWFPYRPNVYHSALAFVAHLDQTNLGVSIWGFAVVSKPLVLTLFTSDDLVTDPIRNGDLSRALPSTRRLHSYSCITKRHSRYCYSLDEFERIVNLILLDFMFMYLTVGEEVPCAEGKSTRGLFNQQLLSQSPSRDRRRKAYGTLRGTVIIDSGTASTLTSSTITHRVAPFSCDRDNRTERAGAFQYV >PPA04909 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:817815:819294:-1 gene:PPA04909 transcript:PPA04909 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDYRQIFFTKMRTIGEARTFSTQEADALFLSLFEMHKKSATGVITEENMTEEEKNITHDHLSSFMYNTGSCYMPMSFETKRYELELLSGMVVFRLEVLPVLVQFVENDLLFKSSVTGLCHKEQSLLRGFKHFDKQPNRYFGNEIEIDLCSHALHKHPWWHGNYETASPQEIVLNYKLDDDYPYIWPEMPSSSFDV >PPA04997 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:1329967:1331815:1 gene:PPA04997 transcript:PPA04997 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAVASYVSIASEMVNAAKEVCVTELLSQFADTIVDDLRYTYGIEYSVPTDKYLLNKEIYAFLVEPKKNQLEIYEGIRDNHQDGVKFAVFTNVLK >PPA04934 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:944900:947389:1 gene:PPA04934 transcript:PPA04934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fkb-7 MSKEDSIPVIEIRGEGKPMSSAQIRHLDEQANGGPLQIKVERRWKPEVCERTAEKDDWVTFHYKLYLEDGRKIYTTYDKDPITIQLGADMTMQGLDQGIVDSCETEVLRVSIPWRLAQRDDKGSVWKHIPQEEHWLRFDVEVLDITKFSLAEQFKALDKDGDAAITEKDLIAVATELKEKFGKGWRNNEVDNVHAAKYFIRYFDQNNNAAIDFGEFQSTIEKDQKSMEMKKTGKLPKGLRKHGLEWVLDFNNDGVVTDKEIDESADLFEKGLPEKKDEL >PPA04833 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:370477:372060:1 gene:PPA04833 transcript:PPA04833 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRSTARDAPLDRIIYGTMLQLRCHHASEVEQVEWAQDGVPIRPTFANWRMDVTDKGVLEIWPLVYNDSGRWECSVGGAYSGALEVEVLSITDAYKIGVIGYFTTSLFFLPVLAIGIACLSARHLDPPPPAYDPIADLLTKQVDGAQFRERLEDEHGDAVMRAGKVKVSFVNFGDSALYDE >PPA05013 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1454272:1460502:1 gene:PPA05013 transcript:PPA05013 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLNTLVRIGEFATNDDLVLDPEVPKPDTVVPPPPATVPDGWEVVGIFAPPECLDIVPKATGSVRHSKSSSSLLEPVPEQSSEDSLDSKGRRELQKSLSSVNFKSLVPDRPPIPEYDDEAKEWKGSGILKKKGRRGSLYAEFQDNDRESNGSGILKDSRKFEKNQKLKRSFSAKILKRIGSLGKHRGVEKSKSVDGEKTNGLKMRGSIKRLRRAVSFHDEDHHRSITPESDAAPPTSKSSIDSESLSSIEVKQISGFKMLKRALSLKNSGSRRKERRGSLDAVYDEEGSNGSGILKKGGGFRKQLRRAVSFHNQSTSRTISSDGAGLLEPISSQTKAFSCMSINSNGNTDESDGPLRMSMTGDDEVLARDPRTLSKHKYFSPPVDRNIISKYIAPTFHERSRSFRKLFNNLVPADEKMLASYSCAYQREILVQGRIYISTRHFCFHANIFGWGTIFVIPMADVTEILKEKTMYMFPNSIQLNTDLRGRFFFASFTNRDKSLRAMQYAWSQIHSGGSPLTPDQFYDLMNPAVSTEDNEKEETRGEEERTEEKREKVKEKTKKEKKEKPEKFAFESSEYQEQ >PPA04893 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:686830:687995:-1 gene:PPA04893 transcript:PPA04893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nduf-7 MLSRVAGAPTAALARLASTQATPALSSEAPKGMGKTGTPFLNTSSAGEYAVARLDDVMNMAQRTSIWPLTFGLACCAVEMMHFAAPRYDMDRYGVVFRASPRQCDLIFVAGTVTNKMAPALRRVYDQMPEAKCQLLVLASSMPQWQGSNIWVLKSI >PPA04954 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1020652:1022236:1 gene:PPA04954 transcript:PPA04954 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEVNDLVEGMDRLWQVSFMDLPDDIIVDIFKLLDLSSQLKMRVNKRLNELQCTVKNVLEEIEINLNSDESELTVTPTTSFAYPRPLELDQLEQGIRRLTANCKIARISIGIADFGLNEDYDRLLEILFSVEADTLCIYPWQAGIFIGAHVNVPNPLDFSQRFRDISIDVFCDSMTVQDLIALRKLMLEGPCKLEWFNVDISLLTRKIFVKDCFGVEIEESLTPHGRCTIYQCDGDLAEPELYVRHEEIYDELKNIEGGLLTSINLDRCDVNAPNCGITFRKCDEKPIAKNKLRLNHRYYSHHADLV >PPA04857 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:494339:495850:-1 gene:PPA04857 transcript:PPA04857 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTHVSVSDTDFENQGAVLGDAKCTAEHATALSCLFAIVLDKRIGDNSSTIFAICTQTALNAHDCMKNESLYTSVQMAKVLCENHHAIPSDLINDIIVSSLLLMTHALDSKYAIRKWMGYNPAIEDESLNSFIHMDSAEIMGHWYLRNIMDEIGLQFGKENACAALKKATRAFLEEG >PPA04995 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1310646:1313655:-1 gene:PPA04995 transcript:PPA04995 gene_biotype:protein_coding transcript_biotype:protein_coding MWETLFFSLNYPVVDYFADSLLIHGGFEDLPASVSADTYELDLDTKIWTRRDTVARDPDGVRVDESLGRVLDLSTNRWSAVRAEGAARLPIVFNSFCACDHIFFYGMPSPEEGSVLYRLDEERNEWVQVFAAGARSPRLSTTYQNTLVAGTRVFFIEGQEVERMDGEESSASMSVLELNPNLFDQAASVLLRSERGKEAIRNVLPRYLSNQLVPDKTERRSDEEEDEKKEEDGRYPMGILREIRMLRARHGLTE >PPA04864 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:529762:530552:1 gene:PPA04864 transcript:PPA04864 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFYTFAFVFAEAANATSTGNDAATIFDYILTFFFGCVERIAERRARARQNGYAVVNESDQDEARETHEDIPLLNQDYSGHVTPS >PPA04788 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:149504:167778:1 gene:PPA04788 transcript:PPA04788 gene_biotype:protein_coding transcript_biotype:protein_coding MHRMIREAMGILALVAILGTLGMSIASLITVLNIQDTLNAQFSTAAPVVTTPGAAAPITTTVVYVSGGAASKHSKIRVVRKNIRDQTDGQRAVLCVALSPSSRLPSSTTIPVVAVKTTTVPVVKPPLHTTTEDSGEPVDPVDPVKTTTTEAPDDPVDPVDPVKTTTTEDPVDPVDPVKTTTTETPDGPVDPVDTVKTTTTEDPVDPVDPIKTTTTETPDGPVDPVDPGKTTTTEEPEEPPKTTLSEDTIPVPEPIAEDDPRYGAYSGMSQLLSTWMNRTVNPCEDFYEYTCGAGSKGQGMSFDISDDAIADLLVEQLRKPANDFKNDPLPVQQLKWFYDSCMSADYSYDDLYARSKRIFDDLRAANPGFGFPAVFPIETQTATAEQLAAFLGSSVASLGLTSLVDIGIDTDWKDPHNPKGGHALLVDQPAPIYIATYYTKMYDKESVIDDILYTINSAAALLGQPNPEQTQARKDAEDMAALDYDLATRYATDDTTRRQYARSYNPYTVDGLQKMAPFLDWKTFFNKALTPISKTVDESFRSIAMEVDKLALLSAEIASGKVDARTVNNYVYVRALNENYLPEPNMITAHLKQYRRQKRPINRKIIRREPSVDPLEIAIKKDFSNQEANCASSTTDKLTWANTRLYVDANYPTDDSKQAIRDETNSIIRSILVAFRAQIDLLDWMSPASKKGAYQKIDNLVVNIAFPDWVLDNSKLTDYYKNLDTKQNEEYLDQFDKLQAFGLYEAFAPLVNGAPADRADFSGPAAITNAWYQPEVNSITFPGGILHEPFFNPQYPAALNYGGLGVIAGHELTHGFDDQGVQWEGTGILNSWMDSNSTKSFTAMAQCVVDEYSTFCPLGAGQPCVDGAQTQGENIADNGGIQAAYKAFKAYEALHGPDPLLPGFASLFNADQLFFLGFTQVWCQYPPSSSSLLNQILKDPHSPSVYRVLGTLQNFPAFQKAFNCKAGTTYAPKDHCNVWTSEPTSGAPLNVNGEPIVPDNDINIAPVERISPQDMAKYNAYQKVQETFTASANYSVDPCDDFYHYTCGNFPGVKNTMYDLDTANNVIISDKLEDADYQATIASSAALTKLKTLYNSCKAEAKNPTIAKTNYIQPKVLRFRCAIAQDIPLIGGTGAVDMTPENYGNALGYLSFTLGIDTLVTPMVDTNWKDPQATLPETTNGNQLFVDQATTYQDRAFYEDDNWTKQKPAYMATVKTLIEAYAKQDTTSQLPENYEDMINDALELEKTIAITYSGTDLERRTYERQWNPMKLTDLPPTIDWLAYFKQAPVIVQNWVGDATKDIVVNEFNYTSNMLEFLGNSDDETLVNYLFIRLLLGNAGLIPCSSGECMTVMSQLAERKVPEHMGRSRTRRHRHTIPSFAPLNEEDEDGVGCADENKDVKNELKDN >PPA04837 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:421854:424367:-1 gene:PPA04837 transcript:PPA04837 gene_biotype:protein_coding transcript_biotype:protein_coding MTGASLAEPTDNEFAAPNKMSNLILKIGDKKLHVSKEISKFRLLLALYSPVFEAMFFGDSEQKGKDEVEINDEFVDLLHSIYHGQMKDGSVLHLLKLAVRFQMEVLLEQAKILIMRSRDFSIMKKLLVADQYNLADLKEECLNSFCSVVELQRNVKASPEYDNLSMAMKSAVCDRMMKLHTSNGPAINGCSSNWINKTTSTRDTFDFEQTANNNDSL >PPA04874 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:585350:588627:1 gene:PPA04874 transcript:PPA04874 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQLLLHCIVALNCLQTGWELFLIYRQRRKHESTVKRPAGVESIISEEDYTKARSYSIDRLSFKVFRMTMLYSGYYHYLWTTATSTWAPLSVFLILHNLVSFLLDLPLSLYENFVIEELHGFNKYTGSFYIVDAIKKLVLSTAITIPLASGAVWLIENGGDLFFIYLWVFISIVILLAMTIYPAYIAPLFDQYSPLPDGDLKKSIEELAAKLEYPLKKIFVVDGSTRSGHSNAYLFGFWKNKQIVLYDTLLSGEEKRKVYEALGKKVDEDKEEKEGKKKDDDKGMGVEEVVAVVGHELGHWALSHTVRQLGVAELNILLTLFCFSHFYTNETLGAAFGFTGGAPTVISLLVVMQYVMGVYNEIFGLISVSLTRRMEFEADAFAASLGLGSKLTTALIRLSKDNLSVPVNDSLYSLCRHTHPPVTERIEALKKKQ >PPA04847 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:459108:459686:-1 gene:PPA04847 transcript:PPA04847 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMQWHKVQEHLKTDLSLSGAVIPISYAIELSISYRGLAIMDEYGLYENW >PPA04974 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1144375:1147259:1 gene:PPA04974 transcript:PPA04974 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFADIPPPPFDMLRIQEQLPGAVTTQPSVDSEDDIKWMPLPRIDPGSSCPYGLEYLLELNTVLVKRERWRMFEGRRYGVSNSLGEKVYIASDTGTFCYSVQDHLERHAFTIKRESYCMCYGMASECAVECPPGQPSGFIIGSAGLCMSNIVITDGGKSCIFNINAPIGRGLCEDENMPVLSNRVPVANIRRRYPDVPLSERFDYIVTFPIDLEVRMKAALIATAVKIDVEIAEQRTRSNN >PPA04873 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:579961:582904:1 gene:PPA04873 transcript:PPA04873 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNLGCNTYQTTDNYCLILPTSKPEEPDRDCTNFDHDDDNDVCYQVGKYPANWTEANTICHSFGANVASIHNDLENSFIRRLSVSKGLINGMLLGGSLNAKKNAYKWADGTKWDYDNFAPGFPLNGFGECVAMETNNVGGQWINVDCTTELPFACIRAPDDSDPVCDGSVKKENDIIYNPGFPSDASIPCDFMLKVDPGMLVEVEILMLEANSCCDRLVLSEGTLGGQEIAILTGELYDGWTFRTTSQNVMRASWQPKGGVNVKGMMITFRGVPK >PPA04769 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:76500:77968:-1 gene:PPA04769 transcript:PPA04769 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVFFVALLQGVHASCPSGFELIRNGECRGTVGRQNYYYDEAMSNAVAECKSIAGQIPIIHDDEDQSYWNNKEYFYIPLGLVCNTQSKLWEWIDGSHVDYKPPAGNYDAGLLTIGGRPHMRPFAVNGVYLGATLSGKAKGFGWIDGSEWDYENFYPGFPVDGLGDCLSMDTFGVAGQWMNMDCSAKLPVACVRKPNTSYADSCSAGPWKEGQIIYSPGYPYDASIPSDYFLTVDMGKRVEVKVHLLEANSCCDRLLLNDSYLAGNIVANLTGEISEKTYTTKSSNLVRVSWQPNGGVNVRGFMVRGFR >PPA04841 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:435158:435562:1 gene:PPA04841 transcript:PPA04841 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGLGKRQCLGESLVRMELFLVIGNLLLNYRFEKIGPVDCTPVFGSVIFPKKHKCRVVPI >PPA04756 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:15910:17539:1 gene:PPA04756 transcript:PPA04756 gene_biotype:protein_coding transcript_biotype:protein_coding MSLESLPLELKDQIFSYIHPPDRLSLSECSTALREAVSHLTGNTGVSRSQLSNIYVDGKAESIVGWRGGRNGPQLTGTDIFVSLALGIDLRVNASLESRLSAIRIRQRLCDKLTVKKVCLKNITYDELTSWFIQQMLNHCAYESVHIEMSNIDQFHPSLVSFINDCTGKVYLSGPLSLIPHIRQLKPLARFSTGEASEMFERVDDGKLLAIAEAGHAHTDLLGRFESAITEQGLRTLLMNLASNPHNQLIRFSMYKVENFVRLLGSEPKPKRQIRGEEEEEEANEFFVLVPQHGQPYHKQYIVWYRTAALLIDGDSIWAVSHHDRATDELRMPKRDDIFHMHDELNYVVRKSDVRSKVIP >PPA04992 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1280843:1282940:1 gene:PPA04992 transcript:PPA04992 gene_biotype:protein_coding transcript_biotype:protein_coding MREPLTLYKNYYKNMFYLIFQPIAIVLSLILNGTLIALILKSKKKEFGAYRYLLLSFASVDIYYGIVHFLVQPIPECFANAMFMAGHGYITGKVAVCFYATAHSHSYVVLVFHFLYRLLTVKGSRYAQLFWKPLFYLVIAGCGIAVGASWGAVMYWLYDTSEFTSSYLEPILRDHAITSNQEPEQYVIAVFWTNGTFSGPRWKPMIGMVLMAVTMVFCYGYMVWASYEISSHMHLNSDIQSDKTHQMTKQLMRALMYQTMLPVITAYSPPLIALSVPLLGLYFPYIADISPLFFGIHPLLDGCVLIYTIKEYR >PPA04933 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:942571:943294:1 gene:PPA04933 transcript:PPA04933 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYLPIGFEFAAEITFPAAEGTVGGLLNAAAQVFGIALTSGAGELANSVSVFACNLTLSIVLLVGTILTVLIREDLKRQHAHRQHANVPQSDTALTNLTSAPESF >PPA04883 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:620264:621718:1 gene:PPA04883 transcript:PPA04883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gsa-1 MEEIEPPVTVEKEKTEESKRYILTVATQPDFDYPQIFYDHVLQCWTDKGVIACFERSSEYQLIDCAKYFLDKVEDVRKPDYNPTEQDILRCRVMTTGIFETKFEVDKVRFHMFDVGGQRDERRKWIQCFNDVTAIIFVCASSSYNLVLWEDSTQNRSGFERFFDF >PPA04979 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1175253:1177238:-1 gene:PPA04979 transcript:PPA04979 gene_biotype:protein_coding transcript_biotype:protein_coding MDMFDPASSINAHNLQHSAAGLSVPLHMLHATTQQQGRSRGAPVAAWPPMQHGGGPPGGHRPPPTTGGRRYGVGARTTGGGVGERGPYEDAYASQASQLEGGTGAYADPSQLSGWTQHSQSQHDYGGRSLGMASQQMQHGAPRRGGASQSQMTQNQDDLYSQQEHILHGVDSLMLSQN >PPA04922 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:889396:892487:-1 gene:PPA04922 transcript:PPA04922 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSDWISEAIPNRRLCRYTSALRQLLPRLNGRLVEGVAPRKMIERAIVTNDDSTALDRLEAHGSSRERFVENAKYRLDTIEGLATMEDASSWSDALELAGQFGIKESTVHLASLENILTTLPPSSARSLIALRAHSEALARDDETIRMVGQKLRKSVLPLIHEDEQYLLYLEQFHSTSTESTLLHLLQYLRENCGAKDLKSLLFDESRLAKAIEEVKGDDAEEVGEWLRAIPGTSSACEEAARRMMGERKDPKRAGNTGNRLFISHAPSF >PPA04768 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:73030:74611:1 gene:PPA04768 transcript:PPA04768 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRCGAAAAVLGGKIYVVGGLDKSQTRSDMERYDPSTDTWETLKSLRTGRACATMISSCGKLFVVGGFDYDKNVPISTMEIYDPEANCWEEVEALPEYAVNFCALSIPATFAMPFVNLETTFSSSHSSGFGCLVANDELLKAIHDKAFNHPSKIQHQFIPRVAHGLDVVCQSKSGTGKSTLSAIATLQQLEPMGGQVSALVLCKSRELATKMGETFKDLCKYLPSIKVAVFSGDCRIKKNRGILMNNCPHVVVGTPGRVLALAQSGVLKLNNIKQFVIDNCDEMVNDQEMHRDLRKLVKYLPQRKQVPNNTTNMAS >PPA04822 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:323521:325406:-1 gene:PPA04822 transcript:PPA04822 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFLVQIVYGVCMVVTLILTIVSIALNAWSTAGEAGNSIGLFCPDLDAALVDAAAGKNVCKISFDVFDTLPGKVKAIFACLILAIIMEVVCLAYNLFTAFACCCKSILLYVLLAFTLFTVVMLGIVVGVSAGNNPGAAFSGVADNMKDAANAMGTGNAGALKDQVGPGKSFFMVVVALVTAIIDTVNNLEVGKIPGEL >PPA04911 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:833170:833675:-1 gene:PPA04911 transcript:PPA04911 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGSIVGSQLLPIITQSVRHLHALRTRGNNGAFIKLNKATFTMLRIVDP >PPA04784 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:137224:138981:-1 gene:PPA04784 transcript:PPA04784 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQCRSLKKCLPNGNRKASETITIFVCIVSAFFTHEDKIAQYVIDQCIGVKIIIAPVSYSIATWRPQKQMWMLALIVHLPARTLLMLMYPQQWRERGWRWGFHFGTSLEILALVLLSLFHMASAGDFYVHFVSFGLWWMATIIVMVIVVHMQRGTMNVLQDPRIVSMRRAKKKKANIASESTAPKENEIKHTLYDIDK >PPA04808 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:275594:278476:-1 gene:PPA04808 transcript:PPA04808 gene_biotype:protein_coding transcript_biotype:protein_coding MKFALLFFVASLLEHVLSSCPAGYDLIRDGECRGFQGTISATFAQAVLNVTDTCTAIQGKPVIIRNDEHQSYWKKMATPTDKGYLIIGLVCNSTTKRWDWADGSLASSADFRPTDGYDDVFDQYCDSGYSWYMDNTGYWKKGNSKNMFTNIQAYCTTQLQQPSGDGCDSFADDGADGFCYQVIPTTQTWQDAVETCQNIASTIASIHNSQENSFIRKLAVSNGALNGVYIGAKGTGNQYSWMDGSEWDYKNFYPGFPINGRGNCLAMDTFAPTGEWMNMDCNSKLAVACQRRAIPRPTCSAGPWMEGETPGPRAYGSAPRLDDAGLCFQLACVNLWPAIPVIFNLLESSGPVPVILNILEAAGPVPKRLDPSPSFSNILEAAEPVPNISNILEANGPVPVISNIIDATGPVPVISNFLEAAGPVPIYSPGYPYDSSEPCDYLLSVERGKNVQIEIQVLEANSCCDYLVFVAQVIQ >PPA05005 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1376342:1381094:-1 gene:PPA05005 transcript:PPA05005 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDNFTVPLKEDGENIPVTNSNRQEYVNLYVLERMSKGPHGQVAIQSQRFCDGFRSIVADHVLGLFQPREFMELVVGNENYDWTLFRKNMQYKGVYHAGHPTIVAFWDAFFELSLDERKAFLQFLTGTYRLPVHGFTGFQSAIQPCASHLLPVAHTCFNLLDLPDMPDKKEQLRRLRVSIEQPTGFSLSVLERIRTAALPVVSARRVRMSEPAARRFYEEHQGKFFYGRLVRHMTSGESIALVLASDIGQARSLVGGGKVWPPRSMVDVTTAQGLRHLFAISDVRNVAHVSDDERAEQELAIIEAVGGEEIRGNSIIVHV >PPA04896 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:717169:718456:1 gene:PPA04896 transcript:PPA04896 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMKRTASSFSTASSSVSSPTINGHHAASSTAPPPGFGNGMRGSALSSSSPSPSPSSMGSSSVLSRHRGDRMSGRGEVYKPPAARKAAQAAAAAGTTGAAGCAAGSKKRQEQPNGVIPRPMETTKPSDAQVETIPSKSASFSVLFVVSFLA >PPA04827 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:342770:343153:1 gene:PPA04827 transcript:PPA04827 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAYISGIFTGVMRVIILLTALLAAAAAAAVQHPRKKCELIPHVEMCRELPYNGTRRR >PPA04983 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1203343:1206844:1 gene:PPA04983 transcript:PPA04983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-apg-1 MPVITHNSLQDSAAAAAVGAPGAAGVAIHEGANLLDVAAAPAAAAAGGNGAMDDLLGLMGDVGGVAAPAAHHHGAPVAAGGLGGLDDLLGGLGLGGGAPAAPAAASFGGGGFDLLEGFGAAAPAAAAAPTARGSMALNTGGIEGQIYVESGWSGDSCSLKLVVTNNNPVGVTNFAAQVAVTKAFSIELKSASSSMLAANGDTSITQQMVVRRLAQGGQEKACECDFVQRTS >PPA04776 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:112112:113144:1 gene:PPA04776 transcript:PPA04776 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRGNRGSRGDHGSQDEDGDREASGHRGGGSTRHSVGGKSGGHDDKDFEDDDASENDNDDAEEIREGTRPSTRKEGKRDGSGGSGKKKKPARTEKRTERGPSGKPKYNFKKGQSAEDAAEEAFKAFAERMGDDIAENYRIDQ >PPA04772 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:98628:99879:-1 gene:PPA04772 transcript:PPA04772 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDDANIVLTNKEDSESGSEKTEVGLDGTQEDEDGMELQSAKGKIIPISKRPRITRRTTTPWLRKRTTTLRKRTTNSRVPITKRTTNPRNITRTPKPIMSTTPFTESSTTRPSTTAMKLPKLGCKELLIAVDKQAANFVGQFLEKIGICEQRKERVIKYQAMQGRAEGRPPKELEEQLVREGGPKMKDKIKQWVHKDPLEKPNPKDSPEAVEAEEKRDDEEVAGER >PPA04980 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1177538:1189649:-1 gene:PPA04980 transcript:PPA04980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-smg-2 MAECAEEGSILARLGKQQCSYQLATSSMADLDDVYGDGGGALTFIETVDDCGDLPAATQDSQFDYRDFTAATQPTLPHHRHHSDHVGSGTGTQAQTDDLHFQDVDEDGAAMPVDLPPHACSYCGVHETASVAQCSVCKKWFCNGKGATTGAHLVHHMVRSQHKEMCLHKDNELGETQLECFQCGARNVFQLGFIPAKADYVLVILCRSPCAQQASQMGENWVSDDWTPLIQEKALLPWLVSVPTQSVQSRARQIKAAQIFKLEDVWKENPNATLEDIEKVGYTSELETVPLAYQDAFQYRRIFAALVEEEAEYDRKMKESQTQTVGHVRWDVGLNKKHIAYFKLPKFQEGSMKLMIGDELRLKHLQTMNGQPWEKTGQVFKIPDNHTDEIGLEIRAGYQERMPTDVRINYTCEVVWNGASFLRQTSALNLLQNDEKCVSPYIYHKLMGHDVDDIMFKIKLPGRFSAPGLPELNPSQIAAVRMVLPRPLSLIQGPPGTGKTVTSATIVYHLAKQTSGQVLVCAPSNVAVDQLAEKIHATGLKVVRVCAVSRETLATNIEFLTLHNQLKFVKGAAELKKLQQLKDEMGGLDEADLSRYTKLKRATLCTVMQEAELLMAADVICCTASTAADARVSKLRIKCVLVDESTQATEPEVLIPIVRGVRQLILVGDHCQLGPVVMSKKAAKAGLTQSLFERLVMLGNRPIRLQVQYRMHPVLSAFPSNVFYEGSLQNGVTEAERTLKGVDWRWPVPDRPMMFWSCYGKEEMSASGTSFLNRAEAANVEKIASRLIKGGMRPSQIGIVTPYEGQRAYIVQYMQTQGSLSTKLLLDLEIANVDAFQGREKDVIIVTCVRSNDNNGVGFLNDPRRLNVAITRAKYGLVVIGNAKVLSSQPLWHDLLSSFLERGLVVEGPLNNLTRSPLILPKRREDPQESHLHQRQHKPERMQYTLPEYKNRPVPMEMRTEEYKNRPVPMVMRTEPTNVDGDEGW >PPA04928 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:924261:924942:1 gene:PPA04928 transcript:PPA04928 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLTAYQTLHTLTATVWCTLLLTRHRGLRHRAILILRRLFCSSPQSDSTQRRLPEINFASNSAHTNAYFTMLHDD >PPA04863 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:520326:526714:-1 gene:PPA04863 transcript:PPA04863 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARSAITLALLLGSAVLSFAEEDQSSSLEDWEIEEEAAEAAEKAATDPTHRKVPRGFVTPRPFVVDHPLLNKPYLGCSSIGSIIVETEPCANSLYHHHPARPSHQPGDYDVPDPYEDDRIEAIKQLYPDESPADVLERRRPNPNFLRIGTTAAPTKASAEIHHPTSIEKQEEVEEKDTEKEKQAGQLPEVDFKKDKGAKTETQGIPLQAEIEGALEKLEVHRNTETRRQHSSNYQTAKAPYAHDGSMGTGYTLISNVDTAISTLDLLESSEESLRRQSVSHDSTAVKQSAGGKNDHAKMQKSKAALAKISQLLDYEIDALEKKVTTVTDGSAHGGGLRAVFQSRGEEAPETGEKRTVDQKFSRLEGVFVGARSTTASPAKKHKGTNDFEASDNADVPMTSRPKTLAKKEINTKSTLYDDAVLDTDKATYDEYEEWIGDDSKEIINRRTNVKKGGRNDANIPIRLTTAHKASRTATQTGSAPATTVSPVGKQKKINFDNSDFLKEILVEDFSDYQDVTGGRKLDGDARISPTATASPRPPPPKVSNPSRSDQLNKIEAFKSKKQMLTWEPSQDTFPDLHDKFRVY >PPA04906 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:791135:792187:-1 gene:PPA04906 transcript:PPA04906 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPLLLKPEAHHHVLDVCAAPGSKTMQLIEMQHETDPNPRKLMGKRKKLT >PPA04967 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:1101919:1104071:-1 gene:PPA04967 transcript:PPA04967 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGDLHTFLWPYQDDFDNHANLFVSFINNDDIHRLKETEESITKLRSLLKSRGEKHTQRSVQQLLYAIISIGYGHHIDRASPLHEAVSRFMVKTTKYPIYTYKLRSRDLDADDCPIIAKALILFGAELIIKRTVEEQHSDEEEDDDEAEEEEEDDNDEEEENENDEEEEDTEEENDKDEEENSDEDEEEVEDEEDEEVEEDGEEDDEEEEEEEEEDEGEENVEKLSLDHAKSSTMREVILAAIESAKPLGKSIRFEDAEATHLLSLDGGGIRGLVITTFLEKFLKKIFENEDGEQITMQEIGRLR >PPA04753 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:139:3694:1 gene:PPA04753 transcript:PPA04753 gene_biotype:protein_coding transcript_biotype:protein_coding MFMARDNIFNPLHAGRALFQQYAVDSWVKIEQNRLNYCRTHQTELRSDSYRAVQDYLAGETDLPGTRIILPSSYPGSLRAMVQDFLDAMAIVSKYGKPSYFVTFTCNPTWREITENLGVGQTASDRPDLIARIFELKVDGTFEDLLKRHVLGEVVAHMAVFEWQKRGLPHVHMLLIMKEGSKPRNPDDVDRLVRAEIPDKETDPELYRIVTTNMVHRPCGTANPNAPCMINGQCSKRFPKDFRNTTNLEVDGYPEYRRRDDGKYIEHSGQRLDNRHIVPYNEYFLLRYNAHINVEICAFIEAVKYLFKYVYKGNDRASLNLFRTDQSGGDGHVIDEIRAHLDARYVCAPEALHHIFGFKTERKSDIVYRLAMHLPGFQTVTFQADDTPDQIRAKAAKETTLTAWFKLNKKCSETVESGIIPLNFVDSRNYYYVDIPVHFTFKQGKWEIRKNKTRQIGRMYTVSPQETERYVLRILLLNIKGATSFEDLRTVPEDNGDPIIYPTFVEAAKARGLLKDDSEYLQTLKEWAAYSVPAALRSAFVAILLFNEVHDPAALWDAIKRDLSEDYRRRGAGDEEAEALAYYDIAERLHRAGKNFSDFVPEPAIHPPAIDLNLLDPIECAAEGNRLYSTLNTQQKTVADTILSVLDDPYLPRLFHLDGPGGSGKTYLYKTLHNIFVGKGLKVACTAWTGIAASLLPGGRTTASLFKINIKNRCDGSFHRRQDKDAKELAENDVFIWDESSMIPKAALNSADAVLRDITQIDKPFGGKIVILGGDFRQILPVVEKGSRSDQVDACIKRSPLWTQFQSLHLLSNMRVTTGDEEWIDFLLKVGDGSANDLEGKVSLPESTMCDGNIVEKVFGTVVDPSASDPCDNVILAPKNVDVDVVNADVHERMIGDEKIYLSRDEIISDNPSDYANYPTEFLNRLSPSSLPPHNLKLKKGSVVMLLRNLDVSAGLCNGTRLIVDMLAKRSLGCRFACGDRKGQFTIIPRINCYYDKNLSFKLCRTQFPVRLSYALSINKSQGQSFSRVGLWIPTEVFTHGQLYVAISKVRSKEGLMIQSPSNSITNIVFEEVL >PPA04796 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:219634:222130:-1 gene:PPA04796 transcript:PPA04796 gene_biotype:protein_coding transcript_biotype:protein_coding MNFCAASVDFMFSTLYDSVDFAGKKEKSEADPYDNLKPSSISVRNLNEKSENTWPIVVYVVDITKAKEFAFDVYDSLNMKGVSINPNDVSTIMSASPFSLIAPKSGVKNGVTARLTGFENIKDAVGDACPQAFRLDTEEHFPGFNLHIAGPIISILMNERNSVIVEASTQFNDESGVPIFDLAVPGFVTSGGYNGCRKPNSGGVQSFRSNLLLKSASYLLTSKDYLNVSFDITPNMDTNHKVIINDITNADPQTITSDRRQWLDIANTQNVDIAFSDLSGDQGFLLRYTSTAAQKTTTTDVKGNSDSSTTPTTKTAQTTTTSGSWEAKNLILLWSVDQNFIHQN >PPA04887 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:636387:637551:-1 gene:PPA04887 transcript:PPA04887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rsbp-1 MSIVQVETTGRACFKACEAARNSILPQLRDAQGAEDANAQEWSRVASLLIGVVSMYLVEMRRCCALERTFPVPTEPCITANQVEQQTIRGFFSGSLVLDVPETQNRPGLITAMESLLENMENLITVHFATTEGAPEAKV >PPA04986 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1220137:1229301:-1 gene:PPA04986 transcript:PPA04986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cku-70 MEFDEEGQPMFDEFDEGIDGGGRLFESNKKLSFYIIDGGAAMLEPHSETGEPPFTRAAKAVAEQVLKVGCSSAGGLHHMVSVIVANTASSKPESGLVQHFHEVLPLDFVSGEAAVTMKELGNADDISSTFDEQFGGHATADLAQIIYYVRKMAKRKAKSARQLAVYYITASTRPCGEGVTGRAAMNAALKNIADLRDIDNGEFTCLYIGEPRAETEMDCLQKLDPNFSLDSFEELETIVYQKAFAPRAYSILPFEIAPGVQLDVGLFTLAAETKRPTPKWVDAETEKSVQSRTVYKPKAAATAEKAKAAEPAASAVDDDEFTEKEEEQKPNTSGVKPFVVGEADRARSELKQAIELGGEKIVFSQEELAKLKRFRKGLVLLGFKPIANLRVDRHVEAPRFIYPNEKTTEGSRKLFRALLERCSERKQMIVCYLNTAAHTRPRLVTLVPSRNSDSSCDGFHVVQLPLADDCVDGSEIDKAPKFDDEESEEQRNATRALVRKLTAKFEPFENPNLQRFYSMLIEYATGDDSRVVEDGIKPYFSNEKALARVQPQLDAVVDAFGDLSGAPAKAKRGPAETAGGAAPKRGKAAAGGDDGGADLEAAAKSGKLSSWTIPQLKAAATERGISLGGAKKKDEVMEKDF >PPA05008 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1408435:1413145:-1 gene:PPA05008 transcript:PPA05008 gene_biotype:protein_coding transcript_biotype:protein_coding MICIFVKNANRLQRSSTMGKFRNKKNFKNRSKSNGQWTQDRVVGFTKTNDKLFDFYKKQGLIPEGEWDQFVETLKKDLPVSFRIQGCHKDREILQQELKERFIAPIAASGDAGAFEPKPLPWYYGAYQTPMSRSEVRSHPTLAALHNFLVTEAELGNVSRQEAVSMIPPLLLKPEAHHHVLDVCAAPGSKTMQLIEMQHETDPNPSGFIIANDVDKKRSYLLCHQVLKRMKVMKSANCVVICEDGALMPNLKAADDSVLKFDRVLCDVICSGDGTLRWAELT >PPA05014 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1463621:1465689:1 gene:PPA05014 transcript:PPA05014 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAYSAPNLDPVDQQQLHQRAAAGACAIVEEADAAERSSSSSTTTQDISMTECICDDHTGKKLMDQVFPKTQTELFDLIFTTSPWYVQLNQALKRTAYSKMPLKYTGYSASEWATNKEGVRQRTCTYTMALNHAMAPKSCIVTEKQVYKAFPGGFTIVKETVNSGVPYSDSFHVSCTYCVMGYGRGQARLTVHGGLVFSKSVWGMIRGYIERSTTQGLAEHYDALLSALSEECKRSAAGADDTLEEDQNR >PPA04853 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:480641:483203:1 gene:PPA04853 transcript:PPA04853 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLLLSFLIVLLAAKNCPPQYQLIQDRCIRLLELEKVFVIDDALPAYRAECAKDGGHLPVIKNDQDNAQFSSIVYALNGNAWKDFYLMMDLFCDSTTKRLQWMDGTPVTYSRRDSIALDVDCYDSQLTAISEVEPQHDEWSYVSTQQKLYGYTVICDYDGPEIAGPCGDYAEMDTSSSAEKKCFKIFTEPMSWNDASKQCAADSASLITINSDEENRYIWRTAISSYILDGLHIGAHASPADASVWTWSDGDVPITGNVYNNFVNGFPLPGGGECSSMLTGTTAAYWINDNCDSVKRPFMCRRAKNLP >PPA04903 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:740807:747792:1 gene:PPA04903 transcript:PPA04903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dnj-29 MGRAEFEYDEVGNTFYYVMLAFYSLILIPCTYFFFPHDKQVEEKVDERLCQCEGDVDKRRRKAAIQPWRKTKKVVSFVVLAVAWVVLAFLVQKVASIENTHVEYDPYSILELDHGASTAQIKKAYRDMSKKFHPDKGGDAIQFDRVAKAYQALTDDASRENWEKYGNPDGPTATQFGIALPKWLVSKEYGVWVLAFYGFIFMILLPTGVGYWWYNSIKYNVDKVLLDTTQLFGYFTRKTPRMEIHRAIMVLGGAFEFNKEYNNEIILREADDVELPRLMKHLPLLGENKKERPLCLPYSIKARIMLHAHLSRQVIEHEDILFDQRYFLSRTHRLIEEMLSIAQQINYYTSTKIPIETIDNLIKLFPMMVQALWPKNSVLLQLPHINDYNINYIRRQKVNSCSDLAKLDNEKRRQVLNSLTDAQYRDVIIVLTSMPRLHIETAFEVPGEDDAHEITAGCFVTLKVKLTRLSLLDPAAADDDKLMKPCDENDEEVPAEKVEDEEGETPATPNVDVKNKKKAWEKQPQKKKGGAKKKTTNKGAKKVVASAVASTAAAAAAESETPATPDTPKDAEKKKERREKREEESADESGGSASEDEDKDSADEASCASPNDESDEDDWVAETKKPVLEAKSYETHPVHCPFYPGEKFEWWWLTLSFSEKKGQRRLIAPVTSCKTLVKEQTQKGY >PPA04832 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:369627:370054:1 gene:PPA04832 transcript:PPA04832 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRVVVALLLLFALLAQCAIENNSNRTRKTTTTPRPKTKRVKDKIASTKRTLMSFVGKK >PPA04804 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:261130:263151:1 gene:PPA04804 transcript:PPA04804 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTEPGASVIMLVLNGSIVMLIIRDEDHRNKHYRKYMCCLQISSLVVETFSDFYAPIVQYHKGIFYSNSYLSNFVGAGTFMIILGRDRFFNYFGWNRIVFYIFNQVYVFVAIGTMVFYMNNSVKTAELHWAKNRTSHVFIKPDANMIQANYIGASATIYPAIAISFMLWQLISEVKKGMPNASSATKRYQHLAVRSLLLQGAVPALVYLIPSFANTGLQISTTFFELGETFDRTAMVLSPILYIFMTKHTFANSLTLLYCSPSYRRKIITAIRGSSDTLYLKNI >PPA04875 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:590833:592229:1 gene:PPA04875 transcript:PPA04875 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLILASSLLLLVDLSSACFASGVCGGGCAPPPPAPSCSGGCGTRYGCYRVRARAASSHTLKIERPESSVEIEDTPEPATPDEKFHACCAERNLPDSCMSKCSYSTYTRDSLQNMYFRMDSCPMQAAADIHFCAAQGRDHTACCARNGVATTLAGSKCMTFCDQRPGNVTQLDLTYLACYDRFENMKACFWQDVAKKL >PPA05003 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:1365315:1369133:1 gene:PPA05003 transcript:PPA05003 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKKHNNKRKHDSSTSAESDEDEMFQDAPASNHSSPSKLVAKRESTDSDDSDEEGVQIKGKVQLISPLEAVSHLEKIKEKCSGKDTMKNAIAAYCAEMKGDAGKELQTSLTKYLHLAIQPAKLPFSTGSGMMNEYLSGVNALKHFPGFPHKPKNLLFCYAALNDIDNGLGMLKEAADRMKADDKGRKKAGKEADKQKVAYLENLQSFLDKHKDRLSEVQMKSVQKTITRMDKAIHPEKYPKVEKVVGGAKKKAKKEPKSAFDHFVSLAPTLYADLDPEKRLKKLRKKFDNLEDSVKDVYEKLALRD >PPA05010 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1430068:1434026:-1 gene:PPA05010 transcript:PPA05010 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSYEVNGVGEERDPADVCTRHVLQPHSVKIRSNERSLECPMRSLDEGKDGLSERPRLGSVAEGGEDGVAAEGDARASAPLVPHQLGLLSVDREADLLSCLHQLLQHPLQCYRIRSQEHDVVSKTQVRELLAVDEDSFFTPVEFLHAVLEGGSEELGRDGVSLPNSFADRDADWAVEGLHLWVASRVERLQAAHRIDHGLGLHRVKRLAVVDKDEAEWESIFSVMLDQSRDGMDVIHAPEASPKSCLFHWLSVVLRGLQSGDKHLGEELVRDGEKRDRAIVVEIAPISLFVDEDGVGRVPLGGVLLALEVAGEAGGEKGMEMEASESKHRSSDLFLTSDGRMGVRMGIVLVSATIVAIESCGPPVHHDEMFELFVHPCVSFLDVFPLIAYLDPLFGYPIRRMCATSKESLEILQKELELTEKTLDVDEEPRCYADSYLIEMKRREAKGEPLGSFTRHQLNLAALDLWSAGFETTVTTLRFAVHFLMSNPDAQRKMQKEIDEEIGQRQISMEDQKALPYCMAAIHEIQRVGNIGEINFFRETTGEITIAGHKIPIGTAILPQFPSVHVDPEHFERPDYFCPERHINEAGEFVKDPRVTPFSFGKRACLGEGLARMELFIFLTTFVQHCTFSPTSMIPPKLECTRGLTRSPVHYKVKFETVHEIIEFDKVGKSNESFDNYDRWKTLSSFCGAQTISPVVQPVMIKGTKIKDLGIIKKIKDHIESPIL >PPA04844 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:442890:450776:1 gene:PPA04844 transcript:PPA04844 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLLIAAAVATLASTESCPAGFDLMGGGRCIQALDFEIPGKLSTLLLDRVAKCEALNGHLPIVKSAQDNTDYINIVAGFDELKGKNVMLVLGLICNTTTARLNWEDHSAITYIQPPAPGQLNVDLTYDCVKRTDRVVSRITPKDWFVVPDTTSYPYTFLCETDPIEANLDAHCGEYEEMTVSTDFNNPCIKIYADKLVCLQAQERCHKEDFGSLATIHNDQENLYIWRTAIASNVTAGGVHIGAYLPDGETTSWKWIDGDVPITGSVYNHFESFPPPGVTTGMACSVMIVDASGKAFWETEDCDTQLAPSVCRRSRFPPPTFLCPTTPPPAGIPFFPPGFPNSNITCEYNLRVEAGMLVELEVMNLEANKGADFLVVYEGVDGNPLKNLTGSTPVPPDNIITTVSKNTMRVSWEPHGAVNVRGCNIDQFHLLSLAVASKCPPFYDLKDGGKCIRALNLWVKGSLDTLLPQMNDQCGKDKATLPIIKSAQDQKMFNDIVASYPDIKDKSVFLVLGMVCNPSTHRLEWADHSAINYIQPPGNVNLNFDCVADAKHVVSRTWFNDWFVVDDDATLYTYTFLCEASPSDEDIDECGDYDVMSASKDWDKPCIKENSYIRRSANGLGVVGGVHIGAHESPVGSGKWSWIDGNGPIDKSVYSNFVNPFPISGRWSCGGMYTDSTAAPWIDVDCDSAKLPFVCRKQRK >PPA04761 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:38515:39464:-1 gene:PPA04761 transcript:PPA04761 gene_biotype:protein_coding transcript_biotype:protein_coding MFINGETRTSFDVCTKFYAMTGLVMINIYVWITVLAIAPVLSMLTLIKNYLRKQDDSGVSAFVLSSSLAMAMMIAIRNTTWYNNFNIVSGIGNLIQDFRFLLLCVLAMLIVSDIRDAVIATISSVFGRQVDNEKSPPTIMNVTFIDDTLDVEKAHKKSANHHECLLL >PPA04961 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1078165:1088561:1 gene:PPA04961 transcript:PPA04961 gene_biotype:protein_coding transcript_biotype:protein_coding MVINMTTTITTSGAHSGLIVQSGVASAPAPSHHNHRQADPWSLPSVSSPIPSLSAAVPLPIAAPVIEEIAPTTSEDEQHYAPPSHHSVDMPRLMNEPPYENRPSAYQPAPYQPYQSPRFEETEREEEEVSYVDPHDVQLNTFNTNPPPAPVERVSRRDQPMRPDTTSTTTYMEIYREPGDPLHAYQRLALPPNQKPDLLYGPRYEQWANTRTETLIDDRGNGKAVQWKSDLVETDDETAPVIGQTRGRDPSSSPSYVHARTHETRQDMKDGRVIKESISDEDITIETRSAALSPRLFSPSSASGSARIGAGASPAQPQARIGWGAAPAPTPLPIVTSPSIGEGARTLSPMSVDTRYSGVGYGVSPTTRAASRALSPDEYHEVLARRGAARSPSPLRRTAAASPMYAPARAYSPGAEYNAAYARPPPSYRSDSRYDSPPPSQGALDRAKSRSGDIFMLYQTRDALGEIVGHLQDHNATVLSEPQQSSSFSFHAEGPPAAAPAVQQPAAAAASDSPIYATSRIQQADKDFVESAPSSPIYATLQSLQQGTLSESQSAPIHRSRISNPEQAEIIEAPHQSQGPAYVTSHFRLLAKPAAAATAVAVAGSRETQDHSTLKAVQPERTTAAAPDSVIYANLKVQQPTAQEMHTDAQRSPIYAASQHRLPNSATCHDSNKDVAQASSEHSPIYARSQVRPGTAAAAAERTSEASNSLIFAASQVRQPSEAMPSGETAKTTSHSPIYALSRALQHKKVEPSEEKQRSPIYAVSRIVKPQETIGSEDNKHHSPIYAATHFRQQSAETAKSTSHTPSYAVSRAQQPQLSVGSENNKQHSPIYAAPSTIITPPAAAPTETTFEVTLPAAEFGLRLSQLSPRRDSMTQTEVLQPAAPPRPPPPTFARPMHSDEMTRIMKRQILHPDIPNSDGKGNSSAQLHVSASIHFEEVKISEPGEDCALPERVPEEQEEVNFQSEDFSRSAGEGRLQRSQSMRAPERRPAITRIPVERRPSEDEEEEGPYKNADPRPVRVTIDYDPRYAKVVKPARPPPPRNGFAKNDSSRIAYSLTGERVRKIKKSLSSSATFAPSSRSIRERSPSKIVRIINGRSYSVDGGRRGRQRPMPGTSPTPPDPRASTAPLPPSIETLPSTRPTSAVLDPPTRVEERREPPPRTKERFDETRDGDDSRGRERSKPPPRPPPPNLLKSAPSESLFVIPARRSRSMSERPSMRAARMPEVALFDNLNVVYDALPEDTDAPAPVPMNTPGISLAAGAYFEPIPVKERATKSTVAVDEPHGEQVPHDSPHATHSAKKATPRQLKRRSQEFDDLISFSDDPIQRPDFLEMAPEPEPEPQIRPVPAPRTTTPTGLGSSPNLSIPDINPSIGTSPTVIVDEHRLRIIGLDDVDMS >PPA04870 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:553553:558250:-1 gene:PPA04870 transcript:PPA04870 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSIGTLILLILPIISSAPTGKPRCGVVDHDYSLAQRVEYKWKKKDLTFSIENFEPKLMTWSTIRNTIRDCFDAYSAVTNLTFQEVPKGQGDIQLKFVTGEHGCTTPFDGYGGVIAHAQFPEYGIVHFDADEKWTIMSAKYLPPPVDEDGAYKKPKLDPIVVGKLQRKYGASIPLVLKGINYHGFETEQFAPLGLTYQSLDVILDVIKNNNFNAIQIPFSLELVRWNVFAIDLKNEPNIGATWGDFGIKTDWNKAAERMINNLSSFQGLFFVDGLSWGNNLAPAEEFPINTRNESLNNRVVYTPHCYGPDVYIQPSLNALDFPENLGELYMKRFGFLAKKGLPALIGEWAAGTVPESRDERWSNYMIDWLRQNCLTNNFYWSLDPASAWTKGLLDDDWLTPDPRKLELLNRLQPNPTLFEARDGQIEKNKHELTKSECERWDYLYCTKTISRLPSSESSNEIEWDRSLPWRFLASSVPILTLPCLNMDDTSLTILCNEEKCNDTLLDDGIEICSEKFSKILFRNLGIAQKSSPIQCATHSSFDAHMVALLFIIVLLSLAFLNSLLNSFELKLIKIICAESAT >PPA04948 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:994910:997054:1 gene:PPA04948 transcript:PPA04948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-83 MVLLDGPVDEGLAITYVTPRIIVVSSPSSGTDAAYRSSLNALARHLKTKHAMDYRVWNVGRQRGDLARGVGTENLIEVGWPCSLAPPLDRLCAVSKSFEQWMNGAPSRVVLIHSKGDAQRAAVLVSAFMHYNVICANDDSIEERFSMQRYIERYLGAASGSPSNKRYLNYFTSLLSGKTRVNPSPVYLKKFTLSRMPGRLVTFKIYERLQPVYLTVPM >PPA04792 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:203331:205382:1 gene:PPA04792 transcript:PPA04792 gene_biotype:protein_coding transcript_biotype:protein_coding MALNGVSSRLSTYYTNHKRTWKYAIAFRAVAENYRFEKTGMEWVFSYSIVANAVMGVSDICYDKKTNKPVGFRMVDPYYKDEKMAPFTIPDITFNDKEKSFFGLLDETYAKIWDCYPTETVIVKPTLVFVEPAHRTLGLSHILIEYGLDLPTMNKQTGANIVATLCTSVKTKGWFEKNGHKLVYTTGPNVTNWKGETVPLPEGPLRVYAADMHTMKTINVKPCWEMMKAVGMMPK >PPA04930 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:927678:928433:1 gene:PPA04930 transcript:PPA04930 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTADVKHEINATFSIKEKRSNFISRVKIVVQDCGVDDETKSSNELNPSVDYRNPETARSCMFGVCLIDGSTAFHRLQCMCVEQYTGEFCDGKLK >PPA04759 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:31726:34340:-1 gene:PPA04759 transcript:PPA04759 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFHNAVASPDQQKIEKMESRHTFSALRSTDSREAVWLGYFVYCIFAITRMIQEVRFLILTVLASLMIPEIRAAVSSTVSSAVRKVFGYPEIHVEVTKSISLDDLEANAKNAIPEIVLFVINLDYGKTSGAYMYCSAAYEVDAYERVRSAIVFGVQPWL >PPA04775 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:106943:110246:1 gene:PPA04775 transcript:PPA04775 gene_biotype:protein_coding transcript_biotype:protein_coding MIEHMYSIRMKLLHHLLLIFFFPFIDAENLKMDDPFDLDLDNAEVNFTLPDNFFRLLMNGTEERPQLRSGESSEQALRAILLLQLIVGCIVEAQIDFRDFAIADGLDDLDAVNVVDDNVVDKGSEEVIETTLQDSVITESGEDDSTGPGLEDVLFEGEMMPLSLTRASRSSNYFNGAIEDIFEDNYERGAHTRHVVGLNEDDSREGDIPEEYNLSYGRYDYDFGTEEESDDLVFYYDQEEEDDLIVIEGVDGRPALRRRPETSSEFEEDQDFGDELDFDDQNDEDEDGMEFQAFKWKIPKIKLPKVKLPKLPFRGGNRGGGRGSGGNRGYGKPGAGGYGGSGNRGGYGRPGTGGYGGPGNRGGYGRPGTGGYGSGGNRGKPGTNIHPPPTTHTGGSGGIKLKPPPPPPRPTPRGFHPPTRRPPPGHGQIDIDPHVTRKRAVNTGDDHDGHGKPVSRKPPPNGVGKPTRDSDHNVHDDGSGRPGSRPPTEPEGTEIDRRTRKPPPKRDPEGNDGRTRRPPPKGHGVSERGVSKKPPTVSEIQEHPTPKRPGGRRTTPAPVPECMSPRCKPGRKEIPRGPNRKPRLISRAGQKMKEVMKEIGEAVRDEAIEAFTDAVKEEIRKCVEEAFGNADHEADEKCGRFFKHITG >PPA04780 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:129629:129960:1 gene:PPA04780 transcript:PPA04780 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIGILSLPAASSATTEGGTGSSTTASADAVWAWIVRVIEQQWNEMRLFSQAGRDAIVEGQSDMEVIRRRELGLIPVA >PPA04958 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1066223:1067867:1 gene:PPA04958 transcript:PPA04958 gene_biotype:protein_coding transcript_biotype:protein_coding MYDIRLFIEHLEVFKKPPEVDELPTIIYGSSKMHVNLEDDKEYLICAIASALKGRGRPKKIVSSNAPNSKKRKRSIAEVDDIVVGGTEAEAGEDFNTWDLITNETNDKEGYDDEDEEEETILVNRQDDEEIMMCMIQEGFNDVFYH >PPA04982 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1200550:1200932:-1 gene:PPA04982 transcript:PPA04982 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFLTQTSVKVRPREFPRDSTVEIPDQNWRVASRGAPQCSLNLVHEVVVLLVIVGRRGSVCADQEESLVGEEEGCQTRGEATKHSSACQVWPEQEGHAMGRSGPARVED >PPA04828 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:348027:352674:1 gene:PPA04828 transcript:PPA04828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mig-1 MCNEKIPIPIGPCRPMCETVKRRCMPLLKDFGFPWPAALECTRFPLENNNEAMCMPGPSADDSAHPIPSREKLKEKDDHHRETEEDTLIEDKGPLDSRRGGHRGCPVDHIYVNKSAACIPVCTAEHGIKGTDRETASTFLFIFSLVSVVMTSICVITTISRRSDRSPRSFPETALLNCAAAFAGSSIVYLLSLLYREQISCTSYASHQLFIVSSVTHVPCNSAAVLLYYFGTAGRLWWIVLAYAWHSSSAPQGGHMERLRPAIEMLTWALPLLFVMAALVAKSVSADPLSGICYVGAASKTQDSVFNLLRDVICVIITAVPLLVGCLVRVGRSTLATHHNNPGSSSIFGVITLLYLLSVLYYMLSFGHGAVQHSSAWDRSWNLVSAGKVLIDPFLGVLSAGACLVILLRDVFTTYRSPLSNKAGYVPAVPRIPQPAVPSVHTYTSTPRNNTIM >PPA04912 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:835049:835518:1 gene:PPA04912 transcript:PPA04912 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVLFDNPFPSTLSFFHCGENVNESREIRKIRQGKKTYKEVVTYYNINLELPLGYPNDQHIERAAKSVMNIIRSISDEFGSRANCSYKVTLITINEIIVHSTTHSELNINNSSLTNELIAHPTLFTDRQPIYLEFNARKVK >PPA04913 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:840479:842534:-1 gene:PPA04913 transcript:PPA04913 gene_biotype:protein_coding transcript_biotype:protein_coding MYQREIMIVQLSAKPKEDELLEEDVEGALKPVQVEQKPAGAKPKEDELLEEDVEGGLKPVQVEQKPEGNQPKEYELLEEDVEGDLQPVKTEQRPTGKETREDTLLEEDVEGELKPVQVEQEPAVTLAPPQSTPPTESPPTAPPVPKPDKKDDKKPDKKDDKKPDKKDDKKPDKKDDKKPDKKDEKENNSPSSFNVMPKPTTSADPVTVTTVKPPTATTLPPIKKENEDELLEADDDQTLQVVSVEYPPAHVPATVTVQGEKFQGSTMQIPSDTQVVYNSWGRNEPIPPKRKPHKRTEMTILKLPKLAPPVMAENSFGVIKMIEVPQQPAGGGGILAEDENSPTKQEDTEMLEEDEDGSLDPVEEVVDTGPTPVAFVYDEFSNRIRVEVNSARVSSVHLSKGLMFLLGFEEPNIKSTKLSKYSPTTQAGSNALYIFSDIAAYSILGDTTSNQLRIVPVIGAYGTTCNAVFNPGRRYQRGGSIGSFLGKLWRVIPKFLNSVVGQSLISGVTDVAKDVAAGRSFKESAKTHGRDQVRNLIGVGKIRGGGGGGAITKARRRQKTVPRRTHYLNPL >PPA04814 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:295002:296484:1 gene:PPA04814 transcript:PPA04814 gene_biotype:protein_coding transcript_biotype:protein_coding MDWCFHFRYNIDERMNFQVSTALMLERTNVLGISPFEYFYIGLACDAETHHWKWIDGPDFDPSVTFFAREFTSSEFCSIHDQPFMFDNFGTWSNPPDRKNSTANLVVYPTATLPPLRLMNRAQGNGPHCREDTAIDW >PPA04989 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:1264680:1266281:-1 gene:PPA04989 transcript:PPA04989 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEDSVHERRSSRRRESKGQEKRKSALELFKEARKSGKAHKPADNTVQNVYDEVDEDEYNDIVNQRQRDDFVVDDDGAGYVDHGADFFDDDEEDPGERRRKQKKDKENKPKKGAINSFFSAANSMIKSKAKDDNDIKLEDDDDDLNNLLNEADNVEMETPSRNPFAMRRFDWSNSIVFGY >PPA04878 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:599862:602964:-1 gene:PPA04878 transcript:PPA04878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vps-4 MMEGNRKPWSGILLYGPPGTGKSYIAKAVATEAGNSTFFSISSSDLMSKWLGESEKLVKNLFQLARENKPSIIFIDEIDSMCGSRSDNESESARRIKTEFMVQMQGVGMDNAGILVLGATNIPWTLDAAIRRRFEKRIYIPLPDVHGRKEMFRIDVGKNQHTLSDADFKALADRTDGYSGYDINILIKDALMQPVRRVQSATHFKRIEGGKLLPCSPGDTGAVEMSWLDVASEQLAEPKLSMNDIMRSLASVKPTVNRDDLSKLEKFTSDFGQEG >PPA04972 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:1126313:1127595:-1 gene:PPA04972 transcript:PPA04972 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSNETEICDGNHESVVCVCQLEPRVSQGNADYAKGFSSSDLLILPYLSPVREPREHCETSEASFRNGMRHSLTNLIALSPLSPPGLSPLNFGTSGDSAFSTPHSSKSATTRFTFDHVTTGFKRAASSTECSGLDRDASASAFRPPDRTKQKQQEEDLLQVLKSFREASDKAAASLRQEQQQQERQHKPLQRTHATLERKQSLMPGGALPAGQNQVKNHEQ >PPA04765 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:64282:67174:1 gene:PPA04765 transcript:PPA04765 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARPRVDKNAKHLRGAHKEDGEEAHSLIRKDMFIPQLDEMKNTQDEQTLQLAGKNSKNELDLLNLGDILYRRVPYIENKTCTLHLKNPKRNCQHVVHFDSPGGLCSELLHVYYEGRWAHFAPNTGAITLRHPNGRRFPIRESQLTLAHELGHSLGAVHDDETEDWKVHKIL >PPA04872 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:577160:579271:-1 gene:PPA04872 transcript:PPA04872 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEQSDLPGPLNVDADKLEVTYIRRGPNHPSHPSQAAQTVLRPWLSYPGPMTRLPLGRLSAPLKIVTWIRVTSKRIACCLCGVLLFAFGVYGGIFYYFFSSSSSYTITTYAPRAPIAPMAPAAPASHMEPPRPTGPVDPICHPSNIPDTFYNQIRFNVDLMTQFKNRMEDGVWDRENPTKLPVGGEDAYKKYSIEYALALARDTNVTYEELNGYWGKEYNKVKYSIRKVNDPLPRVALDCDQAVYTERL >PPA04760 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:35765:37890:-1 gene:PPA04760 transcript:PPA04760 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRKLDREDGICHTRLGYVLFGMIIYTSYKKQIFWKHIHGVFLILFFAFFTDFVEMRLHLLLLTDHQYKGVGFGSDGGEYFILNTTFQTCTIAMYLSMLHFLLSHVEAVISIYRFLVHVREKKIPVNGQTLESDEICTKFYGMNVLLTINVMLWMISLPIAPVLSLWTLIKDRRMKRNDSGVSSFILTSSSSMAVLIGVIVLDRLPHRSWWLSRIFRFVFSACMIIQDFRFLLLCVLAVLIVSDIRAAVLSTLYNLLGREMSPPIIENDTFTEEQEEKNSRRKVIV >PPA04807 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:273334:275161:-1 gene:PPA04807 transcript:PPA04807 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLLAVSLLHFAYSSCPSGFDLIRDGECRGQQGTISAWFSQASSKVVDKCKTISGQPGIIHNDEHQLYWKKQAPPSNKGYFILGLVCNANTKRWEWADGSADDYRPSDGYHPALDDVCKPNWSWYIDNFGYWDLGNSNSTFTVQVFCTVQLQQPVGDGCDSFGDDSGDHMCYQDLKFAIIEMPVSATSQSWQDAQAACQDQGATVASIHNKQIYSPGYPYDASASCDYQFSVAAGKMVQVEIQQLEANTCCDFLVLEDKMMGGNIVANLTGEIYNKMYTTSSSNFMRVSWLPNGGVNVRGVMMTFRGV >PPA04950 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1001345:1003450:1 gene:PPA04950 transcript:PPA04950 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHAHKMHRSIVAVAVQGRSVASSRQTSCPQEASVSTPPPPPLLPRADTNGSAKGMGPPVPPKPRPASAMAEMAPEYEMPERRGILPASVKPLVARPPSPKDAPRVNSVTPSIEPDLVGKDRYDKASKCFSYAPTKDLKQAFDRPRKKSFSLASEDEVLAVRPDEVNSVPVHATDIPRMPEEPKWTEEIDRRQVGDL >PPA04923 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:892603:893399:-1 gene:PPA04923 transcript:PPA04923 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEAMEMEREEIERRGETRGSEERRMEMEEDKRDIVPLDPYYTKAEQQEGSSCSFGDWRSEEEWEKRRRRDGKESRTASSSPSDVLSLLAAESASAFAAAAMLSEARDAEDTIEDERLRRIGVQRVIVS >PPA04836 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:419105:421110:-1 gene:PPA04836 transcript:PPA04836 gene_biotype:protein_coding transcript_biotype:protein_coding MHVDKLSSSPDKEGLSATDQGILKLRMDRTTSASERNRREGRNDEKVKYPGSLPDHQPSTARMGRTTSTSETNRYEGGKDENVKVHVDEIASTPTKEGPSHADQGIQKLNSIMK >PPA04943 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:975047:976187:-1 gene:PPA04943 transcript:PPA04943 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAFGKLVAETNAGCFERGGVTRAPKRYYPYREDDVSLCLQLGDQRVKHIDLSEEGLEQFLHALSADDRKRRVKIQVKRSAMVKLLRDCGITDDSKEGDVRGGFEVMTVLKVHNLIHLRYKRCLIEITFLDWKEGTRSVDVDIANCDEW >PPA04856 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:493082:493609:1 gene:PPA04856 transcript:PPA04856 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLFLPDLPENATDNDRCIRLLELSKKFVLDDKLPDYKAQCAKDGAHLPQSNKEIGMG >PPA04880 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:608798:609918:1 gene:PPA04880 transcript:PPA04880 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHYKTLYPLSLYPRKHWTAGLCGLSWIISICLALPAITNAHVVTYDSGVRQCIWGSSDHALKFLTYILILGVLIPTLFMYYAYIRVIGILYHSPVVFQALGLYNSRWLVFGFLLTPFYQIPFYLTTVLRIAQKDPDAEGPDPILPIIFMYLAYGNCLVSPFLYGASLFLIKEEDMALTVRAHKAGAGGGATYHHPNSAHHHPAGVQAQLI >PPA04965 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1094887:1098250:1 gene:PPA04965 transcript:PPA04965 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYAHSRSGRNWSIRCHRLVDIREEFLEHPYYHSSALIASMPQISINSGVKILSYSFSETQQGKSGADRDISKGGRKMRSHRDKQHNVIIPEDMFDALNATLQLRATSVFLAELVENTTSSTMDRMRECGSLLHLHGIGDGEVIKDLKHTNANLDIKKQGGKLATAAVNIEDRKRILASFDKNPGQYEEPTFWLLPHEVAPMLDIEPNARDDDIVTPNRPDPSNPAGAAKQSLFYCRDCGSSFILYRNLLKHIEKGKHFIRPEHVKLLDRVLGLFMCAIEDTLVPEPLSPVSEVVKAFKRASDPVLPQGWAIKHGRKVRRYSEAAKAFVKAKFDEYAKRGAKLKADEAERLMRADRFIEPKDWMTKSQLRNYINSLKSQLPKMRAWRRQVEHEDMDDEHFEVEVEPSDEDIVITEEDFHRHLTPTMLKKFFSDVDKPAYMREEAKNVEILKKVIENS >PPA04897 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:719655:724751:1 gene:PPA04897 transcript:PPA04897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mel-26 MLAEPGALDAVSMPIDAAVGASLHQMGHSSQTEIKVERVKHEWTVKNFSHCYQEYLENFVHLPRGEETLTWSIKIYPKGNGENNKDFVFLCLNRVVAPVQGKLSKIGFKSRFSLKSAENKEIDMRIHPNPSHSDYVSYIKRDVLFPQILPRDSITVAVEIDVATETVTTTSDPLPLKHLHTEQQLADDYDKLFRNEIMTDFTIRVGDKEIRTHRAVLAARSPVFCAMLTHEDTNESKSGVMHITDMDHDVVQEMLTYVYSGRCSKDIGEMAGDLLIAADKYRLDELKQHCECSLIEQISVDNVCQLLVLSDMYRAHKLREYSLIFITHRTKMVTCTPGWSDILKSHPNLVTDIVNGFDKSSGGSTSSRSSCADEPMT >PPA05001 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:1343207:1347858:-1 gene:PPA05001 transcript:PPA05001 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPNWQLAHASDAVVSPMTGVHSEKEIRERNERESEILIGNLATQKRASNIAENRSIETAELMTNMEDVRRLAIVHESLRWLAVKIRGLLESIPRQIKTTLQAVRHSYERSDGTREEGASLSLIDRHVAGVEANSATCLLMLHLELRVHCFYHLLPLARPRGGGGGGGGSGDDVDQEVHDLGRDMAAFYKLLAEILAQPKLNYIFDGLGHLCAAIFIHSR >PPA04901 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:732663:734202:1 gene:PPA04901 transcript:PPA04901 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPSYGQGPTTSKGNISEGTMQAIQQLAKSVGLSFTDEQMLAFVRAIEAGADPAKLKPQTNGKPLCMNQNS >PPA04907 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:806014:807135:1 gene:PPA04907 transcript:PPA04907 gene_biotype:protein_coding transcript_biotype:protein_coding MATDYRQIFFTKMQIIGDARRFSSKEADALFLSLFEMHRESAQDVIIKENMTEEEKDIAHNNLSSFMYNTGICYIPMSHMTKRQWERPTTPPFP >PPA04884 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:623027:623758:1 gene:PPA04884 transcript:PPA04884 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEKIKAGRYKLEAFFPEFASYQLPSDAVFDSNEDVNVVRAKYFIRGEFLRISTAAGDGRHHCYPHFTCAVDTENISGCMVA >PPA04777 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:113283:116959:-1 gene:PPA04777 transcript:PPA04777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dpf-1 MPPISTVLLFSVLISVLHPSQQQILWWNSETEIFDYYTDNIYDTLTGNITISPNSTFRSHSWDLRYGARYNIVPESYRDPDRVRFSATIYDKLKDINHTVGLTATDEFTAFKWSHGSAYSFVNENDVFYAAAPEKPPIKLRIGSMWFSPDDKFLAYRATPMNATKKIMLTTYDRKEKYDYHYEKEFAKPGECNGEEITVASLFIWSAEEGKQKKMNIQLSDQSSFPYLFAVSWVTLHGRDLLVATWANRWQNHTTMTICAYETGTCEMIYEHKYDHAQWASPVDYAHLAHSNSAIFILLPEVAEKNSWQHIAKLEISDDFKVESVSMLPSGAYDVREILAYQAAEDIVIYDAQAPQVWNRHVYGTPAGNRSTLTADRCLTCVSPNCTFQEKATVSPAHELKIAFSCYDKFITIKNEQEMPEMTTETFLLPSGYETFAEAIVRIYHGDTNLSSVPVTLLVYGGAMDFNQVTDEFKPKPKPNGFKHAVVFIDVRGSAGRGWNYKSGFYGRLVTVEVEDTMVLAKYPRLDKNRVGVYGGSYGGTMTIALVEKAAPSFFKCAISICPVTNFVNYNAGYSERFMGDTPLSSYTDLTLNVTAFKHTKLLLAHGLRDSNVPFQHSALFIEALQRADIPFELMVYPNQDHRIDQGVSQHLQHLSESFFAKCYE >PPA04946 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:983259:984479:1 gene:PPA04946 transcript:PPA04946 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSHVDPRDPTIDYDFLPADNRHKKEITNLILNGFLAIEPHCVAFGLNARNGRDYIDRVVKKSLKFPYSVRIIHKETEMLIGCRLMSVCERPEKADSIIVNRRNLEEGVRMYCNLFDKLEAQFWQRRPETNKILRREITFVHCDHQRRGIAQHLLYLGLDFDRLRAYGIDGIISEASAIAHQKLLLKKGFQEVARPNPGSIIDSPFRDEYVLSNGKRVVFPDATTTCKQLYLSIQQRAHI >PPA04886 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:633670:634859:-1 gene:PPA04886 transcript:PPA04886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Large subunit ribosomal protein 39 [Source:UniProtKB/TrEMBL;Acc:A6YN25] MGSHKTFIVKRTLAKAQKQNRPLPQWVRMKTGNTIKYNAKRRHWRRTKLKLAYYTPQRELVTEEKKKIGEKSKTKLLKRNYHGESRGLWSGTNRTE >PPA04838 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:428222:429201:1 gene:PPA04838 transcript:PPA04838 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLLVTVSLLALVSGVAAQCRDIPTRNCRQLRNNGFCTNQRISLATRRRTCGVTCGLCNRVFSALAPSKGDGSTELLPQDTQIAARGGRSRARCVDANANCASFAATRNFCTRPDISTLRKLQVCCATCRPIILATTSTTTTSTPPTTEATPPTPFSLTSDPTTETISSSPSSQ >PPA05009 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1423811:1430092:1 gene:PPA05009 transcript:PPA05009 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTVVLLAALTLRAVFCDDYDEDPPPVTCEARCLRIHERSLDRIGFSKVGADRYIDKPKTEEKTLRDVCWKKYDYFYCMKGCVKTKEHEKYARHVKSRCKQAVEDLEPGLSCLFKYRNFLEIRCSSFLNEAIRLKQADDPELLPDKETCRYLHLNAICLENSVSQFCPQANSFFRRLNLRDYFFNFILPADDELFDDQDLDACQMKDFVKEALEGVKVRKMENDETTTPAFNFTSTTSTYEDDDNPKDDNTKDDKDDDNSEEAWPVTTHPPAIVRTLSPSTRHHSSIQTSTTSNFVYTTPTRKQSSSTLIGTVTTPIHITKEQAMRTTTARGEGGRGERITITTKVKRGDGGRGERITITTKSQFTTTTARPTTIKPSTTTSRRRLFDSDEDFSQTPPNFLWGSFRGENYKLARNYSESFPPDAEFVTPVTLESSSLFGDEDDSRNDDVDYDRTHVIDIDREPAAIKPITNVDQSSIEPITVTGKPVKLSTIVTLIDEKEKKRMESTTRKNEDYSSQMYEHAVDTQLQPTTHVYRPLPIDSAEATTVVRVMPGSDLTTVARVLPTDWDLTTVAKILPTDSDFDDDVDLDENEIRAQEEMEERNRVPVHPDPRSYEEVTAVEVLSGEIHRKEAENNFDRALGNENEEDDSNEIQKTFAEEKLNLHPAITSTRSPEPFTPLINGGRVAVKSIKQFRAVGEEESAQDWTEDPNIDDAHVDLDDGDDYDKETYVTSEIRPDESPRDEEVRRRINFILAYTSLFFILLLLVVCCILCVIIKNRKNASMDRPYKMGDSI >PPA04860 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:504994:505773:-1 gene:PPA04860 transcript:PPA04860 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKLTRAREMIPPSLRFTHSHSDRSARFPTSPTRSVCPVSRLFPADSSVPPRLPRRLLRQ >PPA04826 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:333938:334598:-1 gene:PPA04826 transcript:PPA04826 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVNTFTLLSILALLTQAKWSSESSSEEQGLWNIHRQLNNHFGSLSHNGLFQHAIASALKGRGRPKKTVSSNAPNSKTRKRSIAEVDDIVVGGTEAEAGEDFFNTWDAMMMRMKRKKLS >PPA04850 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:467189:469169:-1 gene:PPA04850 transcript:PPA04850 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTSLCVIEKNVEEPTEAVATEEPDRNRQGAETTKWLRKGWMRKRPVFTEPQSWVNAQKKCAADFGSLVAINTAEENVFFWNIAAAHNFSGGMHIDKYTGAHQSPVDSSKWTWIDGEIPITSKTYNNFIRSFPISGSGNCASMATESIAAVWVNVDCDLVKQPFVCQREDFSKIPSSCPNAAPRAGVEIFSPSFPNSDIACEYFLTVNADKLVEVEIISIISERNNDYLEVLEGTAGVNIIANLTGTLLKPTKFMTSSSNVLRVNWKPSGSSDEGRGFKIRYTAVAKVDVSGATTTEPFEHFDTTTKTAMSKGIFTLIVAILHCIIL >PPA04925 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:914704:915334:-1 gene:PPA04925 transcript:PPA04925 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNALPESSPDLVSAISSLSSYSLMATEEKWVLGLHGDAALQLHAQRVQDGVVDVKDNRLDENH >PPA04985 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1212193:1220610:1 gene:PPA04985 transcript:PPA04985 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRSDDAEVLLLDDVEDGGKRKDAPGPVAFDARPQLQLKSAQVFNIVNCIVGVSVLAMPYCFQQCGILLAVTMIAISSVITKLTCHFLFQGAMNIDFRVRTYEALAAAAYGHFGRRAVEILLLFFLMSSIVAYTVVIGDLGPHIVADYLELAAPTERLRVLVMVVVMVFVIIPLSTIKDIEVFSVVSSVAVFFYGLFVLHIIVESLESLLEGTWSMHVVWWRPEGFLACLPIVTMALACQTQLFSVTEGLINPTIDQVDGVISTAVNLCSAMYAAVGLFGFQSARIIKVGVFRYVAFYNRTLHGDVLVELAPTFFTQLLKLAFMLSIAVSVPLMLFPARHALFNLCLRPNGCELPMARIQRSTFHCLTITILFLNLVVAILIPNVEFILGLTGSLIGSLMTIIFPALLFIRVAKSHQQGVVNFAKICLVAGCFVLVACTYVTLTKEQKSAMAAHPEPKDRADSPDLKALEHLEQLEERVLDANLNLSQKLSDISELAAQGKDSEAKLIKNIAPVIEEIRQQQEEQRELIQKQEQIVEKLDQHIKDHERLKSEEKRKEREKAEGNASPAMDDAPKKEEKREETEIGDAKENDRAPEKKEEPQEKPEAAESHRRAPESPQVADPKNPDANDSLKPHEPVDSLRPSDPVKNVKPVVKNASKPVVRPARQPAVRPPRYRDHYNIMNKVRSELAKHPASRSAPPSSPPAAALPRLGAAPPAVSAGPRLAEFRQ >PPA04785 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:139405:141768:1 gene:PPA04785 transcript:PPA04785 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLPTVVLLALASGVAAQCTGNDHPSCGFCNLDGTQTAAGGGSTLNDCVDANANCASWVTSKNFCARSDYANSMKLLYCCKTCRPIIFAPPTTVTPTTGAAAASTPAPTPTPTPAPSAGPG >PPA04821 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:320672:321304:1 gene:PPA04821 transcript:PPA04821 gene_biotype:protein_coding transcript_biotype:protein_coding MALPDIYTRIQGVFGLCLNQWAYPVNYGVIINIIKALRGESWWHDERPPVYCRDRTQCRICPGGYVVSVQDACPVVATVNIK >PPA04981 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1189907:1200233:1 gene:PPA04981 transcript:PPA04981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-apg-1 MFALDWALPEATTRRERPRGRARAHAIRACIRECIGSASMDWLQHHGCHDNRQEGAVKELCEELATKTLGTPMRLRDLIRQVRAARTMAEERAVVDRESANIRENFRDDDSPWKCRNIAKLLYIHMLGYPAHFGQMECMKLVAQPRFTDKRIGYLGAMLLLDERSEVHLLVTNSLKNDLGGSTQFVTGLALCTLGSICSAEMCRDLANEVERLVKSSNTYLKKKAVLCAFRIIRKVPDLLEVFIPCTRALLNEKNHGVLIAAITLVTEMCERSPDVLDHFKRLVPNLVRILKNLLMSGYSPEHDVTGISDPFLQKEPKEAADDSNSPVKILRLLRILGKDDAKATEEMNDILAQVATNTETAKNVGNAILYETVLTIMEIRSESGLRVLAVNILGRFLLNPDKNIRYVALNTLLKTVSVDYQAVQRHRTTVVDCLKDPDVSIRKRAMELCFALINQTNIANMTKEILIFLETADPEFKADCASKMYIATERYSPASDWHLDTMITVLRLAGNYVPDEVVSCMIQLISSHAELQHYAAIALFRAATADAQQNAQPLLQVAFWTIGEFGDLLLVPINDESNRIDESDVLTLFEAVLPSTLTGLQTKCYAVTALTKLATRFGSTVDRIVALIKIHQAHIHLELQQRSVEFANLLAQPQRDNTN >PPA04936 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:951429:952922:-1 gene:PPA04936 transcript:PPA04936 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSIVLAAVVVAAAAIDFQKEEFREEDLPQIFRELSERHARIELFSKDLAKQTNMANREKTVLAKKIVQFANSSILQSAMLPRPPISSPLDPIDNRLPDLPERSTVETTKSIESTGHTNYETESVIASPPPPLSSDDEQKRFLLSEKFFQTSTNQPRARAAPTLPSLNVLPLSTPATEEPSTTELPKTTTTFSTTHTPTTPQEIEEERADTVTLPSAHNTKTSERSSATVIPAPSQVLAHTKECTTRKNVYGL >PPA04904 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:755834:763696:-1 gene:PPA04904 transcript:PPA04904 gene_biotype:protein_coding transcript_biotype:protein_coding MISTLKTEMIAKKIDCSDLFSSIERRSISAQIISVHGKAAYQMPVAMVNRSGGAVSGQWAMSTKEALEIVKSLFDSHTNRLQRSSTMGKFRNKKNFKNRSKSNGQWTQDRVVGFTKTNDKLFDFYKKQGLIPAGEWDQFVETLKNDLPVSFRIQGCHKYDGAYQTPMSRSEVRSHPTHAALHNFLVTEAELGSVTRQEAVSMIPPLLLKPEAHHHVLDVCAAPGSKTMQLIEMQHETDPNPSGFIIANDVDKKRSYLLCHQVLKRMKSANCVVICEDGALMPNLKAADDSVLKFDRVLCEVICSGDGTLRKNPDIWPKWTPQEGLGLHKLQLSIARRSVQQLKVGGLLVYSTCSVNPMEDEAVVAQLLREAKGALRLVDAHPRLPGLKASRGVSQWKVFDRDMNEYATTADIPTEGPLTRALTASMWPPSEEEAKEMNLHHVMRLPPHQQDTGGFFVALIERVAEDAEDEERRMKFENFKLVVTEGNLKVVASVLCWCGRFPGFVSFEIIDGEEKAEEDDEDILNASVFGASSDEEEEEEDEVQCVFPGDNRSKCGVGSE >PPA04929 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:925105:926301:-1 gene:PPA04929 transcript:PPA04929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-smgl-1 MTIRPRRVSTLKEGQEKEPSPLRDVLIHERHELQTWKETVEIRPPNLLVPRQIASYVNAQPLCKLAVSPKCDYIALMSHRRTFQIFRVTEEAIVAESILEDASAEYCLFEFSASGNLLLSDASIDVFDRLAEHCYTMMPVS >PPA05015 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1466145:1467290:1 gene:PPA05015 transcript:PPA05015 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSEEGGEAETGAPGNMRGMAGSISGINFVQHAVRMQEEPCFELPLFGRVRRIDFYGIAILALLSLMAFSLILLVLRLPSAQSTADSRLADAFARYLTPGSAPPAEAARPDLDSLIAAIGRIGAQLQQVRDNARADL >PPA04795 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:215215:216342:1 gene:PPA04795 transcript:PPA04795 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSQWMGRLAIENRSKIKRKPAESLQILTTPQNISVPKCFKGSVRGELPCSVYDRIRYMSDRSLLCSQRL >PPA05002 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1362108:1364842:-1 gene:PPA05002 transcript:PPA05002 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPDDRPVPATRRSVPSATIANGSVSSGPISSGLLINVIRTLTTSVNEDQRELEKKKLEKGYKESGDMIERLIKELYARSLIELNLKNRVCFRRLEHQGDIENCLSTFKDVSSRISVCRERVINVRNALGQCKQILENKRDDLKKLWVESSEQRHVVEIMNKLDDLRNIPIEVEILMDKGAFETAAETITRATEHPENGPVPFTHLSGESLFYERDTYYTKGM >PPA04910 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:825377:826053:-1 gene:PPA04910 transcript:PPA04910 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGSPAPLPPTTTTTLPPPPPSRPPTPFSISRINTFVERPSPDPVPYPRRWDDRPYAPPTTSTSTTTTTTTTTTTAAPPRRYSWSVSRYVVSTTTVPPSTTEATTVTTTTESATTPTTTTTTTTSPTTTTTIRTTTTKVPTTTVKSTVAPTTTTVAYDDMEEGEEEEVEPEEESKEYGRPGGRGRQEDEYRTPSGGAGA >PPA04996 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1327536:1329530:1 gene:PPA04996 transcript:PPA04996 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNGASSTQSEAREGYLEWDEYFMGIAILTGQRSKDPNTQTSILALATGGPLSPSLSSIPSLENPRPSAWATRRVGCVLVDSRYRVVAAGYNGMPWGCPDSLMPWGKDNDDPLQDKNTYVCHAEMNAVMNKNCVDLEGCRLYTTLFPCNECAKIVVQSRVIYLADRESWKMDASKRLFDTVGITYSQFKPKRDTVTIDYTKHF >PPA04920 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:875242:877193:-1 gene:PPA04920 transcript:PPA04920 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEVSIGSTIPGEFEEEEVELERERERMNSIPSQNSDASETGRKKPVVNRFLSSISLATTRGPSDVSVVKDSLEDEEEEPNTSFKPLIIEDESPPDEFEIKDTVQEGAPKELKFTKYQGLGLFRRPAAPPPSEGHLSSLSPPPAPLTRQSSGGSTATANPRGRRQNNGGGAYADKLSKQLEKALNLRRSDRTLLKHDNSSVTSAVLTIEEDRSSGWGVSLSAHSRGYILLSGNTTPAEGSCLRVISILAPSGIVFDEAAGNGRVIDDFGGVVRVLN >PPA04957 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1064910:1065529:1 gene:PPA04957 transcript:PPA04957 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSVPPGDIATQPGTKIVFNAPYDDKHTYHIKVTNSSARRIGWAFKTTNMKRLGVDPAAGDTNNDRVTIEWTNTPDGAAKQFRREWFQGDGMVRRKSLPIE >PPA04951 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1004336:1010516:1 gene:PPA04951 transcript:PPA04951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-163 MEVVAQPTSSSGPCPGSGPGFDPGSGAVFGTNSGSGPGSDPFPRLGELWSVCRLVYDGTSDDVIDCEDDEWEDQLAARAAEEDRWRRELANRPVPPLRSHSSIGDAYPRRRWGQSQEEERPMVIDQGRKAVSTGSQFGSMRRKPRYGSYRTLADDYNSDMDDLCDPDFYFKEQATQQQPYRSQSTVPYGAKSAELPRKQFGRARNDPADPLEDLLSYNQSSVARPMPASSLLDLNDDRDNFRQRNCRSVSHAPPKQTYKEKMASPFFRDRKLFAESYDPLAQPAPTEDWLDAKMKKLRSKRGNDPELVQRKKQERMLLEELKNAHDDREAARGRRELDYSVEGVGSRQEPIDPLAEYRREEERLRNTNSPFDLDSSRRTPKPLRSKPPTPPPRERSRSPTSRINTPSVDHHATSNGGYGTYGGYGNGHSSVTDYGRQPEYGSNGRHQPSTTVSNGYGRRDEEEEEYDGEFSTLRSIMQPQQHSRPDSRPILKQSTPSRAGYNTLDFSQRSHTPTHFLSGQERVANAILRAETPTQQFNQYYPAHTLVSGKRRPRKLHALAHAMQKCRDFVALVNVAHHALPQERAETPSQYGTLPQQQMQQQQQPQYKNNDWSQASGGYTDRSETPAFPLSMRETPLPFHPLLYASQNNLIGNGGPPGYSQTLNYRSASPRSQYYSAGGASGMSRRSSMNSIGECDVY >PPA04876 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:592618:593318:-1 gene:PPA04876 transcript:PPA04876 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKTTGLAVVFHWTRKALDVGVYAIQHVATAHDNDVLVALSFDLWFVTTELMCITQPWCLLCIYESDRSKVASTPKGYFIPGNVSRRTENDDCCEWSDEQEMKAAQ >PPA04803 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:247042:249156:-1 gene:PPA04803 transcript:PPA04803 gene_biotype:protein_coding transcript_biotype:protein_coding MNEALAHRLLLYEICTSCSLFCTVCEIAIAVERIVSTIDAEQYYNAPLASRI >PPA04937 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:954397:957053:-1 gene:PPA04937 transcript:PPA04937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-oct-1 MEWMGMSGRIFSGLMISMFFALAMVFLGVLAMVVRHFLPESPRWLVSIGRFDEAKKTLKQIAALNKRDVNVDDLVHQLRASRRSSVQAEDVNRHNVLDLFRTPNLRKKTILVTYIWVMNAIIYNGLTLNVSNLPVDDYVSFIINGASELPAFFIVWPLLGFIGRRWSLASCMLICGIGCVSAMFAPSPQDHPWVIATMSFVGKFGVGAGFATIYIFAGELYPTVVRAIGMGMSSMVAGSGLLLAPHLVALGNYWKILPLILMGFMSLSAGIATFFLPETLGAPLPQTLEDAENFGKANKTGGGLFSSLTEEQRRRISDCKFGAISRSASKDTNI >PPA04840 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:431839:434300:1 gene:PPA04840 transcript:PPA04840 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTILLAGVTAYVILYYRWVRKYPPGPLPLPLIGNLYHLSAETVHEYVDKIGPDYGHCLTLFLPRPVVFFTDFDTVKEALVAKGDHFIGRSHLPPEIYLHESPQTGVVMSDGDVWKEQRRVSLKIMREHGMGQNIMEAQVNRAIDEMLAHIDNINAENTAIDMTLPLQLCFGNVITETLFGYHFKHTDLEVFEFALDAVIQFIQSMRDNIWVLLVQAWPWTKHLPLVGRKGYSEPIASISKFRHFVQEEIRKIDASFFRSQDPTNFAQSYLLEMEKNPQLNMQHLHAIVVDFWIGGVETSSTTLSWALIAFMEYPEIQVRYG >PPA04888 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:641653:645740:-1 gene:PPA04888 transcript:PPA04888 gene_biotype:protein_coding transcript_biotype:protein_coding MGREKEEAKEAKRREEEEKKELKRREEEERKEKKRKEEEERKEKKRKEEEEKNEEKRKKEDERRKKEEEKEKKRAEEEAKKELKRKEEEEKKEMKRKEEEAAEEKKRRASNRFISFFKKEEKKDEKSTPSEEQREDAASGGLWFKPFPMKPFMTLAPVLRREPLAEGVDVCSYEGETPPKYLPSLKGRKLNFIEIRPLKAKLFQFHDNYRPPYYGTWRKRAKHIRGRRPLAKEDSLDYEVESDAEWEDEPSDTEECNSDDDDAEDEEMEEEENEGFIVGHCYLSEGEGDSGGDEDITIDAEREEAKKRTRVVLLSSDDEEDGEEKRGRRDGEEGGEGGESGTHARHSSSDGATARRSEGRRGRDAEEERRVRLASRADEFNESVARKKAILIPRFWWNLVGDSAAATAAGAAGVTVDGETRRGVVVRSDCPCPESVLACRVVTIEWPPVVDQEETPANLQSNE >PPA04931 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:929714:930642:1 gene:PPA04931 transcript:PPA04931 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGTYWREMLFYSPVLAHLLFVALFTFHQCCMARTKKVRKTALEDVLDKSFADKIDMKKLYPAVYCDARDLEQMTQSEEDADDKPKAQDKPDDASKKKNK >PPA04940 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:969415:969934:-1 gene:PPA04940 transcript:PPA04940 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKSGKTERNEDALYPDALRSCFQYNQTAVEAYRKTSSVPFDILNRSKEVELVPCQNGWVYDNTTYTDSIVTEAANEG >PPA04990 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:1267285:1269620:-1 gene:PPA04990 transcript:PPA04990 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQIHPFQERSYTREPALVDSMSFSQITPPPVQRKFGVAQSAAPPQPKLITVSKPPTVNGTSSPRAALHTSPPLRKPRSSSSSSHVAPLRTPIKAEITPPRSVQHASAHMPISGVFTATRVTDASRTPPTKTRMPRPLSLHEVRIGITPDEEITGIFHARPVKVLSRQSSSSSSSLSSNSPPGSRKNGVVQPPRFMMMNQMRRSESSTAAICTSRGKLQKLWRESEGL >PPA04894 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:688597:688831:-1 gene:PPA04894 transcript:PPA04894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rps-29 MGFANIWFSHPRNYGPGSRTCRVCSNHHGLIRKYGLDMCRRCFREYSKDIGFKKLN >PPA04781 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:130886:131538:1 gene:PPA04781 transcript:PPA04781 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLLAILIAAHTDRLLFDCNWIGAKHDSASALFIFEGPLICVNSTGKWITESAYDAPQLISCLTTDISFYAWPGQWISPKDLCISG >PPA04955 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1026363:1030380:-1 gene:PPA04955 transcript:PPA04955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sem-2 MTDEYGMAIEFKPEVQQLQHHLQQQGQGQLQHMQQAMQQQLQLQQHPGLQQDPWAAQVGVPDGMPFGSLKVSALSVTPYSDATNCKKSSTHIKRPMNAFMVWSQLERRKICEHQPDMHNAEISKRLGQRWRELPEDEKAPFVQEAERLRVMHMQEYPDYKYKPRKKPKKNPDGTPMMLIPGSGPSPASPEALAAAAAVLGGGATTGAVGGAGASRNTKKRPLSSFSCEVQNSQQQQTTHAYPLGKSMKIDHDGIRMTTVPPPWIQMEMDMKSPGIKLEPRHFHPSYPSPSEFSGQAPLTPESGFYDDYYSQGFAAGSPPGLILQQSQPPACMMQLQQEQQRQQLLMQQHASMGHFFYGHHPQQAAAAAAAAHQQQQQSMQQLQQAQQQQQVPSGSSPINGATVPAAPAPGQPEDDLHSFSSDTSGHFSSGASTSSRGDMATPPRTSTSGTALLTPLNSSSASSSTPDSAASSLPSQRNNNNGALRSAGGMDESVMIAGPHQAFVPAYDELLPTIDFLGTPWESIWQTHLNSSAAFSD >PPA04813 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:290683:291024:-1 gene:PPA04813 transcript:PPA04813 gene_biotype:protein_coding transcript_biotype:protein_coding MGESIAIKRHSILSIQRSTMKLLRLLLLLVLSLAAVDAFGFTTKGMRRRRAPELSTDKTSTYPPREVIFEPDNPNDNRRPR >PPA04786 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:143357:144950:-1 gene:PPA04786 transcript:PPA04786 gene_biotype:protein_coding transcript_biotype:protein_coding MICETDLQQDKEKQADQKCEDEKPEDPSMLLSLKVLAIFATCLPTLTVYACTFSAYITHADTISKTPLPKCPDVKIFLAPVSYSIASWHPQKELWMLAIMIHFPARALILIMVPRNWKSTVWRLSFYVAICVEILCLVTLSLFHDYSKAHQSYTIFCIAEYVTIALNAAFWSLVVADFCRDFKRFQIVPIEKTRKRNNKVAPGTVAPIQPCVN >PPA05011 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:1434361:1436617:-1 gene:PPA05011 transcript:PPA05011 gene_biotype:protein_coding transcript_biotype:protein_coding MILELIIAGIVFIVAYGYLINRTRGLPPGPPPLPLLGNALSLGEPMDHTFLKWSKQYGPVFTVWMPGPVVVIADHQTLQDTIVKQGDLFGDRALPINQLKMLADGPYGLVFCGNDVWKEQRRFALHSLKDIGFLSASLQESAKTYAQQIVADWKKEGKEGRNVDVTENIMYGVANLIWQLTFGRTLGFKDPLFNKVEEIIHRELRSDTL >PPA04793 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:208063:208694:1 gene:PPA04793 transcript:PPA04793 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNAISRLSNSYSRYARTWKYAIKYRAVADNYRFEKMTPNHLPLLMDLGKDAFQDESITLGTGVTFGDCRNAMEWKFSYSIAANAVTNISDICFDKSCGEAIKEIDDVRAQSVDY >PPA04819 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:309117:313150:-1 gene:PPA04819 transcript:PPA04819 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVSFEDVFVEECKRLGLPSTASRRQLPGIAAASFATAEGKQLKRSSPKDRSPIPEKKQKIDPPSTIPSPSLSPKELKNELKESNDQESPKNHEDERKRKGEETDSKNQETAKREKKRRTYEEEKKKALRKKKLFPAALPPRELKKLISGSEKRDEICNSLRELKSGEMKKDVIHSASLSEEKSKEVTPPPQKATIDNIVSTPKVPKKKEFAARRQEMKRSVEPVSPPPQASMEASSPIITTPKVQRNREFAMRRQGTTKKAKIFPSRLSLVPKPQGARSPKRQVACPSEYMEEETPSPLLHKSKNRKRVTLERYLDMKKNRVIPPTESTLQVHQPLESSPALQEMKEDSPSPPVQEIIEPTLASEAIEEENEPILPIEEAVESSDPDLVDPIEQEISLPQPQETPLESVEYIEKEPAISPSEAQENEVSNPSKNITESVEQDIIYLNDAKDMDTPDPVLVESTKQEQPISSSFPEESVTIPPHTESEPDILQQKQDDAPVAESVAEEIMPSNDPKIQDPLARACAICLCKAPRERVFYTDCGHVSCRACAELALFETGFSSSSIRNPQIACPFCQKTSGFAVLLEDHTFPEAKEVAAKKSSRDEYVEVAIREQRIQRFFNGKVSRKQFVKSYNKWMKITADRHEKKGGRAEEIYETELKRIQAILPHVKDNTNLALGAAEVTWLEEKERLISKLKKENDALSKLKFTDPHRSQYSSECTNCQEEEEPKKKFAFVSCGHQ >PPA05006 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1383992:1399590:-1 gene:PPA05006 transcript:PPA05006 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPAHKRQNMFKDEPFTFLQKDDERWDDLKSYYGIADSFPYENLFNRLIEGDNARQLFYVNEGVKQFILKNMKSLSIQNAGMKMFGRNENKVEKVRYRISQEGVDYLHRFMSNQKMRIPKEDMLILLRAAGKQDEKGVKALVDMELLQSKTELRTLKSGSVVLYVDETRPICAWKSVVATINLSAVSGLIGQRTAAPYIGKEERIHHLRLLGEDVDSMEAIEKTKRKQKAMEAREAAWAEKAKEDEATGAAIKGEDIEVEGGDEAEEKQVKRIKLDESADELKAEDEDMRSELLGFGVATDGQLGIRTSENEVSAPEHIVGAPCGHDGCTVVDVAAGEKHTVFLAEDGKLWSVGGNDVGQLGRGDSSQGSFTIYPVPPPTSSKFVQISAGRAHTAAITEDGRLFVWGCNVHGQCGVKGAAKWEKPKRVQELNEVIQVACGPDHTIVLTESGRIYTFGQQHDGACLQEPQEVKEFIGMPIVSVHAGGRHWGALTASGTVVVWGKNEHGQLGTNDTIPLEAHRTCVAVGDEGDSHSLFLTSDGRVFACGADEFGQIGSGKRTEVNSTPKAVMDLMGMHVTRIAAGRIHSMVAAGGRVYSFGLNSSGQLGQGHTRNLLTPRPIESLDHVTKLFVGWDQSFVLRAAGVADLISGPSVDRKSIRSLQWDGEAEKMLNDKVELIGQLESVFSSLSSINASFLYKDDRRFSVSSSNTGIALDDAMEYFNALANASVKDRKTLMDLCADMLDMSLFSGEIQPRQYMHPECLRIFLIVPWLDFFVHPTVEIAKTFHVKFCGQMADVKEEQMDCLTSFRMRIPIPVDQWWLDVPVRHFRRLVVGLVAGLQSGDSAECSVAAALAQWSNHDTFYINNLSSIVDIKQDYVRWVQAELSQKQTQFFFMKYPFIMNGIAKEELLHNATMDTNLSIPVSFRGMNTRIVVGDPYFAINVCRDTILDDTLKALLEEHPLKMQKPMRVTFKGEEAEDHGGVRKEFFMIIFEKLLNPDFGMFTENEESRLSWFSGVPGDESSFSLVGILTALAVYNEILVPFPFPLAMFKLLLRRELTLEDLTELAPTEGRGLQSLLDYEGDDVEEFNRSAGTWTSVFVQQGCSAYKLPYSTAVEHPPIDN >PPA04764 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:44155:46397:1 gene:PPA04764 transcript:PPA04764 gene_biotype:protein_coding transcript_biotype:protein_coding MYRQAAGTMGRVLLAYAGIGAIGSGVGRTLLNAWGEEHSRVYGSVFDGLFDGQIYLSDGSAFTVEKKLKYNNKREDSSSFHSRIVQKKGHQAESEISYDEDMYNAFKGLEKRLSACFTII >PPA04973 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1127790:1130251:-1 gene:PPA04973 transcript:PPA04973 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGPSSASASASSASVRLNRVNAPVPLPTVRVSEDAHDASGAEGSSNTITTTVSPFYTPGPDVLSPTPIRYPNGLSFTKWRSERFSSGSDSAVQMSPPVPVMNSSSKLHRVNR >PPA04831 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:360481:362445:-1 gene:PPA04831 transcript:PPA04831 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTEEDDDYIVDSREMSPLPIGDDVSNGVQSANGTPLKQRPDAARVPDMQLGRCRYVRLHDNVNYVAASLIVRGNPGEEEVLLIQEAKKKSYGKWYMPAGRVEAGETLVETVHREVLEETGYTCELVAPFRPVGSPISPSPPNTELAIRGRTANHGSTVLLGNHERPLPRYGREIDAIRSSCHEDKG >PPA04825 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:331787:332668:1 gene:PPA04825 transcript:PPA04825 gene_biotype:protein_coding transcript_biotype:protein_coding MYIPGKGVEISPESITRLAKNVKIVELRVEREGCDKLNFSAISALLSSFEHIHVSSQYNSITADELIMLKEIVRKSDDYVYLKICISEKTAYELLFNKDDFFEVGAGIGKFYFGNETDDEWTRRKFKTVHRFLKQEQTHRVVGDFKTVLDCNYGRYRISFYYDKDAVNAIFF >PPA04817 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:303763:306064:1 gene:PPA04817 transcript:PPA04817 gene_biotype:protein_coding transcript_biotype:protein_coding MIALPLLLLIAAIAQAGGIPKLPSDGQDSPFAIHAPRMNDPEEDAAPQSIYQSRHFNGTIDLASEISTIEQDPRVTVEDALIMALATALVMPFARNGRASADFGDLDWSLPVHNIRKRGDAYHWIPELLNGIFDSGRTVNVTGQLTCQDRLGIPHYMRDTFVVLYEKDWGGFGVLSMFDSHDPINITQTDVHGRFELTGYENELWGEHFFLKIEVECDSKLEWQQKCMDVQFLEQCRGESELSGFTVAHFFFDFERQIFTEEQTRAEYNLKCALGYKGWHETSMGNKYYT >PPA04868 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:547911:548585:1 gene:PPA04868 transcript:PPA04868 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAELSAVSGISPLNLLNFTQLTSAVTFGQTYYAYFFANSQNRRAFLKRGPIAIFQPASESSTALGSRECEE >PPA04773 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:100290:104220:-1 gene:PPA04773 transcript:PPA04773 gene_biotype:protein_coding transcript_biotype:protein_coding MSIADHIPITFVAVKIEVDHFIHNIKIQQSSIHKNINPKKWWGPTNQVHTNYERSTTKRFDVNTDDRTVKLTTATPSVTKPTTEKPPPEAPHAPAKEWIDDTEEETITKRVTNTTVKYNSADEEEIERLPAGSDRPRESAGGDDDEDDEEPHRVVTRRPPVVTTTKKKWIDKIVDGGMNLFTGGCHEAKKEVKKIKEVFTGTATTRRQSVPREPSDYDNDLDRPRKGGRPKEDTDPEDRDERTPVKNDDYPEDKDESEAGDRKERPEDDYDNPKDKTAAESSEDKDDKETGDGKEPSDDYEDRTPKEDEDKAPKPSEDTDEKEDGDRKDEGDDASKDDAKPSEEDDNDKDEKEGSDAENDNAEEKDKESKEDEPTEGGAGIESDAPKDEEKSPKLNLRALEPTSLMMCWPS >PPA04947 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:984752:985987:-1 gene:PPA04947 transcript:PPA04947 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEVADSSDPNYQTLAACPRKNVFGRDKKVIAPMAAAHDPNYQTMAPLQGNVFGEDKARRNNERYYSGKKYMKPRPPNLSKTVIVPTHDPNYATMAAMDKNVFGSDKRKKKKEKKKMQYAKVTIVPGSEAVVGANEPNYQTLAAVDNVFGADKQKKRRR >PPA04900 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:731681:732417:1 gene:PPA04900 transcript:PPA04900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cco-1 MAQLAVRATLALAKRTTGAAFSAPAAATRSYATEASPEDYGYYPDPLEHATGREKKMLLARLAGDDRYEPKVYYRAEASTKEKPNLVPSHFPDRIVGCMCEPDSGHVNFMTIRKGSPKRCECGHWFRAVDADPESV >PPA04916 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:853469:854155:-1 gene:PPA04916 transcript:PPA04916 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIVDEIPAQLQGKVEENENEILDLLSTLDGINLEDVTLEQMLELSKEDLVKRYARLDIATRRSLRVNEQLAEVEMECNYQYGTLDIRVEHC >PPA04885 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:630552:633367:1 gene:PPA04885 transcript:PPA04885 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVPLHNINGTNRNPFDMKRPGMDDYKDFPKRQKNLSGDGYADALAQGKFEMRLLVGSKSAGAVIGKGGDNIKRLRSQFDASVSVPDSSAPERILTMVSTIENLADMVKDILPRLEEHGSGGGDNPKIEVRMIVHQSHAGALIGRAGTKIKELREATRANIKVFSHCAPNSTDRVVLIGGEDNAISDACKTIMETIRDIPVKGHTKQYDTMNYDPTYINEYGGFAPMGGAPFRGPPMGGNGGPPGGPGMFGPPGGRGGGGGRNQGGQMGGGPPMGGAPFPPSNNFPPMGGNYGGGPPAQITTQVTIPSELGGTIIGRGGERINRIREESGAHIVLEPHTGAEERIITISGSQTQIHAAQYLLQTCVRSSMAGNTGVRPSMPGRKYIGDTAGGR >PPA04862 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:511300:519390:-1 gene:PPA04862 transcript:PPA04862 gene_biotype:protein_coding transcript_biotype:protein_coding MCPETVPMSVNELENLLGLPHQGLMDETLRSETSPVVWATPTPQFRSEGAFHHRKKVLKKINRAKIDVNNVEVDKNVNMIDSDDHVDVAVVPSKQVQQKAIRKKVLRKKLREQPALIDNDDYSGVKGYSPYPSMKKPVTKGPPRNKAVSFGITGKRHRTIHDGQKGQEILKSHKMLFRNRYNLRKYLRTIKTKKAKVAPINDDTVRALDWMMHSLAATDLIEPARMPTKQQKYQHARVNSHARAEINVGIQQAVKKTTVVDSIAIGSPHASPAPARPSKVLQRKKLIVQHKSSDPHIHDSDLISGRGVEGDSKMRPLHRSSALGISKMHHKKRITVTQQTSDPIRSTSVNKSNGGYTRPEFSGEQLSSGRENTGYETFSTTTPLPEYPPDLQANPPRQKSISPVLEHQPANLLPPPLDEALDQVRYPRNGAYGTGFELDGSSPDAASYIAAEATARPAKALPRRHLARGVTTVTGVERKTLIGGAYTSNSGIAAFPSKFVNQKTPPRQPVAGLPEQYLKSVRSGSSMVDSDALSQAAPKTALHDSDSLTTPVKKPAPFVMHEGSLNERNTIAAEIERLEQLVNSNKEHFNAPTLTDKDSKLALPKEFEPLLSDPRLFEDALKEIKEENKALGINESAIDALLLETSGSQASLISSKKRAGGDARWSKWGDWGECLCGQQLRTRACETADGTQVQPTNLGLALEARA >PPA04790 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:177736:194004:-1 gene:PPA04790 transcript:PPA04790 gene_biotype:protein_coding transcript_biotype:protein_coding MYAFILSECLHCSCPPLFDLAHAGQCRGLVAEETVYRDEAYASAVAKCSEIQAQPVIIHDDEAQHYWQTLQQRFDGKIILGLTCNTDTKKWMWADGSVLDYLPQEGHDAALDEPCTSTGCSVYMSTVGHWHVWCDHAAEYVTVCCTTQLTLPTPSGDGCESFEDDSEDGVCYQIIATAEDEQYAETICESFGAELASIHNQQENSFIRRLAVSKGAVNGLFLGGTLPIGSKNSFWTDGSKWDYDNYKAGYPNQQLGQCVYMDTQDTAGQWMNRECSDKLPAACIRKPGYIAPACSSSSYKEGDIITSPGFPFNSSSPCDYSLLVKGGKRVQIEILMLEANSCCDFLYLYDIDDSVIAKYTGKQHDKMAPTSSSNYVRVSWQPNGAVNVRGLMILALAAVLATLGMSIASFITINNQQSIIQSIQDTLNAQFSSVAPPAVTSTVPAAVKTTTALPDDDKTTPFEDTIPEAEPITKDDPRYGAYSGMSQLLSTWMNRSVNPCDDFYAYTCGAGVQGQGMSFDVSDDAITDTLVGVLRQPANSFNNDPLPVRQLKWFYDSCMTKVSPTNAEKAARSKQIFDDLRAANPGFGFPALYPAETTKATPDQLAAFLGYTIGTSGLTTLVDIGVDTDWKDPHNAKGGYAFLVDQPATQFAPTFYTKLYQDYTQDIVNGIFNTINSVGLLLGKDVDQVQAQKDAKDIAQLDYDLAITYSTDETTRRQFARSYNPYSVDGLQKLAPFLNWKTFFNKALTPISKTVDGSFRSIAMEVDKLAMLSADVASGKISSRTLNNYVYLRVLNSNYLPQKGDLITTGYLKNFLRDKRPINRKIRREPKKRDPMEIASDYTKQESSCASATTNYLMWANTRVYVDANYPKEADKKAVREQTNSIIRSILVAFRAQVDLLDWMSPASKKGAYQKIDNLVVNIAFPDWVLDDAKLTDYYKNLDIKQNEAYQAQLDKLNAFGLYEAFLPLINGAPTDRTDFTGPSAITNAWYQPEMNSITFPGGILHAPFYDASYPAAINYGGLGVIAGHELTHGFDDQGVQWEGTGILNGWMDDNSTKAFTSMAQCVIDEYSQFCPLGAGHPCVDGAQTQGENIADNGGIQAAYKAFKAYEALHGPDPLLPGFASLFNADQLFFLGFAQVWCQYPPSANSLQNQILNDPHSPSLYRVLGTVQNIPAFQKAFNCPAGSTYAPVNHCNVWTSEPTSGAPLNDKGEPIVPDNDINIAPIDRISPQDMAKYNAYQQVQGIYKASANYSVDPCDDFYNYICENYPGSKNTMSDLNLANDRIINDKLNDADYQATIQASTALTKLKTLYTTCKAEWEHSTIGTTDYLQPKVLKFRNAINQDIPIIGGTGAIDVAPADYGNALGYLSFQLGIDTLVSTGVDTNWMDPQGALGGTNGYQLFVDQATTYHVRAFYEDENWYKQKPGYAAQLKTLIEAYVKQMEKIDDIFQLQDTTAKLPADYVDMIDKVLELEKKIAITYSGTDDERRHYERQWQPKKLADLPKTVDWSLYFQQAPQVVQDWVAAKDIIVNEFDYTTKMFTDLGNTGDDTVVNYLFFRLLLDNSGLISTFNFADQAARRALAVKRGVPEHTGKSRIPGRRHPLPSFAIRSDDEDYEGEGCADDTAVMADAQGRVYIDARFPTEADRNQIRDKTQGVMNNIVNAMKGMIIELDWMTEASKQSALKKASNIQVNAAFPDFILDNAKLDAKYADLIFDQADSYYAMLDKVLLYSINEQFKLLTAAKADRTDFLGQTAIVNAWYDPELNSITFPAGILQQPYFDVNFPAGLNYGGIGIVAGHELTHGFDDEGVQWDYDGRLNSWMDKTSQDGFNAMAQCVIDEYSQFCPLPDDRSPHCTDGVRTQGENIADNGGVHSAWRAYEAHIELDGPDPMFMDRVFSQYTENQLYFMNFAQGWCMAKSYMTESYVSNRLMTDPHSLGPYRVLGTFQNIPAFQANFNCKLGSTYAPNQHCSVWVPSKMA >PPA04924 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:895342:908113:-1 gene:PPA04924 transcript:PPA04924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-smgl-1 MNAFHRIGTEDIPGGLASYRMVKGAPHVVPVVAPKYEKDWWESLSIRLPFGFGSFAYISSLSVNASFYLLGQFYLESRVVGVSTTGDVFLFALPSLSLLSSLAHVSRVARPRAAVFVYEEEMGVLFSSGRLIRCSTADPQLLMQTIAEGDQQSSECYSPRTQLVVPAERELYVLQSEGGPEVAISSQRSSLAARLFMLTIWRSLKQLLGMAVGDAASPLQQATQLLSLEFSLWHAPIVTLEEVLERTMRRGDFAKAIQLADTHPEAFDLLKTVPRRITDTENSWHIEKDSVYKRQWMEVCGSGKLTKEHVKNILGRVRDVRWKAERCLQTTTPSMHVQTELFKVEEGILLSAPLPLHVRLAHHGRVLAVTDDVEMYLEMREWPLLRVAEGLAERGSLVALSRLLLSNWPFFSAHCLSILSRLPSSIEPKRYETLVPTRDCRLVVEEKSNKYVAELNKVRASSKDGAREVQRVDEGEKEEEEERDEEGKKGKKERSEEKDMEQWAKARARTIEAETGIVPYAIQLLELCKERGCESLDDSLSRWKHLDLFIRITRSVSASLDFLQSAPVSLLGQHFSVLDDSSLIASLPSIIDLLQWHSPKDYEAVIRAIVVERTITSTRLLHALLREREAIVVGETIMEALSSLSLTGRPLIAELERMIDVIGEEKKAERKSIERVRAALDTLDAKGVHPTFGKLIASQTDAHRAREVLAQLVHCKRGERRDEEGWRKVARDFRQFLLDADISGDEGGPPLAISTLLTLGGGEVGAEEGPRLSAPRSAELLLRLADSFIASSVDGRDEQLGRARTLAEAAARGETKGGAKVAGSSKKEKEQPAAALLRSLDVIRLASELGSGRLPIQIKHADPQTLLDECIAIGDNYKRGTLCAKLAQQVEVATPVASAFAACALHAVERGDEQTLAGYIQKIASAKNLPVVHTLCMRLLATTLLPQERLSPHQQEQSKDGPEYPRHTALRPTIESCAIVNAAEEELEEGILDKMCLSADTALILMGKSAY >PPA04908 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:813209:813847:-1 gene:PPA04908 transcript:PPA04908 gene_biotype:protein_coding transcript_biotype:protein_coding MHYQNGPISEYRKEFQSKNDRDDSSWKQSQSSVNLPVLKSPACSSDSSEGYDNEKTMIERERTIITENEWAMRAIQSNQMNDVFRWDDGYRIQVANLKPADRTIS >PPA04871 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:559150:561026:1 gene:PPA04871 transcript:PPA04871 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSLLASLCYSAWAVCPSGFELVRNGECHRQLYDGQTTYAPNGALQAIDFCNDYGAIPVNIVNQEDHDYWVSVSRKDKKNGNQYGNIILGIYCDNTNHWMWADGREVYYEWKPEDYDEDLHERCGENGQYCMWTINPTTNNWQKLVWSTGKPISRKSSRSLANSQHFVTPLFPLSLVTVAVLFPR >PPA04902 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:734585:737387:-1 gene:PPA04902 transcript:PPA04902 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSVRNEETIALFRTLRYLFGKCYFRTFSYFVYRRRAGSERNPAADSTTLSAIPPNKKWRICNEIVSLLHAAVSGLWAGYSILVYQDIIKDMINYRHPVIVNLIFVSTGYLLHDLLDLLINERSVRIIELLFHHVVVLTAFATTQVTGMFLGIVIFGLLMELNSVFLHSRSLLNLYGYDKKSTPFKMIALLNMVTLLFFRLGVSIYLMYWLLTDCIFRAWYFILPCFFVIVSLFCTNTVLAYRVMAADGWFGKNRARQPSRSVATENTAVEEEDDEDKSSSDDERDDVPNGVSVTRPDGQQLVANGHAPAHA >PPA04835 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:379338:386974:-1 gene:PPA04835 transcript:PPA04835 gene_biotype:protein_coding transcript_biotype:protein_coding MGADKAAAGGGGDKKKEAKGFNTKKFLLDLATGGTAAAISKTAVAPIERVKLLLQVQDASASIAVDKRYKGIMDVLVRVPKEQGFSALWRGNLANVIRYFPTQALNFAFKDTYKKIFLDGVDKKKQFWKFFAGNLASGGAAGATSLCFVYPLDYARTRLGADVGKGATREYKGLVDCLVKTVKSDGPIGLYRGFFVSVQGIIIYRAAYFGMFDTLKSVMTSDGKKLNFFAAWGVAQVVTIGSGVLSYPWDTVRRRMMMQSGRKEILYKNTLDCALKIIKNEGMSAMFKGALSNVFRGTGGALVLAIYDEVQKLLGVEGGAPGLYDYALFSGLSAAFTRTGTAPMKRAKMLLQVQDASATIPINERYKGILDVLVRVPKEQGGLAALWRGNLANVLRVVPKISVEMAITGAYWKLFVDGLDRERDPWKFFAGSVACGAAGGTGIVDCLVKTVRTDGPIGVYRGFTAAVPGFCIHRAALFGLVNWLVPNAEKSPKRVAIWAKIQLAFLGAVVLAYPFDTVRRRMMMQSGRKEILYKNTLDCACKIMRNEGMTAMYKGCMAGLFGTCGSALLSQCSSDGSSNDRRHWSCNKKVKVKRFYVVRQSYVGPTGKASCNH >PPA04991 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1272462:1276645:-1 gene:PPA04991 transcript:PPA04991 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLVALLAEAGGGAAAGAGVGIQPDVDPDTRIRARLRASRDGMAQLDALREKHEKLMEAMRCGFKLSPTPEVKRRDVEEIQTRPYERDINGNGEMKSRERCRYEDQGYCEPYQHAAESLLIPVDKLLSRQQAQSRNSSSVSPQTQSSPNRSDSPVCISHRSSVSIDSGCGVSITSATNTSDGGISPQGSTAPMPYATYRKISMEGSRGGLPPLPDLPKSWTPRAPSQEPARNHEAIRNPGPQSTRNQASINPNPPRTEDPERPIPVMRNRDKPIAERARMFEHRSQIEDGR >PPA04851 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:474211:477151:-1 gene:PPA04851 transcript:PPA04851 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLLLAALAGSVTSTLVCPPRCIRPYTLYIADYLELIMKYGQEACAEDGANLPIIRSDEENDWYNRIALTFLQPKGMRIYLVLGIVCDEETQMTRWVDGSPIEYYGPAGSKYPAYYNCSESTTMPTSMVPENHWERLLKSDLFYFTSLCVIEEKAEPTEEVTEEPGNRCGDYERMDDGMDEETPCYKVFTEPLSWENAQRKCASDFGSLVAINTADENAFFWNVAASYNFTGGMHIGAHQSPDDSSKWTWIDGEMPITSKTYNNFIRSFPIAGSGRCASMATESVAAVWVNVDCDGVEQSFICQRGGAFFYVIDDRVRDFSKIPSSCPNAAPKSGVEIYSPSFPKSDISCEYFLTVDADKLVEIEIISLISERNSDYLELFEGSAGKTVVANLTGSLLKPTKFTTSKFNVLRVNWKPSGSADGRGFKIRYTEVGKVDAGGATTLEHFDTTTTMSATSYGIHSLVLTALIWFAL >PPA04999 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1335752:1338136:-1 gene:PPA04999 transcript:PPA04999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-55 MLQRPQQPPEEEPVPVIECVVCGDKASGKHYGQFSCEGCKSFFKRSIRRSLSYSCRGTKTCPVDIHHRNQCQFCRLKKCLKMGMRKEGSRSAANGGPAGFPLPPGLAYSMFTDLHSGLLPPPPSHISPHSSSSLPLLPPPAHLPLLPISLAPLLLAEGPPSGHVECADAAHLSPDAAMEICSRVLRATLVWSRRILASSGQEAPQIEQALLLHAAWPTLFVLQLKQAGALTALQILLADSGKKKLDEALEINERDDEKAEEDKEKPDQ >PPA04782 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:133375:134813:1 gene:PPA04782 transcript:PPA04782 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEVEDRVQIDCFWIGAKHDPASSMFVFGGLLMCENGTGKWETDAGYESPPIMSCLSSDVSFYGWPEYCYLFDVQENGSSQMIIVFQGRENYCASDAELQLLDGGENFRATFLNGTSSDIRTPT >PPA04964 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:1094195:1094788:1 gene:PPA04964 transcript:PPA04964 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRHPTRAAVAEWIELLKHSKTAATAVNCLVYISNAIKKKRLNPLRVQEISGSGHGSVVSQHPE >PPA04942 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:973617:973993:1 gene:PPA04942 transcript:PPA04942 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSLILCFVAVLIAAAAALPSAWPAEGGPAAGAAAPRPLTPLEREYLRELLAAERDFVLTNPVKSRRSPSDEGFDFTSALRSIDNIQKPRS >PPA04843 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:439474:442464:-1 gene:PPA04843 transcript:PPA04843 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLALIFTFACKATLQDCPAKSPRCPQDYKLLGGGYCIRILEERKKDTPDNLMARAQTECGYGASLPVILSDARKCKSSFLDNDIFNSNAAYAVKTPDGQTPAKLLLGFMCNQKTRRLEWADGSKVAYTKNNITSDFDCTKHLTIVSEPAKNDCRAPRHTVFNGAKHSMPNPPQLEVASDRADYTYTVMCVARFPAAAAAASLPPIGRSAAATLDPCWDYDRMDVVETGKAPCYKVRALESDLISWTCAEQSCENEGGKLARVWSEAENKFFWRTAIGNGVLGGMHIGFHSNPLEEPVVWRWVDDDTDVNAGNYKNFINDRKLPGYRELPITEYATSTETCPTTPPLENTQITSPGFPPNIPCDYMLVATAGKRVQLTIEFFESNECCDSLILYDGPIASPISMLAIRNGADLSESDKIITTVSSNVMLASWQPNGAMNIRGFKMSFKSVDPPAPEQ >PPA04984 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:1208117:1211502:1 gene:PPA04984 transcript:PPA04984 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMTGAPASPTVSEATTPPQNGSGKRGPPKPQPSSASSSEPSSENQGSGKIADAKEFAKADDEEFENDGGNTTMAHTKIRNRNLQWKEGDDKKAKDHRKKTSDKYRFSKVSGLRQSGSSGTSKEKEATSPYMTARNKQSPYEAKAVNLDEGIRKRRKSGKRKKSADKSGKSGRSGKTEKEKRRTSNQRRKGVVPNTRTDDTTETRSPGRRTSPANTSPVQKTDNAESPALEATPQKSCESLASRESLSRETLSREQIEPVGPAAAPAAAGAAAAAATPAGAAAAAATPAKPEEKKIECPEIDTTSHDFVIAIKLMEILKRNNILENALFPAQNETLRAFFEGGMKDPDRKIMELISKAMDFVLDAVFFKGEDMDCFIDNELKTFIVDRFKSKPILLDVIISRPEFLPQSWGGRTVVLRQTEATVLVQPDVSYRLLSHFAILSRFSN >PPA04938 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:961873:963031:1 gene:PPA04938 transcript:PPA04938 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSSTTNSVCSVCGAETASVHLGVQACRACTVFFRRTRDRTNPYECKSGGKALICRVVKQPISDSVPCKKCRFDKFTQLLKDKKMKRCSSKQTANRCTASTSSDQPPLPVYDQQKDEESVIDKLRAAYRMVGLVRRTIVA >PPA04865 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:530753:534104:-1 gene:PPA04865 transcript:PPA04865 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHEQRDGILETQVTWADLERHLQKALKTSATLGPARNVVEIGEENGFASRCGLVSCDWVGAEKDEKLPETVVLKIPSALPMKKMGVMQGDAAMWEGYDKKLRELHNIEIAAYNFLGKFEGLSIPRMYYGEVFTEEHCKDKLNGQICIEFVENSRVMNFYVEHTVEQLKQIARALGKIQACSLRKEISASELKTNMLADFAKTVSLEAFRGMFRALLSLDSNEETKNLLEKIEEILPKYYGSILSSTIHKQMGLTPVLCAYSGVGVTDLLRISLFALPAKERRESASQLVEEMYTSMVENLGGAESPYSLEKLQSLYDILLPHSATYFAAGGIVFIAKQAANAKLSMEEKEKRKPVQMDKVIGALEDILVYHGKNKELVKELKFKDQ >PPA04866 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:540629:541432:1 gene:PPA04866 transcript:PPA04866 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKADVDAFFKKFEAETGGYGLTSAQVANIVELWTNFSSNPSKNSVTVAELVEGIEAMRMDVSKEVPDITNSDQDAKGDNTNSREKDTRRSAVRVSSKKGHI >PPA04854 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:485830:488735:-1 gene:PPA04854 transcript:PPA04854 gene_biotype:protein_coding transcript_biotype:protein_coding MECSKGKGWRPQGSHILRSESKTKELLRLVKKSGVIFTTVWAGCPAQYQLLENRCIRPLHLWANDTIIDLQPQSQRDCGKDGASLPIIKSDEDNEAFIRITNSFDDIKGWNNYLMLGLVCNGWTQQLQWQDGSAVDYSPGLSLNFDCTKNTAVSRTKFHDWKLVSVEDTWSYTVLNYAVQLMYFGSVTQKTVYDQVSATETSFQSPNF >PPA04970 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:1120251:1122408:1 gene:PPA04970 transcript:PPA04970 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLLASLALIGTVDANQDQLRAEMSSWQPCPNNHQWSMQNHRCESCPGGTKAVQKFNALLISHVLSPTYFIRFEGIVGACLPENEQIFEGGWNYKTIYMLIQFIQNNGGYERALSPIFCDGLSDCHVCDGVIYKSTEACPTVAVNVNRNLCPLPKEQVFDFTRKECLTVSPATGVTCMRDPEGYCVATDYANCVLFDSYGRCTKSK >PPA04945 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:978670:981857:-1 gene:PPA04945 transcript:PPA04945 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVILLVVAAFVYYFFINRILGLPPGPPPLPIVGNMMSFDWELDKVLLDWKSRYGRIFTVWLPLPMVVIGDHKVLQEHVVKNGNNFIDRKNPEQLMDLWCGGLYGLAFEDNDMVREQRKFVLKVFHEIGFYSPALEDTVHNYAVEVGTRWGKSSGVVDVTENIEKAIGNVVWKLTFGIDLDFDNELLLKYRKLQVDFLPLMAGPLMMFVELFPLLRKFDFIFGNHIRRLRHLLQENNRIVDEAIAIAKKDFYPDDTPRSFVEAFLREMKKNEEMGKPMGKNLKTKKRFIFKNLIIVDSGFETTVGFLRMAILEMINYPETQRKLQKEIDEVIGERRIRYKDEKQLPYMCAFIQEVHRLGNVLPINFLRATSQDTEIEGQQIAAGTTILPQFSIVHGDPNEFERPDYFCPERHIDEQGKFIKDPRINPFSVGKRACLGEHLARMEIFVMFATFVQLCHFTPEDKVPPPVEFNYGFTRAVKDFSVRVYPRVHQEPINSAN >PPA04988 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:1232078:1234577:-1 gene:PPA04988 transcript:PPA04988 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKHEKPELGLQIDFQYYLSQQVHPVVSRLTAPIEELDAVRVAELLGLDGAHYRSKASSAAADNDSAWQETFDHCEGIKITCPSCEHLNEIREMFMGEGMDRRGALDCCEKCEAPFYRAAAAVYNQFSRQLSSLVEKQQLAAYVCDDVVCAHEMRYVVVVS >PPA04926 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:917149:920357:1 gene:PPA04926 transcript:PPA04926 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTATLVIPQFFLVVTALATLCFTTRVIRPFELIHGNCKILLNLINFAQICVVLSHLGKLFDLAINGDFSQEHPWYIFTQLLHEFGYFLCSSTEFIVVIERAVACKLLRKYDQSPTNYPVFLSLSSISCISAFLFSYLVHIRKRKLECTIFAESMDLLTLLFACLCHWYSRRRYQHMLFHSTNLGSRYQLREVAEITRALIPISIVSVTVKLGASIMVWARIIYDEELPYVTMMSLYQSLHTLNAMLWCVLILFRHRGLRQKSLDMLRRITFCQWEWLFEEEGARNIPDIDHSTNHELTQAHFDLLSASWQNFPKA >PPA04915 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:851569:853250:-1 gene:PPA04915 transcript:PPA04915 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPHAEVEKEFQLLGKNTHFNKSRSDDREAIKAIGFLKAKKISIDDPSLDDSELQSAVQNKEEVSVCESNVTDYNWIYEKMRDRQLDVTFIRIESFNVDDRYNFMTGLGHFDKDENKFYATNPKQEVADDDDLVTITEGRLQIVCCGYKENEDGYDSGPEGPYSDHGIYGFVSFEIFDEVDGASK >PPA04798 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:227473:232990:-1 gene:PPA04798 transcript:PPA04798 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPHFGRPHLVYLLLLMMKMCNGLPSTTISQYTTTQEPASTDRSDYSTQYHVDDNTDQPFEPNIRPGPNEIDTTALTTEFATTEDGIERYACPEEFLQLTIDWCFHFRYNIDDRLDFTESQDYCSIKDAILPAITSEDVTKALMLERTTVMGISPFEGFYIDPKYCVHWNDTVEYGSEFQFDQFGTWSLPNQMSKLASLVVCATRPKGYSPPNPIDAPCTDSWTQLPGGMCYRLYTIQYPDTGLDVYDAEMSCRSMGGHLPAIRSQDQSNLIQAYLTGKGKQNSQFWIGLYCNFGEDYMPGDTGVRAWIDGTPYTNDFTNFLEDSDDTVTCNVAVVDTFLYNGALKGKWQKENYTQLLDTIMCSRPWLRALDSSHSSDPEPMPGTSTATTRRTIPPPKTTTNAPEDTTDDGTTSSSDEPEKPEHTTTHAPTTSGSPDKPRGLTILELVLIIILVQKRFSRSNTNAVVRTDEWEVKRQFVGIDYSRQLGRGAFGSRVFSGNIPEMAVKTLLQLNTLKKEDDFVAVKTLHETADRQAASEFLDEINIMKKIGFHERLVNLLACVTETEPLLLVVEYCSNGDLLKFMRERRMFMLKLKDTVGHDIAGRHSVITQKQQLMFGIQIAYGMIGKNELLQEYLSQRGFVHRDLAARNILVDANETCKIGDFGLCRQISGESEQYISRGGRLPWKWMAPEALERFYFSVESDVWSFGVLLFEIITLGGNPYPEWPAVENATTTTNIFRYEIMLDCWRCVPMQRPSFEKIRRKLAHELEESSSDDYYLKLDAAAKYYQEALESPRMEGRFNSF >PPA04994 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:1302850:1304861:1 gene:PPA04994 transcript:PPA04994 gene_biotype:protein_coding transcript_biotype:protein_coding MFHQLNGGKKFSKIDLKDAYTQVELDEESKKYLVLNTQKGLFQYQRLPFGEASAPAIFQKIMEQTLDLSTLAAPMNALRKKNADFIWGKEQQKAFMEIRKRLSETDVLTHYDPDTPVVLATDASDYGIGAVIYHKYPDGNEKVIAYASRSLTKCEKNYAQIEKEALGIVYGVDKFSQFLYGRKFTLLTYHQPLVRIYGPKHELPVIAAKRLHRWGLKLMMYSFDIEYRNTEEFGNADGLSRLPQETELPTIQSVKDDDEITEWDKKTLQCLPISASSLVEETQKDPILKEIFADKKEFLSQGDGYLMMDGRVVIPTKLRLTILKNLHANHYGVARMKALARMKVWFPRIDTAIEKMAKSCPNKLVARSGSYQRTRK >PPA05016 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1468093:1471800:-1 gene:PPA05016 transcript:PPA05016 gene_biotype:protein_coding transcript_biotype:protein_coding MESVDFKKRIFKDMDAAAAPSTILASSTSTIPASVFTEGLQNRFRCLVVHPVNPPLYLTLTELGPAPWTDASVVDRAYEIMESIGQAPIRLKKEVLGFAVNRLQYALLAESWRLVKDGVLSVEDVDKVMSEGLGPRYAFYGPFGVIHLNAAGVRDYCTRYAGGIRRVLDDAGETPTFDEEEVIGELETSLQRSLPVERMGEHSAERAQKLAELAKLKRKLKKD >PPA04802 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:241223:241857:1 gene:PPA04802 transcript:PPA04802 gene_biotype:protein_coding transcript_biotype:protein_coding MNAYPDIAGRFEPVAALKVVRGPELASSSKRKTSASGIGIHWPAVLVQPRRPLTVIWMKDY >PPA04891 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:669763:670519:-1 gene:PPA04891 transcript:PPA04891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gei-17 MKTRMTIAARSRDCTHLQCFDLDSYLMLNEKKPAWKCGVCNSSAPYHKLIIDKLKGGNGAAQPGCLIPSRLKGSGSSTEGKEGEE >PPA04797 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:224078:226585:-1 gene:PPA04797 transcript:PPA04797 gene_biotype:protein_coding transcript_biotype:protein_coding MKSILVIFALIFSFGYASIDFTSSTMYDINDFLHKDEVPIAKCDNGCLIYASTMGSGFDQSPSGWDPYSENLIIHDRTNADKITYGIYDVINMNPESSDYPQRESNLQPNSVTARLVGFDNALDGNVDECPVAYSTTKQALSFTVYVDGPIVSLSFAEKVGITARMQFIPSFDLAYPGFITSGGWNGCKKPASGGLQSFRSLFVNTDAVYLLTSQTDKYNVSMDIEPNLDKKNAITVYDLINRRDEEVFGYEKKALQFDNTSNFNIIFSGLKGDQGFLLRYSAVVLPRPITTAAPTTTSQTSTSTALTPTTTSGSSRLESMLLTLAALVVAGLI >PPA04763 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:42341:43579:-1 gene:PPA04763 transcript:PPA04763 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVKETPYIDNPNKEAHWLIDETSVDNSWRVVLYSSVGYFLFALIVRSSFKMGVFKKHLHDVFLILFFALFTDVVEFRMRLLIIFDLQYRGENYGGMMYIPTTVTTCTIVVNLIYAHFMITYVEAIVTIHRFIARICGRAISLKFVYIPLGIVVAVNVNGID >PPA04818 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:307342:308293:1 gene:PPA04818 transcript:PPA04818 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVHVTLWEEDLSFNPAFDPHDIIDTFGPTGKNGKFKLTGNHSEIFAVRYFISIDAPCEGEGEDAWQHSCHAKEFVTKCASRYNGLQPKSAFFTHRFEYTTDGAELVKSEYSLDCGLGLAFTDGFTCGGSLCKGEWCGHPSD >PPA04877 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:596248:598231:-1 gene:PPA04877 transcript:PPA04877 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAEAIPEFILFFYVEFLMRTRKFGFLQIFKKQANSWLVEIVFFGHNSLRNVVILGFIPFALNRFMALRSPTSYTNTWTPRFTLFLILLCWIGGFVLAVPIYFYPSANFSYLPNKYGGLTLDASNDVLDYDSISAISTVSSVFGICSMLYLLSFLSLRRVLSSVAAKSSTRAKEDFLLMLSSVLTFSMM >PPA04882 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:614637:616733:1 gene:PPA04882 transcript:PPA04882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gsa-1 MGCSSSNAQDADLREAKKVNRQIEDQLAKDKQFTGGGHAYSRRNDLVVVTQATTVLNVKEVMRATHRLLLLGAGESGKSTIVKQMRILHINGFNEAEKKEKIVEIRKNVRDAMMNFASPRSYRN >PPA05012 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1450666:1452545:1 gene:PPA05012 transcript:PPA05012 gene_biotype:protein_coding transcript_biotype:protein_coding MSRANTLDSAALARVEKIPRPRNLSFDLPTDDSDPGVNSASSSSAASTCSDRSYGRGTPRKELIIDTTPTACPVYGLLTPKPSASARSRRIGRIREISEPGFSRAGNSSSHEEAGEWRAVVMSFKRQPTLCYRREDWVVLR >PPA04998 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1332863:1334239:1 gene:PPA04998 transcript:PPA04998 gene_biotype:protein_coding transcript_biotype:protein_coding MARILPLLCILSSLPIHNCGNLLETIFDDVVDTLLQDLVTDYGLTLSSDDSDFTLHNELVKFLLSSEEAQSEVLTGFPSEYRAIPRLLRELKVIEKREMVKLDENDQEVMKAVDMFTNYVLRIKPMAKLIRKNIASYSQRDLSKEEIRREVAEKRDELLRLWDSDLLGTFGDSSPNNLSQLNEVLISLQR >PPA04895 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:689345:692656:1 gene:PPA04895 transcript:PPA04895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gld-4 MRADVRDPDGNCMAGDEERGDQPCGSTSSDSNSNGDVKSPKASVTLKNHAVKTLPLKSANGSAKTCKYATKKLKTSFRQKTMLEPVKSKRKAGGEDSIEKAVHIVTNDEESESDDEYVPGPSTSNDIDFGYYPSKVVPWATKNYYPLSIDGLHEEIVDCWQWIRPTRLETATRYKVFEKVREKIVNMWAPLPVKVSVFGSLRTRLFLPSSDIDILVECLEWTQYTDVQLNTNVMQPTMHYLKDHFDIVSFHPAAFVPILKFSDRGTRLNIDISFNTVQGVKAATYMQQASRTIL >PPA04898 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:726840:727896:1 gene:PPA04898 transcript:PPA04898 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAILPPSSRIEKERAAAAPAAAAAAPAATIYDRHLGPDGRLQPLQPLQQRQEREDDERSELLLRQGDKTAAALQRRQGEDRQEPLKEVARRPELFLPEDDEAYEIRLTTDYPDYDLPVRAGWPPPQEHFPSVYGERRPVQQPVVRPIIQAAAPIEEYRPAVAAAGEVFPFVTKYLNTYPR >PPA04839 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:430270:431365:1 gene:PPA04839 transcript:PPA04839 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLPATVSLLVFVSGVASQCTGNDARNCGQLRSQGFCTNTRFTLQARRRACGVTCLQNFCLRPDFTTAMKLRLCCRTCRPILTRTTTRRTTSTSTASTTTTTAVETTTPESIISTSPSLQSTIEPVPPTPESVLVVTDAIGASTEAVRPTPESIIVVPSVTDAPPLGATSTNEGGPPTPDSTAAPVQASTEDPVPPTPFPEPATRA >PPA04919 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:863365:864422:-1 gene:PPA04919 transcript:PPA04919 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAMTGGVDALKCQCSRSTDSAHCSQQLCEIKPRNGKVPACVFVRDGPLQHYACIRVDEDNEMGCRVMKGKKGGHKVSDEL >PPA04867 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:541540:543487:1 gene:PPA04867 transcript:PPA04867 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKYEPVLMKANEIRGITEAELRSFADRKGYDVLALAYSNEKNKETMEKAAGILVRREANTKIDGEPRFIRTFHMIVDKEYSIAGVLDRKNDIHHISLYLHHGDKKSEERLRGAIGAIKEELKVFPQTNDEEILKNKRNHGFNHPYCDNPKCDSKCEVGRIRLPMLSPSKRKRKNNNLAVQQLFLNTIKTSNQKTVVRLEQDNINDNILNDGDFEEKGNVVKYKIQVARNNAKRSSPASEQSPGPSRPAPIGNQTPTSSRVKKPEVEEEGDD >PPA04918 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:860140:860709:-1 gene:PPA04918 transcript:PPA04918 gene_biotype:protein_coding transcript_biotype:protein_coding MKVACMCRDADMCNVDLADRVDDGGEESRVLKEREHDGIGDSDRNSIQLLLRSLKMVAHSGFVDTLKRASPMLNSGKKLGTSSILMLKAGCCDMCPL >PPA04801 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:237774:240233:-1 gene:PPA04801 transcript:PPA04801 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFLLLALFSDVLAEDRNVAITGRLGCINEAGAWIPMPEAIITLWEEDYGFFELNGARESHDEIAKTSVDANGTFKLSGSHSESGAVRFFISTQVLCNGVRVGAWRGGPCADEELKARCAPLEVSGGKYARFGDAWFTHLFEYNPDGEENVKDNYNLDCVFGFKNEKGTMKGLTCDGSLCNGGRCGHERSMGALTMTTPDRG >PPA04767 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:70555:71623:1 gene:PPA04767 transcript:PPA04767 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVVCKFKANFVPISYTSDFLEWSFEEVRPLLKRDSLNVDDDKQVLEAATRWIGHAAGREIYAPKLLKCVRRFNEKLKDKKFNQTHSAMIDPNFKIVLDNVKEKIWSKNKRRVCDDAHQLIVSIGRFGKPAYKFMTRQRTHGQIYIFDGFQSDDTSGYCFDTVDNAWHSIPGPTEKDQYFSATAFIDGTM >PPA04774 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:105962:106808:1 gene:PPA04774 transcript:PPA04774 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLFISLLIFAVVLNAVEAVKTDDVKSINNDDIILPIHIDHDNCTLNGIYLHSGIRRPMHLDQGINDTRNENAQQFAECAKEIIEILKGVVQPNVSSEVMVSKIKRVMHGFMKEKAGTENDGGKEMKALVKLLKKFPLDQAKKVILRSLEYLNTN >PPA04861 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:507059:509485:1 gene:PPA04861 transcript:PPA04861 gene_biotype:protein_coding transcript_biotype:protein_coding MITIVRSERIFNKTSLDLRSCLHRMTHRWLTNRLNFADHQNWPRRSAKFFDPSSTLKLKEKVPESYKGSFFLTKEYITQKVLRLHLNLRTIEVRRIDADFLVEEFVNYDSRMMPDVDRIYEVINQIPYFRNVRPPRYLLGHGGDRFQVNNFNTNAITHSPMRRANFEMILEFAMNVEYFSKEKLALKVKETLSNNFPRNFTEHWNDQEQRIYYGLLPNRNRPVLSHRLAN >PPA04811 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:286290:288111:1 gene:PPA04811 transcript:PPA04811 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLLLISFCILCSTCAAQKCARLPEAPIPSGITKGEFFERDFEGITTLECMADVRAQYDVDGPSVTMVGELTCDPSTKRLFINWTTFARMPIAVTCTTSSNNERCAAVLNNDGDLALVFSDPPSNRLCPPNTVMQQPDGTLVKNFTCGEWIGNADGSYENTYSVELVNGTKNGLKQGWASAVYGACGATLPIKRWKSRINDDMMYGENLEKNDWCMGMVQDGGQVQFFMWY >PPA04858 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:498751:499501:1 gene:PPA04858 transcript:PPA04858 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSKKTPEEQDLNRSLTPEPVDTSSNVKNKMPVPTEPTTAVAKPKKPKIVFDHDKLVKDISNAVEKKMKKTVLTVVTEGVGLLKDHIDSLISELSTSIDSLSDTISSNLVDPTPIVVPATVTTPSTSRSPHVTTPIVAQSNQMTPSTSRYFPNRANVNRSRSPPAHHMQPYRNPIPAQSRRNHSRSRSPITRNQSNLPHH >PPA04976 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1152226:1157535:1 gene:PPA04976 transcript:PPA04976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-abts-2 MHPAILEAGAPIPRPAWMEKPPVTTTDIPPGLEYLVNIEFVRIKKVVEFENMTSLFVPNRYVVENSKGEQFTFSLTGQRDERIFVTTEQPNSLRSTVRGAARGYKFDMYDKFSRLAFRIERPEQDSCDCCSCCFGVCSSSGVRSIVESPVGTLAGVILSKPSLLSATLAIRDGDGTEKILVRRTDWFSSFATEVQFNVDPIARNEHKAALITGRRRGKSNVRGFLDIDHILETAVIRLNEPAQELQEIISTTVKVASKLIKKQSPSECNCTLECVTRSRVAIARLAQPSHCGPNMEKLQLVFLVATPIIEKSTKSAMETARSFSTLLMDDGLCTELIYACDEQSFKESMRTAAIIKASKESELRFLNGNRAQRLGAPYRPFTGLREDFARRLAVYKSDWLDGMISSFKTQKLNSFSGFRDLQSISKVCSTVFFLLGAIMPTSIAYGMLNDEHTTGLISVQKVIIGQAIGGIFFAVFGGQPMLILSTTAPLSIYIHVIFNIAQSTGWPFYKLYTCVGLWCQVFLILAAIFQAADLLKFTRRSTEEMFSLFIAAELTFEAIKSMVQAYSSNYEGCFENSFQGNCLPDAALLFILLVLLTCWMSVSIDRFRTSTVLSKGIRNLIADYAVPLAMIAAAAISRLLFFNVPKKIFALYDTADFHLTSFWSLSPYAHITCFFLAVPLAVLFFVDQLLVTKTVDNKQNKLAKGSAHHWDLLIVAVLNIFLSLFSLPWMHAALPSSFLHLRSLADVEEQLSDGRVQQV >PPA04935 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:949728:951376:1 gene:PPA04935 transcript:PPA04935 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETESLQTATAIEHEIEQKIEQKMIAIEPTVTKSAVTQVVSKPNVIVKETIHLFAGTLSYSTRSASHVTYEEVLPPVHLKDGWIYVDEEGILAVRQFDFAPSPVKDIQLYTSCTVDPPVDFAIPVVKKATHTTNASLITIEKGELKLVREEIEEDEGMFEEFWEEVRVEHYEEEQRRILHRRKMMEERIQETRRIQERRQMLLKRIEETKGIYAYSARRSSNMSMESSPASYRTMDSHISTE >PPA04787 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:147129:148073:1 gene:PPA04787 transcript:PPA04787 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLPTTVVLLALAAGVASQCTGNDHPSCSSWKANGYCTNTAQSMDMRKKYCGVSCGYCNTDGSQTAAGGGSTLTDCVDANANCASWVASNNFCARTDYSNSMKLLYCCKTCRPVVFATTTTTTAAVTTESTTPTPAPPG >PPA04966 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1100018:1100918:-1 gene:PPA04966 transcript:PPA04966 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFHEFHYNQALEKRIEKTSDEECEKPTKLGAVISIGTGVEKDNGSPNGWRVNAFWRSLKIGTQFFKQIGRADGDVVNESKTTTKILKGNFFRFSPLVDKEVGLDETNNEELIEMMWTAKVNYPCDT >PPA04829 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:353550:354560:-1 gene:PPA04829 transcript:PPA04829 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAFSHRTYVANAFEETVYAMVHADRAHVYERGLYLDRECTKYSEKSQLVHIAKSDGYTAIASRSEVHFEPTNTGNVYVTIFAWKRGRMVNVCQAHPLDTNRSVIVDKNGFLCNTEMGEIWVDERGHNHKTCTVSELERKATL >PPA05007 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1402812:1405144:-1 gene:PPA05007 transcript:PPA05007 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKNPDIWPKWTPQEGLGLHKLQLSIARRSVQQLKVGGLLVYSTCSMNPMEDEAVVAQLLREAKGALRLVDAHPRLPGLKASRGVSQWKVFDRDMNEYATAADIPAEGPLTRALTASMWPPSEEEAKEMNLHHV >PPA04778 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:119078:120499:-1 gene:PPA04778 transcript:PPA04778 gene_biotype:protein_coding transcript_biotype:protein_coding MTCCTESGKISGREPILFLPIRIVGCLAIGLPTISVFFCVVSSFITHANFISNYTICDEGVDSVLFDRYVRASEATLDVHSSGAVTRAGVAHSAFIMFCFGEYLLIALNATFEALVVVDFSGDFQGFQVISMERREQKDSKTKKPAQIQPINNTML >PPA04757 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:17744:19880:-1 gene:PPA04757 transcript:PPA04757 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSKVLSGSHDPPSQTKNSMRERERTIHHDASWTVTDYFIYQPWLNVLLSSLGYLMFGLMVYACKQKGVLRKRMHDIFLLLSYALIVDIFETAQHVYFINKFGEFDFINTGEDDKMRLTTTTSTTTCTLVVSLFYAHFLIVYVEAGITIRRFIGEKVVRATVLYVMLGVIFAVENDKNGMYPDSHPDRVHSVPFKNERVVPLRSHLLQSILFCGAVFNDQFFRDSFHDGNHTHLLHNLDHQAASEKAARFGRNSSFPPLLLLNVGTPHQPPRATIVGHFIFLALLFIQDFRFPFLCLLSVMIVSEIRGAVSSCIADVFRRLGGDMQPKGGALLNTAEIEEKENDIRLDNVFLKYDRA >PPA04848 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:460647:463374:1 gene:PPA04848 transcript:PPA04848 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLLFLVTILQLAHCQSTCPKGYDLVRDGECRGHAKTATITLDLGSSIAVDTCAGVQPSSKPVIIHDDEHQDYWKAFAPENTSEGFLILGGRGSPVSADFYCTYQIAPSPPGADGCDNFADDEDDGVCYQVSPATENWQDAHMTCKKLGAELASIHNQQENNFIRRLAVSKGAVNGVFLGASVQQDGSFAWIDGSKMDYENYYPGFPKKNFGDCIGMDSSTSAGQWMNVDCNSQLPVACIRQQGSTEGPVCTGDDYAEGTIITSPGFPNTASTECDWFLTVEPDKKVQMEIILLEANSCCDTLILYDGYLGGSVIEKLSGSIQNQTYTTKTSNIMRVSWQPNGGVNVRGLAITYRAV >PPA04789 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:168155:170078:1 gene:PPA04789 transcript:PPA04789 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIVNAMKGMIIELDWMTDDTKLKALKKASSIQVNAAYPDFIMDNVQLDAKYADLVFDQADSYYAMMDKVTVYSINEQFKLLAAAKADRGDFLGQTALVDAWYQPELNSITFPAGILQQPYFDIDFPAGLNYGGIGIVAGHELTHGFDDEGVQWDFDGRLGSWMDDESQAGFNTMAQCVIDEYSQFCPLPDDRSPHCTDGVRTQGENIADNGGVHSAWRAYEAHVELNGPDPMFMDRVFSQFSENQLYFMNFAQGWCMAQSYMTESYVSNRLMTDPHSLGPYRVLGAFQNIPAFQANFNCPLGSTYAPEKHCNVWVPTTMA >PPA04794 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:208817:214231:1 gene:PPA04794 transcript:PPA04794 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPHYREPKKAPFVVPDVTMNKKEAAFFGIMDSVFSKIWDSYPNEEVVIEPTLIFVDKTHRSSGLYRMWMEYCLDFPTMAKLTGANICATLCSSRKTKGWLEKNGHTLVYTTGPNVTNLKGESVALPEGPLHLYAADLHTVKTINVKPCWEMMKAVGMMPKFLHRQFSTFLMEPLAHPKCCRLVRNGRAFEQPLTIGDQPRFGRSFVCKPETEHISNDYSSKKENTGQIASYLSNYACVCKDFGSRSKSFYESIGHVNLMNTTMSYCDMCAYALKHGGQRSGMRLGYLRLARRLEKYRRLGYP >PPA04812 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:288573:293148:1 gene:PPA04812 transcript:PPA04812 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPCLLLAALSISRAQGDECPYRYDYTQSVDGGCFKLVSLEESLNFTEELYFITDQAIALGWGDDPYWLGLSCKNGLLKWTEHCWYSYNNFYNYDDTTCPEGDENAHFIGSANDKYWRKAPDGMVTNKIVCSISSIWNGCLPSDPDNLGRMFATEGIILGVTALTVFVLTCAVCGCVCMLGRRYRKKKAKEEEEKQWRQAQLEAAQLSIQTNGEAVTPKALERTASMRYTPFPRAEGAGKEFDEWEIDRRHVSIDYTTKLGQGAFGSVYLGIVDNSNIPTTTGKSIIEQSALKKDNNAVAIKMLHESADKMQQMQFFEEIDLMKRLGYHERLVNMLACATQSEPALLIIEYCAHGDLLNYMRERRQIMLGSPEAISSIDRSKIITQKQQLMFCVQIAYGMEYLSQRGFVHRDIAARNILVDQHESCKIGDFGLCREVEKEDEHYHSRGGRLPLKWMSPEAIEKYDFTIASDVWSFGVLLFEIITLGGNPYPDWPSAEILNRLKRGRRMERPDNCTDHMFTVMNTCWNFEPAERPSFSELRQKMGVALEEVSEEDYYLRLNAQAMYYTDQSIFEQDVDIDDEETDETLRL >PPA04977 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:1159278:1161780:-1 gene:PPA04977 transcript:PPA04977 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-blmp-1 MHQMQSSQQQQHDHSSQQQQLQQLQHRPNVIQTPLQQSTLHRPVPTRLPPITFPSTPTQSIRPLENITLFNEYFRRSTSTSLGGGLWVQPATPSAAAVAHTSTGLPQTTGRPADVQPAFGATASPPFSFSNLYSNGLTSNLSSASNLSSTFSTNTAFTSPTQNHHHLHAGFHPVPSLQSFHSPLPTPQTAATPIPQQSASIPPPSAAHTPDPYGVTMKEGGKARYKCKQCSKSFGQLSNLKVHLRTHTGERPFKCDECGKEFTQLAHLQKHNLVHTGERPHSCSICDKKFSSTSNLKTHLRLHNGQKPYSCDTCGAKFTQFVHLKLHKRLHANERPYNCGQCGKKYISPSGLRTHWKSTSCKTEPLDDEMVSSTTAISI >PPA04824 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:330317:330704:-1 gene:PPA04824 transcript:PPA04824 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIILLFALIPMSSSITSFSIGDHAPDVQSKFYDECYDKCLKSGLAKKKFYNCVNNCISGKNAEERTATRKP >PPA04816 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig13:302124:302509:-1 gene:PPA04816 transcript:PPA04816 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLACLCFVLLLSTVAMSADPAPVEPERHRGLIATTIEPLYVKLLVGLPVVGIIFAAIYEAIF >PPA04881 pep:known supercontig:P_pacificus-5.0:Ppa_Contig13:610136:612845:-1 gene:PPA04881 transcript:PPA04881 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQTSLQQAVDLVTKATEEDKAGNYEICPKKHEALALYDRSIQYFIHTIKYEAQGDAQKTTIRNKCNSYLARAEQIKKFLKEGPAKKPVKDGGSNGKGSDDDDDDKKKLQDRLQGAIVMEKPNVKWTDIAGLEQAKASLQEAVILPIKFPQLFTAKL >PPA05028 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:130079:130385:1 gene:PPA05028 transcript:PPA05028 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSLMRLKLENNLDKATTGHEEQNLSENNLDKATSGHEKQNLSEGRKIFPD >PPA05066 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig130:573032:574751:-1 gene:PPA05066 transcript:PPA05066 gene_biotype:protein_coding transcript_biotype:protein_coding MLETLSQLEISTHSPFEKIPRELFWKASKTLKLRLEEYTFAHLQDSYVDELCFIGRSQILGPTSLSLLPYEAPAPRNYTFSIELNSKIKQDKLLKYLDNCLGARIGRVVIKEWKTPINHDVIKSLLEGKTIGEMEVSGLTNDAVFKLLMPIDAYKVDVLDLTVDKNEAGNPAALLHDLSSVPAMIIRQRHLTGRFIKDLC >PPA05060 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:556632:557206:-1 gene:PPA05060 transcript:PPA05060 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSMSIWAQENYGRNETEREASTLVIVIAFIEMCLSCYDFLFWALCTYLLYCRMRPEVEEQEEKMDDTEDVDDDDSADNEADDDDQSKMDDLDHLLSQALANFRLC >PPA05065 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig130:570671:572822:1 gene:PPA05065 transcript:PPA05065 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGRSIDISFGGVTGMNVKDSHNEIPGLAITVVVCWLIAKIPIPISRPSIERGMGAIDGKRSIIKPDNECTTPVTTPPKMTDSITRFGIRLTPARNSPKNLSTFAAMAEMKVMERHQNEGDHSEPSNHDEIDGPSSDWVKRGEAALACAFCWPLYLPLPPRNEPRETRDPHAALASLGHLLPLDVRENTRETREGTPGMGELLASLSHLHEEARDAREPHASLADNKKKIGLVQRVFSTLRGRKDVVRPEVGESTIPLVKFILSLTEDHNGQYYKHTGESIPW >PPA05055 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:539111:540330:-1 gene:PPA05055 transcript:PPA05055 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDVGYVCFVPDEVACPNNALGPPPAGFNCASSPNVFTVYSCPSKLMYVDKNNPDRTPITVPGSDWHVERKLGHIMMYETAPPYRVYGIDYACCAVLKNSLCCSIC >PPA05022 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:78364:84884:-1 gene:PPA05022 transcript:PPA05022 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVLQLQSQDVRRRGPAKYVPQCDPKLRPKKEDNIVPKIHLSYIPPSLRRPSPWGAFLSDWLAACEEKRNSESASSAVSEGSPPLSPRYPEDEAGSTSGRSTGSSGACSGDTVTTDFSSVSSPRNIVEYRTAHPVIRQSQPAAASGAARYPFRGGFVPRAASTGANLSPAQWAELAALQQAAVQRQQLQLQPGGGAHHAPPKLMNIHTAYLPDLDAAVRQMMQQRKPAPASPASRPGSPPNGQPWLPHSHYYPTDPRNSIRGASSTGSDSAHYSDCRDRTQTTCDFCYQFAVHEAVSKEAAHLPRLTDRGPWRGHPTKQGDIIVVRAADDFYCFASGRDFRRT >PPA05048 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:413419:413752:1 gene:PPA05048 transcript:PPA05048 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHKTFIVKRTLAKAQKQNRPLPQWVRMKTGNTGLCNIAFWGAISSTTLSAVTGDAPS >PPA05037 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:286920:293562:1 gene:PPA05037 transcript:PPA05037 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSTEHQLPPAPAVKEELDYAAQGRPMEHDADGIKKRDAQLIEKIDQIAERDKTIVELQSQLEKVQYDQKQAPHQAEINSLNHKVAKIEKKLASSIAEKDSAVDEVASLKLQLQNAKAVAEAQFNEMKAAKDAADAEIAAQGSGRQRLSQRCTIQDASMKAAAARFEQEKAEEVKVEVKRRMQMMVTWSENGCNQSSTPTVVAPSETPSEVGVDDAKTAIIVKKEEKKYEKVGEKKKDEKRDEKAKEKKLLVTNDEEVIPNDGILPESVNSGVLGDIDNDETAESAGGQLKMGETENENTVGDHRKETGKLPGDQQKPAESGDENDDDTTMKPQLSEHSDEDTEMGEEFRDVMVICLEGMNNAKDGDESEEDAADGMGGERDDDDIMDDAGTNDNDLLAAVDALVHGGEATGRAPIRATRKRTLSKRKMHGGISKKSGKRRRRIDTPFNLVHANKLTTLSQLVERTLALCKELRFDDVTEKALIAMTPGERQALLRRPTSRKKCASEYGHLSNTNIKNNLKTAISNMERKMREKVAVDAAEKDGANEESNQQSLAMTPEPVASPANDVAVILYEAQRVLSRAYFMGGLPFKDIEGILARCFAALGSRPNIEELLTQNEILQKQVVDDVMEMSNVAMRPEQLIAYN >PPA05021 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig130:54570:58440:-1 gene:PPA05021 transcript:PPA05021 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAYFPSPIAGAAEAQKLKSQSSSSSRSFLDDISRGNFALRKTSSEKSPTGSLLSSTSGEETEHDENSSGPLVKPTTQQLLSRKVSLKRISTDRSPGGTPQNRNRRRAPSLGQDGGRGLHTGDYLAAALA >PPA05024 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:101435:104567:1 gene:PPA05024 transcript:PPA05024 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTALKEVLSAETEHVIELHAVLDEHSNADKTTKEAFPSKRRRGASSSRRVAEWVDRVTPGVPSSDDSRGSRKGKPENARPERDVNQNPVRSLLAGLAATSSASKHPSNNNAERSGEGDRRHHSTSPTAHDVNKGEEHLISKSTDVLEASVDLMNSSADLLEAAAAEMQRKRSREAEHSSYEFLDRSEQLLESAAEFQRMYEL >PPA05032 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:187903:199992:1 gene:PPA05032 transcript:PPA05032 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAGEWSQSLQECAMSNESASGGLTFLRSLTSGGHRWSSSSHNRPTFCNVCREKFTGVPWHGMACEICKMKVHKRCAEAVRECCKWTMAESIPAPMQYINPENSIGPHQWLQGNLPMGSRCAVCEKACGSVLKLQDLRCLWCAQCVHDSCESSLPRYCLLGTSALSVLPPIALERVDKNGRAKIRDDAVGGDYGGGSPLLVIVNSKSGDNQGSRLIRKLRRLLNPIQVFDLIACGPEFPLTFFSHFDTFRVLVCGGDGTVGWVLTAIDKLAMHNKVQLGVLPLGTGNDLARVMGWGHSFYNDARLPNLIRTYERAHTRMLDRWSIMAIEGVDPTYGKMHDQIAAKVTAFMHAEAPHDVFAAIKDLGVCVHELILTMQSTYDQVESWERQFGRNTEDPLTDNSTALLRLITVRGTHAYSYELMRTPYSCGDEVARN >PPA05025 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:104846:110745:1 gene:PPA05025 transcript:PPA05025 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSTENLSTSMEPKKRRLSQSTGDLSSSTESREDTFPESSQPPTVLTSPPSRLNPHEPHRQTDAMPPPSIAPAEPLRSKPPKYTPPAPRQQQRDFPPSLLLSLLSTRGNRSLHGMPHPDRRQEGEFFHNIARSTSPARQGTYGRSSAFYGLGSLDDLPEPPPHLQSRPSSGDRGRGIGVHSPICRVRPRLVIEEGALGSTAASAESALVRAGKKGIGFDGASQRNRRDNEQLIDVDPLPPPPIDLLARAAVDNVHQPLRIEPDLPPAPSSSSPDNILQPQQPLHALQLFSPSSEVSPMTPDLPAEPSTPSPLNVDVIGSGPPAHQTVEVRDWAVQKEFYEVLGRSSVPSIDGKCPSYDESSHGHPPPSSSLLAIVMERRSPQPVQAHQLFSPSSNVDPDEYEETWLSGPFPLQKPKAPDAEEIYSLQESVHPDQPGPPGKGALKHPLDSELEAFLDPSVPRIESASESEGEGRRSSPFKV >PPA05047 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:406041:412978:-1 gene:PPA05047 transcript:PPA05047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vps-26 MDCELPSPSAPCNNKEVSLYSAAAFADALTHSLYASFCLPQGRESNSYLRRHPKRLCNSWASLFGFGQSAEVVIKLDDHDTRPTAKIRNDDGTLETHYLFLDGETVSGTVDVNLKKAGVKLEHQGIRIEFIGQIEVYYDRGNQHDFISLTKDLARPGFGQSRELIDQRNSFQFEFANPEKPFESYMGTNVKLRYLLKVTIVRRLTDIVKEMELIVHTLSSYREEMNQNIKMEVGIEDCLHIEFEYNKNKYHLRDVIVGKIYFLLVRIKIKYMELTIIKRETVGGGANSFNENETIARYEIMDGAPVRGESIPIRLFLGGYDLTPTMRDVSKKFSVKYLLNLVLIDEEDRRYFKQQEVTLWRKADLPLRRIVDGGAVALPEPASPELERSVDEDDRGRGGENGVGDVLWGDTVACGTWKIGYDRFESLLNLNYCTFMV >PPA05052 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:471579:471892:-1 gene:PPA05052 transcript:PPA05052 gene_biotype:protein_coding transcript_biotype:protein_coding MIFAHLECLPRELQRKISLLVDEYLLKPKAIPLGDSAHFYDGQLMITVNVSK >PPA05018 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:2867:11775:1 gene:PPA05018 transcript:PPA05018 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYRIALIAALLCCVLSFGSTVGEEAVEGSATVRDEEEIVAELVEQGDAISENSGDEKEESALEAGTVAPEEIEYEWKPFPQEFPNATCPNLQGAARWITLVNYQCPGKRSWYSCIDDLLELPQYRRYKCRPLLFRWERHPVNRTTARKREKDNEIAIRPEEVHMAAPNFIAALKERGRHGSNFCMLMLFYSPTCPFSARWAPYFNALPPRYTNILFVAVNASDAANSRMNSRLGIAGTPTMVLYVDGSPVGRVDELLAPEKHLPKFIESFTDWHTDGPLLPNPNGNVQVEPVADRSRLWLAVSFVVYVVCEERCS >PPA05057 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig130:544676:545659:1 gene:PPA05057 transcript:PPA05057 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSDPALDPNPLRFQSVTYWAILIMWMYSAVLLVVCPLYSLPVTPVPIVGVVMLFTVGLVCNDIGVKWLRLYYLPKWSEETPDCVAASFKTEQTAKVCSPLCATLFSYSEKEREEFRKESVIFCYYDSIRFLLPWV >PPA05046 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig130:388569:389962:-1 gene:PPA05046 transcript:PPA05046 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHVYATAQHIYRSIQQSAASQSVLLQGVSGSGKSQQLQQLAYYLSHAAGWTKALSCAPMLREVVM >PPA05049 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:459618:460239:1 gene:PPA05049 transcript:PPA05049 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFDRELIRKHFKDFSLIDHVADDTPRLRRYATSSAIRHVFGDTPRLRRYATSSAIHHVFGDTPRLRRYTTSSAIHHVFSDTPRLQRYATSSAIHHVFGDTPRLRRYTTSSAIRHVFGDTPRLRRYATSSAIHHVFGDTPRLRRYATSSAIRHVFGDTPRLRRYATSSAIRHVFGDTSRLRRYTTSSAIHHVFGD >PPA05031 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:156427:161060:-1 gene:PPA05031 transcript:PPA05031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acdh-13 MQRVARLIARNPRSLQLRAASHATSETPSTSSIATTKMESLLTGDIPVEKRSLTRGLAMNKFEKDFMIYPEYTETDDLRNIEGFVKILQETLERTVDERALEKAGELSPEVLNALRASEIAGCFIPKDYGGLGLTYKDFMKINEELSIDWSVATHTTVSHMVSSLILLYGTEAQKEKYLPAIASGKVRPAITLPVGIGSLTSSAEVHGTSVTGLDGTLMAENVQAYGADKANLLLAFAYVKDASKELNLRCFIIDRPQMKEGEKWETKRDETLGLKAVDGTMKTDMRRHSG >PPA05020 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig130:52020:53064:-1 gene:PPA05020 transcript:PPA05020 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTTSGSETNHEKNQPAHLKILSLEAQLALLTRQLGMVMAAGGIQQQYAGSGAGSQYFGWPKLQSVNGTLRLE >PPA05050 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:463332:466211:-1 gene:PPA05050 transcript:PPA05050 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSSSLLLGVVVSLATAGRDIRINLRQNITAPCPGIKTDLVDRNSIKFAFEMLSGAPDQNQQIRTLQVTVTDLEGRSQSVAFPGCYRVRMTFKVLKPLKNPYVEAFLQLGTNIPSS >PPA05039 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig130:296290:307256:-1 gene:PPA05039 transcript:PPA05039 gene_biotype:protein_coding transcript_biotype:protein_coding MLILTPCRFDAHCSILMAQKIFDADLRVLEVAASEQKRVAERMEKERDEAITVSARRQAEAKEAKDESAELRVHLTRTRRELEEGGGSGGAGGEDVESLRKAKRELEAKVGTVRDQEDELDDLSGARHVLQQQVGRLEMQSARLQADIKKEAEARESECEELRASYQRRMRAFEEQLRAFEEQVADLAESNQSLTKQNRLLEGRCRQNDAAQHSLEYSGGQHRRELRKALALLADTEALLAHERDSNANGAVVRQLREQLEDAEALKVAALKGKHGLESELAELRTQLESALAGRKVAEERALSLLREKNAGIALLEEKDEQMQALLKKYKASVQQNQIDGIAIADYIEQIADLQSSKQKVVDELHERTSQLEQLHQHTVEKHRMLLMEQRLREMQAKLDLETAMRTRLESLVAKHADEAETACEKLADALAAHSREAETVKKTRKEELSKMGTVGWFVCEKLADALTAHSREAAETMKKTRKEMANVTEALEEVRKRETELTHRHKAQKSAAEKLEEQVRKLTTDLK >PPA05067 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:575522:589564:-1 gene:PPA05067 transcript:PPA05067 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHPFVDVVCVLALAALAGYAAEHSRAMGVLIVLSLVSILLPLLFVAITQSVTPSSLLASSADNPDAHRMISSMLIYVFLACAKLWTALLWSSRAELPSVLVAWLGGAATVGGVCSTAWLLHRLHEESELSLARSGLAPSAVLLLLALLGFFVGLRGCRARNLLNPRVHLLLCSALFVACAGGAAAAWWLVDGSSDELLRVLQQLSYVATGTAALMVLGAVYLLNEKRALAFGYSSRAAAATAACRVLVKIFAALCILASFYFGRTIHSPVSYQAGAYIALLAAWAVVQGALGYMRFKEPTLRRRSFGVRAVLLCVLAAAVAACEIAIVILCHLYWRTFTGMDLGCVCAAAHALHVVTAYLLDRMRGELRPLALPAGLALLACFSSATLYGEITQFFPGQSRSPTVWLAASLAAAGAAQLLAIPVVECFKREAAEEKEQRAEMQAAFRAEARQRKRYELQKKACRELRKMEREEARVQAATTGGRSSSSNGCISCIKSACSRNGEDEEAVEMVERQWRSIRSSLAPLDLMLYELLDSPVTYTFL >PPA05026 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig130:114409:115779:1 gene:PPA05026 transcript:PPA05026 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEAARPVQQPLQSLQPAPVQERNHMASEELEAFLASISEPATVTEEEETVGDSRGEAETTDSNERTVSIGRSVLVEPYESTQQSMDNSADSAPEFLAPHRPQSVLHEICA >PPA05030 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig130:152074:154619:-1 gene:PPA05030 transcript:PPA05030 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSAAAVGYGKRVLEDIARIANETESLITPGVTLSAHSHIHRTVSQLSLQLYALESVCYYLAGLLDEKLPVVVDVESALLHRLTRAFLRSTTTALLEILGTRAVDSRELFEKRIRDVATLMAMGDDL >PPA05059 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:549814:550337:-1 gene:PPA05059 transcript:PPA05059 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRQTTWTFDDNNKTVPHRQCGDGLCASEYCSDETNTCCCKGNYCNGASSTSLVMTSLLVGASAWLRL >PPA05036 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:260583:260997:-1 gene:PPA05036 transcript:PPA05036 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSFKSNQNFINPGWFPSENNPARPAPAGPSSYVAIFGVERSMLRSMLPTSRLSTTSPARTTTPSTFLTPLDSTMSAQI >PPA05042 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:334142:338055:-1 gene:PPA05042 transcript:PPA05042 gene_biotype:protein_coding transcript_biotype:protein_coding MEILGHGSHALLAVATDSLADSFALNSVDIDRRSEERRGLFAIVEEESVFPGATDDSLIERIFVHLGDCTRLLRRGATPSQFVLAHGLDSHPVAYDVRGWLRVAYPSEIAAAVRPLIGVTKSNPISCLFNPVLPSSSSTSVDGHLMPGPSESALKLRRLTQSAHLADGGSSSKRAISSSLLAALAAQTAWCPDGTVFEY >PPA05063 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:563243:565555:-1 gene:PPA05063 transcript:PPA05063 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLHLILITACVVMQTLAQCGRSDHPNCANWKNNGFCNNAGYTLAMKQQYCPFTCPGICGVPATTAPPPATTTAPVIENANCGKWNADPANAFCANPAITVQQKQIFCKKTCAFEIAPTSDCAIYASAAGVLIRVSTTNRTPFPGTAVGFSNGASVVPLYAYAGSRCTVTLFEGPPVDVIPGTSVTAGSLPGAAGNFQKITNPSATAYTCTCN >PPA05043 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:339500:352412:-1 gene:PPA05043 transcript:PPA05043 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLPFPIICCPPPIGRRRAARGEKGAKSVGPDRLLPAGVPAAPGAAMAGQAEAAGDGDTLHSEAGAKRNSRGWNDRLGPVAKVVELDEPLCSVLQSLKNGQSYCAVREWPWWRLYTRVVPLLAVTRSDAETREWDERIRALERQIGELKQNKTRTERGGCCDDWRSSWLSDKGATASADMDDDVSIGFARLSSALGVLQAMGNAATALNSDSSRFLMMLQLGFDKAAALKSAKICASLLESDRVAARPEGESNFHVFYYLWEGADEGIRSRLKLAEIESPAPLMKPLRAEEDRAAAREAWSCFLSALASLGASPAAIDGVCVTLGAIFHLARADATPGAAARAAFVRGAHAAHAAALLGVTTEALAAACFRGRAAGAAGALAAAASRIDRYSISNRTLDGQDALKTFVATLYQELFYSVVELLNRGLGSTATDAAFTHINIIDPPGCTYSAAWQPARPKQQPAAAAAAAATPQKRPAGTLYDLVFNYVNDRLAEAFHDAHYVEMQELYAREQVEVAIQPPIGSPHPLNRLLDQKQQLSTVGQCIREEN >PPA05038 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:295010:295638:-1 gene:PPA05038 transcript:PPA05038 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLAEKRAETMRVALAEGIDGPIDEEDDEEEGSEGEEQFRSSETMSMRSGHSRSVATSSSKKRLDEEEAVSNGYVNNF >PPA05069 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig130:596528:597417:1 gene:PPA05069 transcript:PPA05069 gene_biotype:protein_coding transcript_biotype:protein_coding MTDETHTLAPFERLPHEIVWKIIDYHPISALSLRETSRTLKLCADEHAMMRPPTSELVRELRISGSTDRVKKNNPSSRVYLSIYVPKGNAKEFMMRIFAESYFNVISQVEVGSSKNTKKKMTP >PPA05035 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:244499:253037:1 gene:PPA05035 transcript:PPA05035 gene_biotype:protein_coding transcript_biotype:protein_coding MRERGWWMGNWRWQLGAAGRSQDDDANASALSGGVPVGGVGVPGAMPEIELDRLSLREEVIGAVELITEQLESHLGLPTTAVATASGGTMRGGGSRARVPSGSGQAGSGSGAQAAHHPGAISPSAGPGAPMATPSAGQPGAAGAPAAAPVDSSNSGIVSQSSSSSQFHFEGETTNWRFVINTVRAALQREEAEIREGRAIQRGEKRESGPVGRMRSFTNWLKSKFRRRAHPYRNAPNWSVDEVAAFLGTIGLQAYAEQFKANEITGRELIHLERGDIQELGVVKLGHAKRLQNAIADICEHATDMRKYVRGEGGASGSRDARGASAGGASSGASASGAAGGSSGGRYDRKYLTPAGIEAEDESCDYNEQPSNSSI >PPA05045 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:377433:379531:-1 gene:PPA05045 transcript:PPA05045 gene_biotype:protein_coding transcript_biotype:protein_coding MTACRAHIITCPRCFPSPERSLAVERNDCLQGPHHHVPPMFPFSRKKEKAVVPPPVAGQQPTAGAPVIAPVIVAGARRPPLPPDKPARAMQPPQLQLLQQPGYETPIYDNVSAREVTPPARPPPRGAASVSVAAMVAGMNGGGGAQAKARIVG >PPA05029 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig130:144663:148816:-1 gene:PPA05029 transcript:PPA05029 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRDPKLQHFIAEHAHPSLQLACQELEHSMVRLDAVLSKLLSTDGKQVQADYQSLERLVHVLENNLVMVCTIARASRSYSVGLRNADAELAWTTWLATERSRESWLHLNELSDCFGLYFRAIQRLIDSTELEPAQVGKIGARVGRLQDRITSGEELVDYGSHHATTREFHPIGRRGKTLRDAREEVTATVVGRIDSILKWVR >PPA05041 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig130:316150:321717:1 gene:PPA05041 transcript:PPA05041 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTYFDELAQEEWLDSDIMGLIMYSLQLVFYAVFLNFARSLTNERLDAHHKAHARGDIGILFGCCVVLLIKFILQTIELIYQRVDGFFDLDVVIIRISTLFLTHLTQWMGYFALRRILAMSTRDIIDCKFFLPLACYGGFFFSWVHFGDTFLETTDIKYQLTDETFRFSAVTLICMIFTQTIFPAEYLFAFTASGCYLEVLHRYLEMGTFQIGNPRLHVKRHDSFLEAEHARIHEEHEAKKRLGCVSPVFELAGKSEKNDRIAVDIAKIQKK >PPA05054 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig130:536609:538103:1 gene:PPA05054 transcript:PPA05054 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQKLAKVGYEFTSSLAEAKGICASTIFEKSPASQFMQDQAIQSIIDETVAQIDQIMADPAKYEYFPRKMETMVAYIMKDLAGSTDKQKASKIMETLKLIHTNDQFVVITYNSDKCSLNSFASADGGSNMYVDKTHKMIIYRSRLISSMSKHEQDVWGRAHKDADIGFCENVNISPVLRASHNIGLVLYEKSECITAIESEKGLFALKRPFDVATHNVTCTEPIMAGTIGTHDTHRNPSILVYPL >PPA05068 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:590737:592101:1 gene:PPA05068 transcript:PPA05068 gene_biotype:protein_coding transcript_biotype:protein_coding MQTILAAKIAANHVNFIRVSLIPHRAGAFLIALSRHISALRILQQGEYRAYSLLGLQAEVPISDMFEESWRFPQ >PPA05033 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig130:200895:202248:1 gene:PPA05033 transcript:PPA05033 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLNPLIKELNEVTTMEESGVESADSAATEEERARRERLVLRANSFKKTIMEVLEFTEKTVDRHNREASSEKIMEVLEFTEKTVDRHNREASEKIMEVLEFTEKTADRHNREARTADRTLLLMGVFFE >PPA05061 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:557695:558140:-1 gene:PPA05061 transcript:PPA05061 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFAYNQQKDYGSMNVAHAEPPSTLVIVLAFIEMCLSCYGAWFWIWYAYEVYCQIEATKCKQDRRQHSLIVLLEMGQETDEEEADAMETDDVSDDSVFGDDANLSKKED >PPA05062 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:558542:559151:-1 gene:PPA05062 transcript:PPA05062 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEIETLEHGSSNTAEEQRPSLIIRFLQAVIAACFNCCYHHRIRGDYEDPEADSTAVDVDEVSKKDGGGSLFVFRSYTKPEGFVLYPGPCF >PPA05019 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:48618:50099:1 gene:PPA05019 transcript:PPA05019 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGDGHYSDSGYPAPVVTTSHVRSETETNTYLRSKPLRRVVKLRRANDLMESVEENQLNLDRPPDERMRIIDTSSAHALVLIHPLERYVQIIADKIEEWGSRIAAITDPTVMQEEAIYRAEYITACPDPYCRSRRMFEDDETLTLYQQFRNDCAEAISDLQTIINANVRHAFLSY >PPA05023 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:96191:100155:1 gene:PPA05023 transcript:PPA05023 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNLNRPEGVPSSSAHATTRPEKQQYAHAIKMIVDLFQSSAEPEANPRRQRSLRKPQQSRIPHYAVPAVSIATSVSPSSKRSTIRQRAEERRSQELADPSSSSENNDQTDSEAKRIRLEAENLIESLSPSNIDALFGDYDQPMDDNEWEESTERFEQIERLHLGSLPSLSFLPPDLAAPISYLEEMERIVHEGANAE >PPA05027 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:117678:119159:1 gene:PPA05027 transcript:PPA05027 gene_biotype:protein_coding transcript_biotype:protein_coding MSITSTSSLILDDVHSIRLPGEKTVDEEMDRVHRTTVTYYYEPPHTSSASNPMPPPTTAAESFEETSALEHPSEEDDAPIDDEASTANDSSRSGASVAFKDSPRSSSTTSSSQPVSSSASTIISSSPRAPGVRIGLGRDASTDPRSAETASGTEKGSLAPGVITANAATAESVPKEEREHHAVHGTVTMTYEVLQSASQSTRSTPSSKPS >PPA05053 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:488749:489027:1 gene:PPA05053 transcript:PPA05053 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGAFILVQDLSDPEKFDLIHRRNVVIGKPIPQANITYRKDNGPDYSRKVLSIGSKTSCLEQLAKLGGSRGESS >PPA05056 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:540877:541888:-1 gene:PPA05056 transcript:PPA05056 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDDGWLSNDDDDDDHYDTIHYDDDDNAMLARDGQDSGPVAILFKSMREACVPRANEHGQLFLIFE >PPA05034 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:219638:235403:1 gene:PPA05034 transcript:PPA05034 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dgk-4 MRGVSLPCGSSLSTASSSFTGGVCGSGGRYIPSTERQRQSTFMDRLPALKKGILSSGLTGGTLIAEMLMLSANVLKTPTALYGDVTHESLPDYKELKVMNNYFGIGLDAKIALDFHNKRESTDKGIVILNIPSYSGGANFWGEGREDAFTIQSYDDKVLEVVALFGVVHVASGRIPNVVRLQNHRIAQCRHVKITIHGEEPIPVQVDGEPWMQPPGVLQFVHKNRAQMLVKNAQSFDASLRTWEEHKSVTAPSTPTALNTTLPQNGMQDAESDTARWWDGLGFHEDGKALIGDMPMDGDRVPFSRRAAAFVSTIESEMAHLGLTAKFLDTLEHTATVVRRAEHARADGAPHASVDALGAPHISAPPTERSSSPSAEMYEMTECIELARLLEFSPAQNAPYAQHSDRMIMAGAGERFGSPRCLALTSPPAPRTPLPPRPMILQQPRVSLGASVDSHTNPLATARDLRTQRPIDEQDDVEEEEGATEEEEEEAGPSYFVHPPTPKGRW >PPA05058 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig130:546052:547165:1 gene:PPA05058 transcript:PPA05058 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLPLLLSLIFILDAQTKVRVPNPFMDRMIKVISDWTTDNYESKDPLSKPKQERVEMWRVYRITTSCIAASFKTDQTSKLCSSLCATLFSYSEKEREEFRKQSVTFCYYDPIRFLLPWV >PPA05040 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig130:309196:314244:1 gene:PPA05040 transcript:PPA05040 gene_biotype:protein_coding transcript_biotype:protein_coding MSDESAICLTLGIAIGYLYFIVFNYAFLNCDKLVDSIYSENELWLETMYDLNIEFLNKTIYDLVMSGFSCLSLVYILHRRYYGAINSSLDKVGRLFINITFIVVWIKVVLYKGYLSHSELCQRKELEGYWCPATKKTYTCHPSDDLHGTQKMWYYINKGVLNTSIISCASEFFPVLLVTHWLTCGGAEEKAESIKKRQQRKQGLRALMKEFIKDATRVFVAEHKIDVPPLNPSRYYQLFMWLVVPVTCGVSLARWLCLFYYSIGGSAGTLAT >PPA05064 pep:known supercontig:P_pacificus-5.0:Ppa_Contig130:569837:570418:1 gene:PPA05064 transcript:PPA05064 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVLLLLLAVPCAIAIECYTGVGNGAAGIYGTEFCGNTDFCLKQDVGYVITKGCGYGLCYQPGCDYSRGICCCYGDFCNSATGTSLVITAFAAAAAALWNLF >PPA05051 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig130:467915:469898:1 gene:PPA05051 transcript:PPA05051 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPKPKKLRISTQGSTVENPKVSGENGISLGTLPNDIIRLVIRISPSWNTLCINHLSVRKNNPIIESIECYLDLGDFYQIHVKVPFELQNYFGLKKWKNKPKMLRLAENHKLEQLTITTLGNDDFTPAVLRNEILCKFIYKAALRIPST >PPA05044 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig130:353771:357993:-1 gene:PPA05044 transcript:PPA05044 gene_biotype:protein_coding transcript_biotype:protein_coding MWSEMGDYVFSTVRRGARSHFIHCIQSSTAPSRSSSTIISGQSSAALTPSSEGLSPLSTSSSSDSLDVPYVRNQMRGLLLMDAVRASNRVAVKKTCGLEVSCYPERFSFRDFRRRFECLIVSSYQPIGAAANQSTELSFADAVDDRAAVAKILEKMDVHDSRFRLGMSQER >PPA05070 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1301:935:1795:-1 gene:PPA05070 transcript:PPA05070 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDYVLGLRTEDYLERRLQSQVFKLGLAKSIHHARVLIRQKDIRYCPSPFGGGRPGRVKRRNAKRGGGDDAGSDADE >PPA05072 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1306:3416:4761:1 gene:PPA05072 transcript:PPA05072 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKDAYLVFLTLNCVNLPVEGGHFPSHLPLELSHSLLRVNMAAKKYFDIMKMFLQMEKGSKNYSSIVNTCRVIKADEGKISVEFEVDDRMTNHFGTLHGGCSATMVDVITTGALVATPRGMPGVSVDLHMTYLAAAKLGDTVQLDAEVIRQGKSMAFTKASLYRKPEMTLIATGLHTKAFPAHATIRKDVLEGAKH >PPA05073 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1307:74:302:1 gene:PPA05073 transcript:PPA05073 gene_biotype:protein_coding transcript_biotype:protein_coding ENQDKENQLVVFADDLTPRYVTSVCILDYHTVAVGDKFGTVAIVSLHRPHRAPSGIR >PPA05074 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1308:688:1289:1 gene:PPA05074 transcript:PPA05074 gene_biotype:protein_coding transcript_biotype:protein_coding RTNSQPLSADPSQEGSAELLSSEKASSLGVFDYASEWDEPERPTKEDQRSTEKKDQRSTKKGYQRRVSSAGENGTGTVDARYRLV >PPA05087 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:32258:33097:1 gene:PPA05087 transcript:PPA05087 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVLFIAFLIFAISECSMKWDTKTDKDTITNVVDIVEVERIVVGPQTNGICYWKGGWGCDPGNDCDSGYTYVGRTRQNAYNKWAGEAGGFCWFGVRYLCCENAKVHKNTKTSCVDGGGRNCDDGYELIFYASWNGSNLCCETGTIVP >PPA05120 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:273139:274772:-1 gene:PPA05120 transcript:PPA05120 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQPVRVLQQRPPLPPQAQPVQQLQHPMQQPGRSTPMMMGAGGRAYPHHQHQQQHHHHPYHPQPHHLQQQHVQHHQPHSQQLQQLHHAPPPSFVHLGANAGSAPAPRNSSKDNRGSVPSSVGHHNMSASIPLDLFTAY >PPA05084 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:26337:27035:1 gene:PPA05084 transcript:PPA05084 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTVPVVPDAMTTTLPLMSNIRDSYRTVKPRTVDASGPAQAPVVEAKPVEPKIPPIEQPPPVEPLDIMTKYLSYSTPGPAPAPTVEPIPAKGGGFVVPRDESNSNALPKPDPKPAPVAAPGTGAMPKTSLDESNQAVCTLHNYEKEARAFYGKTLNHLKKAEFGEGE >PPA05108 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:145012:149801:-1 gene:PPA05108 transcript:PPA05108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpt-2 MGQQQSGYNRRGGDERGQGDADKDKKKKKYEAPIPTRIGKRKKGSKGPDTASKLPAVTPHSRCRLKLLKSERIKDYLLMEQEFIQNQERLKPQEERQEEERAKVDELRGTPMAVGSLEEIIDDHHAIVSTNVGSEHYVNILSFVDKEQLEPGCSVLLNHKTHAVIGVLSDDTDPMVSVMKLEKAPQETYADVGGLDQQIQEIKEAVELPLTHPEYYEEMGIKPPKGVILYGCPGTGKTLLAKAVANQTSATFLRIVGSELIQKYLGDGPKMVRELFRVAEEHAPSIVFIDEIDAVGTKRYDSNSGGEREIQRTMLELLNQLDGFDSRGDVKVLMATNRIESLDPALIRPGRIDRKIEFPLPDEKTKRRIFNIHTSRMQLGEDVALDEFITAKDDLSGADIKAMCTEAGLLALRERRMRVTMADFEKAKENVLYRKKEGAPEALYL >PPA05088 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:34893:35966:-1 gene:PPA05088 transcript:PPA05088 gene_biotype:protein_coding transcript_biotype:protein_coding MMIQAIPIVVGIILNTVILISYCIIVLKVRKHDELSSAVIRTTIASVLMCFSGWYLMLVRAVDSFYGHVLAKDLFSLEVYYSIFKIGHAVNGNSISRFLVLPKTAINEYSARERLGFQPKDSIGLLQDEFEN >PPA05097 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:76972:86918:-1 gene:PPA05097 transcript:PPA05097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-myo-3 MLFAKSEKRESFHKCANDNEEAMKHIKKAVRKSQLRSHFFDTRGRAVDDAHGIDNLTIKSVHLYSSTTPESGFVAAEITATKGDQVTVVTSRGNEITVKKDEAQEMNPPKYDKTEDMANLTFLNEASVLANLKERYSAMMIYTYSGLFCVVINPYKRLPIYSESVIKHYMGKRRNEMPPHLFATSDEAYRNMVQDKENQSMLITGESGAGKTENTKKVISYFAIVGATQSASAGKTEEGKKGGTLEEQIVQTNPVLEAFGDRNAKTVRNNNSSRFGKFIRTHFSSAGKLAGGDIEHYLLEKSRVVRQAPGERCYHIFYQIMSGFDPSLRGKLKLDNDVSYYHFCSQAELTIEGVNDKEEMGLTQEAFDIMGFDDAEVMDLYKSTAGIMHMGEMKFKQRPREEQAEQDGEEGEKLAKMVWGIEPQTSRMQSERSTPELYPQTLWLTVECHKGTRSPSPSPDMEGRKRTRVPIHGAQSIISTVTITFLDAANACHNFGVNHEDFLKALTKPRVRVGTEWVNKGQNLEQVNWAVAGLAKAVYARMFKWLISRCNKTLDAKEIQREPNPLLCSHFIGVLDIAGFEIFDFNSFEQLWINFVNERLQQFFNHHMFVLEQEEYKKEGIQWTFIDFGLDLQACIELIEKPLGLISMLDEECIVPKATDMTYVQKLNDQHLGKHPNFQKPKPPKGKQAEAHFAIVHYAGTVRYNATSFLEKNKDPLNDTAVAILKHAEGNQLILDIWADYTTQSPNAKFDKSTFPNPYTMKLQEEAALLAKEGGGGGKRGKSSSFMTVSMIYRESLNNLMTMLYQTHPHFIRCIIPNEKKQSGMIDSALVLNQLTCNGVLEGIRICRKGFPNRMIYPDFRHRYAILAADAAKDPDQKKASTGITDKLCNDGHLTDEEFKVGNTKLFFKAGILARLEDLRDEILSKILTGLQARIRGYLGLTDERRKREQRAGLLVIQRNVRQWCTLRTWDWFKLFGRTVFPTNTPMHANTLR >PPA05078 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:12648:13232:-1 gene:PPA05078 transcript:PPA05078 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKVEKGLVITSIIAYSTYMVHFANTLISRYFKVTFSGYAQWLFLGVKSISPFWCLIIFTPSVRRIITRKKETPVPTMHFRTRSVLN >PPA05093 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:46488:50460:-1 gene:PPA05093 transcript:PPA05093 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLMRNRERFFKTPFFKLFCSAGFAGMWMIEAHWFITLFMRIAEEKKDDIFVYISQVLDGSAVISNTISKFIFVINRFSVLANFRSPVETAWSSRSIYFLASFQFAIPLLPHLYFVTRPLNFKNGYFDALDFETGSIYRGVTGSFYGVYGILAIGITILSMVKLQQLWSDNFVWTYAYLDGSRAVLVVIRSLRPYVFDGTVFVDPLVLFVLLKPVRTAFFGRFMKKKKHNNNPLDSGVNEVVIDFFFDKIGRTDRLQSKLCFVSAVNYLQIYKFNIDTKHVSAFFELLYPCASMDL >PPA05080 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:18147:19676:1 gene:PPA05080 transcript:PPA05080 gene_biotype:protein_coding transcript_biotype:protein_coding MKPCYETHSGCSLRDVQKCWSKDECMECRKTHAECFKYMNIHNDAADLAYQNIPTTTKNGELLVDPYKLIINEQHCLKHFDEYGPDCLKQENIDYCLDLCSPGREAIHMILSEKTEHLRSLVEQYVDKKGAYTKWYFSIH >PPA05098 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig131:89094:89379:-1 gene:PPA05098 transcript:PPA05098 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEADPGFSIGADTQPREHANRGEYIAGQGEVARETLRLEEELLGAGRRRGYGRFSKI >PPA05077 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig131:9670:10228:1 gene:PPA05077 transcript:PPA05077 gene_biotype:protein_coding transcript_biotype:protein_coding MITTSIIIVVLYDLAARNLRKLAGDLATKKQERKLLIYGMVNLVLQIVGTFPQLMLDFNFLPIEWTIIVLYQFAWLCDIKTYCSAVTMIVVNTTFRQHIMIEFNIIRKKK >PPA05122 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:303377:303887:1 gene:PPA05122 transcript:PPA05122 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKCGDQFATKIIEDTLVPEPLSPVSEVVKAFKRASDPELPQGWAIKHGRKVGRYSEDTKAFVKAKFNEYAKRGAKLKADEAERLMRADRFIEPKDWMTKSQLRNYINRLKSQLPKMRAWRRQVEHEDMDDEHFEVEVDEDIKKTSTAILHPRCSRNSYLMSTSL >PPA05123 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig131:307577:308116:-1 gene:PPA05123 transcript:PPA05123 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPIEPVREAGYVIGASCGAGSKRRVPEAVSASLGLLTQHAYSILDVRQEGPNR >PPA05083 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:23642:25439:1 gene:PPA05083 transcript:PPA05083 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEHEFVIVDSADQAKYNQSVDNDETPYQSKFASEFDVKRIIGGEGEGSKEVLSRALREIRATAHFDQPGIIRYNSTWIEKPPLGWQNMNYVEESLFMYTEMQLKISDLGIVADRVVKSGEEVAQIQLFPRETQMYMAPEQKDDGYSSKVDIFALSLLLIELSVVITKSEAELIFNDYREGKPNNTLDHLPDTKNFVAWLTNLNPDERPDCKEILDHRFLETPVIEL >PPA05105 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:115299:120236:-1 gene:PPA05105 transcript:PPA05105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-jmjc-1 MNKFLRAYGFTCELCSHGLVRSLAACDRKLLPMAMDGFTGARAKLRRQLPAGLLDMTGIAELPDDYPLQEASESKLHAPLDRHMSVFRTFVGGMRECGVDVMAREFMRTALPPVLTVEEKQLSAWGNDGADLFEEKGASRITPATAVRFIRRHGQRLLFAAPEEPFVAHRMANARTYEGAEERTFTLTTEEEPVFADLLSAYPEWTTVKDLKMKKKAKVAFLTKLFNHGLIMYGELA >PPA05104 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:106764:111316:1 gene:PPA05104 transcript:PPA05104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hsp-6 MLRHALRSPAFRPSLLSQRFKSDGVKGAVIGIDLGTTNSCVAVMEGKQAKVIENAEGVRTTPSTVAFTKDGERLVGAPAKRQAVTNSTNTLYATKRLIGRQFNDPEVQKDIKVVPFAIKKASNGDAWVEAQGKLYSPSQVGAFVLMKMKETAEGYLGTTVHNAVVTVPAYFNDSQRQATKDAGQIAGLNVLRVINEPTAAALAYGLDKADDKIIAVYDLGGGTFDVSILEIQKGVFEVKSTNGDTFLGGEDFDHALVHYLVAEFKKEQGVDITKDPMAMQRVREAAEKAKCELSSTTQTDINLPYLTMDASGPKHLTHRLTRAKFEQLVGDLVKRTVEPCKKAMHDAEVKPSEIGDVILVGGMSRMPKVQATVQELFGKMPSKSVNPDEAVAIGAAIQGAVLAGDVTDVLLLDVTPLSLGIETLGGVMTKLITRNTTIPTKKSQVFSTAADGQTQVQIKVHQGEREMASDNKVLGQFSLVGIPPAPRGVPQIEVTFDIDANGIVNVSARDRGTGKEQQIVIQSSGGLSKDQIENMVRDAEKNAAEDAEKRERVEVINQAESSVHDTESKMTEYASQLPADQVEDIKKKITEVREKLANTETKAAEIKEALNQLQQASLKLFEAAYKKMAEANSGNNQGGAQEATEAKEAKEEKKSRALTGESKNKQDI >PPA05118 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:246292:254889:-1 gene:PPA05118 transcript:PPA05118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ape-1 MTAVRAGSSATPTPDAVQRPRASVEPFQIQQMKSPEEAQTSVSPSKEKEGVDETDQRLQHPPAPSDHLGRTSPHATAAAAAAPGGGGVKIRVVDQQVTMDQQQRVTMTSSSSSVAPNREEISPSPPKDPHPTEPGTIAPGPHEPQEPAEHAHARLRGSKADLVSIRSESLKATKRRSWAASESTMSELDSIRRVLLEQQLKGRTHYIPDLSPASPAPSSVLSGGVTSSVGGVSSMTIEEERREEEEEKAEEPSPFSASSSSSVGAFADSTSAFATTTTSSTSAASAAATAGSSAAGAAAAEAAAGVERPTALGGVQKEEEPGSSSAEEAGSLCSTRSSEDAAIEMEVQKPSRAVKGILRPKGKKASGLRIEFDPLALLLDAALEGEIELVQTSAKKLTDVSASNDEGITALHNAICAGHYEIVRFLIDSNADVNAQDSDGWTPLHCAASCNNLPMVRVLVEGGACVLSSTLSDLETPVQKCEEEEDGYDGCLRYLIAAHNATGTANGGTVYAAYPYAAEYEDEMSFDGGDKLRVLQKDAPDTDNGWWLCEKQREDGTTERGLAPRTYLALYPPLKYRQRLAFVPFDFSLESNNNEKDQQQQK >PPA05089 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:37314:38759:-1 gene:PPA05089 transcript:PPA05089 gene_biotype:protein_coding transcript_biotype:protein_coding MELYPFLTIGSNVILIVSLPLLLRLLVILLRRQIYDIGIESDFYRCCFVYALITILAVEPAAYGLFMELYQIWTPRKLRFLHFGGWFIAIFLSLPLLWPIHGSVIPVRSPFGSAGLSFVILGRIENVAYQLFSVEVYYAVFKIRSRVFSCCSSEQSAVVHPESGFTESSGRPVA >PPA05103 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig131:104916:106338:-1 gene:PPA05103 transcript:PPA05103 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVTAASTALRELRIHVCQKSAASSGVRDFITKQYVPLKKANPNLPILIRECSGISPRVFARYEFGVERFASLEGLTEEKVAGVIRDLAAKTH >PPA05117 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:236692:244144:-1 gene:PPA05117 transcript:PPA05117 gene_biotype:protein_coding transcript_biotype:protein_coding MHEITLSVYENTYLQLRTADIWRELHENSVPRLRKRRQGYDIVNDSEESKPVMHQCSKCERLSCPHGPPGPAGEAGADGDQGASGNIGKPGYDGADLDAPPAPDVGCRLCEAGPAGPPGLHGEPGLTGLKGARGEQGGIVSEGVEGSKTKRRAFDSFSTTGYIEIGRMGTIYENSHSGPYSKHPRMATRANPDSRLKGTKREEGVQGGQGGYGPAGPSGPAGSAGPKGDVGEKGPEGDTSTDSFIAAGPGMKGPQGPRGPAGAPGPAGPRGRASAVSGEPGEPGVAGDVGPNGDAGAAGPVGARGTVGFAAAVAAVCRSDCSRALQQEQQGEEQPLALQPPPLDELAVSSTEELVSTTAAARAVPVIEQPIREPEGEAATAIPTPTIVPIEIAVTEEPVISSTPVQATIPVAAVKQTPVTPAATQAPTTSAFTKPSTTTAAPTTTLTSKPSPSPRTNKPSTTAHRIKTFTTAATTTTADAKPSNTQASTTRAPTTTVVPSTTTTIITATTKAAPSSTSTTTVSTTASPSSSTIVPSTTTAATTATSTTSAVPSTTTTTPATTTVEQAHEVASIIHTTDSNEASTIPTVTHDPRLARVVPRRIKTKGGARAHAVPQSPTATMRPRMIKFKEPREQLVPFTAEESERPMGIRKPEIRKVVKQHYTPRAFFTTTTTAAPVNLRIHARRITSPPSGGFSGFISGNQLMAHHPKMSGVVALSAARAVALTTPSTTPSTTTTVAPASTEAPTTTMLSTPTTTVATPSMTTSSATDPSTTPRTTPSPATTSTTTTAVPPTTPSTTPSPLPASSAVTAARAQGARTFKRGPPAHSPPVQHFESLGAFHGFEGYDIQMPRQKPLVAKAVPVPVVPVPTISRSSGSIAQAVLVPIVQSPTISRSKEGEPEPKALAEPVPKIASPNAPSAVAIPVAEAVPVPVMTESDAVVHAVAVPVVPDITTTPEPEPRAEAVPDITSPITSDAVAIPVTEALPVPVVSPDTPDSKPGVAHAVPVVTIPTSPETPQTSVQAATEPAPTEPEAATPVVVADRTIVSELASSEPEPTTTDPTMTPESAAESIDSAASSLVVEDKAVAVEPSTSTTSETAAFTTSSGLIDDDSSTTADATDADLTTVAPPTTFTTTPRATPPPFVLPDDIVEEGDSDEVGLQIGHDEAEALFEEASSVMKGCRSRGGGK >PPA05081 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:20895:21250:1 gene:PPA05081 transcript:PPA05081 gene_biotype:protein_coding transcript_biotype:protein_coding MENIHQCMDLCSPGREAFHMALSETGEHFRGLVEHYMDKKGDFSKWYCQHGRFINIKKTEKIDTSAPLWNFDQEKMKARHVWQASMSGQSVEC >PPA05111 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:171692:172232:-1 gene:PPA05111 transcript:PPA05111 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHNLLYGEDGKLIEEEENEEMMNEEELLREVPAVEEEGLIHPVEKEAIKGVIEGARHGIEEPRDAIKRIRMKFDTARQNLRAREESKGSTAEAILEFEGIFDKERKLIEDDLLKLRKKTVAH >PPA05109 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:150686:152922:-1 gene:PPA05109 transcript:PPA05109 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGEVQQTDSHHYHQQQHVQQAVDDSAGGCIIGSTNGLAAGGGSAAYSASSNGAGAGLSLEQFDRLKNEILGECGWAAQMKGYVEIGADPHTDGQDEGGMDKMKEEMDKMKREIIEAIVANR >PPA05102 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:104166:104752:1 gene:PPA05102 transcript:PPA05102 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSILIALLFVASAVAQYYGHGYYGQGHHHGDHHGAGYYGGHGHGDGYHKKYDYGKHGEHDKYEYGKYGEHHKGEEYGSGHEEGHKDGHHHDGHHDEGHHGGDYYGHGDHHDGHHDHHHEPHYEHYGHH >PPA05094 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:51468:52576:-1 gene:PPA05094 transcript:PPA05094 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNFLTLPIELVEQVFMVLDDESLLRMRKVSKLTKELAEATVLNRFKSPYIDCIQLVKVEDDIDMEFFFDKVESTDRFESKLCLISAFNYLKTHKIFDYDEYSMGVLLSPTDTHLEEDRFSGK >PPA05126 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:356434:359232:1 gene:PPA05126 transcript:PPA05126 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLVLTLATAAALEEKISTVAKKCCPAAAFQCCYDAIDFHTRLSCSEIPEEKRVEAMRCVQTELYGEKDMKWTGIDHLDCCEAFKNDYTDTYGMCYATCKYAMMAPSMKSRAKLHLIEHCRMTNPLNTCFNQCRIDHNEKAAKGLPIPAYKDVETDRCARYKMKDDELYPINQIMKA >PPA05113 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig131:174931:179646:-1 gene:PPA05113 transcript:PPA05113 gene_biotype:protein_coding transcript_biotype:protein_coding MACEEVQDRRGGRDAAAGEGTTKSKYGEVVVESAVKEEDLCISVNDFEDVNKVAFEKFLIDLEHRARTSTDMIYGIFNFEGIMGSGYSKICKTVFAELFGYRAYDVLGEYIRRELAPVQTIYFQRALTMSPTRPASPSSLSPERPAAAVAAASVDRHNLDAVEPSRDTPLTADDYALALQLGTDIPEDELAIYVRKFEQAAAARCLRRHNERLHGDYLEWSCLMRACESRASHWS >PPA05116 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig131:199827:201134:-1 gene:PPA05116 transcript:PPA05116 gene_biotype:protein_coding transcript_biotype:protein_coding MRERGAYHLNLLLNLTRIGVGVFLVDLAAIVDAIKCFAPTLVKNSPFDDWAALQNLALEVLSSSCCCYFDIVLSRIQHKKFFFGARTEENMPRTVNNNNIPVIVEMWNMIDGPTTVTID >PPA05086 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:29538:30423:-1 gene:PPA05086 transcript:PPA05086 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVVFIASLLLVLSECSSAKWDANWEDTVAGVPDAKDIAMFDKKERNGICYWQGGFWCSPGNECDDGYRYVASSRQNAYNEWAGDAGAFCWFGERWLCCESDKVKKNPKTSCTHGGGQNCGAGKTLIWWASWNEENLCCEDGTIVP >PPA05125 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:324742:339702:1 gene:PPA05125 transcript:PPA05125 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLVAYGDESDEESPRRRHSNEGINPLKPRRGSHSQPTDRSSVSPDSASSQSRQRKDSDFFYDEEMPEEEREDDGNTEDGFREPAPPRGHHDSDSDSPEMITGGSMIKHVSSTASLSGFERDTFEKEDARLESTGDKKSRDEEPAPPVRQSMDEDDEEEIDRQLEECKDLLKVGPSSEGCSQPATPQYDSSPKEFEGPATPRAQEEARPGCVQKLLECSKLRENFQKLLEYRDPARSGHARRRRRRGPIPGDVREEGERAQYQPGHPE >PPA05107 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig131:141557:144343:-1 gene:PPA05107 transcript:PPA05107 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSNNLASQKKAAAASAANGKENTPAVNGSNGKPAAATAAAGDSAKFKVPSLPAAVDRYAELEKKKSAFSTLSAEAKVRAAAASPIKEGAVPVGMRRSDAKNRARYSDGIAAEPVAVHRNGDSKEKKKEEQGAVASPAASKKKEKSIKEMKAELAKKKGTKKVL >PPA05127 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:360727:362954:1 gene:PPA05127 transcript:PPA05127 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKTPSQLNGSPKIGTISARGEVKSVDRTNGKEADRRKSMDGIKSQSYQPATSLDGMPDDILREITSYCEESLRALRLWNDLILHHYHHRPNHTDQLPDLSGLKFRDVHINHPESQKDSSKVKEMLKMWNFENHYVDYAQLTNLNSDFITSILDKKEIKHLSITAEMCHLQGPRAFFLRLADTVESIRLEHLSNWRRACEMEHLFGVENHAWAEVVKEMFARTRLNKTVKKHCKHLFGLDKKKEPNNSSQQKRNNQTAPTSSGSQPIVIV >PPA05100 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:96562:98863:-1 gene:PPA05100 transcript:PPA05100 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVPSRECDSPSAPIAPHRGFSTKAREILLDRDQFDVTPKKRGSKAGSRVALNRTDSQASGCSSKSSTQSIPEPLTFDNIDDVAKRKAKLHKSLGELGKTRSVDQIRKDQLMDRRLMRTFAHDDILKMPSADSDLSDYPINAKPLPKKASKYEVTLEEDE >PPA05099 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:95192:95693:-1 gene:PPA05099 transcript:PPA05099 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSILIALLFVASAAAQYYGHGYYGQGHHHGDHHGAGYYGGHGHGDGYHKKYDYGKHGEHDKYEYGKYGEHHKGEEYGSGHEEGHKDGHHHDGHHDDGHHGGDYYGHGGAWCELGNVA >PPA05079 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:14651:17809:1 gene:PPA05079 transcript:PPA05079 gene_biotype:protein_coding transcript_biotype:protein_coding MVALVELHYDADTPRPLMIVLGVVTALLVSVHLLALMMSTCILPYMQASGPTQDSPHIRLKMYIDLSWFFSTCFGLILFLIEIGLIFYIKFRAIGFEQAVPVLFIFIIISCLIHRSRASHSIDRIDKKVSSLKHMISSSDQNIHMMKICRHAQNTVILPQKQYDSLHHDPVYSRISTATSFGGEYEPSPRHHLPSYHHPSIFLNPFLKLHSPFGGCDCAEREQREEEYDRKLPRRREEDDDLWERDRGETPKEEPRQYVAKIREIPTHSSDERDHYERDHFRSAPAFTYLGPAD >PPA05096 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:64871:75862:-1 gene:PPA05096 transcript:PPA05096 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSDKEVEAMGELAVKIAALESALATEQGNRYSNLNFAPDLRPFENVHMKFTESSVIAPKADDSVHSRLRPLTSSFSAQLESQLSSLVEEKNALFLSLEKEKANLQDAEEKNQKLEALKADLDRQLNDISNRLEDMEERNNDLQKQKKKADGEIESYKKNLQDMELSLRKAEGERQSREHNIRALQDEMAAQDETVAKLNKEKKHQEEVNRKLMEDLQAEEDKSRSIILWRGNVATPHHTTTPHHPPQVNHMSKLRAKLEQQLDEIENGMDREKRQRQDVEKNRRKVDGDLKIASETIEEITKQKHDIEQNLKRKEGEMHALSAKLEEESALVAKLQRQIKELQQRISELSEELENERQSRSKSDRARSDLQRELEELSERLDEAGGATAAQSGGEVDVSQIESNRRREAELAKLRRDLEEQTLNHEIQLAAMRKKQVDCVAELTEQLETLAKLKAKADKDRAQTQRDLETAQSAADSEARQRADVDKAYKALEVMYAELQQKADDQGRQLVDFAAVKTRLTNENSDLGRIVEDLENQVNGLHRIKTQLTSQLEAARLTADEETRERQSLAAACKNFEHENESLREALDESAENKAELLRQISKLNAECQQWKARFESEGLVRLEEVEEARRKLIAKNTELTEFNEQANAKIASLEKMRHKLMGDLDDAQIDVERATNYAQGLEKRQRGFDKIKDQPSQIVDEWKKKCDDLAAELDAAQRDNRNLTTDLFKSRTVIDEMNEHMESIRRENAGLAQEVKDLTEQLSEGGRSVFELQKIVRRLEQEKEELQKGLDEAEAALEAEEAKIEVSQIRSEIEKRIAEKEEEFENTRRNHARALESMQATLESETRAKEEALRIKKKLEQDINELEIGLDHANRANADAQRTIKKYMESVRELQMLVEEEQRQREELREQFIASEKRAQLLQQEKEAAILQAEAAERARRNAEAEAIELREQYNDMANQLNALNGVKRKLEGELQSMAIELDDALSQLKTANEIGQKAAADAARLAEELRQEQEHSLHVDRARKGLEAALKEMQVRLDDAEAAALKGGKKIIEKLEQRIRQMEMELDGEQRRHQASGDTDKSYRKSERRCKELEFQVDEDKKQMLKLEDLGDKLQQKIKVYKRQVEEAEEIAATNLGKYRQLQAQLDEAEERADLAENCLSKLRARTRSAASLAPMAPLPPGPNMLGHTASSASLLSL >PPA05128 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:363253:363953:-1 gene:PPA05128 transcript:PPA05128 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKTYKHKAEAIGKNIRGRRFAPNETFVSNMEFMAEHGPLRQDFAAYSLFFLRAARSLRYAAQLPAVLLHGRIRVPCHHCCCCIALLPIGASALCARTAFRKCRRVKKHASVVVHPRPDVQAPEMPAKTDHPVARAINEPV >PPA05114 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:189413:191296:1 gene:PPA05114 transcript:PPA05114 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVYSISYIPRLIEQEGDFLIRASSPGPAPEIILTACNDRLERIHATLRFDTIPHPTVPRRFDGNWFLTPMDSATERITFATISDLVQFYIAREFEGNEEADSYPTHPQKTVQLVRGIPRLEPELKRGTIRFEKNNSIWEGAYATTFWCGAPLTETQHIQK >PPA05101 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:102604:103104:1 gene:PPA05101 transcript:PPA05101 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSILIALLFVASAAAQYYGHGYYGQGHHHGDHHGAGYYGGHGHGDGYYKKYDYGKHGEHDKYEYGKYGEHHKGEEYGSGHEEGHKDGHHHDGHHDEGHHGGDYYGHGGAWCELGNVV >PPA05085 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:27103:28778:1 gene:PPA05085 transcript:PPA05085 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSFDLFAAKLERFFPGMLSKELLAKLRGSQQISEDNKAELQKEVTQQAQAYFNQKTLSLAFNFETAGYLLSTSTTFNDKSTPNAPVLRPYLPAFASARKQFDALSLDARKALDDAFPHLGMLDELEASHAYVKVFDDIIVHLKGFSGNLPGFEECDKVRALS >PPA05112 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig131:172923:174778:-1 gene:PPA05112 transcript:PPA05112 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWEQKDQELECDSERELRERQRASLVQQFDGGLPVQAGSVPTGALKLLINMGVTSILPEPTPIDEHLIQFEGEPAAKRPRREESSAATSSGDQSAVLLEACPYAAGANDDDELPENVQFIISPSNIIANSVNI >PPA05129 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig131:373939:375433:-1 gene:PPA05129 transcript:PPA05129 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVTTSVAVADDVAELHEFMLDDFLREPSINAAIGLTRQEAKQCYRALRDLRRHHDTAKLCEEEWKSPTRIQLILDLLDALNNNKWAFIPDDVGKLLYVEVVSVDEKYRKRGLAQKLLDLSYEKGRERGVAGAFAECTSSKRKADIRLPGRDDYGATRSFMKKVRYVVSMFLKAFTS >PPA05076 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:3292:5587:1 gene:PPA05076 transcript:PPA05076 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIDIVFLLACIYVPCLVLLYLAEIWTILKPGSPFKGSFYHLFVAGALVDLVFLIDTTHELRLVFFPLVNGFFDGYECMTCTQIRIRKVFYTKMPLGPGWDMYYTQEDFSYLPWYKTHRITSIEMIITSVLIIVLYTLAARALRKLTGDTATRKQERKLLLFGVVNFFLSLLGMVPQVMIDFNLFPIEWVLIVVYQYTWLTDIKTFCAAVTMVVVNTTFRKHMLTAFKLFNPGCIHLFAYWHEYLRSEL >PPA05090 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:39846:41581:1 gene:PPA05090 transcript:PPA05090 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTLLLLLSIGLVAAAPAARDYEKEAREFFGRAANLGKEGKYGEVFDMFSAKREEFIPGLLKHDQIQKIRKTIVDEFEKKQAPGDFTGKDMSDLIDIAWQGVKYTLKKDELLTKEASKFFDKTPIFPKEISDLKKLIEVSPNFVEYRKKFEALDAPSKASLIKAYPAYGRLDEIQASPVPNYSGCAYGKVFDSIIRNLKGLCTELPGFDECDKLLKAL >PPA05115 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig131:192066:194586:-1 gene:PPA05115 transcript:PPA05115 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLFYPMVANVLDLPLELLHCVYEQLDFKSLLVMRSANAFLINLAEIVGLMSITAPIDKYEAFEDWPRLQRLAFNVLSSALENPRQAVLLRCSHCGKMQTVSEQASPPPASDATWSCLMRACESRASHGS >PPA05106 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig131:137675:138383:-1 gene:PPA05106 transcript:PPA05106 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGWFVPQQFLKASFLLQRMQQMANAAMEREIGQQKKKSKRARQTTPSDSDSDEVHPLAVVPAFLKRGVGVASK >PPA05119 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:256409:258648:-1 gene:PPA05119 transcript:PPA05119 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLTELERETRTLRARNDEAARQVDMLTRTAAEEQEALRIAANKVDSLRAQLEELHRRRRAAKNAALLEQKRMQTAQAHVAQFH >PPA05110 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:153074:156764:-1 gene:PPA05110 transcript:PPA05110 gene_biotype:protein_coding transcript_biotype:protein_coding MRNERVLDTQLYLGMLYELAPLQCATATFHQLLDVHSQMYGLKFAPPNADQARQFERTVRQALDYIMRSQEPVMHSNGGSHGDEPRHVLGPRDKGRGMHMRIANWKGGDMCRYRVEAIMEACEEGASLGAAQLAAAQQQQVRRQSQGSSHSGGGGGGIYAQSKLFFHSFPVSSISSFLHSPVFASCREVAPSALARLYSTAPAHPLHPRRRVPTPTTTTTTCRRCEEGSAGHSRIPRAAPASATAASSTGGGGATSPVRAPLTVASATSLSCGRRRGPAQPAAQATASASRLARAAARNAAAAVAAAATPLVPTFALPVLAQPPFAPATLPAALLTPSARLGGHFAAVAAAAPPSSPTTTSSTPTVTPAPGSPIAAAAASRSSLPVVHVSLPVVTNTNSVESLPDWFSMMAGESKENLSTVEEEEQSTVDEEQEEEQDGDAKSAEDSGELVVNIPDLTTSLAALRKKLCCL >PPA05092 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:43555:45252:1 gene:PPA05092 transcript:PPA05092 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVEYLLLLMTTMSLAATDRNDTEIFDLAGREFFGELIAKIQCGAQDEVFDHIYNKWNYFYPGSITAEDFRVLLPWKLYGTYQNETTVALKRVKEEFLQRYESLNSEAKFFFVDLEDAYRKLRSKAHTLNDILHQSNKFDIISTKLANMTVLARSKLERLKAFYTVAGRLIKSFVRICKDLPGYRGCDVLNAVSVYRKGSAVKSCTRTEAAKRDGRVVEGASGHSKGTEFNRPPRQTSLAIPQGSANW >PPA05082 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:21427:23377:-1 gene:PPA05082 transcript:PPA05082 gene_biotype:protein_coding transcript_biotype:protein_coding MHQYADTSELLEANRKLRETLDKANEEKIKRDDEINRLSNMLSALEMFSCGSDQLYSSKFMNEYIVEDVLGVGGGGCVYGAVNKFDEGMGIIIEQALREVRAMANLDHPGIVRFNSTWIEKPPDAWQYDADSEMLDSIEARGKKIQCAEDLLCKYSLTFWLRDNTTAESRELPKMKEWFKQIVSAVDYIHAQKLIHRDLKPCNILFYEENHLKICDLGIVTDRVIENGVEITTTRTGLGTREYMSPEQIANTKVDESRRFNNKKNPGIELTVTRTNIGTEAYMSPEQAQLVEKLTNAERSKRPSCSQTHRHQI >PPA05124 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig131:310038:313961:-1 gene:PPA05124 transcript:PPA05124 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGRIKPADVVWLRPTEMFTKDGHRFPYTVFNDPSSSDIEQGALGDCWLLSAMALIAERPDILDKILLTKAYSELGVYQIRLCVDGRWRVVTVDDYFPCRRSSRTLAFAVGRKNQLWVPLVEKAYAKALGQYAKLRAGRTVEGLALLTGAPCETISLEDDDLEVDLVWARLLSAK >PPA05121 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:296311:305962:-1 gene:PPA05121 transcript:PPA05121 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEFARRLLPAGVRAPGAFWMAYDDFRLHFDSIDFAKIRQHLAWAELRVPCRIGGSWADDAVALMLVVEEPTEMCCSLFRGGSRTADDHEDLLMCIHRVDPKGRVGELETRSDRKLSHMATTGDFFLRPGHYVITALSLSSFNRGKFRLDASLVVHSNKLLFGEAIACPPQMATDSLVQLALKEGSVQRSMNGVIPRFVTKRFGGLMVMVDNHLPATHLHVTSDCSKGPMKQQICWGVKVHNSVMVMVDHHLSATHLPVTSDCSNSSNVLSSRGATKVIDSVPPMSRQILIVLSHFDASNGYVVENKLMMATSRTAAINGVMMQPGAGTAPRPPPLLGCSQGSSIIRRSKTKPASSCTPRGVC >PPA05095 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:53942:60277:1 gene:PPA05095 transcript:PPA05095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-met-1 MLRRSARNPGQAPPDESPVVPSQPTQKRGRGRPPKAKKTFAQEDEQAADDGSKPDELIDESIVDSAEGTTDSSRAADVSVPLVESVPAEGEDEEKLHSHLPDYAMTTKDNVADITASRDKENESLPNCDDEKVKIEEESKTADEIKENADKETIKLENAAVAIPPSIPDTIPSDPIPSVPISNIPAEAATTVNDALPSTPATVSPTEIAVVSSSSIPIVPPTELTVSTPSTLPLRPPPYEHIKECVFLAQIMDLNEYGDECMCTDGVCGSECLLRYLCVECDKKCPSGRKCTNKAMQRGKGASVEVFHTGTDKGFGVKALEDIKKGRFIIEYVGEVMTEKASEKRKKEYAREKPARRHHYMMKVTDWKNKGFIIDGTRMGNEGRFFNHSCEPNMECGKFSVKRRFRMAFTAKRNIEAGEELTFDYKFENYGKTMINCECGAAKCRKFMTSKKEAKEEEERRKLEEDDSDDVDDEEEEEDEEEEEESAEEELEIEDRDMKKLKTQQSIEDYAGQLIQKGVISKKKQIELMSVIMIRLTPVHQSLRTRLVKLLTESGEKNNEVYSVFLERNGRMIIQKYLTLGIDEDTTIDQHKELIPLLEAVMVLLESIRKYTETIHESSKMAYLVPSLRELTQLETPDSLVALDAMDSIVNTVANDEETGIEDWSMRWQNCKSNAADLLELWKYAFTLSSSFKIPKKMRDKEKKNPSPVKSSLIRPSTFKRPIKVDERNAGWQSTVKRDRRDSNHRDKPYPHKSRQPEARSAWSIEDLKRLEALDVQRRLEQRSKESQSSQSTDSQDDDDMSISPNVEDMEIEEDDYGRSTSAAPGTGGVQSALSQGVPYYLVGPALGSSLEAERDFFLHAVNHCARMAAEARERLQQEQQYQRDAAAAAAAAEAAASPDETPVEEQQPRKSVNERLAEISKDGLKKKKAEFKSKAVNVIKREVRPSMFADLETFEKTLRKLIHGVMEKEEKIDTNFEYDFSDGINLSEIV >PPA05091 pep:known supercontig:P_pacificus-5.0:Ppa_Contig131:42144:42642:-1 gene:PPA05091 transcript:PPA05091 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRPSSIDWSELADMRNAFFQCFKKDFYPDIDHNALEYTPFLIAFRMKFETLSTPAKDSLIKAYPIFGRLDEVQAYATVFEAIIGNVKRDCARNCQD >PPA05130 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1312:871:1120:1 gene:PPA05130 transcript:PPA05130 gene_biotype:protein_coding transcript_biotype:protein_coding MSARYSMLTDFFPDPKAEERLNAFLATVSRLVIVTDPPFGVFVEPLMKSVQAIRTRHAIA >PPA05131 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1313:60:406:-1 gene:PPA05131 transcript:PPA05131 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVIRTLSLAVVYKPCKSVYSHHGQIGIEGDSVAKVDRATCVGGNWYGSSCGGAMYDLGTNPILDCGKSTNPQLPEGCACSYTDEGAARNN >PPA05133 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1317:145:434:-1 gene:PPA05133 transcript:PPA05133 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKNRDPMNLASLTVTTSATPSCPTRDLWTRCSWMRNLARRLPSVRPEEAAEEACEE >PPA05134 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1319:577:1288:-1 gene:PPA05134 transcript:PPA05134 gene_biotype:protein_coding transcript_biotype:protein_coding ITRRRPTPVENIYWLKTRSTYILSISTTANNTFTAGPEGLTEAMFIGRRFHPLQYGLSVERGFDIGMQIMPVNTFSYKVRTPLMCTNATCAGSKDGVPLTSLIEVDQLASNFEITFCPNAE >PPA05219 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig132:390745:392371:1 gene:PPA05219 transcript:PPA05219 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHLALDHEVSLHPKYFGANLNEVIKSKLFAEVEGTCTGKHGFVIAVTTIDTIGHGIIQPGRGFVIYPVKYKAIVFRPFKGQVMDAVVNQVNDKGIFCDVGPLSCFISRHCIPPDMEFDPNGNVPCYKTVDESVVIKGDDEIRVKLIGTRVIRSRITRSISSLFPGTIHVFALTPFTPVVHV >PPA05144 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:49269:52028:-1 gene:PPA05144 transcript:PPA05144 gene_biotype:protein_coding transcript_biotype:protein_coding MTAADPQPMANEALEVPFPKVGQILRHGVHQWKVEKCIYTGPFSDVFVIAECAAPTRKYAMKVERVVGVQRPVLKLDAHVLPQLKGQAGFPQLVVSGRTASFKYCVMQLVGPDLSKLRKVMPGGKFSLATALNIGYQTLHSLEHLHNSGWLCRDVKAPNLAVGRGADASTVYMLDFGFARRFLNKDNKYRAQRPTAPLLGTMPYASVAAHCRKEQCPRDDIESWWYMIVEFVIGALPWSYLAKDTILEYKQHIRLAGHREFFARLPPAFAVMLTAIDRTGFYDRPDYDYLRFLLESAAGTERVDMKSPLDWIANPTLYSKAEKLGEDVTEVPCAAEQSQHSGGSLDLSS >PPA05153 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig132:114248:115584:-1 gene:PPA05153 transcript:PPA05153 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFLSLLRDDQILMGGFDLDAAIAETDSVSRPTSREGAGGANGELMMRLEMEGEEPVSDAEGDENGGDDEWPPRDPDMDKNKKASMAELSRSMMGRVERMARRDEEMTRGTKAVTATSVLHF >PPA05194 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:259533:260571:1 gene:PPA05194 transcript:PPA05194 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRRVGVRSIYARNNEDGEQDKASNHEDSAGEKSPVVGGAPRTTSSPRTTRSGGRGETGTPSPSKRTSISDDTTTSKKRPADSNLSPPFKRGRGRPPASARAAAPPTLLTEGIPKEEHDGEEERYDAVVASPTRTRRGNAAAAPPVFHEQPILDAQDDGQEQMINHTIDEHMQEEGHCPFL >PPA05160 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:140144:145109:1 gene:PPA05160 transcript:PPA05160 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLKRPDIQGIRGLAIASVLGFHLKEEKFPAGFVGVDIFFVLSGYLMSSILARERNINLKVLFDFYSRRFKRIVPLYALLLIVLFLVVPLILLTRDVAKFLADVPWAAAFATNIHSIVEKTDYFSELYDSNVLTHTWSLGVEIQYYLIVPILVFLQRLLGDKLGLSYLIVLVTASFSFQCFASPNVSFNALPSRVWQFISGGIAHEVISWQCGPSSIIQTDGKSYSPVPISDALPLLQGDEENEKEGIEMDIEFTGHVQYSYLNVFLTILTTFFIVVLFVISLSPMKMLPNEVLRALVIALTASIIVLGTVEERQSVLLRNRPIVYLGDLSYVIYLVHWPVVIVWKSYWDLHEMSVKDILVCLSITFLISILVHHTIEQMFITCSTKVSFIFVTVIYIAMMCAVIFHLPQHLNKSVETKMSMDEIVAAAIKWNEHESHTHHYSERPFKECVDDPEGIKMRDGYHSQNPYECVWKPKHTGSVRILIVGNSISHRASKIIHLISENNQDVKEIRLFAQSACKPIEGNCPQFFAAMMKLVEKMKPDITFLIYDESKRLRSLIQDIATDQPLADFITFLKPLSTNSKYLVLDEFYPTSGAPAESLDPVLEWQRACTNDCYGINHSMISSENLRSSQTRSHSISVVWTNFTLITHISSGTIRVVLSVLSNRDGAGGTTGRTFTPTIRTTFI >PPA05175 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:187963:194666:1 gene:PPA05175 transcript:PPA05175 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTVGDERPIKKEEDDRAEEQHSLVEETMSDQGETESLTESTEGGVPSTSALHVANTVKFKILSPMGGRTLEFAMVRGIANRDAQDELAMHLTNIFKLGLGIKEAFEKALNSEWQDLPWSDVDKVVPIVQRLNKCISSVVSLWRGATRPKTESEWFQNPYCTAEQCKKISFTVFNRVVKNAQVLNKCYKSFSSLTYGETSFDQIQIILDRLKMTEKDVFVDLGSGVGHVVTYAAAYSNAKRCVGIEINNVPADMATKMKKEFEGMMSWYGKKYRPFDLIHGDFLDDTFKKLLTEEATVIYINNFAFDPNLMFNIKQRILEMPSGTRIICTKSLSEEREDRGRGESDEAKKQRPISGRTLSRQTVDMMLDCDELPLADAPVSWSAGKGIQFLLFTVNHTKIEQIFQCAQNSSSGSGTSTRSGSAENVVAPIEDSHTDNSISATIDEVVSAKAPSTRGIRMYPEEDSDDNDDEDYPAPGSSRKNASKAEKRRGGGDGGVPKKRSSTGASSSRHGKKDSKKEAKSTPSSARRFAAATEVPMQRRDTKVKKEDPSSSTSQPHQSEDGRVVLDPSNPLDAHLSESNKRAPKRSIGGKDEKEKRRRGRPSKGGGAGDGSDKENGDDSDDNSSTTSSPSTSPTKKNPKKKKVEEKEKTPERPKTLTELYGPAANGHDEGFVKEEAPEWAGKEGKVSKKHGEWKAVFAPHYSIFEEDLTNLPPRRRSSLNPSLAPNLTQSESTKKKRKSVSKTAPTE >PPA05171 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:172195:172746:-1 gene:PPA05171 transcript:PPA05171 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTLEKPEVRDSDKMSMLEAAMDSDTEEVMKYIREGRVHVDDYDDDRVTALQVAAATGNVQLVTALLEHGADIDFCNQV >PPA05147 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig132:67923:71742:-1 gene:PPA05147 transcript:PPA05147 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQRKSLQQGREGGAKGKQFSTKLKQRPKYGVKQNSTFKKGNHSLNPDRKREGDHMRSKATINRLRIDKNGKIKEAAPFQGWHNAGTMARVEPHRKWFGNTRVIGQEQLQKFQENMGKVLKDPFQVVLKQTKLPITLLQEKAKQQRAHITDTESFEFTFGKKSLRKKPKLAVDTISALSEAATTRQEAYDVTKDRDLEVQGVEKDKFENRNPLFRAGQSNRVWGELYKVLDSSDVVIQVIDARDPMGTRCPHVEEFLRKERPHKHLVLVMNKVDLVPTWVTRKWLAELSKELPTVVFHASMQHSFGKGALINLLRQFAKLHKEKPQISVGFIGYPNVGKSSIVNTLRKKKVCKTAPIAGETKVWQYVMLMKRIYLIDCPGVVYPRGDTETQTILKGVVRVENVTDPENHVQGILDRCRPEHLARTYLVDKWEDGDDLMTQIANRTGRLLKGGEPDITAVSKVMLNDFQRGRIPYFNPPPGCEERAKVDFDQAPINELCADDDEPELMSEKGSTMGDEEGEGEDGEDKDATEGEVEEEEDLTDLESNVSGLTDMSGISDLDEDIAAMEDYEEKDDEDKAAEAKQKKQMVKNDIGKIVRRRDRGKRAGKKLTEKKKRALVSGATSIVDAGAAVSILRSRHVDQAPSQW >PPA05135 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:95:1219:-1 gene:PPA05135 transcript:PPA05135 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHPSSHRHSEEVFEEDDDLLHSDSLSSPVFSPSLQQLQPSDRHSSNGSSGKGSYRRDSASKQHLVFVPAPARGSPPSSLSSSPTTAAAAAARHRRSMQPLQPSQQQQPRRPETLRLRSTTNENVFSAYAGAPKQLLSLDVITEYRDYVIDRLPNDNVIFDILLKGPGHTNEVKFRKKC >PPA05139 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:14069:23376:1 gene:PPA05139 transcript:PPA05139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-xrn-2 MGVPAFFRWLSKKYPSIIVNANEERQRDVNGEKIPIDCSQPNPNFQEFDNLYLDMNGIIHPCTHPEDRPAPRNEDEMFVLIFEYIDRIFSIVRPRRVLYMAIDGVAPRAKMNQQRSRRFRASKEMAEKAMDIENVRERLAAEGLPLPPKKAPEEHFDSNCITPGTPFMARLADALRYFVHQRLTTDPAWAKIQVILSDANVPGEGEHKIMDYVRRQRASPSHDPDTVHCLCGADADLIMLGLATHEANFNIIREEFVPHQQRPCELCGQYGHELKGCTGLDATEKGADEADPVNKEKNFIFLRIPVLREYLERELAMPNLPFKYDFERVIDDWVFLCFFVGNDFLPHLPSLEIREGAIDRLIKLYKDMVWKLNGYLTKDGDVNMKGVQQIMVGLGSVEDEIFKRRQQNEERWKEREAAKKQRTGDWQGRRPQRPSYTPGDNSLIAPGGGGRNLTGEQTRAMARDDRVQESVAKAHREAEQEEEVDPEPVDEVRLYEDGWKERYYQSKFCIEGDDIDFRREVAWAYVRGLCWVLKYYYQGCVSWDWYFPYHYAPFASDFDTVAQYVPDFDLDTKPFKPLEQLMSVFPAASKQHLPTEWQTLMTSSDSSIIDFYPVDFKIDLNGKKFAWQGVALLPFVDEKRLLRTLKKFLQKPVQIEPAFAYGMAGAVRADSTAILPGTVFKSPVRSEECPDLQETRGIMENGGIMVIYKDPSFPDGFIFPAQKLEGAKELERTLKPGDWDDRRNGQYQPMIGFTRNTGGRASLDSSGHRAIQHEVGMGGGRNAPGGGQWERREGAGSDWNGGGGGGRGGYGGGGYGGGRGGGGGGGRGGYGGNYGGGGGGGYQQQMRHSDGGWAAQNA >PPA05201 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig132:296805:298681:1 gene:PPA05201 transcript:PPA05201 gene_biotype:protein_coding transcript_biotype:protein_coding MMGMGFPTMPRIDLPFYYSPMSFLSVAQPAAMPPPSFVDLPDANQVMSRQHMFNPATSSLSTAAAAALAAARSEVQRHGVEISQQTQTDQLLAQWISLDDANRQFHALLTGIPPGGEAAPIGAAQRDIDKYSVTQKWRAVEGADVDPCTVCMTDFEEGENVRKLTCNHSFHVNCIDPWLSYNKKCPNCRIDLDQAATAGSVAAPPAAPVAPAAVTTEVIEDQLARVTRTLHAAAQQQLLNEMRIQQNEAVATAAAAQAEISFAAGLNTAPNTGPVMANAEL >PPA05223 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:399557:404792:-1 gene:PPA05223 transcript:PPA05223 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGVSGNLVDSKNSINTALTYVYDHLVIEPRGFVTSQGFIGCESGQIYRSSLYGDLVQYSLFAPDYLGNDLLTPQQDCFETHMLQVNFNQTQTNSFSFVYSYSNMDCDGIGTLSLLAAMVESPTNDGKIDEFIERGGTSIFLGFPDEIIEMIFDKLDFIDLCNGENRLSPLLTVVIGLHGEKVSLGQAVLLIRRLVNIFNFESVVFAPSQKEHIELINELSEITTNLFRIPAWFGEYDANHLSTHFDRSVICNLMRNIDIVKILRTARDSASFCGIALRIPRKTARDFVKNILGEEGKMKGTSWEVERTEGPIAMVVERRYLNCGGSGYKFSIYNSGCEEKYPMLRSNDLYEESEVMGNLLWFRSKDSEENDQFDVKSDIVEMVVETSFLDHPDELIENILSRVDFIDRCRMRGVNKRLYGIEERMKKPLENRPNLIISLIDRSVHSPMVTRSIVCDILRGREIVKITRVCPLLTSLDLEHIIQAAKVSDQFWGIGIRIGHHYKVEREEGSLRVGMYCDYDEYTFVAYKVPSKFEKILDELMISPTEIADRGYHVACRDASDYDVYSN >PPA05148 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:72834:89357:-1 gene:PPA05148 transcript:PPA05148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pyr-1 MHQWESTMHATLHLDDGSQFKGYLFGATKSIQGEIVFQTGMVGYVESLTDPSYADQLLVLTYPMIVLLKNPQSLRQLRSAGRHAARRIRPVGLRVRSSLARRTYRRQVVPGRGGITLGVDPIAQPMAQAGVPGLAGIDVRQLTKKIREQGTMKAKIVIETDDADSLPFKDIYETNQVEIEPTVLGNGKRTILAVDCGLKNNQLRCLLRRGLKVKVVPWTYPIDEEKGIDGVFLSNGPGDPEKCAPLVKRLSNLISRSDCPPVFGICLGHQLLSRAAGASTYKLTYGNRGHNQPCTHSGTGRCFITSQNHGFAVDAKTLPGGWKVLFTNENDQTNEGIVHENRPFFSVQFHPEHTAGPTDCEFLFDIFVDSIERVKRAEKFSVDTLINEHLKFNCGYEVKEQRKVLVIGSGGLTIGQAGEFDYSGAQALKALREEGIRTVLINPNVATVQTSKGFADFCYFLPITKEYVTDVIKKERPTGILCTFGGQTALNCAIDLYKDGIFEQYNVQVLGTPIPTIMNTEDRERFNAEINSIGERVAPSKAATNLEGAIAAAEELGYPVLVRAAFALGGLGSGFASNRAELIDIVKVSLAHSDQVLVDKSLKGWKEMEYEVVRDAYDNCVTVCNMENVDPLGIHTGESVVVAPSQTLSDYEYNMLRTCAIKVVRHLGVIGECNIQYALDPFSHEFFIIEVNARLSRSSALASKATGYPLAYVAAKLALGQHLPKIKNSVTGTTTACFEPSLDYCVVKIPRWDLSKFSRVQTQIGSSMKSVGEVMGIGRSFEEAFQKALRMVSDSADGFSPKVFPRTPTDSDLSCPTDKRMFALARGFFYGDFDVAKAYELTKIDKWFLHRMFNIIEIAHKIRKEAAKVKALSAPLMLEAKQAGFSDRQIAKFIYSNEWDVREHRQNLGVQPFVKQIDTVAGEWPAQTNYLYMTYNGAENDVEFNIKNAVIVLGSGVYRIGSSVEFDASCVGCVKELKALGYTTIMINCNPETVSTDYDMCDRLYFEEISYESVIDVYQREKPKGLILAFGGQAPNNIALSLSKAKIKVNILGTHPNDIDMAEDRFKFSRALETLKIEQPQWKKVEDIDAAKEFCSSVGYPCLIRPSYVLSGAAMKVVACEEDLAKFLAEATKVAKDHPVVISKFISEAKEIDVDAVASEGRLLAVAVSEHVENAGIHSGDATLVTPPQDINQVTMDRIRNIALRVAEKFNVSGPFNMQLIAKNNELKVIECNLRVSRSFPFVSKSLGFDFIALATRAMLVSDNQALRAKLKPITLQKLDRVGVKVPQFSFSRLAGAEVILGVEMASTGEVACFGKTREEAYLKALLSTGFVVPKQNIFLSIGGYTAKTEMLKSIQTLTSLGYTIYASKGTSEFCMSKGIAVRPVDFPFEEGEGDASGSISFFTNKDFHLVINLSVRGSSGAFRVSAYRTDGYRTRRMAIDNGIPLITDIKCAKIFCEALRAVGARPAVNNQVDCLSSRTLKRLPGLVDVHVHVREPGATHKEDWETCTKAALAGGVTTILAMPNTNPACVDEQTLEMVEKLASSKAVVDYGIYLGATPSNAEEAARLAERSSGLKMYLNETFSTLKMDNITDWIKHLATFPTTRPVVAHSERQTLLAILGAAQIVGRSVHICHVSTKEEIECIRMAKDRGVPVTCEVCPHHLFLTKDHLTEGVREVRPRLVNQEDVDALWENIDYIDCFATDHAPHTKAEKTSDKPPPGFPGVEYMLPLLLTAVNEGKLTMTQLIDRLDKNPRRIFGLPPQLDTYIEVDLTHEWTIPASGGFSRAGWTPFEGHKVRGRVVNVVIRGEEAYVDGVVIARPGLGRNIRATPEGRATVAGGVEMQQLQIAAGDAATAALSPLKKQQQQSMRERLESDSAASVAAAAAAAVATKADSDTSSPLPSRAHSPSGDGLDGCSVIAVADLTKAHVHRILDLADRFKQDIERGLKLKHIADGCEMASVFYEVSTRTSGSFEAAMHRLGGTVTHMTAESSSVKKGETLEDTVRMMSGYADVVVLRHPEKGAAARGAAASTVPLINAGDGTGEHPTQALLDLFTIRDELSTVNGLTIALVGDLKNGRTVHSLAKLLCVYKDVTLHYVAPTDELQMPQNVVDYVSEKSTFVQKRFSSLAEGIQGVDVVYVTRLQKERFDKVEDYEKLKGSYVLTAELLDAASGAEDGDDPSVILPEYNTDKRKLPIVLHPLPRVDEISKELDNDDRAAYFRQARNGMWVRMAILALVLGRAPHYDQ >PPA05215 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:364808:369360:-1 gene:PPA05215 transcript:PPA05215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gly-2 MDPPLHECSPCASPFRGMRWPRLRRGTRLLFLVVLSLVGGCIVYEYSTLSHLTNISGTAIVPEKTTPLEKTKRQEPKPTLNTEECFPSEKELADFPNCRSKWDWMTEGWKTDACYAKMGVNGTGCSFRRFLSTAERHCPLMEGETRKKEEREIAKPNFSMNELFAKLVDNKQPVNFEFIKKRISRRWDMWQAAYPENVQKHSKSMENRPRLRIILYLGFLTNTKFGELSSKGGPLGELVQWSDLIASLHILGHDLRIATQQDSVLRAIDEFARVGSCPSGKQIDLIFTDIIGLGLMQRKRRPFVVANKCKMRLLDSFGTQAEFNSKEYFKAHKAELGKTNPWGGHDLSLRQFLSLYPHTHDNTFLGFVVNTSKRNVTEINVARSGVLVYGKEKYMWEKAGEAVRTVMEVTELN >PPA05143 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:44374:46496:1 gene:PPA05143 transcript:PPA05143 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRGHREKSMITEQIHPHPTAAAFRGLCIGALFVTADFMGVWFARSVVKPRPLPFLAARMPVERCGERLIGKIDVHPVADPMTFMVKRNGASAGHL >PPA05138 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:10730:13310:-1 gene:PPA05138 transcript:PPA05138 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFGNDVDEDAVIEIGRASQYQPRSDFEDSDKKYRCLCNIVHSETGVKIIAGMLCLTALVELWAFSLNLLAWSDGKTDTHVQSSFIQFLFGCFVAGSVILALIKKRSGYLVPYMAMQIVGLACACIFFVAFIYIALFEFEPETASVFFPKQQWNPTAITKPNYANYASFVMLFITGALIAIQIWLIDIVFTCWRYFRDKRTSVLREQRQNYLNCKQLPPQPYPLRVCISVDAIPTPRAHAARLTSNSADRIDKGEPPRSRLETVASKTSLLSTTLNPHTPFPSVECNPPAFTRPHSELSI >PPA05216 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:369450:378709:1 gene:PPA05216 transcript:PPA05216 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKVKAAKQAKTHHMDDTNSQKQSARRGKEVAEWVEIVEPQSQQRMYANLETGDCSWDLPERARLRPTNDRQWWELFDGRTSRYYYYNAKTKATVWKKPPVADTDIIPLAKLQGGVPSRECETQTSALPPPSSGRSTREPQRTAYAQDISPETGIVTVRSSPIPTDPMSASFKAMSLDRRLEQSMLGDAHDFFPSHSPIEQSYDTPSTISRNRFPNDSCSPTRSVERRSLEDEGAEGWSKDAPKLPLSDPCSKTLKKEVGTLFKHIQSYMGDRKTKNNPDQLALSICEGAAARVETADEACLLIVRQLTRNERVESLRKGWELLAIVVALSQPSKRVASQVHSFAERYADKSLDPPGVPVSSIASQVLRKLNKTTARPRPSLASVHEARVHIFHPPQFGATLDELMIMQKGRYPHLRIPWIESTLIDLVLNAGGSSLEGIFRVAADPEQIATAKARLDQWLVPAVSDAHVAACLLKAWLRELPEPLIPPSMYQRALDAGENAQEAAHCAALLSTPSSLVLARLIRLLQTLAKEECVALTKMDASNLAMVVAPNVLRCQSDDPAVLMQNTRREMSFIRTLIIHYDTTFSLET >PPA05176 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:196184:196758:1 gene:PPA05176 transcript:PPA05176 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNRRGEGINIRREYMDFFTYTTTEQAKRKLLEEFRIAQARNDEIQLNEELKARISHLVGTIEQLLNHGVCELNCRLHELGMQDAIVPSDILNRSKQIVTEHKDITIMRIPSSFGVFSRHSDR >PPA05193 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:256962:258601:1 gene:PPA05193 transcript:PPA05193 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRDDEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATRSIQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDIAKHVTYENVERWLKELRDHADQNIVIMLVGNKSDLRHLRAVPTDEAKLYAEKNTLSFIETSALDSTNVEAAFTNILTEIYKSVSNKHVGGDRVMPPPTNGIDITATENSQKKQCCSNN >PPA05166 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:160204:160815:-1 gene:PPA05166 transcript:PPA05166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Large subunit ribosomal protein 27a [Source:UniProtKB/TrEMBL;Acc:A6YMK4] MATSKKKTRKLRGHVSHGHGRVGKHRKHPGGRGNAGGQHHHRINFDKYHPGYFGKVGMRNYHLRKNREHCPTINIDKVWSLVSEEYRQNFEKVTDKAPVIDCVANGYFKVLGKGLLPKQPVIVKAKFFSHSAEQKIKAAGGACVLVA >PPA05209 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:325680:328186:-1 gene:PPA05209 transcript:PPA05209 gene_biotype:protein_coding transcript_biotype:protein_coding MFQMLNLIDEKYEDTFSAPEDAKKACGILSALPPMIKNQEDHDYWLSVSKETHEKGVNDGYIILGLECNRQSKWQWMDGTKVTFIPDGVYLPDLDWCDNTNDPWGWKCMWIMDPKSNNWNQECFTKHDVDLYCVIIPTPNIEEPDPSCDNFSHDGDDDICYQVDKTATNYTEAATICHSFGANVASVHNDRANNFVRRLAVSKGMVTALMLGGRLDGSQKTITWEDGSKDDYRNFAPGFPQSGSGDCIALQTNNVNGQWMNVNCDADYSFACVRPTNAPVPSCDGSLHNEGDIIYSPGFPSSASESCEFVLKVDSGKLVEVEILLIEANSCCDHLSLFEGSLGGNLIANLTGDQYNGYKFRTTSENVMRASWEPNGAVNVRGMMITFRGVGK >PPA05206 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:312926:316744:1 gene:PPA05206 transcript:PPA05206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3E678] MPSSTERVGPPSSQPPSTQPVSSGSDADGTTSKLSVIQTEKETVREPCRASEQPTQLEEFDQCGLEDDGIEWTEWDGPKRIKETRATNEEQIKYRKILDRCFSPIAVMGVRALFHPDEVLDVMVKAQKYLESEPVLIEDVPFGVTIVGDIHGQLHDLYRVFRAHGTNKGKQSIEGFESSKFLFLGDYVDRGRQSLEVVMALFTLKILYPDNASPYLALPVYTTKRGCLMTTFFLLRGNHEFITVNITNGFSKEMRQRYDKETAEALFYQINDAFNYLSIAAIVGNSYFCVHAGISPMGFTRNQLRGLTKPFIFADEDILVNDMVWADPAVGLRGTVFNTERRTSIFFGMDALVTALTSVDCVALFRGHDMMKDGFDNQMNICFTVFTATAVYEGDNNGACVAVDSEGRLTVIRLVVDNERADRDKRLFPVDEDVKTVCERTTGELATIGS >PPA05220 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig132:392514:396025:1 gene:PPA05220 transcript:PPA05220 gene_biotype:protein_coding transcript_biotype:protein_coding MSILNLAMFDEIRRMDVRQTVYQILNFAMVVSSALMIWKGLMVVTGSESPIVVVLSGSMEPAFYRGDLLVLTNYHEDPIRTGDITVFKIEGRDIPIVHRVIKVHEEDIDNTKILTKGDNNQVDDRGLYAPGQMWLGRQDIVGRAKGIVPYIGMVTIIMNDYPKLKYAVLACLALFELNDSTLKYKVMMGKIPDDPINREEVHSADQLKDLDPLFFAQLRIIAFSLSDEEKIILNFIDAVRPFMFVFVKTEQMTINKPYLEKILKSGRKKMLSKLETALEYLTMHVDVVFHPTLMKFMGVTENVDGKYIADNGVQSNGACSRTSIRISFIANRRKPNSLLSMRIENV >PPA05165 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig132:159346:160000:-1 gene:PPA05165 transcript:PPA05165 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIEEQACVYAALVLQDDDVAITGDKIATLLKAANVTVEPFWPGLFAKALEGVNDLISSVSSGVGGGAPAAAAAPAGDAPAAAAPAEKKKEEPKEESDDDMGFGLFD >PPA05136 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:1792:5071:-1 gene:PPA05136 transcript:PPA05136 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPVLLVLCALASPLLAKHVDLPAPYSDHCILDDGTNLYDPKNADAVPWYNVDLDKPPKERWVQIATDYKDHIHELIETLKGLILPSHPDALSLIDDFFGEMNLKIAQPYQDEIASIAEATDIPVGEIVMYNIFYEIFTVCTSVIAVDDRGKVWHARNLDFGLFLGWDPKVHDWMISAVLRKMVVNINWYRDAYEEAKEHLSNTEMLSPVYYILSGTKPDQACIITRSWEKADSVTEITSRKDPWFILQTNYDPDVEPLYLDDRQTPGDNCMRKLGRRNVGFQGIYNVLSSRTNLNKLTTYTVLIQTDTGAFETHLQSCPGDCWPF >PPA05137 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:6733:10302:1 gene:PPA05137 transcript:PPA05137 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRVLLFLCLLASPQLAKHVDLPAPYSDDCILDHGTNLYDPKNAEAVPWFDVDLDKPASERFVEVAKAYKDNIHELIQVIKDLILPTHPDALELVDGFFGEMNKKIAQPYQDEIASIADAIDIPVGEIVMYNIFYEIFTVCTSVVAVDEKGKVWHSRNLDFGLFLGWDPLTHEWEVTSVLRKMIININWYRGGKILYKSNNFAGFVGIYNGMKQGAFSVTANERFVDKDQGGRTGIVKWLTGEMADAKWMTWLTRETMENCNTYEEAKEHLSNTEMLSPVYYILSGLKPDQACIITRSWEQADSVTEITSRKDPWFILHTNYDPDVEPLYLDDRQTPGDNCMRKLGRKNVGFQGIYNVMSSRTTLNKLTTYTVLMQAPEKEQSNI >PPA05217 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:380045:387978:1 gene:PPA05217 transcript:PPA05217 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIEDFASDSARGNDEEETIEVKVTKKRIRPQKKEAAGGKTAFCLDSSRYPVSINSLAYAPSGPAVWVRTWGCSHNAGYSVVREAEAADVWVLNSCTVKTPSEDQAFNLVRMAKEKGKRVVMAGCVSQADPSAEWLQDVSIVGVKQIDQVEEAVKGSLEGKSVRILTRNRPHAPLDLPKIRKNPLVEVLAISTGCLNQCTYCKTKAARGDLKSISLEDLVARAKKAFEEGVKELWLTSEDLGAWGRDIGLVIPDLLHALVEVIPEGCRMRLGMTNPPYIMDHLHEIAEILLHPRVYRFLHIPIQSASDEVLRHMKREYTVVDFCKAVDYLLERVPDLYIATDFICAYPTESKKDFEESMALVRRYHFPSLFINQYYPRSGTPAAALPRVDAKEAKARTAEMSAYFRGYSRFDDTRVGKEETVLICEEAADGVHLVGHSSSYEQILVPRRCGMGMERRVRITSVGKFHMMAEPVEDIPEIKEEEESTMESTKMAQSRLEVIPTNGVEEGLADDVIVDNRSISARQLALITVIVLVLAVVLKKLMQSPPLARSRMHYDREAHKSRTNGERQRDHEGFRLRSAGVCVRGEGTEMRLLLVSGGKDGQRWVVPGGGIEKGEEAEAAAVRELVEEAGVRAETAEMIGVFQDDNRKHRTRVFVMLEREELAEWDDGRFGRRRCWMPIVEALSKVKESHAAILRTVMDHYRIPPPS >PPA05140 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:31934:33765:1 gene:PPA05140 transcript:PPA05140 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQYDLLFKLLLIGDSGVGKTCILYRFSDDAFNTTFISTIGIDFKIKTIELHGKKIKLQIWDTAGQERFHTITTSYYRGAMGIMLVYDITNAKSFDNISKWLRNIDEHASEDVVKMLLGNKCDMADRRVVSKERGEKIAVDHSIRFLETSAKANINIDTAFYELAGAILERVRWRDTVKRCIEENQN >PPA05173 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:174383:175876:1 gene:PPA05173 transcript:PPA05173 gene_biotype:protein_coding transcript_biotype:protein_coding MSDENSIVNSLQETRHLHGRRIRNDRTKERLYIFRFTHRLKHCLSRPSTRSTVFIRKTDVHN >PPA05182 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:220682:221250:1 gene:PPA05182 transcript:PPA05182 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTLIALVGLIAVAAAQSCGQTPIKPNLMIGAALPPVHNNVSNAVVGGTTATAYSWPWNVAICTYDFWGNCNFQVSGSVIGSQWILTSASYVSGSTKPKDYRVQAGVFDESNK >PPA05183 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:229237:230222:1 gene:PPA05183 transcript:PPA05183 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQAIYVHPQYDGSHNDIALLQLKTPLRFDQFTQPVCITSNDNTAISAGKYQWFTGWGYTTNVQLGNPTKQLQQAQLYIDPSSVCSNFYKDTFWTDSQICAGTPQKTTCNYDLGGPLVQQNSAGVWYQYGISAYRAKNCDNAGVFTRVSWYCSWIYQYTGIRCA >PPA05142 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:43289:44161:1 gene:PPA05142 transcript:PPA05142 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGICLLIVVQLVFAGLIVLLIDKLLKKGYGLVSGISLFIATNICVTIMWKAFSPATMNTGRGTEFEGAAIVALFHLLATRSDKARALREPFYRQNLPNLMATILVFGVVIYFQVKIVICRASVSIFPTKSARYRCQYSSYPIKLFYTSNILIFLQSALVSNFYVISQMLASKFGGNILVNLLDT >PPA05197 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:277162:277914:1 gene:PPA05197 transcript:PPA05197 gene_biotype:protein_coding transcript_biotype:protein_coding MQLELRHLGVLALCVLGTYVADAQGLQDPISHYIEGRLGNRRIFWCPSGYGYLAFCPQPTDWDNYTWCCTWPYLGSWKPSCCAFAIPTGAVVTLILASIVLLMSECHFHDCTCS >PPA05149 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:91609:92884:-1 gene:PPA05149 transcript:PPA05149 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLQNSRTFSRRHEHIRDQLTQFFTKKVRGSQGIAQIDGVTIGPVRPIRWQRLYRIARFVFASNHRVRDLPTRIGINAMEASVLMLIMNDIQKQARANLTDRTRAENGSLFIALAQQGYHQFNLDEPVTDFAKPFIDPLRIREWRAVTTEEEPEEIETYEHIFPVEEVSPSRAKKRVHIQEEMHNEMSQIYDDEPGPSTSKRANVVEEKAMPIKFDPSRSFLDDLLGDDDED >PPA05158 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:132814:134976:-1 gene:PPA05158 transcript:PPA05158 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lips-5 MRKRLTRTPIIFYHGNEDGALAVPGNFTSGSTSQIEYFLSVGYTSAELYVTTWGTRDSSLSMTNDHSCAMMKRMRRFTLAVMEYTQSRWINLISHSMGVTIARKIVKGGRIAEDEGSDCDLGEPLTERVGVFIGITGANYGMCACEGLSLTDKTCSPINGFWPGDSCGTNELKCFSSHLVLPCKQENYSSLLWEMNHDGIREGQLVYSLWTENTTEQQHELLKPFEIYTEFTGPQPELRSGYSSNLLFGVLMIALIRK >PPA05151 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig132:110809:111677:1 gene:PPA05151 transcript:PPA05151 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIAPLLIAPSKVPKSILFYILSLCIADLLIMFAMLLLIIELVMGTWTFSSAACTAYLAVDAMNK >PPA05207 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig132:317305:319307:-1 gene:PPA05207 transcript:PPA05207 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKAIEVQRSLENFCKFRTQRNSNENLPECFEGGTLLVDEKNVTGLRCDEKTGEYKYTTDGLEITVEKETKFECNYPAVVALEINEQNTNVLYRTLYISIGISLFLGIAIIIFGITYSNYRIKERKKVALEKLAHDELAWNQYVLNEDEREELKYAKKMERMKLKNGSDDSEKEQSLPIMNLSKWNDMQLNAFSVSTRLIFKDATTTSPPVHLKHMDVLPKPDDELIKSPGFVNEKLGNVPDTATKHRMREAFAERLAKQFK >PPA05208 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig132:321666:324810:1 gene:PPA05208 transcript:PPA05208 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPFHQENLDNVDRSPVHDVWASNVEDEFNKMRSLIKDYPYVAMDTEFPGVVATPLGQFKSKCKEEDYRKKDLVKLQHGEYVSLAKCETALLTCPIVENICVSVSEELCANAAAIRHSRRSSMSTRLSIWTPDSGLPTEARKLKRRPITQKYEDTIKDLYAKAGLNYENSLLPFQSC >PPA05199 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:285288:287633:1 gene:PPA05199 transcript:PPA05199 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQQDELYNRHNLTSIFIMALDAIRKAVTFHHTRMSVSQVEMMDNLKDSVCAKIRERPGGTLAIRARNATYDSAPGLDTYAVYRAPAAPGSRREEREKRKREKREARRQAGRERGDYLNTLTFFGYNTDATDDEEVTSTVDGPLASDAPRRKKRVRRSLIRRISYYPPRASHEPRQVVLHFLNLEEEGADFTAPINGEEFRQGDDDDGDTSGMESDFDEDADPPLPPQQPYVPALSSLVEDAGAHSFSPTTATTHLVQALSVTDRYPLALPQSTDTVWTLGFGALAGAAPIVPDADAAAAAMWQATEAAWDTVPDPAPFVSQPIPNQVAPPPWPTSPEPAGGMPANAIFDLNAAAASMMRAPTPPPTIVPLGGPNQHRLVDELCVAMANSGSTPQHVVDAYLTERAAPGSPAGYARLRLPSERESFRQLHAIIERTLEIMARPTVAPAAPNLAPGPPMPPAPPASAPPSLAPDGPSSSTRVNVINLPGLGTHRIEMLAY >PPA05141 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:35085:37284:1 gene:PPA05141 transcript:PPA05141 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLLLIGDSGVGKTCILNRFSDDSFNSSKISTIGIDFKIRQVEMNGKKIKLQIWDTAGQERFHAITTSYYRKANGIVLVYDITDAKSFDSISRWLRNIDENASEDVVKMLLGNKCDMADQRAISRERGEKVASDHSIRFLETSAKANINIDTAFYELAGAILEKQPNERVVVDQVDMGANSSGASLLGRCCT >PPA05168 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:163110:165414:1 gene:PPA05168 transcript:PPA05168 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQCRFYASPFPDVEDTVMANVTKIADMGAYVQLMEYGNKEGMILLSELSRRRIRSVNKLIRVGRSECVVVIRVDKDKGYIDLSKRRVYAKDLLQCEERYAKAKAVNSILRHVAEQLGYDQNEQLEDLYNKTAWHFDRKEKRRAAAYDVFKKAIHDPTVLDECEIDQDIKDKLIEDIRKKLTPQAVKIRADIEVSCFAYEGIEAVKEALGEGKKCSTDALPIKINLIAAPHFVVTTSTLDREDGLASVQDCLDVIKAAIEKKGGKFNIKEAVRVVSDLDDEEMRKKMEMLDLEAEEDEDEDEEESGDDDGLVAPKGLDAEMDAEVEASRQKAGGKKEDDDSDDSDA >PPA05170 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:170177:170569:1 gene:PPA05170 transcript:PPA05170 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLFLVSLFDLFSITASQRVLILPTECITTDYHKCYDCCYKEFEAPQNCGKKCREVVN >PPA05221 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig132:396347:397339:1 gene:PPA05221 transcript:PPA05221 gene_biotype:protein_coding transcript_biotype:protein_coding MESVKSLDGKFGDIKIGTSFLDLPDEMIERIFFHLDLVARCKMRGMSRRMYGIDDRVERSLENRSKLIIYDWGTSVSMRMIRDSRANPGSQGVKSDDFSPDKSISHLHRLLEIFNFEHIKVTTNSKELLNVFDGIRTGFIEIPPLLLRRNGR >PPA05198 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:280327:282809:1 gene:PPA05198 transcript:PPA05198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ath-1 MPNPVVIEPTAEHKATVIWYHGLGDVGESWAEALRTIRHPNVKYICPTAGTRQISFQPMFPMTAWFDIHGLSMNSREDIEGIMTATKDAHKMIDAEIAAGIPSNKILVGGFSMGGALAINVGFRCKQKLGGVVALSGFLLRRTELPGTFNANRDTPYLFGHGTADEVVPYEWGQLTQQTLSKFCSNVSFKSYNGMGHSTCTEEMKELKNFIENLAK >PPA05222 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:397562:399564:1 gene:PPA05222 transcript:PPA05222 gene_biotype:protein_coding transcript_biotype:protein_coding MELESVKSLNEKFGDIKIGTSFLDLPDEMIEKIFFHLDLVTRCRMRGMSRRMYGIDDRVERSLENRLKLTINNWGKRVRITARRNVGKKLEYLPLDQSISIIHRLLNIYNFETIKVTTKSKELLNVFDGIRTGVLKIPPLSLKNRDRVEDDISSHFNRSTICNLMKNSDIVNINRICKNLNVDDLKHIYEACWSNSYYSVTAKNCDNFYGIDLGLPRAMLFEFVNEFELHDESRAYFSGLNWNIWAKEEEQLEIRVKIVRDYCCHCPDKRCNELNRITIHKIGIN >PPA05204 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:308089:309837:-1 gene:PPA05204 transcript:PPA05204 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFLMRVQGTLRKLDSNVALMFSRYLYLEAKKIIDKHGWNSTTKMTNKTKHKKDLIRVVAIKLLGFALEEKANGDAQKLLQSGFRQLGFNAVHQYPHCGVLWSVISHCRNPGYKDKKTGRLAQFDRMKMAQQLNEVAFKTMYPMATDDVIPEFGSPSEQAAFALGGFAAYHFQATHSKEILTFTDGAAALSYFKGMGASLMRNPAITIIASLKASDGLDEAIAESMLLMAPEKDWIVIFEDIMKTMVYYGRGLDSKKLQGLFLPNAASLVQLYDQLKVDQQFFEEAAECQLEEMRKWFASNTDMDGIKKKPEYIKDDRAVEVANPKPKPKKESNDKSKKGVDKRNDKKEKAAPNEAKPLLNK >PPA05167 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:160849:162712:1 gene:PPA05167 transcript:PPA05167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-phb-1 MGKNNHTADILHTYTPDMAAAAQKLLSRMGTLGVGLAVAGVDGGQRAVIFDRFSGVKNEIVGEGTHFIIPWVQRPIHFDIRSTPRAISTITGSKDLQNVQITLRILHRPDPAKLPSIYLNIGLDYAERVLPSITNEVLKAVVAQFDAHEMITQREKVSKHVSDALCERAAQFGLLLDDISITHLGFGREFTEAVEMKQVAQQEAEKAKYLVEKAEQMKIAAITTAEGDAQAAKLLSLAFKGAGDSLIELRKIEAAEEIAERMAKAKNVAYLPSNQNTLLNLNQIQ >PPA05152 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig132:112425:113671:1 gene:PPA05152 transcript:PPA05152 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRRISGLYAIVQVVAALVFVCFLLWPVFAYAQVYTFYLNPNNVTNEVTVMHKCSFLPPTEVEFWFNLIACVTSYAIPLYVSVPFFLKRRAIRSLVNNK >PPA05159 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:136062:138491:1 gene:PPA05159 transcript:PPA05159 gene_biotype:protein_coding transcript_biotype:protein_coding MKHILLLSVLFGFTESEVLFSNSILYDQYDIFQPTTTTFVNNLCKEGCSVYASIPPDATDALEEAKKVTIYDFETNITLFDLAALIDPVTTQKKSLDFPAKQRVININYSGDVEAAGPMLLYVFSNTGKSNVPFEVYEARTMKRSISPARIVTVLSPEPFTIGTNPTQLGNTVYSYAAGMENAINYGPDQCVKVFELNDQGSFPGFVLNVQLPILSFFFNMDTPVEFYTRIAYSTVTGFDQFLSTPGFNGCYGMQVYRSSLYKDSLEHTVSGEKLTNVRVDATLNTDEDSPVKITDVTNNKDFDLWGDNAHNNAQQSIAMNSKICHIGYTVHFYDNILGVASLLAVLLSLLN >PPA05218 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:388325:389475:-1 gene:PPA05218 transcript:PPA05218 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLSVGLWEQFFQKKDYFVVIVGLDNAGKTTFLEQIKGKFVKNYPMLNPAKITSTVGLNIGKVEVDQARLNFWDVGGQEDLRCLWANYLDEASALIYVVDASRPDLLPTVAESFKEVMKVERVKEVPILVAVNKSELEGAITADKVRMALDDGDHEADMAVLPVSALEGLNVDRCVRWILRLGDHYCSDPPSTFHLTDYGSTL >PPA05177 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:201846:207329:1 gene:PPA05177 transcript:PPA05177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sydn-1 MAALLVQTDVPGQHNSPSNGFMPGPSVVPTTAESRGGSSSTPVAPRLPPAPPRLPKTPKNGEGREGRADESRQGVKRKPDTPSTSTPHNHHQHSSRSHGKPPQGQPQTPRTSRNPSAAPAADAARPPPPKQRRSAGGSEKPSGKTVADTVVDRIFGEIEFKEAEEQKRRAEAEERERSPVLNHSSNWNRASPSEEEHRGEKPKALTRDLLTKLKADDGMVREFLNRETLMERLLGHKMMTGRLDSFLMMGFEKLPQHSAHKYSLSDMNLPPMEDPPGPPPIRVLSPGGTIMSNPSSSRHPVSFMLSMRRGDSPTVAPPVSAPPPPPPPVVTKQQQRGPKTPPGSPTLEEKRAAMKAAKGGRKEGLNIDRELGPDLSRALDKCGNKKAVLETIKNALKGVGGADEGPSGSIMDLSDNDDGFSNRRSPGLSSEDGAIGMVMASDDEVYCPSGDDGAEDDCDEKGGKRGVKSEDESPPPPPPPPPPPPPPPEDDDERHGPPPPPPPPFPSSMGRMQSHHYVGPSPSSLHSAPHPPHQMMMHQPIHIHSLQPHLFHSSSSSSYPPPPPPAMGGPMHSMHHQPPPPMHIMGGPSGGCYSMPPPMASHSHLPDYNNYNAPPPQSIFSGVPPPPPSSDIHSSTNGTNGMVALSSVAFAHAPPGTVLASPSGYSAPPPPHQQSSAPPPPPSPTKQSQHVNVNKTLFAALGLGGGGSSSSFAPPPPPLTAPSIAPPVTPGDMPVLYDRYGQPLPVPEKRQSIGGGVS >PPA05202 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:301917:304702:1 gene:PPA05202 transcript:PPA05202 gene_biotype:protein_coding transcript_biotype:protein_coding MSKANAVGIDLGTTYSCVGVFMHGKVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPHNTVFDAKRLIGRKFDDSAVQSDMKHWPFKVISAEGGKPKVQVDYKGEAKSFTPEEISSMVLIKMKETAEAFLGSSVKDAVVTVPAYFNDSQRQATKDAGAIAGLNVLRIINEPTAAAIAYGLDKKGGGERNVLIFDLGGGTFDVSILTIEDGIFEVKSTAGDTHLGGEDFDNRMVDHFVAEFKRKHKKDLQSNPRALRRLRTACERAKRTLSSSSQASIEIDSLFEGIDFYTNITRARFEELCADLFRATMDPVEKSLRDAKMDKSSVHDIVLVGGSTRIPKVQKLLSDFFSGKELNKSINPDEAVAYGAAVQAAILSGDKSEAVQDLLLLDVAPLSLGIETAGGVMTSLIKRNTTIPTKTSQTFTTYADNQPGVLIQVYEGERAMTKDNNLLGKFELSGIPPAPRGVPQIEVTFDIDANGILNVTAADKSTGKQNKITITNDKGRLSKDEIEKMVADAEKFKAEDELQKDRVSSKNALESYAFNMKQTLDDEKLKDKISSDDRKTIEDKCDEILRWLDSNQTAEKDEFEHQQKELEKVCNPIITKLYQGAGGAPGGAPGAGTSAGGPTIEEVD >PPA05210 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:332816:333894:1 gene:PPA05210 transcript:PPA05210 gene_biotype:protein_coding transcript_biotype:protein_coding MFQAADVEKDPNWTPPSPTIQNLPEAEYFLVSKASLLELLTGCNSCSSGKNSLSFTEDAHALTCTRKCTSCGNASKWSNSPVLETGNASSKEKLRKVNVDMVTGSTVTAVGTARLSNFLKAVGMNTVSKRTFHRHKNEYLLPAVENVFTHAQDEGEKLRVAGDGSFDTRGYSAEWCWYFLVDADTGEALVHVLMNKKETGSSGKLEVMPCLKKAIEILAGKIGGIQFIDTVVTDRHSAIFAMMKQDFPTITHNYDPWHYFRNLTMSFIKVFNFV >PPA05225 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:410451:416992:1 gene:PPA05225 transcript:PPA05225 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIVLPPEAPRSPMEEETPSPEDEDVIGCLPRLFAPAHKDEVEGILAQLCPLCVPGKEHDLERAFERYQKLLDLYQEQPSLLDRSISSLLSTLLSYVDLPKTDKTKLNRQSSVAMSFAYHLTKVRGHKVMARQLPHSVQYLAPLISCLEAYDRSESDRPEKSMLLLWLTIVAKNPFDLRKFDGAASEGATLRRIFDVAMPYLEAAWSRTHYYAALLLAECLARQDGHILLPTTSERIINVIEDTVAEVEKTGNTNGTPSSSSSSPSPPSSAVRVSMQMADRIIGPIILLLAIMKKVDRCHLQQYVARIEQTVKRFFPLHPSDHSLGKKCLVKTVQRLALITLRPRLAKWRYTRGKRRLEENLRAVTGEENGDSPRNGASSRIGKKRKAGEEMDEDEEEGVENIQMVAWVIDCLLRALADPDTEVRWSAAKGVGRIAARLPKDFAAQVVENVLATKFHRLAGNSSWHGGCLCLAELSRRGCLLPSLLPRAFTIVKQALFFQEPTGRFALGVNVRDAACYIVWAWARAYEASELASHVEEIAASLMCVALFDREVQIRRAASAAFQGVHKELENVGRQRTFPDGIALITLADYFAVGNRKRCFSQLAYEVSAFPKYTRHLIDHLIVEK >PPA05164 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:156183:159022:1 gene:PPA05164 transcript:PPA05164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-arl-13 MIALGVQDAGKTHAIKLLKGEPPKDLTSSVGFSSHSFQYDKGFDVGVYDVGGGSGIRNIWYNYLAECHAVIFIVNLQDMSAATIDESADLLKDLAAREDVHGKPIMLVLNRPAPGFDEIEFVARITESPLQLGLHEPPFNVDQRFHIARMHNYDGYLDHQKPSSSTFVVREKKCSDPLLESFRSFVDKVVSEFVLLDVGVKEAQRRLEERVIRDKAARAARAAQREQEERDRATGVQETAMDEPPEAAAVAVEADHSIDQPGPSGIANQAFEVDGAAGSEATSPISRQFEDDNNIVASPRMSSCLLPESHPSNQPPSILPGLYLILQEKQGYWESCHRNQKDQHQSLSKYRPHQLHGKYLTHQQQQYSSTQEQVSNR >PPA05154 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:115844:117628:1 gene:PPA05154 transcript:PPA05154 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLHPCPDGVLRPGYVAPYYPYLFPRPYLAGIFVDYFQTLARYLGCEQLEFRHFPVDNSVCSPEECVNLLDGTIESGETFTYAVSTYLQYKDVFRYAYTVPAVMQEKIIFIEGCPADDIFTDTRLVFYTVYTYGALLAILLVIFLSIVVHYTRKTIARADPIGARTVGDFLGSFLLVIGTSLLIIIWNAAYNGNNTVTSGPRELTIPQLLRQEELLFGNGNYTFLDNINERLEYICTNPEIVSMFYTPESYRFTQLSNINRECRLQKIQPGRQHLPTAWLDKSVRTGDNFNFPLAKNTSRSTIEKMNWLLFTVYNSDNASYGTSSNLLLLWSRNILRVSRC >PPA05181 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:216868:218672:-1 gene:PPA05181 transcript:PPA05181 gene_biotype:protein_coding transcript_biotype:protein_coding MLNYSTNCEDLSITPLSDTVFFIRLLYGIPTISLAVAVLRLVLFNTKRRKRYRSPFYWHLVHELFATIYMYFTHTLMMFLSRLPWFCPIFNAFITPSYWLTPYIFLHQSVHLLHLFSATTLALDRFRSLRKMKEVANVSGNFFINYNHIFPWVAKSGSGLEYRLARVGFLQCGLIFIYVLPWIVLIAMPRNWTGSVTMLTLTEPLTTDAIGMIPLWSIHI >PPA05224 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig132:406819:409010:-1 gene:PPA05224 transcript:PPA05224 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEAIQARFASAPSYYESYPIAYAKTVYKDYQFLEQQMWNTYTLQNWYCFSIDLKVPQQFRTKISQLATCLPNVLIANVSRKVGSNGVNQNYAHLDCMKTLKSRKFEYVFLLQSYLTNLGKLGMCPKSWKGEKQSACQAASITYMKGGMQALLPKTASDYIVNEINGTAFIDMYSKNFAGDEQFFPSLMTTEPLKIPGRYSVTTCSGYPRMLRHVIWFKVSKCGSRNMRHGVCVFGLEDLPELKNVQPFIINKMLPSFDNGAIQCYNELLLKRSLGNEPSYDDIDSFANGDYARFQRELRQPGFDPTKFVYHCGCDSDNDSYKNKPTNE >PPA05174 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:182046:186755:1 gene:PPA05174 transcript:PPA05174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nars-1 MNLDFDHISNFELDWSDSWDVEGTTIMSTLYVCQESGSDANDGLSEASAVASLFQAMLLAKSADGDFKVASKDESGTVVWAVPSDAAKKKNKKRFEAELKKLEKAGSKAKAAEESANAALEEAKKIKLEMDTSLPAPKRIKIREGVTNRDVRVQITGWVHRLRRQGKVMTFLVLRDGSGFLQCVLTDKLCQSLEAVQLSTESSVEVFGTIKELPAGKTAPDGHELVADYWRLIAAAPAGGVENVLNEEAGVETMLENRHLVLRGENASRVMRIRAAATRAMREHFHGSGYTEVCPPTLVQTQVEGGSTLFGLDYFGEPAFLTQSSQLYLETALASFGDVYCIAQSYRAEKSRTRRHLAEYQHVEAECAFISFDDLMDKIEDLVCDTVDRLLADPENADLIKKVNPDFKPPARPFKRMEYVEAIKWLNENNVLNEETGEHFKFGEDIPEGPERKMTDAIGVPILLNKFPAGIKAFYMSKCGEGKKLTESVDLLMPGVGEIVGGSMRIWEEKELVEAFGKAGIDPKHYYWYVDQRKYGAVPHGGYGLGLERFICWLTNTHHIRDVCLYPRFIGRCAP >PPA05179 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:211358:212677:1 gene:PPA05179 transcript:PPA05179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-snx-3 MSSVATQRLSTKRQTLDEAYAPPANFLEIEVVNPITHGVGKMRYTDYEIRMRTNLPVFKQKESSVRRRYSDFEWLRGELERDSKIVVPALPGKSLKRQLPFRSDDGIFEEEFIENRRKQLEQFINKVAGHPLAQNERSLHIFIQEPTIDKNYVPGKIRSN >PPA05205 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:310001:311588:-1 gene:PPA05205 transcript:PPA05205 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQLNRARYFYETEEPTDIKELLCKEYKDDGNESAVEDISRSYFACAAPINKLCTNPNATCTDLSYCPIFTPGNETAPATLTCTGKKWIHKGEYQELTEEPVCKADGNDAVFHIDNEKIQGGICFTDYNCKNHSKLDYPDCKDGGCEDDLDYSDDMMKCKNDKLHIRVYIRSNNTFDRATQFYCNKATGYWEWSNKTVLPEGTQVLCVGELFGSGTSNATKPEAVANMTQLQLAFAVSGFIFLCILLTVLTVIGLHCYSNPPACLRVTGKMRWKRMDEPAKIVYCHQILGKVRFREKYD >PPA05180 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:213151:214596:-1 gene:PPA05180 transcript:PPA05180 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSINVAGKEEQLPPECALYEDAFTVAGDVMKHSLEIVDAPDFESKASWKLDCQNEHVSVYYKDLPGGRYFAGRCKVGISAKDLVYQFWDHLDTDHEWNDNIKSAKKLHPITDYTDIVTYTSNDVMIIKSREFLSSRCLRQHNNAWILAGRSIEMKEVPETKAAVRAYLHLGLGRSVPDPEDPEHSCIYDYIVCMDLKGMMFKSAVNQVMGRATLKDIENVRVHANTVLRKKLYPNL >PPA05188 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig132:243129:243621:-1 gene:PPA05188 transcript:PPA05188 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTALLKRDWQKQHVYMVQYPRCRTLPNLSPWSLKLETWLRMADIPFTNISNEFKRFSSKGQVPFVELNGRQIADSNIIIETLKQDFGKADMDSSDPKQQSLNRAFSALAEDHFTWYA >PPA05162 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:149464:152334:1 gene:PPA05162 transcript:PPA05162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-kin-10 MLAVVVKLLESENNVWREITVSYFVLDAHFRVRWVPEDRLLLRDKLSTTSVIWNETQKSSSTSASDEEAESSCREIMSSSEEVSWITWFCGLRGNEFFCEVDEEYIQDRFNLTGLNEQVPKYRQALDMILDLEPVQCLEDDLDENPTNSDLVEQAAEMLYGLIHARYILTNRGISQMVDKWRDHDFGTCMRVYCENQSMLPIGLSDVPGEAMVKLYCPRCCDVYLPRSSKHQHTDGAYFGTGFPHMLFFVHPEYRPRKPQVQFVPRLYGFKIHPTAYSLQYNAAGGGGNAASASGGSGPSAAGTNQ >PPA05203 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:306535:307464:-1 gene:PPA05203 transcript:PPA05203 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSVYFLAVGKKRANWTEANSICHSFGANVASIHNDQENNFVRRLAVSKGLINGVMLVGTIYSPEFPYTSSEPCDFLLKVDSGMLVEVEILFLEANECCDHLMLFEGTL >PPA05189 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig132:243858:245284:-1 gene:PPA05189 transcript:PPA05189 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTALLKRDWQKDHVYMIQYPRCRVMPQMSPWSLKLETWLRMADIPFTNINNEFKKFSVKGQVPFIELNGRQIADSNIIIEHLKQEFGKTDMEPSAPADQAIANAFSALAEDRLTWIMGALRCKTDFDFVFTDDFFGRYHGTGAKRAMIKFAMKRWMKKVAHGRSQAQGMGRHTAEELTVMGKDTLRSISIFLADKQYFGGDRPTTLDATMFGHLAGIIYIPLKDEELKTCVKETYSNIGKWIDRIKEKYWPDWEETCNTMNMNTHHKKE >PPA05195 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:262210:272711:1 gene:PPA05195 transcript:PPA05195 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCNVLKSQSCTSPQKGAQRGRDQEAESSAAMIEDQSMMEEELMEEDSMMESRSDRGGSRAPHNLYNQHRESHRYLFNPDILSDFYEPDMIVTEMGDKFLKAREGTLPSLLKREMILSLIVNDTDRAESNDWVNSHPPAIPPLTANVATYICFVDTKTIEDAKLLTTDGLSPWSSSHPIAHTNMTPTRPKVRKWAVYMRGGEYCISGEDWRMSLYVLVEYSALLPRAPRLRKRVYYMTRDRTLLGLAMLIYDYIEPGPIPNIVAHGMVGGAGTVVRGAARTPPTPGDAVCRSQIDDLTRNDNGSTRMYARQRLEMVRFTDEEGNRSLLNIPVSQERPRLSMALLDPSELYDDDFSDVDNMDFVGGGMEEFDPQDGPFMGELVQSASRMPDNFVRVTKGNWTSDKTLLLKYLINETGSIPPFAVNSSKPAQPPLISSVGCFATFLKGSQVVNHATINADSLSPWTNANIRPKTRKIAMEQTESGEYIVSRKDWRTSNIVLMEMCTTLARCPRLRKRIYYVMRNNLTILGYILFMYEYTSEGDPPEIQANMKGAQFRKVDDLQQNADRWSPPPCQSMDDGMEDMNIDVMEVGDEVEVDLIEDEDTGAEELDMVEDDGMDEDDQREWYTYEGTDPNLEVFEGAVRSTVMGDRYIVCRTRRLIGDKNLVLRYCVNDLQFAYDRGIVLASKPFMPPVTSTCGIYVFFVSAENVDLRQVNKDGLSPWSVSDPQELGGKQMRTKVKKYGLERDADPPMKDPNMHHDGLKDFRIVPGKDWHTSEVVLVMLHSTLPRCPRLQKKVFYVLDTREQSVLGHVMIVYEYTEPGEPPRQADRPRRTMRRREETEFEIENVEDDGEIDKDSPFDFAASAPSRDQCIYVPLMDPAFLMDRNRQLHYCVNRGYMLERNGLLNHTVPLFPPLIGEKGDFVYFVSGADMDPRNLTCDGMAPWSDSRLPQATRPKSRKLPVEKSRDGVLRYVGGTRWEQTAYFLVVYYAVLPRCPRLKKRIIYACNSSNEIIGNIMIIYSYTEEGAIPQQVPHGNATTVMQPFYRMPPSLRDEAKRLLLDKRPEKTAPNSREVPKGGVAKSKIYNISKSMRPPSHRRMHMYKDEFVHPEWYDDAGEDPTRAAARIMHPPRRDPNRSHGLLPRNPVDGDIAPGQGVHKPAPRFSAAADSLLRRKRAAGGGGTADDYDGYAYDESGEPYIDVVADASIEVGHSEEIASTPAPGRRGRAMIGRAGGMAPRHVEAKRRQRIMEFIQSQAGLHGEEDTLDYLWRMVVERNERKVLDNIRRDFGVEIVTNDEAYEEEVAEGQEEGVHHVEGEAVEDDGQVDGDTSLVVVDVGGGEGVVEETVEETQEDDERDDEEKKPPVDS >PPA05146 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:66410:67197:-1 gene:PPA05146 transcript:PPA05146 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDDASAACEDLKFFERRLTEVIGHMQPRATKWRIVLLVISLATLLSSYQWIVDPTLRTMPFLDSMANHKVFSIALPSLLFLFAVLGIHRRVFAPSM >PPA05186 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:235997:238891:-1 gene:PPA05186 transcript:PPA05186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-95 MTVHPPERPASTTSAQWRSESRSASRMSHDPNFEWHGSGYRAPEFTDQFSKTDHAKAINDTLSASVEAVDKTTELIKRKKEQMRMERRQFQTEMEVTGRMSIADGEEWLNARLKSISRDDLKNELDKIKSDQQRNAVTDTLAALVYDVNATAEVLRRGSLGRDKDKKKRIVGEEVEYKLRLTPGPDDDSAFPPARAHGPPIDDNVTVDQMSHDYGVEMSESQTNSLRRRRAHSETPRRMLNIEGQQPAHPPLVCAYCSEEIDGPVLTALAPNSDRAQKFHTYHFMCTYCQKALNMHGTYREHEKKPYCHDCFYRLYNGLLYSADEHQANIEKLI >PPA05200 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:294591:296170:1 gene:PPA05200 transcript:PPA05200 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQREAQAATAAVIAEAHAAAAAHAAREQQPMGDDTLVAGPSVSFDHDRRKARRLVDESPSSPCTPAPATSAPPVDEAPCNGAAPPLQRVQAEMEQQRRLQAHQILIAQLQAQQLQHQQRMQSLAMLHPPPAPPPPPTQQSQQMQQSILHLLQQQQLRYAQPIDAGAAIRAAATTPPAALTPQAILDTSRHVLSAHRQHLAQQNVGDWNLGTEE >PPA05214 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig132:355682:356389:1 gene:PPA05214 transcript:PPA05214 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSPAEWIEFSHLPDSFPSSASSSPPSSPRSPLYLNLRTGIAQWTLPGGCKIIRHDEPQWWLLHSQEKERDYFYNPQSGETLWSAPSDAAHILNVAAAMKKAIIQT >PPA05184 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:230797:232526:-1 gene:PPA05184 transcript:PPA05184 gene_biotype:protein_coding transcript_biotype:protein_coding MHNTLVFLVGLIAVAAAQSCGQTPIQPNLFIGAAFAPVNNNVSQAVTGGQNVNAYSWPWTVAICTYDFWGNCNFQVAGSVIGSQWILTSASYVRGSTRISDYRVQAGVFDESNKRVILQISLFVPYRKEANEQFMNVQAIYIHPQYDGSHNDIALLQLSYPLRFDQFTQPVCLPAADYNQISAGQYQWFTGWGYTTDFQFGNPTKTLQQAQLYIDPLSVCANYYRDSIWDSQLCVGTQQKTACNYDLGGPLVQQDNTGTWYQYGISSYRAKNCDAASVFTRVNSFCQWIYQYTGIQCK >PPA05145 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:54965:57725:-1 gene:PPA05145 transcript:PPA05145 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSWQTWDALRVIDGEGLKLVPWDKVTEMLASALVLFFQFFIIVGGAIPYVFQYSEIHHRRNASGFSLFVCLALCVANILRILFWFGKRFDTALLAQSVVMLLMMVCMLEIAVRMNRKHTPKHQRKSLLKGHIRSAFWAWHDLQSFLLALVIFTAFWGIVTAILTPYAWYVELIGMVSLLTEATLGMPQLIRNCKRKSTQGMSIPMVLAWLIGDLAKTGYFVATGSPLQFWVCAIIQISIDIFILGQVIVFRGRGGGAESSNALPHTFDENATPPHHECD >PPA05212 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:339176:340961:-1 gene:PPA05212 transcript:PPA05212 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLMDDLLSHQSHNYSFIPLKSGGYHMNAETIRDRLARIKRAKEDIYKDEFSLLLSLPNELIVHILSFLQPKSRHKAARTCKKLNKIEKSSKYFVDKMMLCEKCGWMFRSIGSYTPNRLTKGGPRQYDALRKYPVYFLFGGDRIYADNYWAGLKNATIGHLNVKVHKKTAENVEYFRNVVTGLNMRKLSVIFLTVALGSSTVVNFETATTLDTIRKLLLRFGIVYYEDRILARRNNCKVYQCRYRLYFVIFCRRLQISFQVFDAGVIARFNLHATQESLEDTQCELIKNGFRKKMKTTKSMKKLNNK >PPA05191 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:247771:252855:-1 gene:PPA05191 transcript:PPA05191 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPQLLKRDWQKDHVYLVQFPRMGCIPTASPFALKLETWLRLCKIPYTNVSNEFKIMSEKGQIPFIELNGRHYADSVHIIDVLKHEFKKDPEAMLNPLEKAQLVAFRAMIEDGLFWAIPYNRSRNFKWFTTEQGAGGHVHGIKKIVFEKIIMPKFTKMIKSKCHAQGIGRHNQLEVEKMTKEQFDALENFIAGKSFFFGEIPTTLDITAFGHITQFLYTPMASDELKNHLEQKCPNLTKMVHRLKDEFWPDWDEACSKLSLATTMEQAEGAAAAAAAAEMKKSSLHFRSLVAVNNSWYPPPQRGIMAMAWDDTNVGGIEELNDPLIAHLRIRDHRIVLIQFPNVSNKFRQRSTKGQIPYIELDGEQVPDTHFIIKRLKKEFERNNPDVDLTEEEVALSKAYLALIENRLFWVYENSLAQDPSWLGKDEGIAGHFSKWKLRLFRLIALKPLKYMMRKKCRLQGVRRLTQLEIENQAKADLSALSSLLGENDYFFGDKPTTLDCSAFGLLVMFVYTPIWPTPIKRHLEENCANLVAMVERMREQYWADWDEACSNLSLHTEWKRARMIAERYSLVPCDVSMANLHEASGGNDDNEGRVIEEELEIIEEIKDQEIDEQQDQIKIHDH >PPA05157 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:125234:131433:-1 gene:PPA05157 transcript:PPA05157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-marc-6 MCRVCRGDDGDLYYPCLCTGSIKYVHQECLLEWLKYSKKEVCELCNHKYSFEPIYRPDMPKALPIGEIIRGLLVSVRNFLKTWLIYTIVIIAWLGVVPITASRVFTAVFSGSIQSLLLLPLALISSEGIIHDVAKGCILLLVFVCSFISMLWLREQILHGGPAEWLQLDEEEEEEEGEAAPAQDGNAEEGGAAEGEEEEMEDEDEQPELEDAFEEEQPPAEGRVENMIRLARGMEDRVAAVVNAIVDAAVAEDEDPGNQLVPVEGGAGDGPNGAAAAEGDQAAGAAAAAPAGEGEWANNWERLGDELTWQRLLGLDGSFVFIEHVFWVISLNTLFTFLFAFFPYKLGLFALACTGMTPTYFSSAAAVFSGYAIISFFVFIMHRVVRLLRMKNFLKGLGVVYLSLKVFMLVLVEIGIFPVLCGCWLDICSLKLFASTYSSRIAAFTSAPVASVFLHWMIGMVYVFYSASFVILLREIVRPGVLWFMRNLNDPEFNPIQEMIEQPLMKHLRRLGASMILFFSAIMLVVFAPLQLIDKFLPGVLPYNMSLTAETPLSELSVELLILQVVLPALLEQTQARVMIKELVKLWCACIGRVLELDEYLLPMEEDEGIPLGPDNPPLRGGAAGAAGDDPVAAAAAGGGGLAAEHQALLLVRDPAMPATPFVKPPYFGARIVALLAALAVTTVLASLVCFVGPVLLGRSLIGRLSGHRNVHELYTSAVGFYVIWMVLRALMMVAEWVPQGARRIASAIWDLFIVAMKLLVVAIPLIVIIPTVFGVYFQLVFLAPSKTPLLFPWQDWAMGILHTKIFGAAVMMGPDWFLKTAFDRMYNNGVMGIRVLPTYRDIVLPILMFMGLQISAPYTWASALVWAAGLTEEEGVVLIRISYPVVLLVGLALSFIHWQYARLMRLAEKIRNDKYLVGTKLMNYEKRKEEERREQLALPAPEEDNVEMERGAEMRDEVMEEEQIEGDVDLFEDAPLLPEERPVDVQ >PPA05213 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig132:341352:351163:-1 gene:PPA05213 transcript:PPA05213 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGYLKGSVSVPFSSSPRTVSNEQWGVKPRDRKHTDMSGLSRLAMVYEPGKLHLGMRWKVKRDDVHVGVRRGTDSPMALEVAKTRLAEGDDPNMREDGDGLTPLHVAAHWDNLAMVQLLLVHGGDVWREDDHGRTPMGMAQGKTKKFLRRMASRSEGERSWIFSRMAHLHLGSRIGKAFKGARKKMRRTLGAILPAPRRRADSECNNPAVLTSTPRPSIGCASVATSSSQYCTADEGTIGGGDRTMTARGIAAPTGRSSGLLNLEWKRPATPPIGEGKMEIGWKKGLERKEEEKERDEKRKDEKVTVRKKPRAPAEKKKEKEKDDERRPTTLTESEEESGENPVDSAKKPRAPRGLRTRVEEMSEERLRSELRCVGVAAGPIGKGGVRKGYERKLIEALVVEGDTEKVKRIIDGVAPLPSSSSSKTPSKTTSSAVGGERKTPGGKKDEMKTAVETTPQRSVQGQKYSRPLECAIANRSSPVWQEGAAQALDESIRAYFMNKGVSSFCYLLIDPRMLRGADTMGEFIHAIFYVGKGTKARPHQHLIDARNARDNQTTRQSDKIARILSIWAAGEGVVSLQLSFDICDEEAFTREASLIDAIGMRNLTNAKGGKYYGIVQHWQLLQKTQLGTLMLKLNREVKLIPPPNNGAERNALNGAGGWQGELRASPRGGAKERVWNYIRSDPSAIKPIRPEALPDALFKPYGGAARKTQPMATSSAGPTAAAAKPVPPPPGLLNRLRAGSRFAFRPTTSLR >PPA05211 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig132:337939:338706:-1 gene:PPA05211 transcript:PPA05211 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASSGDKQHFSSVRDAIQSIVRSKGESGDGSESTTTVDQTPTTSSSMIPCGDANLVPPYETFAGSILSARRIRETIESRLREGDSLIGKVRSVDVAGARVRLLAALNKRIDMRDAEMEVEVPGYI >PPA05155 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:118816:120616:1 gene:PPA05155 transcript:PPA05155 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLVQSITNNHPHVNINDDHYRLIDTCKHPSRTTLSAFYEAEVLLFSEMNDINRWCHLTSIPFDPRGGPLSVPWLDDSMVTGDDYQFVTSKQMPRKAVETLNFLILSVYNYDQEVGCERLQFVNYDNLRVLKHSRDVF >PPA05161 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:145349:149730:-1 gene:PPA05161 transcript:PPA05161 gene_biotype:protein_coding transcript_biotype:protein_coding MVEASQLVGLLSLISVTSSIILTGTVICDKDGISNTANLNATLIIGTIKDKKLHDNGQHVMMLNYKYWTEYLLRVETTKSWVFQDEVSLQIRIVHNCESGDTPEEPKCIYLNKKSDFNVVRTHIRLGDDGTKSDDTMALDAPVPASGGTDQGTGAKQTTGDEKSANQPNTPTKKKEAVKTKRVLLKTGNVISSPTHTYTVVKLLGSGGFGDVYLVEDDISKAKYALKTEFYGAVKTLFSRLKIEAHVLDKCTKAPEKARKHFLTLRDKGTTADFKFICMDVVGPSLESVRKKYSDKGEFSKGTALNVSKRTLQSIWDLHSLGFLHRDIKPANFSIGLGPEQETCIFMLDFGIAREFKNPNGTLRKPREGIPFLGTPRFASRACHKKEEQSPKDDVEVWIYMVYDLFDIMNGIPWKGQTDRPAILKMKDEMMTGKKLPTKRCPKQFLQVVTYIGKLEYVDTPDYAWMMSVCDSMAKELKVNTADNAVDWAGKVNGPSKNAGSNEKNDSEKTCSGEEETNGNADKQTGGIFGLFRKKKSATQKTTKGGTSPHDTAKRRKGPVVQARPFPMINVTAHT >PPA05190 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:245590:247281:-1 gene:PPA05190 transcript:PPA05190 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNALLKRDWQKKHVYLVQYPRCRTLPNLSPWSLKLETWLRMADIPFTNISNEFKKFSSKGQVPFIELNGRQIADSNIIIEALKQEFHKASMEPSAPSDQALAQAFTALVEDHLCWALFALRSKVGFEFLVSDDGFGRHYGTGMKKRMMTIVVRKLVNKNMKTRAQAQGMGKHSPDELHEIAIKDLKSISIFLADKPYFGGDHPTTLDATVFGHLAPFVYTPTPDGVRLNILTEHVKETYANLGQFIERVKEKYWPDWEETCSTMNMNTHHKKE >PPA05169 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:165894:171441:-1 gene:PPA05169 transcript:PPA05169 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFHQACREGNLKVIEVLLQRGADIHRLTYLGSSALTLAASGGHDQIVKKLINLTVKTSGFDAYSPTMATPLIAAAFRGNAVSLSHLVKIGKANINEVAERLLNLSPLTCAVFCSTRPMIACLLELEADPHQSALRGKTAMWLADYQKRHDIVELFNDHTSKRKIPTETKDLRQLILEGDLVKMSKAIERKEIVQDGVPPTVFSTLAGSLTALRHMLVQLDQPVTDAESKLALDSLMVAALLRDHEFVELLLSNGASTSAMNNLGQTAWDLYLASFEDADDAVRLALSPYSPKKQSFGMHDRSDSQAAAIRMREMYEMRKATWPMKLLLAGDLILGRREWSKRREKHNLNRIIDVARSMTEESQSFAAVCFFDLYNRDTEPRGSQRSKINPILQTVDSDQLPKDVLDLAVHRSSSYGFRGRKVESQQMQQQTLSRFSMTRSPGVSGSGDPLLDVPQQTRIRRSETTGGGTPHRTTPRMIKKSRDSISSVDSLGSARSLAISSNSLIPLEESSSTLRSFRSTPHLVGVVPPRRNSPYSTRVTRGEALMPGSAMQELKRMGFTHLVNIFEDQDVTEYQLTQTFDEEDFKKMGITSKEDIDALKAIQIKFTR >PPA05178 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:207836:209769:-1 gene:PPA05178 transcript:PPA05178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ebp-2 MVVNVYVSAVTPDNISRGQLLAWVNDSIQSSLTKIEEMSTGAAYCQMTDLLFPKGIQLKKVKWNSRSEVDWINNWKLLQTAWKALGIDKPVPVEKLLKAKFQENFEFLQWFKKFFDANYDGHEYDAVAARGGEAFPAGGKGPAPRPNPVPAARPAAPRPAAAARPAAATTTKAAAAAGRPAAAAASTAVAAANGEALKEAAEKVAYLTEALASAERERDYYYAKLHKVELFCLDKESEVAECAEALKIIYEGTGDGEATEENGHDENGAVDDTTFAPAAVAADDDDETF >PPA05156 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig132:122968:124066:1 gene:PPA05156 transcript:PPA05156 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRQTHKDKRDSCSSVISISIPIRASLIILGMSLLLIVYQSEFEGNAATRAVQNTMSKWTVQPDTATRIRAACADSSVVMQIGMPRETPFKVVERINEIAMKLFNWDLSNGFGSIQLDRII >PPA05196 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig132:273274:274462:-1 gene:PPA05196 transcript:PPA05196 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTRVSCLGFPIKEAAQIFACIMCGVSVVLFGFTIFQIIIYIINGVFPWLQLIPTAWSIVQFACYFFVLSACKQKLPARMIPALGFSAFGIIGMLACVGWSLVLIIQDGYVSLYWFDWEIGWPAPALAGLAALWFLYITVTMVIAFKFVKFQRIEIERQAQLPHYARGDWKANASTTFNT >PPA05163 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:152957:154249:-1 gene:PPA05163 transcript:PPA05163 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAVITGASSGIGRATSILFAQKKYQLSLTGRNETALAEVVDRCVEAGLAKDDITVTVGDLSAAATCDSIVANTLARFGRIDSLVNAAGILTAGAVLDSPLEQYDRVFDTNVRSLVQLTRASLPHIISAKGTVVNVSSINGPCPFPNVTYYCMSKSAVDQFTKCLALEMAPHGVRVNAVCPGVTVTNLHKRAGQDDATYAAFLEKSKTTHALGRPGEPDEVAKAILFLAGPDSTFTTGDLLRVDGGRGIMHPR >PPA05185 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:234230:235765:1 gene:PPA05185 transcript:PPA05185 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAVVALSTLVVAMAATCGQTPIKPDLTHVNTKIVGGDVAIPYSWPWQVVWCSKSWLSDKICSLECGGSVVAPGWVVTAGHCVYDDLNAKNYKVKAGVFDEAKSDEDAEQVVTVKAIHLNPEYNPRLTHHDIALIELDTPLVYGDHVQPVCLPKTDDVALTYPGDLWVTGWGTTRENGAISRQLKQADVPIVDIETCEKEYPRKIVEDVEFCAGKKGVDSCQGDSGGPVVSRDTTGAWFQYGIVSWGKGCAEQGEAGVYSRVSAYCDWINTTTNGVVQCQDVL >PPA05172 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:174136:182168:-1 gene:PPA05172 transcript:PPA05172 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRLRTLLFLLLPQCLTSFYVPGVAPIEFKVGDVIEVKGIKLTSTKNVVPFEYYSVPFCKPEGELHYKSENIGEIMRGDRIVNTPYEFHMARNVSCFSACSSSAARHLSKQEATELKKRIEEEYHVHLLVDNLPISTHYVVASGENFYDPGYRLGWVAEGGKVYVNNHVDFTLKYHKPAGSETLRVVGFEARPRSVKSVSFKKDRECSSVPEETEYQEMKGEEMDLHWTYSVHWEESSIPWASRWDVYLKARAVDIHWFSILNSIIVVISLSGFLSVSIVRTVRRDIAHYNRDEEMDDTLEETGWKLVHGDVFRPPPHQMILVNLVGTGLQLLGMVSVTVCFAALGMLSPSSRGSLTSAAISLYCFMGLIAGYFAGRLYKGFKGRNPIRCAVQTGMLFPSIILGAGFLLNFFLISKHSSGAVPFGTMVALLLMWFGIDLPLTFLGFYFGYRKQSYTHPVRTNQIPRQVPSQPWYLRLAPCTLLAGILPFGAMFIELFFIFSVSIVIINCFIITMQSMLISILDQAIWENQFYYLFGFLFIVCLILAISTSQISIVATYFMLCAENYHWWWRSFVVSGGSAVYVMLYSAFYFHTKLSITGFVPTVLYFSYSALIAITFWFLTDTSALRMEEQPEKSVPFARGLLMGGGLLGLHKIYINQLPEAFIRLSTLGVGLVGLFYDSFTIAPDVDNFNDTREKKGAKKNNNVAGHIPFSMSRFVYSLLYACWLGFLAWAAASLTYAKHDGKGGRMMAGLTIAVTLGVYIAGNCGGQRRTLYEIFFTVSGVLPVVMGPLVGWGPLQAVAAASSIGTIVGNRTAKRVEASEESTRLTKVHFVLWTSVFLMNTVLLVDGLDRQCLRREFTIESQTGVKSIDTLKMNVQGLALEWITSPDETRKRFETNSDSPLQIKFIPLSKREPQYLQYMGVQPGVSSPAWVEHLSGAIVDCVQASVEGKNWVDHAFTRRYLITES >PPA05192 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:254940:256502:-1 gene:PPA05192 transcript:PPA05192 gene_biotype:protein_coding transcript_biotype:protein_coding MILLIRILRKVPTAITMVEAKKLPQVPESILKRRKLRAEQKAKVTQNKVKLSVKAKEKKQKIFKRAEKYVAEHRQRVRQQLSLRREASKSGNFYVPDEPKLAFVIRIRGINQMHPRPRKVLQLFRLRQINNGAFIKLNKATLTMLRIVDPYVAWGYPSLKTVHDLIYKRGYAKVNGQRIPITNNDIIENELGKEDLICMEDLVHEIFSVGPNFKKANNFLWPFKLSNPNGGWSKKLNHFVEGGDFGNREGKINALLAKMI >PPA05187 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:240707:243084:-1 gene:PPA05187 transcript:PPA05187 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKTGDNFVMSDDGWGRYHGTGLKRRFTQFMMKRMDKKFGMGRAMAQGMGRHSAGKEKIDATMFGHLASLVYRPTPNGLLTKYVKETYPNLGQFIERVKEKTLPNLSPWSLKLETWLRMADIPFTNINNEFKNMSSKGQVPFVELNGRQIADSNIIIETLKQDFGKNDMDPSDPKQQSLDRAFSALAEDHLTWAMFAMRSKTGGFNFALSDDGWGRYHGTGLKRRFTQFMIKRMGKKLVTDRANAQGMGKHSPDELHEIAKEALKSICIFLGDKPYFGGDRPTTLLTKYVKETYPNLGQFIERVKEKYWPDWEETCSTMNMNTHHKKE >PPA05150 pep:known supercontig:P_pacificus-5.0:Ppa_Contig132:93916:94960:-1 gene:PPA05150 transcript:PPA05150 gene_biotype:protein_coding transcript_biotype:protein_coding MWNILEAAGPVPRTEKGKSFNTGNWTSEELKELYDGIRKVGTSKEALVRMLNHSEYMSKTRSIQDLYDKVEDIRAMNREHREAQIIDDKLYAHQRGTLRFQEMAKVWEM >PPA05226 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1322:63:709:1 gene:PPA05226 transcript:PPA05226 gene_biotype:protein_coding transcript_biotype:protein_coding IKQIAAGLTTLANDVAAEIATLSLSTLSSVPAFISSEVAKFQANTVSNTTCLSVSDQAAVTFYQGQANAAIAALQAAAAAILG >PPA05227 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1324:316:998:-1 gene:PPA05227 transcript:PPA05227 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRILQPDSPIKRPIDAFVGVNRTRQKKKQEEMDISTKVKTLRVENSALERKVESLQKELSFLKEMHTAYAKKENGTGSSQQQHPNGGAP >PPA05228 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1326:89:583:1 gene:PPA05228 transcript:PPA05228 gene_biotype:protein_coding transcript_biotype:protein_coding EHPEPAAARKRREMAQRKDIIAAQVEERTAEISAKRKRVTDARVERQVKQQLRKTGMISNDGEPILFPKPRPIPPPSLSTPADRATSSTPIPQFTPKSSRIGDMRAIKNTPIVPSRTGPTPLPGSNRRLCPARRDLSEEAGTSCRSPSPREMEDEDMPQLDDSRT >PPA05230 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1329:1259:1779:1 gene:PPA05230 transcript:PPA05230 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRRSLQTPSSSPTLILTARQNVHGLSSLADQQQAAATVPSDIQALFDRSDVHVTQRVMTLEKIARLTEGGVDNLAKGVTNHKTEVIARFVHTDDNIDGVTKNITRLVIGDHHELSDK >PPA05292 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:367607:371844:-1 gene:PPA05292 transcript:PPA05292 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tig-2 MDLHVNGALVAGGGEQSNVFTPTPSAIIYMSSFMSEDNIQSMVRVIPSQTTVEQDKQLALLLLLIHEHVKKIELRTTSFPTALSFSSFLEQFGLRKTDPERIVEQIRLEKYVRYCACRRSSPVVFSASLARMMEVPMTEFKNCSWRYTKCIVGLEKLEKQQKKGKFLRDLCLPFPNLPTGDTFSRGFRAFITGNDNMDALNVKFVKALFAPDNMGIYNILCEESYLVVETASNVCSIVVRSSCINCHPPHEAQKNKFIVRMKEGRTWEEAMADTLPFLSRTKRCKSSDCPPRVISLDCPDTTWMIPIDASQVFPCRPKDIQGLPKQLVIARNTFELKGVSLFKEKGVHYGMIVADGGSIDELQEDILNVLGVNYSPSRDGPSNPQDASMKYMRELYSALENIEYVHIISYRDNCNRSEKTGERRFDRETEKAEVVEAINPSERMLLEGSLWKVEFTSSSISTEGNLMGASLHFVPSQELKKKLNKVDILVDIEGQLSHFSEWNSLTGDDLPINITSLVLTWRNSFALPKIYLNILTGGRRAACRKHGLYVDFKDLNWSDFVVSPPGFQAYYCSGACSFPLSSTFNATNHAIVQTLAHLKDRRKNSESKCAPLNLLPLQVLFTPGTGGTEQKVVLKRYYDMVVQDCGCQ >PPA05235 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:33938:37936:-1 gene:PPA05235 transcript:PPA05235 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLSAMKQEVVDHCEGDGDMMSFNFSYNSSAIEAAYEILNSITKPPAERQHEVLLIKFASAFVPFMEELHGYHPGLEVAFAAPLSQKLNIDGKDKKVPEQKIQLQSQPQTQPRTEPIRKAPRLIPVKGMKATSSVLSQIKRALDLPAPMVPPAKRTALEPSIPFITPSIDGQLSSPFSTHDTMDTASTSNTTEYSQGTAIKEEEDTSWIKVEELEYDQPYNYDFQTYPSPGSDGQGEIPMEIKDELLGEIKEEPIEEPIADRFNAEKIEAPASLVPPHSPLILKAPTLVPKQLVSGSCRYCFGVRATSFSLPDKMESDVKNCHKDHWLKFVKKCPEKECDFRSDRDEDIDRHRESVHNAQYTLWKLTACSSRFKLHKKANSVMRYTV >PPA05293 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:372159:376029:1 gene:PPA05293 transcript:PPA05293 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEKYKVVGEDTKVHDSVRPEESDSVQKEEEGKDENSGVEEIRVQIKNLPKFLGFKEFKKLLEKTLGAENVGKIRQMRDTAYVNVTTNEKAQEAIDKFNGMVVKKFTLECKMAEAAPKRGRDLPTSTETARVKTAKESVTPLSDMPYPEQLQMKTKECHRLVRRMIQDFYIARVEGMKGVTSYSLLNQIVPFSSTAVYRNKCEFTVGKSLEGKTTVGFVGGRFSKNEHYVLPVEECPNLSPQTKIIVNEFTLFVEESGLETFNEFERKGTWKMLTVREMSGDVLLIVTVFPVEDKEKEEEAKAKLIQRFHSLDDTISRGFRVTSLYWQVQANASDEPERVLLAGTPYIYETVLGVRFRVSPDAFFQTNSAAAAILYKTIGDACQLNEVKKEEEVNVIKKEDETEEEGVPEKRIKIEEETSENVVQMEVVEESKEEVKMEELKEEVENKEVKKTILLDICCGTGTIGQCIMKNIGKERAETTCCIGVELIESAVEDAKANARDNGISDKLCSYVAGKAEDTFRGLRRFVPHGFSMDSSHVIGVLDPPRRGIHHKVVVGCRELKSLERLIYVSCDPSAAIRNLTELCRPESNKFSGAPFKVEKITPVDMFPMTEHFEWVISLVRQ >PPA05286 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:344569:345679:-1 gene:PPA05286 transcript:PPA05286 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRRFVACFSGDSSEDEEIPSTYSQREDRRVQNGEEAPIHKIVEFTYELVPDMDKILAAPYYWGRMDRHGAEELLKERPEGTFLLRDSAQPEFIFSVSFRRYKRTLHARIEQGNGSFGFDILDQSAYRAHTITQLIENYADPTRSSLDQW >PPA05283 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:331051:332357:-1 gene:PPA05283 transcript:PPA05283 gene_biotype:protein_coding transcript_biotype:protein_coding MNPREVLSSSTFYFLFCALFCCSFYANTFYNLYKTFAETFIADDIFLAWAFSLGSIANAAARIGWGQLTDKTSFQTALSLACCLASFLLLTMPMTPMGGKTFFLVWLILLFTCMAATHALFITATVRCFGAKYKATNYGLCVLSTVTKELSYVAN >PPA05260 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:180429:183068:1 gene:PPA05260 transcript:PPA05260 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVEEDHQAEQYSEETAASRTRLHGLVVAPAGDGLMTVLCGSVPVQARLPDAASAPAPILPGMWVNFEMDLSSGVNQIVCECAPRHKTYIMDDGSFSVLSPATYYIDATMDEEYDRVAYTPYFRPASVAYHIIYCTLRLRALGTESKRKGAAVRYSKEDGKKDVRDLCAGPMEK >PPA05245 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:91261:92740:-1 gene:PPA05245 transcript:PPA05245 gene_biotype:protein_coding transcript_biotype:protein_coding MATCNSVASAATEDNCPICFDTLATKRILILHPCMHRFHHTCIMFWFESRPTFEKSEFTCCLCRTVLKRPCDEKGELVMLTYPMEEKGDKIDVDRIRNTISLVKLWTVISGSLDKLKDDKKNAQIGNKVDEFIADIDEETVKLQERQKSTEIVFVRKTLSVSSKVRKLFAERRLRQRIIASAFEVVRTRGQKRALEQKRVDAEEAFEKEMEGVAVTARKEFRQLCAAALAAAAARNATAAGQARQRSRAVAKRSAQTNNEQQPKRSR >PPA05237 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:46669:47424:1 gene:PPA05237 transcript:PPA05237 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPILLIALALLPLSAARQCYQGKSYNGDTSALQEVTCPAGHNHCGKFGASVGDQSEHTHQCVWCTAIDTCHEERLGGVTGTMCCCSTDFCNPAPSTPSLLLPSLAALAAVLAAHF >PPA05263 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:221368:232359:1 gene:PPA05263 transcript:PPA05263 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATANVFEAAQGTSDFLTDLLGSIGVGNAPEKTITDVNLKILTKVVSFVPLRERMSLSGVSHQFQGAVKASITSLNFYRDCLDCLPDTQVKEMLQQYGPQITSLNFDLFKSTFADETSQWVWRQTVLAFAALCPNLTELSILICNRHRLRDKDLVTIFEKCPHLTKLCVDAQFLNGHCFKKAPLSLSHVEMEMCYRISDQSLRTIFGRFRKMRVLHVSQLLVLTDAHIGLMVRSFRNLRELSIVSHVDTKYENLSGSGLAELGKLQKLRVLCLEGLGAVTDRTLTVISDSQSSPTAATITALSLAFCYNVSQHGLKHLGRMTSLVDLNIDGITKRDVSMGVEKIAAEGRLVRLFVAERTNLSPETLISVVQHCPQLRLLDISNNEECFNWVTADKMMYLWCGGATAGSGPSHRAPLTILTDEHLAWNCVGVPEPDDQGRCPLLVVHINRAAVPENELLPTNALSTVRPSELPAGLLAPHIRRGNRYRLLWAPLGPSKEIKVELFDEQENGVSVADLAMRAAQETEENPLERSTSSLDDAGDDLSSSYSMEGVECVGGSGIFLGSSEASGVTTGGGLPWTTGGIMGNDENMPPCSVPATSSRPADFSFTPISADGDPHSKDWCKWMANLLMTSSMDPSSASPVDLDALLAAAYAYPPYVPSQEEATVPPPAGKLPAPHADFLLPGYGAANPRTPSPVCRPRPCRMRKRCT >PPA05259 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:176062:179543:1 gene:PPA05259 transcript:PPA05259 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLVTSGSRALLQVPAAAAAAAPAARGVRAAAAAPAAAPGKPVLPSQAHPLPDQLFRAIELEYRGHDPAVLKSYTQFLEQVCTNLSVTRGSVKVLPYVRWVQPLLRSKFVHKKYKLHYETRTHITRFTIHDVTGSTASTFLEYIERNIPEGVAMRTTHGSSDYGARIHQDLEFIIA >PPA05236 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:39017:40845:-1 gene:PPA05236 transcript:PPA05236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] [Source:UniProtKB/TrEMBL;Acc:H3E6A7] MKTLLFCALISIAAAQRTSIIMHAQKAKCVGQIDHYLASSRTLHPFKNAPALQKRLSTGSYEWNVGRAILFRSRTGDLPGEVVGVVDFVQENAGVRLNGTINGLTAGLHGFHVHEKGDLSNGCLASGGHYNPFNRNHGAQNAAVRHRGDLGNIESPNAGPTNIQMRDAFLTLNGRMSIVGRALVVHERPDDLGLGGTDASRTTGDAGARWACGIIGQASRRLPK >PPA05243 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig133:76815:77512:1 gene:PPA05243 transcript:PPA05243 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSYINSLQPLIGFAVPPTQAELRAQLGSDTHVKYEIAIPLTQLQPHLEQQQLRLHRPLLGGQWGGQQQDGHHPHSNGDHLMPIFSKFAWKTFET >PPA05294 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig133:376412:378963:1 gene:PPA05294 transcript:PPA05294 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTAGKVISCKAAVAWEAKAPLSIETVEVAPPKKGEVRVKILFNALCHTDAYTHGGHDPEGLFPVILGHEGAGIVESVGEGVTDFVPGDHVIPTYVPQCKECEYCKNPKTNLCQKIRITQGNGVMPDGTSRFTCKGKQLFHFMGTSTFSEYTVVADISLAKIDKSAPLEKVCLLGCGVSTGYGAVLNTCNVESGSTVAVWGLGAVGLAVIMGAKAVGAKRIVAIDLLEKKFDAARAFGATDCVSPKECPEGKSFQAWLVEKFDGGFDYTFECVGRVETMRQALEAAHKGWGVSCIIGVAGAGQEISTRPFQLVTGRTWKGTAFGGWKSKDSVPILVNDYMQKKLKLDEFVTHRYTLEQVNEAFETLHHGDCLRAVLSVAL >PPA05242 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:73996:75662:1 gene:PPA05242 transcript:PPA05242 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSPAPQSQQLQQHGRSSSSSRRDNSEVHEQQLQPDPMSLFYYAKSYRDF >PPA05273 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:281781:283711:-1 gene:PPA05273 transcript:PPA05273 gene_biotype:protein_coding transcript_biotype:protein_coding MANSARAEKNSYFTASGCNYILIEIFMCAIAVLISIVLLYLHHRVHTRVVKPPKWVLRLLHISSCGCLHKPRSMKELLPESRTTTLSGRLILETDTSAALQQLMRLRVTITKTVSMLSQTMAKMEFASIAQSTWARVFDTLDLLFLLVFQVVNVIMAVAYMRSPELYVSIIDQTLSSPPHSNVLVNASGSVDLSELMKTSS >PPA05244 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:81248:83267:-1 gene:PPA05244 transcript:PPA05244 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKEIPRRSRKKSKKKKMMQKALVKPIPYKLEFLRNHRVPGVSAAATAAGAVLAAVAAAAAVAAAGRCAPSAVAALLLPRSRRPRPSAAAAAAAACRLEPAKQQGAAAAASAAAAAGAERAAAAATAAAAAVVAGRTTATGSPTGDGVDI >PPA05252 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:140678:146841:1 gene:PPA05252 transcript:PPA05252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-prk-1 MIKRKLQDLTACCAYQVNFLHAKEHDWREFRRQYEVLEEIGRGGFGVVYAGTRIADEATVAIKFIEHRHVREWTLAGSHLIPSEVSHLECCSDVPGCIKLYDWFANSKGFVVIMERPKDACDVFDLVTRYGRLDEETARSIFLQVVETVCQMYARHGLIHRDIKDENIIVSMETGEVRLVDFGATAAAEKAVKKEFQGTRSYCPPEWFRMLQYLPLEATSWSLGVLLYILVTGTLPFKNEVQACLGSIAFPSYLSKEICQLIKRCLCTVPSQRATLAEISGHSWLAHPLPLYEETFEEYLDAKLKPKTKKSLARDLSMEENRLLEDRIIMGADSRDEREATLTDDCRMRRESTEYLEAFTSLPRLDCSTEALSRLASKYDNVSASSLADYYSLSSFATAAESFSNLHEEEESEPALDELSDSSTRLTKARSLSAYSLSRRRRSILPRSSFERELDTVEESDLSQHDDPPLLSSASFIPSISESFSLPPSLLQEMREREPSVETPETPMNGYANHSFYRDSPPPAVLQAVQPHHHHHQEHQDHHHEQRHLQQLQHVQLQPPPSPAPTAAAPPHKPQPLSPVAMTYHRRLSREQQQPPSPSPVRPRFSLEMESDRCFNGFETQSCHRRKI >PPA05271 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig133:264706:268196:-1 gene:PPA05271 transcript:PPA05271 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLYNFMIRMQKKYKEALEDGRCETADNLLHHVLDEQPWFMRNLAPMLLNEKQQQKIEQQAETYERVSREWNNKTVQCDFGPTFETANACAQACEQAVSVACDPISASIKSIRRGVEMPTQWSEQSISPPSLIIPAPPLVTRDDDDDSISDAPSLPYDSESPPNRERRRSSGLEGFMSVASSFEEANNNTRKSRVAQLIEQDAQTSRRESPKKEV >PPA05268 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:249141:253111:-1 gene:PPA05268 transcript:PPA05268 gene_biotype:protein_coding transcript_biotype:protein_coding MIDNDAKQKKLDHLAALLLKERSLFEEADKEREFHFIILSTTYPRRLEARWSGCRKDSKEQGQTVKSEEETVDSASDASTEADSDDNGNDSLSNGTMRKFVRRRSPKRIRPKAQCDVCGKILSSAANFRTHRRTHSTGYDPRKQEFECNICGKWLSSASSFDSHKSTHLDDNDPEQAEKKRPFKCEECGKSFRSKANLKSHMNQHKTDLDDSDPRKAKFECNVCGKRCKLKVGLEIHVLSHLAENDPRRQEYKCDVCGKKCLTMHKQTHLDGEFEPSKRPHKCKLSIRRSATQTSCDTLDKENDKRGAWSDEDCTDEDETDDEEQEQSDEEEHT >PPA05248 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:100564:101454:-1 gene:PPA05248 transcript:PPA05248 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPPRNPLISTHSPTRAVWNERHANEIHVICGDRCCENPIASALKGRGRPKKTVSSNAPNSKTRKRSIAEVDDIVVGGTEAEADEDFNTWDLITNETNDKEGYDDEDEEEETILVNRQDDEEIMMCMIQEGFNDVFYH >PPA05281 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:322447:324239:-1 gene:PPA05281 transcript:PPA05281 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVTSKDESHVSNKWIARLLLSCLQSDRCFSTEEKMLEDELIFPWMYKRILSDEDDEHKIFVELLSSFMNILDDEDRVMMIIRYFPGLQEADMSSEFARWVQKTPTNPYDKSRVYYIVIRVMEISLDSTSTLHQLPVVNLLVSLVSSSSRSIWNTPGRFLPTE >PPA05284 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig133:333191:335301:-1 gene:PPA05284 transcript:PPA05284 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGGVLSHFVGPRLACALGCYLMSCGVYFSSFTIQHSVSSFFFTYGFMYGLGQGIAYVVAVATVINWAPDRVGLVSGIVAAGFGVSSSIFAPIQTHLVNPTNFATGEDGYFKEAELLDRVPVRS >PPA05265 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig133:243141:243891:1 gene:PPA05265 transcript:PPA05265 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNSMYVYSRIPGSGRRTPFSPASAPFVPMHLRGSGLSSAPPAAEQHHPVSSSSFPPPAYLLPSDAVGGATATRPFGRARVDSGAAGAPPSCWLSESAAKLAATHPYQDAAYRARASSNRPSVLRPRNN >PPA05278 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:309673:311813:1 gene:PPA05278 transcript:PPA05278 gene_biotype:protein_coding transcript_biotype:protein_coding MITSPDTVVEGGRRGIRMPHQTEVEPSIQSVQDIIEESSFPTGESPSNEFGAFHPVNGREGRGSPPWERPSKISRGGSDILPPEQISSVPSWENGRNGGNGGRAPWEENGNANIPWGEENKIEEETTTVTSFANAYRQRTTPQTRNYKLSRKLNNRPRLRIRRPGEETVEKKSPIPPQYAHLTPFQNAARERYGYGSESSSFPKRFAAGSETHKAYGPITEEAEPVESNNSGSGFGTSDVPPSRPPWEDNTVTDPSPPPPRRPRPTPPPPPTPEETESIVIPQNSGLRPIAPPKEFGGGFGSGGGGGGFGGGGGSFGGGGGSFGGGGGGFGGGGGSFGGGGGGFGGGGGGFEESAPAPPPRPRPQQPKQMTEEEEAPIEFTGESALSPNRAGPTGDGYGPPVSIGAAVPPPVPSVGLGGDAAGLSEYEESSFGGAPSFETTQNPPTTVKPSALLKALSHADEGLNQAITHFEAGTPIETALFDVLEVALGSTRLDSQAKLLGHVDRTIGLDNLQRLQRWANTAGALDMVKEQVN >PPA05256 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig133:167300:168845:1 gene:PPA05256 transcript:PPA05256 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDSFFAKKKDKKKKTVVKVDDVGSLLERKAKKQEEREREEHEDGQPRNGDDDRSQGEDSEWLDYETNTNNKVDGLRIKDMVLGEAEEEAPEIIEGEEKEVVKEVTKTWGTIETAQTAPELIPTANEYAAAKSSRYIAPSLRGGGSTGGGKIDLTNQEMFPTFAAAEQIEKHKKDEVKTTAWSTAGAPAARPGAYVPPRTDTWSSARPSAREKDDALAAVRAMSATAAPTPVRAPHPPEPVLNKSANAYVPPHLRNQS >PPA05257 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:169206:172425:-1 gene:PPA05257 transcript:PPA05257 gene_biotype:protein_coding transcript_biotype:protein_coding MPNMHRVFVYGTLKKGQPNYFVMSETEGSFRPRGTARSVSAFPLVVGTQFNIPFVLAKKGEGEQVHGELYEVDDRKLAILDALEAHPILYERKLEQFVMDESGVTTEAWIYIIHKWKEDFLDTCSDRLSTYSTDGAHGRPYLDRYVREKLMKDEETSLFQEIMGYDPREESSEIGVGRRP >PPA05255 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig133:166015:166539:-1 gene:PPA05255 transcript:PPA05255 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIVGRLLGSGSQLARSLLGTAQLPIKESAAGFKVKSRLKLRCRSCYFTRVDGRLHVECNEHPRHKTREIYNVKTLW >PPA05258 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:173620:175295:-1 gene:PPA05258 transcript:PPA05258 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHRVLVYGTLKSGHTNHSVLTESEGKHRLLGLAETMSPFPLVVGTALNIPFLLYDRGQGHKVEGELYEVDDAKLARLDALEKHPVFYERKMEKVYILHCNEPTEAWVYFIPKWTDDFLAKSSAMLAFYRETGEGHNRPLLNGYGRVMEGVL >PPA05238 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:51171:58718:-1 gene:PPA05238 transcript:PPA05238 gene_biotype:protein_coding transcript_biotype:protein_coding MKCGKVVKLWMHKDYENFKVRVTATMFAALCYASIFPSRAADAICIFLAVWYYCTLTIRESILILNGSSIHWWWVAHHYLAAALAGITITWPEDEAYQNLVYRTPFQEFRPQLGFFSMYICVVQQLQYQYQSGCLRRLHALGSLKSDMDVTLEGFASWMFHGLTFLLPFLFTAYLFELYNSYTLLNMWLSREKCVWQVIGLSALLATVGIGNLIMVTGIVFQKLAETPAERKQSLRSKWTLMSSDDERGYFSIKLYHTLFFSHAISPVPLNAEHFKSAAKQNHQREKRLRKGGPGRMPAASRRGRHSAAAEAPIPRVDTLRPRRAAAAAAARQPSPRPVTALAPTAAALTAAAASPPEDENEQRCAVCMEVLSTKRSVSLDPCKHEFHRTCAFQWLETRCCTGAQQCPLCRAVVASLRDPGQRSHKEYVRVFGDSGQPTKRMVLAYAHNHGQDTLVYLNAQHAEVKHSLARLRVGHDRFIEHASGMTAPASATYLSDVVEEVEKLEQRAKIYKEMKHAWRAGAMRTPLSELPFLYDATWNLAAELADMERQQRRRATGGNAAQPPADPFARPFDGGMLAALRDRLSADRARDRRASTPTPTPRPVAPSARELRAAARDARRRADEAAS >PPA05267 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:247916:248995:1 gene:PPA05267 transcript:PPA05267 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGDAAASSSAMEDSSDASVCTICLDALDRKRIVILMHCKHQFHRTCALEWFEPNKVELLLIPLPIRVACVALLLPKSSVKMAHESVGCFHSKKRKDLKNLETEKELAKKERKCEEYIIDIDEERAKLEKRKCAIEMLITYYEEIRAAISSGCVELLNDAVIL >PPA05288 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:349787:351994:-1 gene:PPA05288 transcript:PPA05288 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFLILLLLSCAYLTSSTSDLHRRPEPDTVPSLPHIGRTEKWKMIRESGQDPTHRRRASKGERTDRSRRLAPWRRIRPLDELLPRPNRPPRALSIGNCPKKFDAVTRGSNGRTYLFAGERVYQSWMEDGLNQKASFAITEMFAGGPHRVSAVSTNSRSGVTTLYYGRSSYRFRWNEEAQRFHIARNSPKQLNQNITVTPSSAFEWDGHQVLLDGPVFITYDAYWNIETFKSQTLTYFPGIPRDVVGIILDKETLYSSTLREIRSRCMIV >PPA05280 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:317891:320533:-1 gene:PPA05280 transcript:PPA05280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mec-1 MSSHKIPLSPVSTSHSIVPSVSDDSTSAPAPQCTGCDPLFGICLPDGKCGCKKGYKKTGRICIDLDECELSGVCPVTATCINTLGGFHCNCGPDTKCDAILDPYEHLTPPPPPSTNRPFIYTPPSRTLPSTDLPMMDESSCTEPFDMKINENCGVMLPRIAKGLCIKKIFKPRVSTAPPDKNSIQSHLPLPSSSSSPTVLPSTTQFPPLPWEISSTTTSPDPFGLLSRIRTTPPSIPITHRPQFPPSLPSLPPLPTEKIVDLSQPPPSVSSSDEIIDDVTVPSSPSTCLLPFDETLRMECISSTWTERFYYDSHEKTCIAFWYDSSCASKDRKGKNEFGSEEECMRCKNGFDPKKDLIEITPPLSISLKEKNHFTTHSPMDANEVKQEEMEEDEEEEEQSMEYHTTTTSTSTPLPHEEEVNEEEEKKKTEDAYLSIKNSGSCSGILDTRLEDDCDEGNWEMKYFFSSEKGSCRPFWYGGCKIDVHNFYPTLEECKLSCGNHYPVDPSHFNRTVHHYEKKEDKKEDEKTTTTAIPVTTTTPELISWITKLAPIDISISGEETTTTTTESPPISHPSIPTYTVYPIEHSIK >PPA05254 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:161352:165558:1 gene:PPA05254 transcript:PPA05254 gene_biotype:protein_coding transcript_biotype:protein_coding MYGMQQLPQPGVGAGPAIAFPGPGAGAGISVELVNPQLWEQFHAARTEMIVTKTGRKLFPKLDISVCGLDPRRTYGIHLRLQRADEKKYRYSSSTWSASADDDDACFPRTPPVESNEGFAQLGEVWMAKSIEFTNFKITNNLEESRKGMILVQTLHKYVPVIYIYDLTTGITHSNLASGMVKEEVLHLAEFITVTAYQSEAIKSLKTEHNPFAKGCRGAAAKLQQQNEEVQQLQQQRNKRSYSPKSNPGLVGHRSADGAPSSKLASPAFPNMASMSSPSYALPTVPYQPPSNPFPSPPQETRASPATVPQQQQHHFQFPPPPPSFPGFDPSFAPIMMQPFPFASYFPLPGLAATPMEYGSMQQMVQHQQQQQYLPAPYTPQNQPEPDSNGNSPANSSYGQSPYTSMHFQAMGQMTFQTPDATAELPEVQDDDDAVSDSDEDDDQLANL >PPA05251 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig133:128295:129282:1 gene:PPA05251 transcript:PPA05251 gene_biotype:protein_coding transcript_biotype:protein_coding MLMFTKLKMKKRQVTRTSLDFLPRTLLRGAAAGFLLQDPTIYLMSTQTAPVSLI >PPA05253 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:148879:150635:-1 gene:PPA05253 transcript:PPA05253 gene_biotype:protein_coding transcript_biotype:protein_coding MYEMMPGASYANLEPTFVHKASTSDLIGHRSTDGAPCSKLASPAFPRMDLMQPSPSYTVPPTVSQPPYPTPPPESPSTLPDHQTHQQHPFDYSQMGFFDPRYMMMQPFYPFFPGMGFAPPQPQQMEYLQQMQPLQQPQQQQQYLPAPYTPHNNQSEPDSSSSNGNSPANSHAS >PPA05285 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:336535:343980:1 gene:PPA05285 transcript:PPA05285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fcd-2 MEDDDDFDLDFPDDIQKENASPGKKSTNLRDDERDSLREGLRQFSQRSQGAAPPPEDDGEEEKHGVELRRDEDGKEYFYLSRHLNHLAFVNHLNAVLSHGKVRRSGEQDTLWKALLLCRETQNRSFDLLMEEMQKLGKDDSTESRDLALVAVSHIRFLDCVFDSKILFDFVFDRDFHKWKPCVRDELIQAIPEVFGDIVSQNDAAMNLLRLITESTVEDEPNDFKIAILNSLKLLTTGKEEATEIRSKLIKRMNSMEARAAIEVVNMVLDGLDTNDLPTLHEVLTLFSQHFKLKFQPTMGTKNKKSKDDVAIAIGAKIGRFIQLSGNKCWRVIGPFLRSLTCDSERSENGEEDNQPIRTWRIFDIILCISLMSIEGCPPSVGATLKSQIADETREEGILEEKFKKIIEMNKLDMEETSRRLLSSLSSRDESLGIMEWAEALEETFKDEFFHERIDDETHSQTLEADKYVNDNSSQWLQVSHERLVELVPLFGLLKELSVLKCRWKNDEETQEAVMSSFHQFMYTFEANITMCGARGIWNIENYELLVNSTIFFHLIQWIRTPAKKRPATKKPSKKGRKKKKKNGDGEEEEEEREEEERVEEEDELQVGKRIRSVYLLDNLLKIMKVVLPKKEKKALPWSVRHSPLDPPPMEYHDAENGDAETTVLQFLLGVAENVPTIDCAVGVMECCYSLEGRDESSDECLGGWCLKYLQKEWTNEDGKIQKGTTNRNQSLLMTIPDLRASSETMMRCMHSALTFLGCERAFEIGLLKGRNIDGEEIKDDEREETPEDEEREGEEEEEEGGEEDVEEIEEDREDSLEKTIKFREGIGSYN >PPA05241 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:66017:68559:-1 gene:PPA05241 transcript:PPA05241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hlh-4 MHAGYSHSLVGAPALFSHSTTEEGQVNISRAINPRFRGVSAEDAPGHRSQLSQRQRKDLMERGGGGGHNVVAKRNARERTRVHTVNKAFHILKQRLPALRIHSKRVSKLRILKAAIVYINNLMDTVGSVRESSRRHHLLHSSDFHLADAPLTSSSAAATAAAPAAAAAAVLQQPVKNTALDQALPYIKSFIDYAPYMPQSGVIGSATNSILGGTVGTVSSSSATAPSLEFASILSTAAASIGYSNPWSTTYT >PPA05247 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:94887:96209:-1 gene:PPA05247 transcript:PPA05247 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGPPPAYPDLSEEQIPAPPPYSDASGIMLIVKATDFAARKHRKQKRKDPQQTPYINHPVGVARILTSEGGITDPIVLAAAILHDTVEDTNTTIDEIEQEFGREIRNIIAEVTDDKSLPKEKRKALQVEQTPHKSRQAKLVKLADKLYNLRDIERSPPVWWDCRRVKEYFKWSRDVIAGCKGTNDALEAALDDIINRNL >PPA05232 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig133:20504:20820:-1 gene:PPA05232 transcript:PPA05232 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLAVREMSGMASAVLFPTTFILFNIWYWFVFLGLEIAWQEMTLLISIVSLASLTKRRVSEKKRPFLVKQS >PPA05287 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:348387:349006:1 gene:PPA05287 transcript:PPA05287 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVILLLSLVTVSSAFLSSLFAGLGGGGGCDPCAGRGGYGQQYPQQGYPQQGGYPQQGYPQPQYQPQYQPQPQYQPQPQYQQSYAQPQPSYQPQQSYQQTAYQPHQQSYGQQGGSYVQAPQQPASVYQPRVAEPAPQIPQQSYAAPAAATHDEPLEEVVSQTVSTHSNDVQAGGYKKYHKMH >PPA05262 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:210009:218717:1 gene:PPA05262 transcript:PPA05262 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-oac-9 MYLAHLVLSLISCMMCAVSGILSARNWTLVGTYHHPQAFCLMGEHDPSRISYIFSHMDRYDFSKCLWQLKVGVAVNSIQFVIAALETAILSDRGLRLLDAWPKLQPGFLTKGPFFLLGDYDECAALGSDTTAPVTPPYDYCRAHLSIDVGSQSVPAVTGVLWYTSPPTIHHYRTQQASACRATAATTCDGTRGASPECRYAEPENAFHEAGIQAWLDAAGWATRARWSGGPAGDAGWRCTRVGADARTDGALAGVVAVCAALATVVLCGSALDRWRRRQKHEGYDRLSGGGSERSCSSLSVATTRSTQSDDSSHAHLLESSDPFPQLGIKQAYVPAASSTNIAYKPAPSIALTYRDVPEIRRKLARVGVLTETLCSFSLRSSLAHLFRNAEKDIKCLHAMRILAAVWVVVGHSCLFSLFYMDNARMLKQSLSSPTLSSYFLLASPLAVDTFLFISGAVMSFTYRKRLLFRAKYHKQIMDALEQIFDLCRQALPEATIVHRITRWILFIVHRIFRVYPTILLISFFTLFVFNSLGDGPMWDPEIGVFGTNCTTFGDVAPHLFFVGNIVPSFCLPWLWHLSLDMQIQIFCPLLLIGLTYVPIRARIAACSLTVLIVVYRAVSVYAFSLGGDVINALLAESAFPQSEKLESMFVWFYGNPLSRAAPFLIGALTGWEVSVRSERQLPNQLLVGLKAASAALLLAALITPGHDSFYHTAHLIGAPTAWAAGLALLVWLCENGHCRSLQAILGSHRLLPLSRLAFGVYVTHEQLLLLIVFTARRPATPTSLGYFLLLAMTTFVLSLFVSFFLAMAIEIPPQTLEKRLLKKRKRPCERRPRRPIEEFAPLVPAVSAQDKAALWVSADHDQHAKWAKCKPNFSFFALPQQDGKSYRQAINGSDEVNVDPDEDVLVEDDSVEVIADVHQQAVEDSNC >PPA05272 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:271190:275367:-1 gene:PPA05272 transcript:PPA05272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-twk-31 MFAAGSRHRDSCASIASRLTGSIASRAPEGKLAKAKYYYDRWGCRKFVPVLLLIIYSFAGAAMFFYIEHDNELIELEDYLAEHERNFDKERLMPGLPWDFWGALFFVGTLYTTIGYGNIFPRTALGKAMSVVYAIVGIPLVLAILSQCGRAMTNKLTDWWTKRQAELQKKNKIADPEAGGTVKDDQELEEIESRTIPVWLALGLCLVWVSGCAGLFLIWEYEWSYFDSLYFFCISLSTIGLGDVVPREPHMLIIMFWLVIIGLCIVSMLLSVIQLSSFRVLSLQKCGSFAY >PPA05276 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig133:294877:295277:1 gene:PPA05276 transcript:PPA05276 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVLAKLLLEPDELSMEGVQIKIFNERHAIESEDRILGKKLDEAFRAAKAKI >PPA05234 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:29643:30183:-1 gene:PPA05234 transcript:PPA05234 gene_biotype:protein_coding transcript_biotype:protein_coding MECPFCPEQLKSLTHFVGHMELLHRRFCSYEHPIFECARKGCKRKTARCYEMFQHWHESPDCKDGLKFDYVESRKMAKMDMAKKREEYGIATSPCYPVTEE >PPA05266 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:244907:247357:-1 gene:PPA05266 transcript:PPA05266 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVNNVVAAVANASNDEAVCGICLDALDRKRSVTLKPCNHQFHRTCALQWAESPDIDRPCCLCRSSVREMVGRNGRRVVRSYPFEEEELDMKRQVLEGLLNEGDSFLISLNNTRDQIRKMLKESEIERAIAEKQRQPDEYVVDIDQEGAKLEKRKHAIDNLLEYGATITALGDAATVACAISRELEALNRSNSDLASEEEDGHYAAEQSHNEDTDSDSDSGSEEEIR >PPA05239 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:59141:59739:1 gene:PPA05239 transcript:PPA05239 gene_biotype:protein_coding transcript_biotype:protein_coding MHIMISSSSSIASALKGRGRPKKTVSSNAPNNKTRKRSIAEVDDIVVGGTEAEAGEDFNTWDLITNETNDKEGYDDEDEEEETILVNRQDDEEIMMCMIQEGFNDVFYH >PPA05240 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig133:61652:62273:-1 gene:PPA05240 transcript:PPA05240 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMDEPPKPTFFIASASNDKCAHCGAPPSASSGVTTPRDPSPAKEPKEPEREKRINNGWYLTIILGNNIDLRMTSSER >PPA05233 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:22292:26786:-1 gene:PPA05233 transcript:PPA05233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acc-4 MVCKVTIALFCLFGFVAAGYTKYGIPVNGSFGTSRRNVRHIGDAQLDDEPEEEEPPPILLDGLTILPSFILADGKCRDDAQVVSTILHGYKKDNLPGNRQVQVSVEIWVQEVSKIIEISSEFELDIYVTERWTDPALAFSHLNPCKSNISVDGGVVLNKIWNPHACFVNSKDASVHKSPFKNIFLQIYSNGSVWHNYRCMLVYESFTHNHAQVQLNWIDYTAPILILKENISLPDYVLVDFQASSEKRLYPPGIFNELVATFTFQRLYGFYILQVYVPAYISVFISWISFYLGPKQIPSRTTVGVNSLLALTFQFGSVVSNLPKTSDVKDKINEKLKRFTNGTRITLLKHI >PPA05249 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig133:103556:103832:-1 gene:PPA05249 transcript:PPA05249 gene_biotype:protein_coding transcript_biotype:protein_coding MCEESKFAGRRGFDDDSDPAPLDMAKRFRVKYVRDLGRLQQRAVGWGER >PPA05282 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:326007:327937:1 gene:PPA05282 transcript:PPA05282 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIIMSRSLSPSDDPSSDFIHVTQPQFGDRSNKEEKKKEISDSLDENQWESVAPSVITPLLPQMDPVQNRPSSEEKERNERMKEEERMKKKREEMAMSMARESIAIVREKQEKL >PPA05277 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:300038:305368:1 gene:PPA05277 transcript:PPA05277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-icl-1 MAQQVGKNFYQVVKSAPKGRFSGIKRPYEVEDVLKLRGSIDIEYTLATRGANKLWQLLHTEPYVPALGAQTGNQAVQMVKAGLKAIYLSGWQVAADNNSAGDMYPDQSLYPANSGPDLCRKINRSLRRADQIEAVEAEDYLAQRDWYAPIVADAEAGFGGALNCFELMKVLIPTAQHIRHLSAARLAADVCGTPTIIVARTDAESSRLLTNDIDERDHPFIDREAGRTPEGFFRLKDETAVQYCVTRAIEYAPYCDLIWMETSHPTIADAKEFAEGVRKVYPDKMFAYNCSPSFNWQKHLSPAQMEKFQKELGAMGFKYQFITLAGFHANSFSMFDLARQYKDHGMLAYSALQQQEFAAEKHGYTAVKHQREVGTGYFDHVANAAAGGSSSTTALTGSTEEAQFHGTPTTSAADEEIVTLTAPSVQGDEKILTPEALRFLRNLHEKFNGRRLALLKKRQGVQNDLNNGIIVPDFDPSTKALREDLSWKGAAIPDDLKDRRVEITGPTDRKMIINALNSGANVFMADFEDSNSPTWRNQLEGQINLYEAVRGDISYTHPVSKKQYHLKDKPAVLKVRPRGWHLPEKHFLIHGQPISGSIFDFGLFFFHNAKELLNRGSGPYFYLPKLQSAEEAQLWADIFEEAENSLGIPRGSIKCTVLIEHLLASFQMNEIIHALRNHCVGLNCGRWDYIFSYIKTFQNHRKFLLPDRFQISMTAPFLRSYALESIRTCHSRNVAAMGGMAAQIPIKFDTVANEKALALVRADKEREMSDGHDGTWVAHPDLVPVARQVFEGMTGPNQIGKQLSLNTSAADLIAIPEGTRTEAGFRRNISVTLGYLDSWLRGVGCVPLYNLMEENH >PPA05261 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:183922:201645:1 gene:PPA05261 transcript:PPA05261 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRCCRFVDDPDGHLQDVDWMEWYEVLLTGSGCGAYLLKVERIVRGMAGCVEPGSLPGKMPTRAQIEAAIETNRKAMRDDYQGVQEVNSCQLGLCIGHAKYTRVESVGGGHTAYGSGRGRVGTAPSQNEWQWTGQPLLEQSGQRPARRTVLVQQSGIRGFDSGPDGNWRSGGSRSEQPSQQSTRSDNPTEKVVLSAVIYTPRGLVMSKLEEQKYFEGDWVSFDKTMKTEYTCVREKAGRDAYLPFILQHRKMPDGYGGRCRKPNGKTGVWGLQVMVQAEYGWLRAKQWCEPTFFGKITCSDMMQRKAAATLAEQKLGWAEMSDEHRDAVVVNVWVGMDLHGNFTQMPGMEFPRACKWEVKELPTHEFIHDLPLDKARAAGALPTIMHDGQEEDEEAIVQEMCASLLERLLVSSRDFERHWTTTVAEALERSQQQSPPDAHRACFDGLQQLRLSQQGAAADAAGELAAVLEETIGVFERSVSLAQLYCEPGTPNRDATTIFDCRRVVGRAVEREGEGGEEQVVVALRRLTRLARTLGGRLTSPYHVANSSVLAAAVCEMGFQLEEQLKGGEGRRLRRALEAMNERLLAATRPIAAAPAATVPASSPYRSSSAPGRKPVPARRTTVPSKQQQGGYGSRSPGFLMPTELREARAKLPSVPTAESRYRGRERDRREEERGEDRRGGGGGRDDRRDGGAGGRNRFVVKPDPHCRRGRELAAMEQKWAGILQGESAFLRSALREERTRETAERLAGDITKEVLDELAKKKKVPCQS >PPA05269 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:254771:256541:-1 gene:PPA05269 transcript:PPA05269 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLSKSSSKAIEDLDSILICMGRKTSEGFIDAAPFKLYKKPPKEDPKGNISRTMRFSLLLLVFFSFTDVGTSSGNKKSDKSGKGDDNVFRAAYDSVGGFSSIGGRSGFSGSGGIGPAVDGPGSSGLSGSGSGDGYKWSGRGRLGGTSGIEESSGKGGFMSGGLARVSNTLGNFGGRKSNEGGSFGSPRAIGKNVMVGGRYFDDQKPKQSYVDSLAGGGRAPGLMNPIASKKESTNACFSNCMSGRTPSAFDEDHCKKTCGTVFDRLERVQDERRGRSIRMGRTRD >PPA05275 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig133:290043:291819:1 gene:PPA05275 transcript:PPA05275 gene_biotype:protein_coding transcript_biotype:protein_coding MNTARDYRSVLLHYFLLGRTATESHRKLVQTHGENAPSLHTCFNWFTRFKRGDYNLEHQPHPGRPSSRVRGRVLRELKANPKSSVRDIEKTIHIPKTTVARILHDAGKTPKLPQSHREGTESTADDASVQTASDDGLLGLACSTRRSHETYLILYGWNTRTVPTKDLEKAYKNWVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVRVPPKLLSEGKILMLMDNARPHHAKITQKKMDELEMEWLPHPPYSPDLSPCDYHCFRSLSNFCRGKKFKNRDALVKEFEAWINSKPQAFWKRGIETLPDRWRQVVTTKGAYIDY >PPA05289 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig133:356994:358809:1 gene:PPA05289 transcript:PPA05289 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLLGILTKFSMAFELEITTSEECKGTMLEKTLAVSELGKHNVYNSIWKFFARTIITVYIPFFILAFFNARIVCVIQKADYIEHLEETGKKRKNRVKEATRTLVFIVCVYLMSNILNVVVTIWEYIDIKSLEEHPGFYLFSVDIVSLLTVVACSLRLPIYLTCQSQLRREIITFFTHFIKSRQEKYMEHSTMITNTKMDKEDDSSSSDLLSPSPLLNNKINH >PPA05250 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:110257:111153:1 gene:PPA05250 transcript:PPA05250 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKNGGRQSLQASEEYLLLEVEIHEKHKEFNRYLDTDCLDVHYLPRTMAEEKLRQWINQIHNGRLLNVTYICTGKGNRSSNGVPVLKNMLVERARSIGYNK >PPA05246 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:93016:94643:1 gene:PPA05246 transcript:PPA05246 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSKFTQYSNMIANSHSAPSVGNHDECSICFEPLATKRIITLHPCMHRFHHTCIVRWLESAENFVTAEPRCSHCRTVADRRIDERGRRVPLVFPMEEKGEELNINEIVLTDMVDLWTKISGIIDSLNDDKKRAEQIGKSKEFIADIDQEMDKLRHRQDATELLFVTIVINKDVLIKSLQDEVADRKTAFDKEIVHGIRTRAAAKRRRVVEKELAQAKKEFEDEVNATSKDVQKAFKKHCAAKLAAVAADATAALPGPSTTEPAKRRMRVEDNSEEGPQMKRRRRN >PPA05274 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:285269:287368:1 gene:PPA05274 transcript:PPA05274 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLARRATKFAEGTLVKCKIAYGECAFFQQKKRVEKTQYLVLDEADRMLVMGFAEEVMEIMEKGGIAGKEDLSIALVTLVVWEFDVIHRLHDYEILLPLIRQLAKVLRPSTRMVEGMMAASQEETNGADGDSHREGTESTADDASVQTASDDGLLGLACSTRRSHETYLILYGWNTRTVPTKDLEKAYKNVIKKDQNNFDFYKYT >PPA05270 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:257801:264020:-1 gene:PPA05270 transcript:PPA05270 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASLAAKKRSRMGNQCAQTDIAQFQIDEILLRLHSIQQASKPTDVAAHKRATSVMERSVETTASILEMMIGEKNDMGTQYDRAAETALMDESVLTDVLQVLKATAAVQCGAAGSERRNIGTEVMTMSASDTTTDSPDRDDLPIVTNEAVTQSYIESRDVASSPICRTVSASRAIGTTPPPERTMIATQTSLDQTEAEPSPLKRKPTIVCEAEVSTHIAEAMTSGVDESTQMTPPSPRRSHTHNFSPPLGRSDSLQSLCGSIGQPAVECQEVVVQTDDSYLKIARRLDQYRSNKTQFLPVCAAEPLSPNSAAAAASSSAERSQRNFYVDPSSRRRSSRAFSFRKKKEAWNHASAQTSMDPDRLTAELNGEVERARSSSPSSCDDHLLFVDDKMRKAALKRKASLPAGIPRGRVAEFVQAHEKGNPNPGVEAENLRRAVTIIRQHSLGVGCD >PPA05290 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:361488:364055:-1 gene:PPA05290 transcript:PPA05290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-prx-6 MVSLCLFSSMVNSLDIWSDVSSQGEQKLKAAIQKAISAHPCVLYLSNVDALGYDVHTRNIDSRVLSTLRNTLEDSSKSTQITLVMGCTSKQLSNLSQSLRELVLYEYSIPSLTPIDRLSFLGKLFKSDKLATAVAKNTSGFVVAELIDLVSDSIETAREKGREVKVEDVEGAIERRNLCIASSVGTAKVPSVSWDDIGGLEESKRILQESLAACLKGGKRTKRSGIILFGPPGCGKTLLAKAVATQYKIGFLSVKGPELLNKYVGQSEENLRNVFERAREASPCVIFFDELDSIAPNRGRHGDSGGVMDRIVSQLLSELDDLQSQEESQVFIMGATNRADLLDQALLSPGRFDKIINIAPGQDIDSKRKILEAVSRSVQLDRDVDMEQVASSCPPVMSGAELYSIVSNATMAAIREQVSLIESGGITENEADLTICQRHLLDSLAQGRHIV >PPA05291 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:365292:366673:1 gene:PPA05291 transcript:PPA05291 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLPLIYFDLILVINQLFGFCLVAIAGFFFNTLDKGFQWSDNGEGNFGNLNLHAMFMSTGLVFLQGEAIIAYRMYRRDAKLISKFMHVVLHTLAVSLAGLGLYSVIRHKETHHINQFQTFHSWLGLAVLSAYVIQYFFGLLNFGLGIIPLKTRASFMPIHRISGCVIFGVTSAQALIGQITFTSFATVRPEFACYKDLTCSNHLDWVYNGQMISLVIYSISLLVLVTPNAWKRVKTIDEME >PPA05264 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:233557:237637:1 gene:PPA05264 transcript:PPA05264 gene_biotype:protein_coding transcript_biotype:protein_coding MDVISLLLKTYAYEDGAMVGPMTVKEGIIMRPDGSVEDICSSILKVDASLRARYHMTAALSGARRFGPHSYNAREPVRVQPPPIETSPADIVSAIDDFLGGYVPDDSQSTNFEPPMKSFRPRQREQQHHYPSLQHDSFPFEREMSGQQQQAKPMRGLDPLAAPFSPFSPLFSDIGGDEEWRVQPFVDLDGGCISPPGHLYGGGGCENEAPPTLYVPGGRVEGAVARVNDADD >PPA05279 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:315193:315527:-1 gene:PPA05279 transcript:PPA05279 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGGNDSISMYHDKSSCVPFWWDGCHSESANFFRDLDSCQSLCERNVDSEIGSIASTLKEEFRCLEPKKVGECRET >PPA05231 pep:known supercontig:P_pacificus-5.0:Ppa_Contig133:360:14348:-1 gene:PPA05231 transcript:PPA05231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ula-1 MSKVAAGCSRGLLALLNVALLIASLIMIGLLLWIRFDPNFEAEIRKDLKITENIQQLDNYKRLINTGLTVSFWVLLGFGIAGAVIGLIGAVAAVFGINCLIGFHFCLLLIMALLEIAVGIYILVTRDTLRQTVQGYVISAYNARTLDYDSIRMRYDCCGVDGTPDLACLTGQPTCTGAVWDRLDFTLMVAGFVLIGILILQFVSCLCSVTSLCTMTGLRYDRQVRLWGDEGQSAIGSTSVCVLGASALGTEILKSLVLAGIDSFCIIDDAVVTQPDLGTNFFLEAADLGKSRAEAALRLLKELNSSVRGTCSTRSPTSTTDAHLEELTTFSVVVATNMAESAASPISAFLYERGIPLVYSRVFGFLGTLRVAVAEHPIVNTHVENAPPDLRLDAPFPSLQALIDAVDMDKMTDEQVRHTPYLILYALALKEYRKSLGDPTAFPATHAQRKALLPHVMAFARERESGGTDENFDQAKASLARSLLKTQIPAGVRAVLADAKCAEGEAAAAARGGDPFWIVCTAMRRFVDRHGVLPLAGKLPDMASDSASYARLAAVYHERAMADADEVLAEARALEKQTTASATDAVQEHDENDDGSGESSKRLKASPPSPTTTSASDSSAGDGTTPSSISHETCMRLCKNAASIALQRGTRVDSWKGEGFRLISGGDRSRCKNAASIALRRGTRVDSWKGERFRLISGGDRSRCKNAASIALQRGTRVDSWKGEGFRSVLATIAATAQLDEETGAPLPVDANAGAAHAAVWLLLQRAADRFAEEKSRFPGTNGIPCEMDARDLWERVKRIVDEGLARREVENGDESMEEDDLTAEAVLAQVPYSACSEMCRYAAAEPHAVASLLGGIAAQEDFFGVE >PPA05298 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1338:375:641:-1 gene:PPA05298 transcript:PPA05298 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRSFEENSELEYEPAILDYFEESAIDWRELRRQGRAGWDTRSINPRRANVRRGEVELLMNTDNESET >PPA05323 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig134:127501:128317:-1 gene:PPA05323 transcript:PPA05323 gene_biotype:protein_coding transcript_biotype:protein_coding MQFYLFNGTPWSTPFGMQEQGIDAVKMEEFVTARARLTKNITLSEVVLDKIDFETVDFALVRRALTDCSFERLFIVQNNVEYNERVESLIEEHRGKTIVFSALYFPYYQCIDQLSPDIELRFNYLHRQKVPGPGTQSLRGSLEFPSDSERGFRCKYYI >PPA05318 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:103061:103553:1 gene:PPA05318 transcript:PPA05318 gene_biotype:protein_coding transcript_biotype:protein_coding MWKVMLMILVLLLSSCAIDASPVASKKVARTKRAPIFDRAALMSLETARFYYDLADDEYPYFGSSYKGADVSTDKDP >PPA05377 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:369598:373067:-1 gene:PPA05377 transcript:PPA05377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rom-2 MNPEQVEAMLHGIDMNKDNMIDFAEFCTLLSRAKRMRMRHVIFRAAQMVVPKSKRAEHFDYLQKYKCCPPPLFMLLISIIQVAIYVYYVVQSGEGVQVSKPVPVQSPLIFSPNKLEEVWRFFTYAFVHIGIYHIVFNVLTQLILGIPLELVHQWRTILVYIGGVISGSLLVAAVDPKVYLAGASGGVYALLAAHLAELIMNWNEMEFAPVRALILFILIASDAGVAVYQRYFSDEGINKISYVSHIGGFVAGVLLGIVLLRNFRKHRWEQKVWHAALIAYILFTAVCIIMIIAPKIF >PPA05309 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:55777:56543:-1 gene:PPA05309 transcript:PPA05309 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-89 MTLRSVIFTLSIALVAAQWNPPSQQQQQQQQQQQQQFNSGFQNGQQGFQSNQQQTNQWGQPIGQGQNGYRLGVTGQQPMSVQMNGNQMSGQFRPGYGTIATAVKAASPSIANVNSPSKLSSNQVNGAQVDIRITAYSNPNNLVSNTTTCSCASNNCDFLPTANQQNACKFAFVTIISSAE >PPA05352 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:260730:261002:-1 gene:PPA05352 transcript:PPA05352 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPAFSLYEFSNGERKDSSRHAGRKKKHIRKDKKRAKARLDREMNSPESTRKMLISSADVCNY >PPA05350 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:255546:256470:-1 gene:PPA05350 transcript:PPA05350 gene_biotype:protein_coding transcript_biotype:protein_coding MAENRSDAVSNSKDQCDKRPPSRSTVDPLVELYCREHGLEMTAEDHKEEEEDERDDQVEGISSLKPDDLDFTWHHVHVMPATSSPPSLTYYSQLRRIFPSLKSTVQEASLGQCIRQSASRQPASSRTASRIIVDYAFDPEDQYRRDEGIHPTITALPVYGLSTTKLHEMLDEIAGNISAIVRRRHEEEAE >PPA05360 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:282834:285805:1 gene:PPA05360 transcript:PPA05360 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVLGKLSPIQYVIMTLIEVPASTATERLVFEAFQINDAGGSMIVHVFGAYFGIALSLAFSRTEQRDHEHDCSIYHTDMFAMLGTLFIFVNWPSLNAATAVTAEEHHRAIINTHLSLVGCTLATFFVCPMFEPKKKFNMVQIANSTIAGGVAIGSCCNVVLDPILSLALGSIAGVVSVLGYIYLTLQPFLSARLRLHDTLPSIYPAMASGDQTEGGQALMQLAGLGTVLGISVVLGGVTGLLLKLPFINQVRDEEYFADGDYFHTPDDYEFTTKISGMVRHHHFEKNDNDVHGGTVHKRMVNDDDEDGSIMQEGRNRIFFM >PPA05391 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:441440:442864:-1 gene:PPA05391 transcript:PPA05391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-arf-1.2 MGNVFGNLFKGLFGKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVGEAREELMRMLAEDELRDAVLLVFANKQDLPNAMNASEVTDKLGLHTLRNRSWYIQATCATSGDGLYEGLDWLSNQLKNRG >PPA05330 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig134:170332:170576:-1 gene:PPA05330 transcript:PPA05330 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLWLSMSCLVVISCIPLHERKIVRFLLGQSIENSKKRSLAQFDDLDSATLAHFEMLSKDWL >PPA05361 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:287252:290174:1 gene:PPA05361 transcript:PPA05361 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFFLLLLSLSFSLVFGQEDQQDADARVKSALDPHYATLLSLSPSENDVFVDMMYELLDHQMTVPEFMDGLHHIAPKVYKTLRPLTVAYLDVDPKKVEEGTRVFVVKIVEKLNELKKAKVHGLLIKKGSAKNEKDLKKMEISKMQKTKEEKMAADQLGEIKKTKHSSSPIDNIASHLRKEEREVIGDMVMELVDGHMTAEEFLNVLSHTSPAIYAALTRTQSTAINLRRFAKSLKLDKEDKEVIDVVEDMLHELVHGQMTMEEFLSVLEHTAPSAHRFLTYLHTRVAGLKEKDAKAFVEKVTHALRAIGKALFAHQDGEMTKAQKDREVMVQLTNLVKDYAALRRNSEAASEELKETFPDVLAIGQAASINKSQMGGYL >PPA05343 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:232510:238721:1 gene:PPA05343 transcript:PPA05343 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLLLVCLTVVVRAKPCSYQLPSIDEVVTGVEIDARIENDGHHRTKRSVHHARSEHFEPMRIHLHYDETILSLSAEKLDYVRHALLPSAIGYWEKVLRVRRHKNNLLLKRKCNETFFLWDKSVRQLACGKGCNAITTCGDSVVPPEHLQPCHYCEYKPNDTTDRCATEGQPGEGIADTDFVLYVSSKQTERCIRNDTLAYAAHCQQEALLDRPIAGHVNLCPSALSTHTHDQEVRDVPAAFCDLPKLVLISTVKHEVLHALGFSAGLYAFFRDDEGKPRTKRNQYGRPFAMNAERSHFKWDKSTIDIILRPDWWTAEGPVVHPVQMMVTPRVRTEARRHFGCATLEGAELENQGGEGTAITHWEKRTFENEAMTGTHTQNPVYSRMTFALLEDTGWYRVNYSMAEELHWGAGLGCDFVKKSCGEWIAARKANRTSFSPFCDHVKHDGRRSLVVTKCNLQRDSVALCNLIPYSAKLPVEFRNLDAIPGVQKAGLPYYGGSVELADYCPYNQEFEWKISSETEKRRDSRCEIETNRLENDELMEVYGHNSRCFDFLKPWTERRCGKIRTFHQYMAGCYEHSCMNGILHIGLFNATTLHPCYHEGQHVHIRKITDEGWLREGVLLCPKCSELCGDCAEEHEGTVYEEYSVIAPSNRNTLWYTPIYGR >PPA05387 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:425264:428447:1 gene:PPA05387 transcript:PPA05387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-abts-4 MERRRTVESYERPIRVRTTTRIRITLYQPSSLTSVYSEQQQSSMSIEDKLDPQEIRKTCEVIRESLLEEPPKNMLCTVLELDLLSVRFFAGIWIFVICLIVVAFEGSWLLRYVSRFTEEIFAVMISGIFIFESINFMRKTNNDNPVEDYTFYEHQHHDCNQTEVSARPCHFGEPNTTLLTGLILFMTFFLACSLRYVRSTIWFGKHVRNIFGDFGVFIAISLVAFLTQQLFPDPILARLEMPEHLNFTNLAKRGNERMQLGVVLLGHGILVIPRSSDTPISIAVAGAAALLVFILIFVETEITELEKI >PPA05373 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig134:357377:358040:-1 gene:PPA05373 transcript:PPA05373 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCGGCTDLARLSRHYGNLPEAAVRVVLVELGCAIGKPSSHSNAEEVPIYGTFSYGSATLGVCSSET >PPA05370 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:335700:336074:-1 gene:PPA05370 transcript:PPA05370 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIADHDLFAHSDTGGKTSIKSDAIVACGERLRCSKMPPAVCEGPECEPPTKVDETLSGQPLVH >PPA05382 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:398178:399802:-1 gene:PPA05382 transcript:PPA05382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-277 MSAPSTTKVAFKITLTSDPKLPFKVLTVPENTPFTAVLKYSAEEFKVSPETSAIITNDGVGINPSQTAGNIFLKHGSELRLIPRDRLSPAAKELVVKGVNVATVGIQWGFVPFVVYLGFRKGAEPMPNGESFA >PPA05301 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:16322:18729:-1 gene:PPA05301 transcript:PPA05301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tsp-8 MDRIYVTRSYRAVAWLLVIAGAIMTFIGCCGCCGAWKMSQGALMGFFLILVIVFCLELAAAENIRSYVESSIYDTIRNRYANDENHKNALDYIQKSFECCGSKSYLDWLQASWDRRVKVSEHRKLEHGIGAIGGGRGTGYGRVPESCCNELGLRDYPVKCGETFTNLQLHTYADFIHPKGCADALYNWVSTKLDFAIAICVVVGIIQLIGMALSMILCCCINQEDKKYDY >PPA05334 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig134:181037:182610:-1 gene:PPA05334 transcript:PPA05334 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYDRTRISIEEVCQFEIYRFQWTKQIEKEEYSKDEIETNTEKDSTLRRKKISYSMDSLLNGLQTIEKCLDKNGKYCLITLNDNEDEEEVNEWGSLKVELIGEGYDSLRPDFRKQFSV >PPA05364 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:312024:314406:1 gene:PPA05364 transcript:PPA05364 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYAIRLVRVLLVLLHIRSILLDDPPAAVLQEQLHLITGIGGPIVQRTMDYAERFNKSANACGMCNVQVSCSPSCDYVPGGNSFGVTDRICSHLPTEKQACAMTDVAYDKFTGTCQVWPPRSGAQLNNELAMQEKQGIKYIAIAKKLGVRSEDFLGPLVPAHIRQQVWSLRPLNCISIDQKCYCCCAPYRPNPCDAQCTLTPCSKRNSFTSSHIKILRRRFLDAQDN >PPA05386 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig134:419865:422463:-1 gene:PPA05386 transcript:PPA05386 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLALLVLPLTVTAWVISPQYAERCFERQENKAISSEKPMAEVYQATVFDCFNYCVYSAWKLGNECGSIVYHKKEATCQAYAVVRNRRDYYKEETVVKADDHDFYHRTSFDGHCSAPQDRPVARARAANTYTWANDKETAPIPLTNGNLKGLAEKEASFMEAIFRSDSAKESEYEYDDEKKPEAKASSAEKLDKQGEAAASAISQSDLDEIYSKRFQNAFREGVLFPNPTDGADCANDARTSFFVLFGYSLLSPAAPRNVVKGVDQNACFRECSHPKLLEQSCVSANYDLSSGECSLFSIAAKVEQKQAVIMENDNIMFADKFCLTSQRKCSTDTPFIVYPFKQIHKKIFDWQMQIFSPVDCLARCIDTPKCKAVTYKAALCILHSESPIGDPSILIDGSTETLVIENATQQDQPGEWEEWSGCVFGGSKYSPSGKGGRVRVRHRECPDGIPCEDLEVQKC >PPA05342 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:219725:224107:1 gene:PPA05342 transcript:PPA05342 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIFTVADRVGIVPRAGWGHCVAKDLAPHHEVIVEVAVAVEEPPKKKLLKKKTVKRVVPVLPDVVMRKVFSSLTYRELCRAEVTCTRWQKLIIDLLRRDIQEITIERFGSSQIIVVHQPPFKRLNITCPAESYDFLTGVVRRSRLAALKLTTDLHFLSNMDKAPRMKYHLFQLAIDLSPPRLRSYFANVDDLWLIIVSISEEEVVGLERIAELLFSQLANITMQCHVHIARCVKSLTRWYGDTDVSLEIHSDTAEVVYDQLTALSDLSLYKLKLICTEFDSPELCITAISQLLAKNRIRTRILTFRDWSIRCDSSTLLTSHPMEALRISSCDVLTVDDFLKAMIATEKQDAPDPKKARIASKEDLKPSRKRKMPFIRRFEIAGQCRLRGLEFLTNKAHKELEKMLATHFPLMEFDWY >PPA05326 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:148335:148861:-1 gene:PPA05326 transcript:PPA05326 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLVLFCLLIGAAIAWNYETGFQSQPWKLKDVWVRRSSAYQLPQLPVREWAQLMTLMHKRSQEAPRSSGDSAVLPHPTVRDNALKAGGYEW >PPA05366 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:321413:323389:-1 gene:PPA05366 transcript:PPA05366 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGYGGIGSGATPGYGGGIGSGATPGYGGHAGGIGSGATPYGGHGGGIGSGATPYGGHGGGIGSGVAPSHGGHAGGHGGFYDPYNNSHAGHASQPHYGGGGHANIMVALLGCEACR >PPA05392 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig134:443591:445168:-1 gene:PPA05392 transcript:PPA05392 gene_biotype:protein_coding transcript_biotype:protein_coding MQCFRPHYNFFESQLIGCVVGKFGIRIDEYAQIEPGVFVKCFEKESGGVGIKIIDRDELSCKMGNSTVPEGYVWIDTPRAAEFTCSSGNVVKKSSFWVENHHRRRVKIDRIHIIMNLLHREMSRRTGIALKSRKDNVQSCVLGSERIPLGKEVRLKNECVFVCHPGTNLYMCDKMLTDSIVIDEDLTGAKSQRWDFINSKRTFNEILS >PPA05312 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:72508:73636:1 gene:PPA05312 transcript:PPA05312 gene_biotype:protein_coding transcript_biotype:protein_coding MDACSHALESAQPSVSPLPNKNGTGGTELRTQLIDRNGKGGGRHRILAQTRRTTMILASMVVMFGLTWLPHNVVSIIIEYDDSRTIFSWYDHDVSYLINLFTHSIAMMNNIANPVLYAWLNPTFRQLVIETCFGRKPRRNVAVEQKFVRSMVAKSECTTRLTSRAQSPDRKEMANGGELDC >PPA05393 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:446120:447850:-1 gene:PPA05393 transcript:PPA05393 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHLILSAFASVLAAETLEIFSKNLSMPYVVKKTWVDNFIKFNHVMETKSLRTRIVALGCVPSNQPDGRLLNVGEATRQHEFIFSCQQDAHGVISYDATACIDPLGNEMKIGDSRRLSNGTVIMHCAISGGVLKKVVEKASGCFFNNTLYGEDETWVEQIGEDSEEQVFMFRETTRPKLGRRQAVFVLS >PPA05346 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig134:244966:245692:1 gene:PPA05346 transcript:PPA05346 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFINLLIFTGGIGSGATPYGGHGGGIGSGVAPSHGGHAGGHGGFYDPYNNSHCTLQHPNIGDGWLPGGYVCQVNAPERVVNG >PPA05311 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:59391:64672:1 gene:PPA05311 transcript:PPA05311 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLQSAYNNISIRELVVPSSLSRPSERAKCACASEFLRKVSSLRRTAHANDNEFTLITNLELEWARLFMEYIMGGATCNATNCSAAGDDMIWYVPFTNAASFYISNNNQLQVVRRQSKKHPTPADPNYNWEETVCLNLIMQQLDFFVTCAVCTKTSPQNLQIIRKNCQQVYPSPSRRRMDSKGEGEEITFPKIYFAIDGFEEVFNDIVVRDGECVCVELVARDREGQRESAIFLGSIKYEVLKQVYDTNASSTWQWAQKLVRPGGRRQEFVRMRGPRGKGFAEMAVMRVPNCGYETPLVEHSIQFPDFAAAESKFGKRRLSETNLAGKTSINSRSAMTPSGSQSARGKRWQSDTDSINQCPEVEANSIDDELDEGVLTRLWSVRGFGQAWHWLREKKRAECTPLNAFLTYITLSWTDILNDMLNDRHKRPILTFELGAPPPINS >PPA05384 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig134:406598:409599:1 gene:PPA05384 transcript:PPA05384 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRRILFLFFVLVTLAIISAIVHFIEIQSASAELYNRSYIPEAWYISWILKDGAGFLWNVLTFFILYNNLIPISLQVTLELVRFFQASFINNDLGMYHAESDSCAVARTSNLNEELGQVKFVMSDKTGTLTQNIMKWKRCSVGGVSYGDSESDPFADERLSHDVLVIGNFSEHFGFVSSLN >PPA05375 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig134:360024:360899:-1 gene:PPA05375 transcript:PPA05375 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLISTILHNYAIPPKRPRLDIFVEHNGLMVEDDALYIVHNIFVEHNGFRTRHFL >PPA05314 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:80670:86559:-1 gene:PPA05314 transcript:PPA05314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rhgf-1 MLNSTTTHVTEGEGEKLRKIFSAARQRALIDVNEHLNDYRQKKDMGMGPSFDTSQLNSITRGDLSMEQKVGENKLMKQLEVLLNSSNNEYDSCEPKTQAIISSIATVIKVVLAMKPSASQWERMLEKCPTFLMKEKSSKFKMKTSTPKRNLQVKGHVFSFNPVNVVHYCYQCRDAIWGIQANGYFCLNCDVVVHKNCTSALVDSCYPATQKKATKKAASRSSKDDEDGGERAAHDHNVKSTSSDSGIGYEVIERRGDVARSQSMKSRLSHMTTTTLSEDVRLVPRGRSALSWGADLIPGNQLDEAPLRRNALTELGRPPSDIQSISGASSISHDDDVRRLISSMTENDSDMEVETEVPSLESLVSWDVVRHLKPKEKKRQEVINELFHTERTHVRNLKILYSIFYKPMMVKNTVSQDLLWLFFANIEELLGIHKEMNRKMRDQVEEWRNDSSLNGLYGDIGQLMEDLFEGENGDRLKSATSLFCQHQQHALEILRSRCNKKEDHFSRWLADVESHPLCRKLQLKDMLPVEMQRLVKYPMLLETAAKYTQEENEVSYRTGAVWVKSPTLVSECSITDQKSERACGIEEQEKLYRVVHESKKILSAVNTAKRNAENLRRLEELQRRMDTTPFDKENSGHDYASLDLTKYHLIHDGHLTMRFSRGKMVELHVILLEEILVLLTRHNDGNKLLLKSLETSKESKWSPIFLIKPLIVKEKANDKRAFFLLPNTDQKGATIFELVATTATERKTWLKLMSDQIEYVKKQPTQGIEPFKFEVGASSVEGEDLDKVQVLTHPRLVNANEITVQQPTVLEHAKAVLTPTERLKRNDQLIFQALLDKQNILAQFLPGDATNRTDQLTKLTELLGGLNVADLKQRDPKELAMSAIVHGNRLLDSINQGMQAHKESEDGSTVTLVLDDPDRHLPSVPCYKLTAIGAPLMNHLKALMQVIQSQEAELVTVKQQLCRYKDMAEASGCDRSVSEETLTDALEERKLMTKRQNRAPSVPTCPPPLI >PPA05374 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:358074:359267:-1 gene:PPA05374 transcript:PPA05374 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDDRRCAVSLRSESDATTSRLSTASLSSLSRLRDNPTPWHVAMFLPSFPRRGPVTEQSFQIYEELGRGVFSIVRRAQFRTQERAFCAIKIQDKATVLKHSYVSHANDEVHILVSPSARPSLHHPLLRRLAVENATVHVHGTAYW >PPA05385 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:414899:419176:-1 gene:PPA05385 transcript:PPA05385 gene_biotype:protein_coding transcript_biotype:protein_coding MRECVIAAGNKNPIVCRSFTYERFTKKCQLYDHDGSQSPAVVYPANDIDLFVRISTLGSCGGSLATHPHYHRHRENFHVLRESEEETRVSEIDSNDITGWPKAIHTDNIRESSLLPGKAAASQVHDGDFDHHSRARAVPTHPHSKGESFDKKETKYSSQGVQKVHSLQKKTFKAAATTKPPAKPLDQKCTNGEGYFVVLGNQIVAPSNLKTSMRSFDKVEQGECARLCTENKTPKSEPFECRSINYFPSKRRCEMFSILSEPHGPGNLLENRHSIYAEKFCISELADDCEAEEVFILHVQKAMKSKAEETIRGDSITSCLEKCFSRRFCRSVIFDSTKHQCRLHKDSPGDSRNNVVDTKPGVVLIENGCRRNAKPIDIPKSRGLSRKLVKNSIRRAPERSLVANDVEFSTPVEAEWGEWSDCTFKSAGKRFRRKWNGSGELLSEISKSADDRLHDSNPCAMYALMSPFKPKRGSTISPPLCNASTFPSGNMIQIYHLLECVT >PPA05327 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig134:156968:158799:-1 gene:PPA05327 transcript:PPA05327 gene_biotype:protein_coding transcript_biotype:protein_coding MRMNETGNRLQYFQRHFQTTVYAVRNAAEYERSGRHLIHLVVLISIVIIIYARLKLKNVPYNEDRLKAKYQIKEVLNFSIAILPSVMVSTLKGFKRDIEQEGKDYFDQMKAAWDSAPVYAPKNIKVSKVVPI >PPA05369 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:330818:335468:-1 gene:PPA05369 transcript:PPA05369 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKKRILFSLHAMVSIIQKVVRRYKEEIDGNETAIDALFCDKKVGQWKLNITANETKIANVYCIFNKDRDNILLGGKAFTKEAYDTSDDFWYAFKHYWPMLFTPLLIPIPYFLFLWWQSSESWSPEEKWAVRPYNSHNYRGGTETLLPDLRYLDGKCCPKILWKEFSLYSPEEKYMYLENCIGQFSTKEKVVNFVMPLLYKCTEHDENWDNSKQAMHPKLVEVIGIRANNMLFPMGLEKARDESQETTEIRILGLEAALFAGNEEIEDRLLKLWQEKGFEISNPARKFAWAVAVKNDCQLGVMVQKWNEETIKYHANWGKPKDPVDEKWGDIMEHLFYAICAEPEERTLLERKLFNKQHILSGFRSVMEVFDYNQSTGRIMFRSDKMQQKNRDPMNLASLTVRGNNIRYTILPDS >PPA05340 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:211640:213489:-1 gene:PPA05340 transcript:PPA05340 gene_biotype:protein_coding transcript_biotype:protein_coding MRHDDEGQPDNENRTATWMLRVDNSDTGYECGAALITPLHILTLTKCFENTKTQLITSTSVVTAQHHIFIPTDERDGVFYKPETINFRIVHYVVPIVYTKDGKRVPGALVIAQLSEAL >PPA05304 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig134:31960:32581:-1 gene:PPA05304 transcript:PPA05304 gene_biotype:protein_coding transcript_biotype:protein_coding MMGMIVDEEKKKEERKEEKKEEDESRRDSIESLEISEEAGEELQDDSSPLPVTSSRPRAAGLRRPMRTMDGRVITENPLSEWTKFSAGDRVNAIFSIRPPSSYDHPTPSPSTLNGVVQYSTTQGNTAFSHFL >PPA05321 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig134:122148:124019:1 gene:PPA05321 transcript:PPA05321 gene_biotype:protein_coding transcript_biotype:protein_coding MHTITTEMESRLRVDKILLERLLKVQEHCEGCEIHKAEEYVEICHGLFNKTNSEKCKSFFNREKGEVTNTPDALRVANDEVYAKTTSNRPRVTILFTDGAPNGYYAPFENFPEGRNYDTNGVINFMLESTKGAEMSNDIDVPFKERKKAELIIGDFIKKDLRTEVSKMREIHKAQIITIYVGRNTKELNVKLMREITTEDQELSVDYFENF >PPA05322 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig134:124730:125615:-1 gene:PPA05322 transcript:PPA05322 gene_biotype:protein_coding transcript_biotype:protein_coding MISEDTLQKYFPRSLIHEIRNDGGLSDIYTECVHNWKDFAKVFDWKVWVTVLLQAFGGIVVAVVIKFADNILKAFASSLAIVLNCVLGSINPIRTRSTLGYRSSYWIFTVPL >PPA05319 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:104424:106419:-1 gene:PPA05319 transcript:PPA05319 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVCYPGWRAAKTFDELSYPLVMLIHYPFPFAVGFYIFFGQIPSTFEYIPGIGKVTRVTDVGAVQINSDITAAAAVGGAIISSYCYMRVFLVLRTRPFRSWRKEASMYITSFIMRIANKGH >PPA05300 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:9863:12300:1 gene:PPA05300 transcript:PPA05300 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAPHPWTPPIFVHGEIVFDRTRAGDAFICIAEIIAVEENGYRVHYMDWSRKHDHFICKRYINYQLYKIVQSGLEVGTQCFYPSPAHRPAIIAPYSFNVGDIVLGKMRAEFNNSKLYEVQITWREEYDCSFRYHITYVGYVTSWDHYVEAHEVSRLLFRIHKLTLNFSFTDSNGMNHVLPLEVTTRLPLENHKQAVVKWVNTMLARRVTKNRVTFVQAPSSLFKDHSVL >PPA05390 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:436901:440394:1 gene:PPA05390 transcript:PPA05390 gene_biotype:protein_coding transcript_biotype:protein_coding MCNRNSRSFFTITHPTPREMAVLYQKRHAPAGFERLTSPESAAGAPPQSSVSTVRSPKGATKTASSSSASATERSALRARRSGAGGAPAAPAAAYANAAYGATELDELDSRGRRSNGGSGGKLNEGFEEDHANVTVISLSGSERGGTRESYSSGVLDLFRGRARVSTDDEFPPGSEPIPIGQLNRRSSSSMQLAEEARHGFAFSQEEHGPISQHDMVMHADSTQPKPSGR >PPA05317 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:101162:101681:1 gene:PPA05317 transcript:PPA05317 gene_biotype:protein_coding transcript_biotype:protein_coding MWTYVILLLLVSSWVPLDAAPVAHKKHIRSRRAFFDRAAPMDYDSAVYFRNAWEDEQAARYGHHEELKKIRKHRKNRDYFVDYFKFWER >PPA05339 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:206788:210119:-1 gene:PPA05339 transcript:PPA05339 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEHAANKPVDRLHRFKIEYLHMVDECIQDACTPIMENGRAYNRQRGNPLWFTMESDRETLMGLTKDLTHAIPIPHWSDFICRQTGACIKGFYKTDYTHENIDYYFDGIPREYDISEQGYFEECSQSQILTLMRTLTPLRRIKHAQSTLNKDVLCGRPESPKTSPALFLTNSFSVAVFITNYWKRQFWEWGPRKAFNVHCGGALISPAHFMTKTKVSSYTESASIYALFSPRFDGADYVHTSDEFPYPKSEFNYYYDSSVGKRYIKVHNMVAPHCDRESCTNIDIVILELYQPIDISQSYIRPVCLASSTSVVPKDFMALVDEETSKKDAVNPKDFGAPLVALDDHGRAVLHGIVVGNKEIGGKNKNIALKIQALNSFICLHTGICPDGYDNFKDEELYSPFFSNVEGGVEFALETMNSKEYSKKFFNDPHSMEKELIRIGSVKDHNSLRFLTDEENEQNIRECGKLYPQ >PPA05303 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:25369:31872:1 gene:PPA05303 transcript:PPA05303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-130 MTSRHANQSMPLLSVFREPATIISVQLPITVKNYMYNTTARTLCPYTLNTDYDSNLTISVELSSYRPVDYEFSAFLVQNFLLSENTPLIATASASEPVFYQFNFPSYLDSVVIWIESNSSICMTVSIQKAECPVFDLDNNVISGGLHQTMTKSGSITVERRFFDKFFVIFVIRPNDDDCSTMEEIIPPHPHYMKPREKAFRVTIQAAPNRVDYVFPIALTFGGILTIYIIAVVFVLTMGSYEKQLMLTEGRALLRTTTDEEAPGPSSSSHPYGGDQFDYSALENESPSPPPREDSPSYRDLDETGSLRSYDTVRDCRDKLVVRQNRYLTVGDLSLKPWKQRDKKYNRYVVSLVTIALFYGLPVVQLVLTWQDTVRLSGNLDLCWYNFRCARPFSVFFAFNNVISNAGYITLGLLFLLMVKEREIRYRSLCKIFPETLERDYGLPHHNGLMYAIGVAVVMEGVLSASYHICPSSSNYQFDTSFMYIIGLLGMLKIYQLRHPDINANAHVSFAIAAFFIFIAMMGVYLNTIPFWIFFALSYLITMFLVSVEFYFKGLWRLNCMEIFRSIQYTFVSSKWCSCLVPAYPGRFIFLLIGNSINLSMVVVGLIKRPRDFPSFLLGPFIANLFLYLLYYIVMKFVHRERLRARAVAFLFLSFICWFSAGWFFIHNVSDWSETPANSRELNHDCILLSFYDNHDVWHFLSSIAIFMSFSLINCVDDDLQWNQLMTE >PPA05381 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:395384:396180:1 gene:PPA05381 transcript:PPA05381 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSDDRRRIDELSEEDGGCSDAETVLDRDDRTECEEGLREQEQDEVAAPDALVSLSPPAKKKELDADSGTVSRNID >PPA05305 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:34077:35810:-1 gene:PPA05305 transcript:PPA05305 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVEESATWWKIGLIIGGGVIILLLGWCCLFVYFNTCGRVTFIDQRRKEELYEEKMDERREENDERQLGQVKDERKEMRTNEKIDVDEERQREVVVSERERKKKEEPVEKSRDEDMRIREVTLQKAN >PPA05307 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:51234:52113:-1 gene:PPA05307 transcript:PPA05307 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCYTNATDGVILMQSLTVISSDRVSQEIFALSYDLFIVLIILATLLVVQASFTASENIAFCLVFFSIRFVSSIRFNITSLDEETPAEKRSRNRRGIFDSDSFEECNQECQSIKEIEDDHWTLGAFLRRHGNEDDRSDKWIQTGTVTPPHEKEGRWIESEINVIVHEPDD >PPA05357 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:273180:274961:-1 gene:PPA05357 transcript:PPA05357 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVLLISISVCHAKLNKAMQKTIDEIMPAIKTISGGAPEDWNERDKSSFDQFIVNIVKGKLLTISEELNKLKQRSKKAYELLRPIAVYFKNGYEKMQNKDGKQFFINELPSITHEGVGFGDMMAIAFNYWQLPDAATDEINRVFPEDRNLTSLIISHLQ >PPA05302 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:22703:24761:1 gene:PPA05302 transcript:PPA05302 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQDSFKNAQSLLESEKVEEAFDAIHQFTTKKEIEYTPFEMEVLSNVLSEKLTSSGFGDEKKAACAEAIDILDGVKLVKDADWLNNYTEILYESFSKMNRCARDEERENAWCRLKELHLEVLMMARKIWKDKNHVERLQVYLKLAKLCKSYLDVADEETMNMCTEAAKEAKFMGKGSMEDDVWRDVNKNN >PPA05313 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:75010:80128:1 gene:PPA05313 transcript:PPA05313 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGSIELVYAEHVKWTMALAEILPIRQDAVRLAVIQYASYPLTEFSLSTYSSTDNVRKHLQQITFQAGVTRTGYALRKAESELFREDKGARSDADKLLILFCDGLSIDDPIKVSETLRNEKNVTLYVVSVGSEGFATEMERIAGTHADTYGPKDLAKLKAHVIKKVEAARVCGNGERLASLTTSSTTTTTSTTTTTTTPSTTTTWTTTTTAPKKEEKRPVTLKPFHSTTRRAITGFGSARNLNKPAVLGSQSITSAPITLKSEERVHSVERRKSNEWNHVSRESKESSSEEKKRRVVPRITTTSTVAPSTTRRHFSTRRRSHFTTAPSTTTTSTTTESPETTTVPHRRAPSTRSLFHRDEPVTRVTAKPWKNPNAPKLKRLEESNEARFSSSDEVTPKFEDLFTTTTAKATETTTIPTTTTTTRATTTSRPRTTTTRRVVPHVRSEEELTEKKPPVSSKRAFAVRSRVFGEGGVSAGDKCQVDVLLVVDSSGSVHNIYDTQKTFLASILEEIDISNHNHRVALIQFAGQNLQKTEWSFDDMNANEQLMKAFGRIRHFTGTTYIGAALHAANRMLDNKRKNVKTLVLLLSDGYSHDNTEVPAEEIRSLQDVEMFAVSVAPHTNVNELKKITGDESRIFVGKEAEVMREILINKLRCAL >PPA05332 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:177966:179587:1 gene:PPA05332 transcript:PPA05332 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFLFSPVSFDADYDISDAKNLLNDTIEDYDYELEYVSQPLIKYPAASDKYRQYLNDLHSLYSDLMENYEKNLSPVFSNSSNPLGFEIPPMVVGLTDDTAQILHTWTDERLSWNRSKYSDIETIDYRREEIWMPDVSPYRCQSINELVSKSQQSVRVTHTGFANTIVLTANTQTSDGWLIDSDAWSITNFTNIQSMEVERSELGLPLLLLLLSFSTLLRTKFHGRRQFL >PPA05383 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:400958:406520:1 gene:PPA05383 transcript:PPA05383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tat-1 MPPHLSTATRHINVNSTHQPAKFYNNIISTCKYSPLSFIPRFLFEQFRRYNNIFFLCIALLQQIPDVSPTGRYTTLFPFCIILLVSALKEIFEDLKRRTSDNKVNNFPTKILDKGRWRDAQWKDVKVGEIIRVENDHLFPADLLLISSSEQQGMAYIETSNLDGETNLKIKQSLPLTSHLTSLDALSPFTASIECEHPNRHVNEFSGNLHIEGEESKHFGIDQLLLRGARLKNTAWICGAVIYTGHDAKILLNSKLAPLKQRD >PPA05337 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:201110:202151:1 gene:PPA05337 transcript:PPA05337 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRPIKSSYAIVRDLPPAEAHRRLTILNVTNHNSNERRTPCCKDTLKSGACQLMSSRDPDYFLRQCRTNADFSFIQCCASCHFAEGVKLKSIEGYPFATPASLYDHDVAHLLQSFGSHCADRRGPRYCEALATQNVLVANPKSSRVLDLFMRMPSEHTVLYDTVS >PPA05320 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig134:111397:111655:-1 gene:PPA05320 transcript:PPA05320 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDTICVIKGAITIWMDMNILFQSKPGCGSLLRGISAEHKARVNDSRRLLKL >PPA05394 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:448563:451533:1 gene:PPA05394 transcript:PPA05394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rab-33 MDFFGDVSDSDDEDTVQSQKAVVEKEQPEQPPADAPTTSRSAHDTLLDALGFDSMEDVSLDSAPSTSSVIAAATATPMKRKAESPGPEAKRGRPEPAEQQQQQSLQDFHDALNMLDGDAPPVQISDPAALSLGSDPREEEEGGSGSRQQLPAAAAAEKKKKPVSLSTIIYKKTTGK >PPA05355 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:267991:271773:1 gene:PPA05355 transcript:PPA05355 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAALICSTLLVAGALAGGPFTPRKCLDLNVKAAPNCPKKGYECDDAWKIKYRERNGFNHAVECEDRDAYLAVDKQIVDKITCRNRQWRAEGDNCDLTTTSVVCAKSCDSDVCSSGLSHPPPQYKDLHIYGPSNRHPCARATCKHGFVALAHNGSLLAEFDADTDFTCSGNGKWTTDDDVKYRHLMCKRKPLPCKYECDGAPWTSPLLNATSAAIRKGCSYSCPAGQALSTPTKKFAVTVSCATCTDDGFVVNDGQSVDEIGCSTCDVPDGTVATNKKVPRNKEAVSNGCVLTCKDDMRLRYGVSDKLVEAKYAPGNILYRQITPEGNNWITSNGTSLTSAHWVGCVKPTDCFKH >PPA05348 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:249589:251560:1 gene:PPA05348 transcript:PPA05348 gene_biotype:protein_coding transcript_biotype:protein_coding MIQDGFSSSTRVQSKESGQSDDDFNVVFINKNNSYIQFVSACSNSESQQTIELVCELVEIKSIDISLVIVYTEGTPLENYATALNSIECYAKLHSYPFHLFSDAKYKECARHKDLFFRRHCCIARHMTSQLKQDSWILVLDGDIAVINPNTLIEQFIDPSYDITLFDRFFNFEVGANSYLVRNTQRGREFVRRFAEYEFRLPKSFHGTDNGALHRQSQRH >PPA05380 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:387178:392991:1 gene:PPA05380 transcript:PPA05380 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDQLEKSYATADEIVARHRAIMQRVQSEQAMCHLSPAILQKICDSEFRCDVTARNEQIEAAHRMMDVMRYCEVLAQRDTERFARVVKENRNLRELLNYAAISDPAIIAHFRRSMEEYDRDQKARVLSRKRACCGEEGGEASGDEEEDEETSVLFNRTNLSQPQSMSTEGNATMRLATTPSSGGAASKRLANGVNGTGVILKLKAPGAANDGWNGFGGGSSSSNGGGFGSREELAESVEDVLAGDASSRSETCACVNSSTHRLPSHVYPYAQSLIALKQKRLEICKSKGGLTLV >PPA05356 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig134:272183:273056:1 gene:PPA05356 transcript:PPA05356 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTLVALLVTVAAVSSMPAACRMIQKLQDSIPSKYQHLLSNHQLDFYKTLSCEEIDTLCRVFAECASYEKGIAHLRDSHPALHKKVLEQALRQEQLGSEVQEHIDEFAQLSEDIRKRIIKAFPVFGEANALDILIEMNAK >PPA05367 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig134:324246:326868:-1 gene:PPA05367 transcript:PPA05367 gene_biotype:protein_coding transcript_biotype:protein_coding MCLDKEQHPYICKKMGYTGTHFREIWRLIYTYSAFYPGHQGWLLPFAAKTFPDDVRIHLLPEALTYVEIYNGVYKFKTVAAAVEEELGKVETIKPDAVEEDLKFRALLKGRSKFRKKVKAGWSKRSWKDEEFRKKPTKEGAKNSKNSKASKESKDSKNQSASKASKKPVESMKQGPGGLVEREDNMEDKGDGGKESKNEQKDSNVGRKPPPPKETPMILQ >PPA05299 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig134:3537:4847:-1 gene:PPA05299 transcript:PPA05299 gene_biotype:protein_coding transcript_biotype:protein_coding MNTARDYRSWVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVRVPPKLLSEGKILMLMDNARPHHAKITQKKMDELEMEWLPHPPYSPDLSPCDYHCFRSLSNFCRGKKFKNRDALVKEFEAWINSKPQAFWKRGIETLPDRWRQVVTTKGAYIDY >PPA05325 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:144977:146710:-1 gene:PPA05325 transcript:PPA05325 gene_biotype:protein_coding transcript_biotype:protein_coding MIYQLLIVSLIASLVSAQNFGNNVIPCGFVCTRNAAFTTVMDGVNSRATCSDRNGSINNRCNSCCLSYAMWGGIVPPNAAGFPASDGRSCICCVFNNRCGGGPVPAVAAANNNRR >PPA05308 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:52783:54572:-1 gene:PPA05308 transcript:PPA05308 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKPVAIDVFVYQLGVAITLPDPVTKVQTLAYYDRLMLVDSFVVNVFAYNASMGTPVNDVLPGKIIGTSMSIAWSVKCINGMLGNNCNLICGVTPDAYATTEVSPNAVIPPLRTAVSDGTTLCKDIVSGIYASCIYNAGRTQVVNCVTCVNGVTPNNTCNSVFTDVTQDDMVSYAFRTWTIVLGCLLGLAILVIFCLIISYIIVRNREKEEVEYKEYKPNPYNLPPAQQTPASRPLLDDEWQTAPRRPIQPPLPPHQQEHDRASDVRTVTLAVRWTHI >PPA05331 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:173157:175606:1 gene:PPA05331 transcript:PPA05331 gene_biotype:protein_coding transcript_biotype:protein_coding MANIVILLLISALALGILVEAAPAATTREKRQFGYYDYGYGPYGPFGPGYGYSPGLVLAAGITGTKLQASLAYTFSAAGACLPRRLEDGSLSSLRGHCETAACATSPQPGLALFSSSSSLSFQLSSAVPSRGLRVGRLIVSHRETMRRPLRSSREETPGLKTTRL >PPA05324 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:128939:140241:-1 gene:PPA05324 transcript:PPA05324 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAITALVLLLGVLGVSIGVLIVDLNIQSDIEATPAAPANFKNIPDGEVIQQADKRFSKFQQISDLYESWMDITADPCDDFYHYVCGNGQTTKLQSPFTISQNKDDDVIARMWNAPSSYWNAAPLPVKQAKWIVDKCHNDNSYTLADQQKKIKTMLQDYIGYTTVNVPFLYPNKATVMDKTALSKLLGYGKGQYGAFALLTSFVSTDFKKPDIDPYLFYVDQPLPIFIDSVYTDDAYPTQKDALVTELVTTMKSIAAKLGVTITDDDTLKKMAGDMCDFDRVISQTMQQDPIVRRQVERNYNPNTLADLNKKTDQFDWVPYIQSAMTLLGDRKTADGNTKVIIMEEDITLNLLNALVKKTDKVTVANYVYWKTLSQILTAVPPPTGHKDKANPMDKFRAVLNTDKRTLTGMLRKPDTADTNDAPVCTAIVQALLPWAASRMYVDTDIPNKDTRQTLKKNVAEIANWIFFGFRSQLDQLNWMDDTSKQGAFDKLNKIQLNVAYPDWGLDITADDNFMNQWIKLTNYLRLQQVLPLLDAKPVRDRTDFSSFIGITNAWYQPQMNSITFPEGILQEPFYSPDFPLATIFGGLGSISGHELTHGFDDQGVQWDGIGALNAWMSPDSQKDFNKMAQCVIDEYSSFCPFPGVCVNGANTQGENIADNGGIQAAYKALKAYESLKGADPRLPGFGSSFSSDQLFFLTFGQTWCDKEQSEDSFKKQIAGDVHSPAIYRVLGTIQNFPAFRNAFNCPVGKKYTPADHCDNYSIMIKCGTLNDLISVFSDFFTMQLLWILNFSAPSTRSVLLIMVLLYIPPAAVSIAFTFTQADFEIVHQFLLKNAPSYLSEPGALTDSHQAHTALYGHVGAYEEDAWEFGKGELMALTVHAMLPPIKCIGVVMYAILFFDIYHDAALEKAIFTEIAIPPAVGAFCTTYYVKPYRRFVFCQSPPNFVTSAVPVSGVL >PPA05365 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:315146:320903:1 gene:PPA05365 transcript:PPA05365 gene_biotype:protein_coding transcript_biotype:protein_coding MQILSNNTPCSSRLPHSTLPLLVPLPFHPLFPMSSWRSLIGLLLLVAPSVQDDRELITFYDEATFEEFAKLDPVKSSFFLSRFAQAAYEPKCHDEDKPIIVPVYYHAGGTVELPCKQCEWAHVVNGDDNYDLAFIASKSKSLPKTWKHIPLHHATVFLADPSKFLLQHGSDEKYVQSLKTSLTPVGGGFHRRAHLLKKMYRDDADKWISGTHNPVPPPQRHASIHHYIQADGRLYIHGSMLESSGIYFCYDTQSRDAHTRIFFVLMAMAPLVHHTGATGKMDVASVWNVDDWLTSGQDYEETCSEFDESKIEPMPDEFAHMRYSPATYPANAEAVFGKVPRNLSFFIGTATDDTFLEVDHEYSEWTTCRAEELFQYREAHCVLKRKPGERIKEFKDVPWLSWISQLNAYFDRISSIRLHSYVVTSLIYKGHSYGPHNADTEACDLRKPKIWNAFMRAILPALTGETYEKLKEMMDVSKWDNDRALPMTLDGMKKPCFEIVAKASEKWWAIRGISFIEKKACTMP >PPA05368 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:327223:328362:1 gene:PPA05368 transcript:PPA05368 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKNRDPMNLASLTVTTSATPSCPTRDLWTRCSWMRNLARRLPSVRPEEAAEEACEEACVTVEVVVDLAATASRLSTFLSLLDFTHCLH >PPA05358 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:276885:277563:1 gene:PPA05358 transcript:PPA05358 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEELVCIFKSEYVSPNTKKFLESLTCADARDLRIFNMEKATKGEKKRRNLVQALENLKSRNPQVYEKHTTYMRTVFKTLGEEDTQFFVKRFK >PPA05315 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:86904:88085:-1 gene:PPA05315 transcript:PPA05315 gene_biotype:protein_coding transcript_biotype:protein_coding MPLILQLDTQIPFTSLIELKSRPAHLALFLEYLITNANPASLFFYLITDAYQTCTATAKELRRWAFEIFSTFLIPNGPLGVSHDQSILTPIDKLLHRPGVEPA >PPA05335 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:186973:187465:-1 gene:PPA05335 transcript:PPA05335 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKLSDFSYGCVSFGLITTGLVFTVFAIFQKDSQIGKVWLAGPTTMVVGLVLCGKVIIDWGPAMMHAREGSIDSRLDEHVSIPVMSLFLLPR >PPA05353 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:261361:262891:-1 gene:PPA05353 transcript:PPA05353 gene_biotype:protein_coding transcript_biotype:protein_coding MDLREFYFLESSDDEMDEVLNEDDSDIEESAQYNEVGEVLDGQDEDGTDEALVHILSVSFQLTWRTMRPRMLNSTRWQPPCRYRLAFALHLSTKAAFRHIINGAALAVYAYAGLQDQFARSVVGCLALGALLIIAVVRATTSSAAVDVIPNTSQSLVHCACEENNEEKD >PPA05316 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:89951:90587:-1 gene:PPA05316 transcript:PPA05316 gene_biotype:protein_coding transcript_biotype:protein_coding MALSEGMVNYQDGYGLTVTGEHPLFVYTVRPEGAAFCAGVRQGDRILKVNGMPVTSQNYAEISLSLLPTLPET >PPA05359 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig134:281218:282670:1 gene:PPA05359 transcript:PPA05359 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKVAHILGIAMRFSRFASINASVQQYQFTVLLLLVHIVFIVLFGVFVSFDELYAMPIAANDNTYLNTKYPYYMGTHVMIFIGFAYLMSFLKRYGYSAISINMLLACVTIEWAVLCRGFFSKQFAETGTIALNIDS >PPA05388 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:431021:431542:1 gene:PPA05388 transcript:PPA05388 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWRIHMYTVIQLVLVIIISIVKQGTYTALAFPFVLILFIVFRHTIIPKLFSKEELEALDAEEDNEDKREDRDGYTETALPI >PPA05376 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:364982:368872:1 gene:PPA05376 transcript:PPA05376 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDRINDDKRHSSNFGFQYFRKNNSISPAINTLSILLIPSHSPVSPSSRAVTMNPSSALLLFLLLTATAATTGNNTAKDVTGAANTVDAGDVAATGNTAKDGAAAAAEQTGAVNPATDGAAAAAAGQTGAVNPTDPTAVAPVAQPQGGGKPTDPAPEGDKPATKGPEPAAPTPGDKIEPKPATDVPPPTAPPQPKVTPAPTTAEATSTSVTRSTPTPPSREELDEMLIKCPMCWLTIREMDAIITSIPKFDNDHFAKMITNRCKMLKTKALKISLAQASKAGAKRPLFLKAADHCAELSQASANIYLAAIDTHMRLSAQHICADELSGWCQAGKVIWYANV >PPA05389 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:431845:436065:1 gene:PPA05389 transcript:PPA05389 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWEGRRERQGPAANVGVGISGEEGLQVSLLVALAASASDYAIAQFHFLRRLLLLHGAWNFDRSVKVILYSFYKNICLYIIELWFAIFSAWSGQTIFERWTIGMFNVLFTAMPPIALGLFDRPVDEPQMIRFPALYCSFQKRAFSLAQFCMWIGMAILHSLMLFALTYAILEEGVVWGHGRDGGWLMLGNCCYTFVVTTVCLKALLECDSWTWPVIASCVGSIVAWLLFLMLYSAVFPLGHLSIGADMAGMAWVMMSSVSGFWLALLFVPITTLLWDLFVAP >PPA05345 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:241471:243551:1 gene:PPA05345 transcript:PPA05345 gene_biotype:protein_coding transcript_biotype:protein_coding MKIESINRNTFIRQQKESIKKALTKTECPLKPKHSRIIILGTHQEKSCMLFWSNATAIQLESHHLISWKFCHLLHTLIRDGHSSVIDESIRISSIKAYRSNHSAGRILEKERSAWYYQIPGNLTVEWRVLRSTHRKPDASLVACSVVLDQMDCLLWLQREVFDSLEKLEYSATVPQGQALLAPLTLVVKDVSSLYEIAQQYMFHLHTLYPAIILESLRERFQFPPSFVDSVGDFNGRAGGSNGGRFIGSDLLATLQLHERSYSNNDDDAIARSPPSAPILEDLFGSTRDGELQMTMQQHERRCCSEYADPRLYDVPPSTDLNESTCNHI >PPA05347 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:246140:247878:1 gene:PPA05347 transcript:PPA05347 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYLNSCIGKAKTSLQHKGLPVPTVDSKGMPVDFSSRPIDPIFKQARAQLEHDRNYVDSPGVSASDSSPPVLEAAAAAAAAAAAAAPSRPSMSPPKRPPSDSRDSSSSPSEKRLRIVEDE >PPA05329 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:166129:168581:-1 gene:PPA05329 transcript:PPA05329 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVPASIQRLLESSDIHMSRPVKTLEKIARLIDGGADRLSVISDFDHTLSLAIGEDGTVLPVTHQVLGDALVLPDLNQKSTQDKYAAYSKESSGSDKLAMLEAWWRKAHDGVVARGLTRKEFEERASLIDIRLRDCSADLLRSLSDAGVPTLVFSAGLSDVISFVLCQEMGGIPDGVHIIGNKMEFDDQGKLIAFKTPLLHPFNKNASVIDKSSPLHSSLSTRAHLIVLGDSMGDLTMEKGLGKERDDTLRIGFLNGQSEKLIQFMQGYDIVIGTNYSMEIPRQIVQEIVAFYVFVIPYTAKDM >PPA05349 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig134:254690:254971:-1 gene:PPA05349 transcript:PPA05349 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSTSNTRVIALTAGFRVSCNIFPFRTLAILAILTDDRVKRNEETFQPLSVWVFLAFAVILAVLIVVSNCPKMGMPKNG >PPA05351 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:258020:259593:-1 gene:PPA05351 transcript:PPA05351 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGASMLIGAVLLMVLAVLRTTSNFTDDRQTPEQVAEEYYMDYRTDPLWRRILLIVIRVHAEYPWMPIFLGVGVYRCRRVNIDLENVSELPSERFRFLFFISHAFLTDPWLPSLLVVGMYLLQYPISSVMRHLGRFPE >PPA05379 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig134:382209:382510:1 gene:PPA05379 transcript:PPA05379 gene_biotype:protein_coding transcript_biotype:protein_coding MARELEDLVVDARLLALTAKESESKLDAMLRRANTISGRLNMTSWKRGK >PPA05363 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:306376:309941:-1 gene:PPA05363 transcript:PPA05363 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRCSLRWALLLVLLIVLLGQLSEGKGGRSGGGRGGGRSGGSSSRRSSGATSSSRSSSYSSKSSGKSWFGGSKSSKGSSSGSTWFTKTKSPTSRTGGGFKSIGSSHAWSTSHPRPSVTSASVSHGLFAPSHTVYLGSGSGCGFGGCGPSYTVYHYYSRTHYYSSMRTTTTTPSPISPKSDQVSSALINNDTLIYTDGIEATNETQVAEIADPARPINPGPGVLLGLENLQGCATYTPANANSTISFCDVKEYSRCQRNLKEVPNFQDVFFPDKTAPSHLAWLCPASTGCCDWECCSEGEAWSVGEIFWWSIIGFFGLFLLTKCCCSVWATYKQEEAEHRRKCEELEKLTAARIA >PPA05310 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:57631:58840:-1 gene:PPA05310 transcript:PPA05310 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVGKEGRKHGQAKLQMSKLISVTNDQRTAMIDNMSFELSNPADWVTVTCTARLTMTDDNGAPLSSTAIVQLFSAANDEDFAPNRFPIEGQQKRFSDMQEWMIQASINKRCAGGESMNFNCDSNCIQSMCNNNNAKICCTEQINAPVFLIRSHCQHHLRQSVQWGIMTPIFA >PPA05371 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:338616:339214:-1 gene:PPA05371 transcript:PPA05371 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSYGFIFGRRAHAEGCLLFSLALFWVVHSLKVIVDFHKCKTKEYYCLVTPDVAMTRDSPDFLVPSDRDFQHDKKPYVGILIQ >PPA05328 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:160468:161220:-1 gene:PPA05328 transcript:PPA05328 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLKKDVFAIIKEETAYLQQLKQGGPVRAHSQAVEEHVEEKVEEKEKTGGEEKIEETVKMREGLRLLMKFEPDFEEFLQGRAKLLAVGVDWASHEELALYTKVLIDANRLFEEAQDRIISILEKIEEIEKERQESEPV >PPA05344 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:239092:241016:1 gene:PPA05344 transcript:PPA05344 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDRLKEEDQLLSMKKWRQSVHKALTKEAVPLKLKHAQIVIHGTHQDQSASAFWGSTSTIALDKHPLVTWKFCHLLHALIRDGHGSVLMDSQRTAGKEEVGISVESRERATFGYICTRRYPRIPGNLVVSEHVLHELNSDRGVAFQAAVDVLDLMKSLLLLPKRVFTFLDFVENPHNSQIQTILAPLILETQRQIMAFYNEVRSIPYFTHHVNVPTLSLRTQLIDHPRQHVIVNNTVRDPPPYELLLPD >PPA05378 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:380160:380984:-1 gene:PPA05378 transcript:PPA05378 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAKASVASGCPLTALKGALCTRPYVKYVALAAAGGVLAYLIYAYAAPPPPAVEEPKADSAQKSPKKGGKSKRKKRRFTSSTKHSGGSSESTV >PPA05372 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:353113:355274:-1 gene:PPA05372 transcript:PPA05372 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEIAAEWPEGYTHSVDWWSLGVLAHLLLTGRFPYPNTSAHHHSQLVYIDFSIPPGRSIEISTLLDRMLRSRMESRLCDFARFTALNFFSSIDWTLVEKGKYSLLPHLERADRLASRRKRLRLSDAICEDVEEDPSEFNERYEV >PPA05333 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:179838:180870:1 gene:PPA05333 transcript:PPA05333 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLFQVFCCSTGLDSLTIIVLCMLGIILFCAVTVAYYNMVKARSSSEFTPNIRPISPSPPYTPIDMERRPLRVLVSQC >PPA05336 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:196645:198172:-1 gene:PPA05336 transcript:PPA05336 gene_biotype:protein_coding transcript_biotype:protein_coding MYHLTSYTMSASDCETIAQLSQSWLFSSLVSFKLLIALGGVAYLLRQWVEHGARFLGHINSRVLFHCYYLMFVVHGSSIAVMYVIDLARVRFDCVLLDFWIVITLRWIASSGEQRSRTQHAPLITHHFHSNVNRATLLGTVPGAFREILSAKAHYCSRNHSGMIVK >PPA05354 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:265926:266787:-1 gene:PPA05354 transcript:PPA05354 gene_biotype:protein_coding transcript_biotype:protein_coding MGDESPLGSEDESDGSTLEIEVIEDDATLGEEEDEEEAAEEDVERDEEEEEDNLNRIRTHVTIANQLDVCVIVFCSMAPVLLFIFVYNFYKRLFAQLRPDGTIAPRF >PPA05338 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig134:205188:206634:-1 gene:PPA05338 transcript:PPA05338 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGTTITVSEHPWPVIIEESVLEYETRGETRMKRVWFILRHDRDYSIPLVDIAILELKEDIAPSARNGILCMPRRVEDDKIEDNRVALPSSSRRATAVVQSCAIAMETGERCCMA >PPA05341 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig134:216879:219370:1 gene:PPA05341 transcript:PPA05341 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHAYAVVSTAAAPLNNVNLHSLVFLLNLNQQNADNVAPQELKVMTEGRRASEATVTVAPKLSSYCVSSYSRYRPTGGTLIDLPTVDPYDLPRRYRSSYDRDEYKRVDYSRSAHSTATPDYSRQDSSDRYRKDYITPEYTKQDSSDRYRDRAYSITRDISYSTSKDSRREPKEASSAVDVALNRTSEAAETAFEIVVMKKEWTAVARSIREIREVRLFTPKEVDPAVLKVQEKRQKDIKRVLDMREEVRREEEEKKEISSYRVEEDKHLDAGESVAMTVPRVVETSEDVARMEVDVVEV >PPA05306 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:48110:49523:1 gene:PPA05306 transcript:PPA05306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-inx-1 MEVRVLGNIHHHTVQCVLMINMFNEKIFLFLWFWYFMVSLVSVISMVHWVLISFFPGQHMKFIRKYLRATDLATDRQSVKKFVHKFLGFDGVFCMRMISAHAGDIMATELIVALWHNFNDRVRKSPIEMFEGGVTQSPSKIDANFKSWLLGQTRRAVSPPVGVGEGRRPSFAVIILRAVVA >PPA05362 pep:known supercontig:P_pacificus-5.0:Ppa_Contig134:291670:293683:-1 gene:PPA05362 transcript:PPA05362 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEEDHRRSPVCTGLIAMMMTGLMIGVVVVVTSATMRTQDHLKIYNLPYVREEEQDTKIIARDIGALFAKNDGGMFEELDGSDSVMNRWPPRLLSGSDARQLPACKYFTAMNSGEHVEWCESIISIRCSIDIRHTGFTKTIIFPDGKSPQTSDRSSRINDHSFRSYTLDLMCGVGYRCCERGCCALTSAEW >PPA05395 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1341:837:1554:1 gene:PPA05395 transcript:PPA05395 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRKVSNDSKKFVFLDEIGELNYAILEGLLPGKDLEEYSYEQLRDAMTARFQPKLLVLSERFRLTQLTQKKTQNLAEFLAELQSAAKSCKFETVTDVRDAFVSLAFISGIRSDETRKKLIEQIDKDSQGLLAIAEAHERAGKGAVDIRHTGESVHGVDNAKRQTQGTNNPNRGPIVCNHCHKRGHIASNCWSKDKKMKKAH >PPA05396 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1342:215:433:1 gene:PPA05396 transcript:PPA05396 gene_biotype:protein_coding transcript_biotype:protein_coding CVPYSRVHSNGSSNWPVEIAHERNQTIHRTWNSHCCLSGEVIHGKNRSRSQL >PPA05398 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1344:46:1961:-1 gene:PPA05398 transcript:PPA05398 gene_biotype:protein_coding transcript_biotype:protein_coding MESRASYSFKHSAAVLYMRIKDMDYVFLPLDEKIIVEAIKEFIKNGASEISSIEKILSRGYTVSGMIGSLMPTLLSVDGVVKGSIEKRSLSLSVSPKLATTHVIHAECRSPIFSQGVKLIHSLTLLIPIDMDMKLESESRSVFSFNFRLPETESVRVMRMQTRPVTYIRHENKKEEKFDHAIEKTIYLNNPSRKEISRVYGEEILGCRFAVRGNIHRGLFDKMENGVSPVFIGENDIEMRMEKTEKTPREYSARLSFDSIDKKMKMTEDIELDTFYPSEHSHFSVHSDESVEESRRTEFKEYLRTLSSSPVFTHRIHLSLEAIGSTKERRASGELRSMCDESLSYCKWTASMIRSPLLKGENRDWELKSTLETLLPSRMVSVEEMKEQKHREVLARLSTKWGSDEKKTVTLRAQMDQSPEHIKLIERLLEKKNIDSVEQATRLNQIKISADYHLPLETITQFSRLSTLVKGLYPLEFEIETRDEKVEKEGRLLAKLTVEPQTWRYANFSVETPRETLRLKTIRLPFRPTWFSLAKKASIVRTFSPICEVSDSRMKTFDEIIYRAPLTTCYSVLAKDCSEEPKFAVLLKKISKNGEEKKLKMITRENTIEMEMKRDEME >PPA05399 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1347:1076:1989:1 gene:PPA05399 transcript:PPA05399 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGEVAPVVEHSAFKPKKGWRTVGKIQYATKIELGSGSGGTIVFKLYIGNICGKRSSIHRDLKPSNILFSNRGGTVRAVISDFGLCKKIKPDRNSLSSGSGTVGSMGWMSPEALKLQSTVRQLVLT >PPA05400 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1348:494:1569:1 gene:PPA05400 transcript:PPA05400 gene_biotype:protein_coding transcript_biotype:protein_coding MFRIMRQTPPQQQEKSVSPTVPTPSTVLHSKPGASGSSLWSEAASVGYPNQPVKEENQWEGSVEMEGLPKEKVLDLTGNVASCSSWPHNGDDNSSHSSGAQAPPTLMRHDSPQNARNQSRKEV >PPA05401 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1349:4:492:-1 gene:PPA05401 transcript:PPA05401 gene_biotype:protein_coding transcript_biotype:protein_coding MKILDRVPENLNKAFELAEVFEYNKQYEERAYLSLGTIASIPPLAKKNGVAIHSFSFSVAQNGKSSCDRVAAQVKRKLRDFVARGNNIKNAKELFSAISQSGLKGLS >PPA05409 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig135:28540:30313:1 gene:PPA05409 transcript:PPA05409 gene_biotype:protein_coding transcript_biotype:protein_coding MESTLSVVQKALATGHFTVRLRISSLSPVPVHTTLSIYGVEREVTVSHTAFVTMDYTLDREHLVRDKPHLLTINAFSSDGLITEEMELRRLARSAHTGSGTLRIIGEPVECQKNFYGPFCWVECVTSPGDGLFCDSEGVPRCKETGCIEQIRKKTNVPCQNGQCNHFIGSINSRQVNEEFTKNLGYNYSVTFETPISTNLLLVLRK >PPA05417 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig135:62008:63456:-1 gene:PPA05417 transcript:PPA05417 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVYVTDPALFAKPKIKQETKDEEEARIAKRQLAVGQEVKTRTGDHYVVDRKLGTGGMGEVYRVRPVTDPDLKFAMKTEGKNGPDKSLLRLKVETFVYQQIAKSKVIDKSHYVRMIDAARGNEGRASPLVCIMCEKALYYVFHKT >PPA05427 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:129398:134100:-1 gene:PPA05427 transcript:PPA05427 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEGDSNSAVKLVGDLSNSSLVFHDKQREPIRRKSYLMEPQVSSPSSSLRTIPEEPLDDHFFDRLDDLLERTTNPPSSLSKSTTRGHGENAQQGSLLSRILAQPRNDNFFDHLDQLLEKATPLEGVDSRSVVGFDEHGQEGWNSKGTPEESVESDGPIASLLDKPTTPGDSTSDNHEVTQNKKPIFITDAAAIEEPLDEFFPDLSSKRSKIELGDTTSMERKNRRSHVVVRQPIMRTRNPVCHLKRKWVRQALNSPSSAGASKQSAPAVNTTQQNRSIPEPDVIYLDSDDEETPNDVMLTPVKSVPHSTKRSNGPSTGARTRKRSDQYDRVNDSPLHRIKQAMLLESRSMMGQLDKNISEEVQENGNEEVEEWMPSRYEKLKRTNLQRRSGVHGTTSTMNAERSSSTKRISTADHVSSFLHQPESNCVNKVHGRKTLGEYVKNRLKDSNNMERNAIHPAESLMKRKNLLEASSGSVPRQAHRKLEQLRYPEPSIETPVIWYSDAAIDLPEDILENEYLPGEGEQGKRSMWLRGMAVPSALYNSGSNSRR >PPA05416 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:60484:61554:-1 gene:PPA05416 transcript:PPA05416 gene_biotype:protein_coding transcript_biotype:protein_coding METKHFSYMATFRKRLYRIPIAGLTDEVKFFIMDLIWQPLHIVIGDILNWKLSSHTKVSIARQTLKGIEGLHTIGFLHRDVKPSNFAVGLPPHQKVVYTLDFGIARYFLDKKGRVKVAREKVIFMGTLRYATIAGLEEKEQGRREDLEGWLYMMMES >PPA05422 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:84397:89079:-1 gene:PPA05422 transcript:PPA05422 gene_biotype:protein_coding transcript_biotype:protein_coding MPVWRRSREPSFCLGDYIVSKQDFVCPPASSSKTNSRDASPIDMTAYVEIAPEEATAPSALLVAKGTYKTIEIPAGKWTRGAHEQMRTLTTSSYYTRWLDANERRLMVDLSTAVCSHCAGRFLLVVVFEKMHNSKNIRMTINCVDDLDLSSLPTADSLDDLLFNTVALAVEKFNDGRPPVEQAAKVNPSMDSTGSLVERDVVLAEHREMSITATSDDFDRILDSDDEDDIVSGQRCNKCGESRADDLFEMEEDWLCRGCLTQTICAILSNRMIVKLNVPVACHPDSTPLDALPLFVPLAALNNHIRSLSMAIAEILKGDDLIVECKLCMNLVRLKDGFEASVLACPGCKGVSCLSCGCPPHEPLSCKEFAEWSTKFDPTIEALRVDANYKFARAITCECGEEMMLPQEQKEVTCPRCHIAFDAVSLAKKRGGGAVQARAARLVPLPTRTIAKEFAAVAALAHAERIDDRRRAIFERAARKMRSGASAASAFDADAALALRARALHAAEYHAAAAYLAKARAPRAASVMLSAWEALRTAVQEERDEKRASRALEGLKEVLKEAGIV >PPA05413 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig135:50523:50909:-1 gene:PPA05413 transcript:PPA05413 gene_biotype:protein_coding transcript_biotype:protein_coding MCCKLFCCLILLVVLFFALPIMFSILPQIKEATSIVANVEGFREIRHKFASGVNPQ >PPA05402 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:9194:13311:-1 gene:PPA05402 transcript:PPA05402 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKARLNRPKARPSTASAAAAVAAPTNVAAPTLATAVMPVSDPIAVIGSPALAQNSSLKQHNPQASPSVLTHCQPNKQRTPGISSLIASSILSPDFLLGVLPKSEQTKSTHIYKSDQLSGPGFLNSSTEDVFAFGDVDPEETGEKLKLNEDSDWEPDAHDTSNGDKELQNNGLEQAKRNRFGQKQSELEKRATNNKEFKKAVASMYEKEKVRQETLEFSEQLMQRRNENLSSDFAVVTQCEDTQCAIDAVKKNAEPQRKMLREDADKPPLPRLIIRMPKNTSVDFRRRSRKKQHCSDKDTTDEDDDWYGEKPKKRRGRKPKTESHPENTYSSRLVDPFTLEQKKKEDQMDAHTMSMKDRILKKWKKETAGTDAPLPDIARGVEGRAELRPMWKIVGDYKPADVRDRLIKMKPCEGEVVRDTFLVLKSELHSSDCALWRVDSMSLLQKFTSFLGKTEDGEGEGIIEPHIPLVDLLPAIPEEAKDRYCARSSKSKELDDQLGAQQFICEDGVRNGLSKLIKNMMSHVHTFDYFSNPQTGKDISNDSDKIESFLKELQHIISDRVSFPRDFQQNLKMYLSCRVIDCENKENTCQDYYTCDECCKFSQRQHRLVHFRKDLADRIEDRLLGATYEMPSRSPEQIIEALRQNHSWLKEMILTQTDIWDAIVQLEEAEDIEESAAEFQAAPETSAKL >PPA05414 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:51380:53006:1 gene:PPA05414 transcript:PPA05414 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTMGQIRRELEDTRIKTEADIKFSRLMVIPYLIFGGLLTYYECSTALDYFEWLPLRVVCYACVYFYPFYGVVYKRFTYNSWCIGWLTWNAPYLSYSIIFNYFLPPKKDQVSSSDQSLDAIILLAVIRAAFYLFTGENVKLWWNNRVERVHKTRFIPEKSQ >PPA05410 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:31124:35961:-1 gene:PPA05410 transcript:PPA05410 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSPSFLLRSPFPGGSLTLFGLDPPSPCLAVHPIYRTPIYRILMADKGHKGAKQEAAPQKAERPPLLVAGQLIAAHSGKRYLVERQLGKGGFGEVYLVSVYKDPGKKFAMKVELKAVNGKASSKLRLKVEIHVFEQIVQSKRVDRTHFVKMHDKGMTEQFNYFVMDVIWNSLKDMCQKTFNNAPLSGTTMVGIARQTLTSIRAMHELGFLHRDIKWHNFAVGLPPMDYLIYIIDFGIARPYKEKNGKVRIARETVPFLGTLRYGSIRGLRGEEQGRRDDLESWLYMMMEMYSKDNCLWLYAERREELIAGKIKVMENSEQLYKEGKLKMPKNYCKMIEYVNSLKYEEEPDYQWFDIAIIAGARAENINPDAPFDWIGKYEEDKKREEQKRKEEEARKIPDKVVRDEPSKYSETDRKESRKKDRKIEKKRESGRKKKKRPEGDDESLITMEENLEDLNKEKERTEDEDNSEDDRSDEDDSDYRGSQTKKIFYNNYCSNCRKKKKKKKSGKRRSREQTRDYSDRDDDDDDYRRNNRYTDKTPRRRRGHKKTSGGRKKASKLSRKKRTFEEDEKNIPRIPDPKIPDEESKNVDEDVRKTPAKTPGKTPDKKTPEKEKAQNLKDKDKKDKDKEESGKKDEKPPVKVVETAKKASEAAPKK >PPA05428 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig135:136953:137702:1 gene:PPA05428 transcript:PPA05428 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLLALPCKRITYICNFVDTGTLPRMREVCKILNVTVCDLISAPKGGKLIGQKIALGLRPRDRLLWEMCLVSKFGLEGVKKVVEQDRLRLLRLNWKNDHEVKNLVLDR >PPA05425 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:117423:119714:-1 gene:PPA05425 transcript:PPA05425 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSISRSEHAPCVDGNVNDVKWDKATPLDNIPLEEKNQETPTERVTSTMAGGNTSDNNESEGSTGVQKAKRASIYARRIDKKKEKALRRWMKKINDRFALKEEIESDPAAYLDNYHFEGIEQ >PPA05405 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:18142:21779:-1 gene:PPA05405 transcript:PPA05405 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPPSLRCTIELAERFAEQGFWGQEDAQLVIHWVMDLQKIGYTFPAKRADLPPDYTIGEDKDLTRNCRRVHLTFTKDLPKNTTQPAEKRAAAKIYNFGDLKDWCDASNSSASQHWYFPTPEQLAAATLENKTLIDNYQTVAIITNNWQWSMGMGMLQRMYDANFAMLIFCGHYPKQSRYADLVDFPEGMADGDSTYPNLKRPINYIDLSNEEVRWGYLMYYCIAKVEEMKIQNVKGYVMFSDDVLFNFWNPLNLDILQGTKRAAGWGQWWPNKQFGFDSMNKTIQLITGKYKADWEVTNFVADLTREVARKPRIEIVDNTTVTDPLKYLMVGDGWVIGDWMYVPTSNISFVAMFAQLAHEGELFHELFTSKIMHILPSEGSTEADPTRVRFLWGTERTNWTEKYEASQHGLHPAKLTEFLKFPERAKFCDAVLDKFYHNIFRIGNWT >PPA05443 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig135:213391:216704:-1 gene:PPA05443 transcript:PPA05443 gene_biotype:protein_coding transcript_biotype:protein_coding MFQYLHNTQAEVEEQEEEAQRLRAEQAILADRGAAIMCLMYISASNGEPNEMVAQTFQLGIHLLSGGNVDIQKLLIEYLQAKKDVRFFTSMAGLMNKCSVLNLEMFERQIKAEGLGMGAELAMGDHQNLNDADFTCSLFRFLQLTCEGHNLDFQNYLRTQPGHTTSVNLINCTVDYLLRLQESVMDFYWHYSSKEVIDEGGKEYFLRAIQVCSQVFNTLTESIQGPCVGNQMTLANSRLWDAINGFFFLFAHMMEKLYRNSTQLELLREFLNLQKDMIVLMLSMLEGNVLNGAIGKQMVDALVESQPSVEKILKFSDMFLRLKDLTTSQAFQDFDTNQDGWISPKEFQRAMESQKMYNV >PPA05447 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig135:265024:268182:-1 gene:PPA05447 transcript:PPA05447 gene_biotype:protein_coding transcript_biotype:protein_coding MSERCRETGDIVALSCVASHNRDGVLGSERVCLCTEGFGNRMCTLEGISDRDIPPDISVCMLYIDNALSMRALQEMMSAENELNASGAGGHKTLLYGHAVQLKHVQSEMYLACLSSCSSNDKLAFDVGVQETNEGEACWWTIHPASKQRSEGEKVRVGDDVILVSVATERYLHMAYSKGYLVIASFHQTLWNIGSVSSGSIRTRNMGYLFGNDVVRFFHGNDECLTIPENWSEHPQHK >PPA05429 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig135:138320:139572:-1 gene:PPA05429 transcript:PPA05429 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGLDDMLEKVPLVGGPAAALLQGVAAPMASVADGVVGQFGTSIMGGQGGIPGVGGLLGGRGQSGGMAGGIPVIGDLMSGLGGKGGMGTGGGIPDLMSGLQGGKGGMGAGGIPDLMNGLGGKGGLGGGGIPDLLGGRGDQRGRDGHEERGIYGVTNGHEESMVMEERMDLNDSRGEQHGNGGHGGNWNDHEERSNHDLIRI >PPA05439 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig135:192832:195709:-1 gene:PPA05439 transcript:PPA05439 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTDAKRIANLQMEELEFAKTSLETQMEIVLKWDKNRLHQHIIADQQTASMTQRSNEAVEYSGLYKELTIMEDINMDAHSKIEDEETYFDDVENHDSTVKQEVNNGHTDTGGNIQLSIHDDDSIGEMREVNYDEEEMSNFADGDNIFMEANNKDSHKCDECDSSYYNRSSLARHKRKHAAPYDIPRPFKLPHK >PPA05450 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig135:289027:292036:1 gene:PPA05450 transcript:PPA05450 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNVTVDSICSSPTAVETPAYSLDALIDHCRQKKANASELASSKATGTYRTISFSLDALITQCQKKKPHRAHPSKAKAQMCPDLTIARAVQKSNEKRTRAVRDKYGWRQYLGVFAMRDKADEMMEKEKADRLGMYDDLADELKPISKAIAETKLRLHNASRKRSCEPELEEGELLESPQTKKRRKEWISFAPNINSLETPIHRQLEEFQGEKRMGQI >PPA05442 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig135:205348:213177:-1 gene:PPA05442 transcript:PPA05442 gene_biotype:protein_coding transcript_biotype:protein_coding MCTDVNNDGKVDYMEFTERFHNPAREIGFNLAVLLINLKEHITNDPRLEKILEKAQTLLEYFDKFLGRIEIMGSSKRVEKIYFEIQESWLEQWGKQQIRDSKNQFLFNVLQEDSSGKLEAFINFCEDTIFEMQHAAEMSTGEANDSKTERAIKQRDYFLQQTTAGQHLNEAMTHGYEYGKAAATALHPENMSKSIKYFGARFSQMSWGQVIIAIVKVFLQLGYAAGLTILTLLATLFRFAYYLTSGDESEQPTEQPALPMPDTSHHHHNAPILPEFHRSPHIDTPFGVNNKMNGDMIHEDEATKMEKEVIDEKIHEEKEPEPKVKPTPPPTKPPSIYESIAMPVLHAQSQIAPFEQANFYEPKIAETSAGKSKGSIMNLLARNYKMIEYATLICAFIINVILLTHRVDIVEGDAPETSDGTEDDDEDTEIIYMAPFEFGFINISGSLFAMALKCFSVFHLMASFALLVSFYQLKIPLITFKREKEVARKLMFDGCWINEDEEIEYDNMLFWYIDRMVISAKSFPMKYWDKFVRRKTKLKYKDQYDEESLRELLGEEKAAGDTSFDYRYNCWLWLGVILTNGQFIYRVGYLIGSALGVFVSPFFYAVHLIDVVLSFPMLKAILQSVTHNLKQLILTIMMMLVVMYLYTVLGFNFFRKFYVQESDEEGEEPDRKCHEMLTCFIYHFYAGVRAGGGIGDELKSPYGDDLQYLRMLYDISFFFFVIVILVAIMQGLIIDAFGELRDQQESATEKLESSCFVCDINKETFDRIPRGFEIHVSKEHNFASYLFFLQHLVNKDQTEYTGQETYVREKYDNRDWEFFPVGECFVKQYEDQLLQS >PPA05404 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig135:15570:16033:-1 gene:PPA05404 transcript:PPA05404 gene_biotype:protein_coding transcript_biotype:protein_coding MCATSETHSIRAIEAGKSLTSVDELDSEAQNSYQKPEEKHWSILVQRREQFGDLIIYKHHRINHKIDGIIICDIDGHRLH >PPA05407 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:23390:24909:1 gene:PPA05407 transcript:PPA05407 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAKVDATVHGDLSRKFEVRFADIPRSSATTSLPSTLEAATPMLWGRRLRKKTGPAAVAIESSDDLKAFAEGNTLLPTSRFAPDFTDLTTENIVSFNERFLAGELKQHLMSADVLEDWDTKPVKVLVGKNFNEVGKNSGKGLLVKFYAPWYIEGLKVEGFPTLKYFPAGSDEVIDYKGGRALNDFVEFIEKKIGETTEYEKKEEHTEFSSLKE >PPA05461 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig135:344741:345746:1 gene:PPA05461 transcript:PPA05461 gene_biotype:protein_coding transcript_biotype:protein_coding MNTARDYRSVLLHYFLLGRTATESHRKLVQTHGENAPSLHTCFNWFTRFKRGDYNLEHQPHPGRPSSRVRGRVLRELKANPKSSVRDIEKTIHIPKTTVARILHDAGKTPKLPQWVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVRVPPKLLSEGKILMLMDNARPHHAKITQKKMDELEMEWLPHPPYSPDLSPCDYHCFRSLSNFCRGKKFKNRDALVKEFEAWINSKPQAFWKRGIETLPDRWRQVVTTKGAYIDY >PPA05435 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:169801:173545:-1 gene:PPA05435 transcript:PPA05435 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNLSAEYADQFQARVRTYLAKARGKTPAIVTTTFNVLADPAENNGRTPQSDLIIGIAMIILSVLCAIAYSIILVAIWRDKELIRMTSYKFMFVLGWCDVIQCFPHAVTGIFTIYQSTAPVVILMGVCATPFYNGYAVVTVMLSINRFIQLAFPQLDQTLFSPRAAMVWIGVAVGVMTIYFVALLTPWAAMMYDPKWYGWGWDFTLPASFYLQKMAMSIQVGGIILSGFFYIGVVIMLLRTRKRFAASRNYNTEVKILIQAGTITVYCSILNILWHNYKLILPDDLWTYCALNFMWILNSGVYPIIYFIVNRSFVEHPPGPRVPHPVCHCR >PPA05421 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:71838:74204:-1 gene:PPA05421 transcript:PPA05421 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDRELLTVTDYECAAIQALSDADYGHYSGGADDEVTLGENTRAFNDYMIRSHCLRDVASLSTSTSFLTHSLSHPIGVAPTAFHGLAHEQGELATVRGARAASSLMISSSWATTSLEDMKKEAGENPMWMQLFVYTDRELTQSIVRRAEAAGYSALVLTVDYPARGNRLADKRNGFELPEHLKNANFVASGSEDAVKPVITPITWKDVRELVESTTLPVIVKGVLRADDALEALRCGVKGIIVSNHGGRQLDSAPASIHALREVVEAPVCVRVGQTLAQ >PPA05445 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:234422:262698:-1 gene:PPA05445 transcript:PPA05445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-68 MVIYEGGSAVTQARSLWRIEPIRTKWHGALMGWEQVFRVRHITSGRYLGVVENAVQLYHKDKADYDLTAFVMCQNKDPKKQMLDEKEEEGMGTASIVYGETNVFLQHVKTQLWLSYQTNEVTKKGLGKVEEKKAVALKDGHMDDCYTFFMALEQESKSARVIRKCSSVLNRFLKGIEALQFEGNQSAIWQKVDLKEVLKLMEDLIEFFAQPSDDQDFEDKQNHLRTLRSRQDLFQEEGVLNMILDTIDKFSQMEAMPDFAGIIGEENHEKWEQISTYLYLLVAAMIKGNHYNCAQFAAAARLDWLFGRLSNPQSAEGILDVLYCVLTESPEALNMINEGHIRSVIALLEKVGRDPKVLDVLSSLCEGNGMAVRSSQNLITQHLLPRKDLLLQTKMKDHVSSVMPNVLVGVVEGSSLFRKWYFEAEVEHVETMTKEEPYLRVGWANSVGFKPFPGSGDRWGCNGVGDDFYSYGFDGQNAYYAGKAKKISQRTLRKGDVVGCALDLTVPEIRFTLNGQQLAAVFKNFNTDGYFFPVMSLSAKVSCRFIFGGTEGRLRHGPPNSFSAIFEAATDKIDIYECLSFGDVSKSIYGGPQRIISHVDPFVPVPIDISSVSLPHFAMEAHTKFAENLHELWAQRKIEMGWSFGEVRNEQARRHPCLTTFQRLPETERRYNLQLALDTIKTIEALGYHIIFDEPPIRVRPVRLPPTYQQSNQYKPQPLDTHEIQLGEEMEPLIEALAKNTHNIWASEKIKRGWTFGVNESVDPSQKRSPHLVPYDMVDVRIKEANRESAAENIKSLQLFGLFLEVPASEHDEAAVREMATRRDNTRTYRAEATYAVNGGKWYFEFELITAGFMKIGWMDIAASPDCQLGNDDRSYAFDGFLARKWHQGAETYGKEWKIGDVIGCFLDLNDRTIAFSLNGELLLDPSGSEMAFDNVMIGEGLVPAMTLGSGQRCKLNFGQDSNSLKFFTTCGLQEGYEPFCVNMYRPMPMWFAKHLARFEDIASSDSVLEVSRIPATGMSPPCLKLTQKATTSEGSNVEKSKMEYIRLSLPVRCHDQFVRNKDKESVLRQLKEYKPPRSGSIAPGYKTIGIPKEFESDNKKNLWETCLDRSISRTLLSAFRQGSEGSDEEVSNRSKQASFDEAGEYVTGDAVVSQRQRIATLMSFGVSSWEASVYTWLRDENENHEEVLTSVRESLLDMPHDERTVAEDHLRELMERERPTKKSGILGRLRDVSHSRQKNRDSKEREGIVRPGSLRQAKNNSRSFDAGAIEADIQIGSGGKDVLAPTNPEMPSSGPGRQATIKHKGSMKKKKTKKETVVVETGKRQSMVPVETMPDGTPVEQDTNALIALKDKVDEYYYGVRIFPGQDPANVWVGWVTPHVEYRGCYVVNAAELLKEISDAGSTKVTGTLIGCVIDTSIGELTFQAAGQDTGVKFKLEPGAMLFPAAFVTPTAQEVLQFELGRIKYTFPLSAAMFASMHKSTVLSCPPRLTVERLMPIYWGRVPNETLRTTSLKLSEARGWSVLCDDPVRIMAVYVPEKDVAFDVLEMIEQPEFLPFHKQTMDLYCKLASHGNHKVAHTLCHHVTEDQIMYAVMSHSLAGPLRQGFHDLLISIHLSSHAAARESMAKEYIMAEAYTMTPVMVSDQVREVEMAMSRKEDDMKLLPPSIDLAVLKAHVVSSMTMVEGEARIAPLCKATKHAVMNCRDLIGGTNLNHFEPLLKLFDALLVIGLIDNDEIEQVLKLIHPVAFDEAYETGTTQKGLTEIELSEGVRLQLVSILDHFCDIQLRHRVVSLVSFAEGFVAELQMDQCRRYMDIKQTDMPPAEAARRTKEFRCPPREQMFRLLQCKKKEEKETLLDDDVEYDACPMHETLQENLRDFCNLLTQRIGCKDEEEEEEAVMELDDGSSWVDKLARLFVKVPPPIVEDDSMFAKGTENFRSYEAYYDRATFFFRKMIIETLRKWATESFIESSDLIRKMFRLLLRQYSGVRELRDAMAQTYVLHERNVQDVADFIVYLIQIRELLTVQFEATEEAILKRGLWKLMNNRIFFQHPDLMRLLSVHENVMTIMMNILTAQQGAAEHDGGEEGMDQSTHKDCSEMVVACSRFLCYFCRTSRHNQKAMFEHLSFLLDNATMLLARPSLRGSVPLDVAYSSFMDNNELALALKEEELDKVTVYLSRCGLQPNSELITKGYPDIGWDPVEGERYIDFLRFCVWINGENVEENANLVIRLLIRRPECLGVALKGEGQGLFAAFKEAIALSEDIRIIEEAQGGAVHGLKSPLLQESQTYPNPETEGEDYIDLGAATLDFYSSLVDLLAKCAPDPLTIQAGKGDSVRARAILRSLISLDDLGHILSLRFVIPNLNTPNTVDGAYPSQMLPKTSNCCYATHNFSFAALAAEMHTAQKISAFPTNNQPVEKSKSEKKIAPVKGIRRHMTKTKSVEASSSATSSIRTSSSTTDVIGSVSTKTNSIDKGDKLILPEKRKLKKTISQAVIDSKWTPKKGKRPRLQRMQRIQQSPAYGRNEEYTGPQPGLLPNHKQSVLLFLDRVYGIDSQEMLFDLLENSFLPDLRAATMMDSVRPRALESDTALALNRYLCNAVLPLLTAHAHFFTDAEHHSALLDAILHTVYRMNRLKSLTKNQRDAKQQQ >PPA05423 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:90424:92595:-1 gene:PPA05423 transcript:PPA05423 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLACNAPALLGDGGEELAIAVVERTAAKKGTRLVNAVHYVDREGSRRSNLAKGKGCDQENLLTEVKEQERPVLLYSLCKTQVTDKPAATTKPVQKKTSRRAGAFSMTSDDAYVPSESESYSLSPFRRAISSAESPE >PPA05459 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig135:337950:339342:1 gene:PPA05459 transcript:PPA05459 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGHFINASTNILALPAELLQQIFSNLTTGERLPLGFTFLPELMNNRDLTNTQNPRYSSAQPVFDDDTLLHVISNVDYLTLNSVHFSAQGLNAAFDESMLMTIRNSAYYLVRMGKFM >PPA05444 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig135:218071:231506:-1 gene:PPA05444 transcript:PPA05444 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKLLKKVIIDIQQMHENVLVPLRIMTLHYERCGKYYGTGNNFGVASEQEKRLSMLLFYAIFDSLGSKPYDPELFGKALPCLTAIGSAISPDYALTTGSDDIAKVKDQMEEGAWVPRTVDVGKYDLNADLNQMCVKFAEHFHDSWASRKLDKGWVHGEIYSRVTNTHPRLKPFSQLRDFEKNFYKERCSECIRAIIAWGFNIEMADRDAADRATQAHHNSGTSAKDFAPKPIDLSSMTLEKEMQTSAEKMAEHSHIIWARKVLNDLSSKGGGMPMTLVPWDLLTDFERRKDRFRASEILKFFQYHGYQIYNRNEMEGSSDRIKSEEAIQRSSVEKRFAFNLLEKLIQYLEQARTVVKQGASLKMKSIKPSQELTRRNSFKKEGQDVKFFEKVVLPLMHAYFNAHRNYFLEGSSIVTTGTASNREKEMVANLFCRLAALLRIKNRAFGSVAKITVRCLQGLTQALDLRTLVKVNSDIVRTSLLTFFNNCADDLYAAVKELKDNGQYATLRGSNLRSWISLEFAHQMIIPVLTTMFSHLARNHFGTDLLLDDIQAACYKILDSLYMVTGLSATAAQRKSIGFETDKHRPGLGQCLAAFASCFPVAFLEGEFNKNNKYSVLAKSQDQSVQVQEMLNALSAHIPQLDKLLSDMEQVVANNIQYSENPNVYDVDLPLLTTYVGYWFNYGPDGAKREGHQPITNVSYETINRCFSVLLTMIKMHVGVEDAPWLCRVSYVSVVTCRTPIAYQTMLYTTHHFPVFSVPIIPNVTGDPMKEHVLPIVDRIRRIAEKAFKEEEHMRTHPDDADEGTVAEDNARLVRDSYAIFPILIKYTDLHRAQWLKAPSWETDLVYENVAVIFRIWSHSQHFKREELNYMAQFEDEGGLAGGGEMKTGKAAIAERKKKRREGQENDKILTLLRAPPRRSGQKPFFVSAPLVVKKDKHANSIVIACLKRMLPVGLNVFGGRELDIVQQCKEKFLQRENEDKIREFIKGLLEIPVQTDPTDKNAWQLNLYRKIGKSQMRGKDEMSQDAVIEKIFNMGQVSAILHTSTRRGGQTKRRGKTEHPQALVGQAWRKVVSTQRKRAVVACFRMVPLYGIPRHRGINFFLPAFSQLWMEEEDVGQDKLIAELCEGLEAVEAARPIEINEEGVLVASEEAEEEKAPPDPLKQLISCFQRAATSEESQATSIAEDELYVRFADVMAQSVHIEEEDDDGGGAEGDVDPSISLLSSKIRPESVSELNFDVEIFIDLGSIRPL >PPA05464 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:356824:360492:-1 gene:PPA05464 transcript:PPA05464 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAETVNDQPHPIPHSSPGPVSPASDLNDAGYVSDLSGSVHLPTDKLPSTASADFITPGKMRMNRAIPYSINAILGNIGGSDSTSAIDPSKTPSNQAEMNNIYQSPSPSILSRKNRDSTPSTSSVLPSTPRTFDGSEVASSCPSPQESTKTTKILFPTPPKSSDSPENEKEIDEVFREEATLARAPASFKESPLLIGVSGKRIDEASTSIANSSALIVETIPLIDPTEVEKSGQACASSSFFAFGTVAVAESNGRIDEEAAAEASSTSRSSSTMCTVVHHLSEKRIEEADSSIGDLPVSIAESSEAVTVIGVGESQNRIGEATSSIALIAAKTIGILPEVDAIETSSNKHRDYWSGIRDNPDACPLCGERYRGSSARRTHYLRHHYDVYFDIISHREDSFVCRILGSTSDSNVQFVFRKVEYETFLKLKDRPATRICVNCRGTSSESRLHEGPIGILTHMAKHHPAALHNLKEEYAARGIVVNNAELHKHLITRKRQIKEK >PPA05412 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig135:42896:44156:-1 gene:PPA05412 transcript:PPA05412 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDALRLNSKRRVSTHSEIFHIPFCWSLIHLSPLLLPGNVETGNVWTWEDTRSEEKISMYDFALGTFKRVLEKVQQGVGIVLPESFKEFTEAPKNPRPKEWALRSTMKTGRYDLIEQYFSNEKSFKKEHQRKVHGNRQRRYVKLIDDFERDLAEEGISLDDIEEEVDLGPLDEDDLIITSDEIYDLVHSNMEFFDNPSEPVFSDFGEFEQ >PPA05411 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:37354:38933:-1 gene:PPA05411 transcript:PPA05411 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPLDWRALRGERMRRKTKREAGERMMTGRMTRDRGRKEIKDSGSRDRKKEQNRSNSKSESKEKRRRETETDADNSANSESDTMFKQRRKERMKDQHKECSKVEKSRDKRSRSRDGMKTAMDRDEKEKNAEGKMIDEKSKVDEKKERTKEKEDTGKKTRSGLPKNATAIKIDETEADEKKNDRTKEKMSKTVGEKTEADEKRNETKKESRDKIDETKEERKKEDKRDDENKPFGSARCQD >PPA05430 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:140403:141827:-1 gene:PPA05430 transcript:PPA05430 gene_biotype:protein_coding transcript_biotype:protein_coding MWAYVYMANIMNGAASTGLTSGVVQPDFATLLNLAAEDCAKVDCEVQSDFALPGNSIPDADERSDIPLQQLYPGVYTAARNEADERKVVKHSRKSGLQTVIAGGRIGEERHSRDSASSIDSDEPKQKPIPKWMTRKYSQEELDKAITDIRCGRIGTRRASLVYGIPRSTLRNRIQKMEEADELAGIAFKKRRAGGQSTAEKILAKMVELRERGNSVDE >PPA05451 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:302162:308206:1 gene:PPA05451 transcript:PPA05451 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLEELLGGKETMGHISFACNICSKCDQPPASDVEEENYPPMVDLPNELLTMILALLPIGDRLRARVNRRLRAIEASTSYYVDKLIVREQSMFSPLPTPPKHNEQVFVLHQEKCYSSDGFRKIAENVSVGQLSVTLSGSDRFHREMCNLIKNFDAETVNIEFLSHWMTSSLPMGAYLVELAAACTNLTMTRCENVLGPDVDMLYQTMMTGAANCKLRTFSMELGPLACTVFLGRNDIKCTDGQFSTWRRDIEVYQLIYYYERDDDEIAQKCITHIFEGNVEIVIDNEIFYGDFTGTFELKRHESIESVEAAKIKDDKYPEWLRTPERSTVAPLLPK >PPA05456 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:324105:327156:1 gene:PPA05456 transcript:PPA05456 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYRPVSSPYLFPSPLSPRQSIPPHSCLAHSSGVVAAVRMILLLLFSLELTAASGVQLIERFECGSEASAGSLEMAWDYIKDCNYAVRNETNLCCLEHDVCYELHLRTNYTKQHCDARFCMCLDDVNDRTNNGQCSDTLNKFCMSAMWLADKAFDLSVPNATFHEISYAGFEDAHPLGLDMQTLVDACVHAKGIAVYCHNSVYKCLQDDHERYKRLDVVEHSYQDCRSTMYDCMQVIIESEPNTTCSSVARDIAKQANTYMDLDFFDHCLILRVVHANTVSTLFCNLLKTFRNLPYPLDECEHGRWIIETYPIVAARLIKQCNESQIEPCLLDFHDCAESQENRSDRPEKIGCHHELRTCIDLATEREISEDCIEARNLAIGRIRDQHLMPWKRSIQAIRGYFASINGPRK >PPA05463 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:349953:356084:1 gene:PPA05463 transcript:PPA05463 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acs-2 MPNRKLTNFSKRTAHSYVHGTSAHQLLYETIGARFRSAVEAVPDKTLYVFDHQNIRKTYGEAYSDARRLACALLELGLKPGDCVGIWGPNHYEWVTCQMATAIGGFIQVNINPSYQSEELRFALEKVGVRCLITPRAHKKSNYFRTLHDIIPNLASAKAGSGHIKCRNLPDLEHIVLFGEHENVKGAWRYEDLMMGVGSTSENRLKSIEDTIRVDDPVNIQYTSGTTGYPKGATLTHHNLLNNSHSQGLRSMYDHGDHIICIPNPLYHCFGSTMGVLNALSHKQTTVFPDAGFNPLRTLEVVAKERCTSLYGTPTMFIDILAHLEEVQARGLSVNSLNAGYIAGAPCPFALCDRLVNELGMRNLSVLYGSTEMSPVVTMSRLEHAPSERIKNVGYVMDHVELLVVDDEGQVVPRGTKGELLARGYCNMRGYYNDEERTKKELTADRWYHTGDTASISEDGAVNIVGRTKDMIIRGGENIYPTEIEQFLFKLRGVADAQVIGVPDERMGEAVCAWIRLREGVTDVTPEMIKEACKGKIAHYKIPKYVLIKKEADFPLTATGKVKKYELRLMSKKELGLEQVRSHFNEDAA >PPA05433 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:160459:163015:-1 gene:PPA05433 transcript:PPA05433 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVFVQRIAHLQMEAFGLSFSDKIGSVVQKGIGVAHAFIKNETAEDLKLTMKSLNHERNDVFGWDRNRDDPMRKQIYALCESLEIILNCKLASAEVTAVLLDNHVEASEIVEEAADATNSADDTVVEAGINLGTVENQTYSDCIQPPEEINEQQLGIPIDDAIALFERPYDGEMKEEFVDELMGKNGEIIQPTSLEDYSITGMNEDGKTLDNNNIDNKYMDDSDGSYHPIHSRGANSTPRRPKKTRKNKNSSNLQTPKNEGTTPHEETTRPFKCDQCACSYTRVSQLKLHIRAAHADDLLVKRPHQCINCKSRFYAKAGLKNSVR >PPA05424 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:112484:113303:1 gene:PPA05424 transcript:PPA05424 gene_biotype:protein_coding transcript_biotype:protein_coding MENALSSNRRSALRSPLEQTQYLVLDEADRMLVMGFAEEVMEIMEKGGIAGKEDLVENGEFVQDRGCCSVPHSRSLAKELLREDYLKIVVDKIGVANKRITQDVMQVERRNKKATLLRMVVQVFKQKTLIFVASKTMTDTLGVFISEAGTPTTTTHGVREQVEPA >PPA05441 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig135:203687:204073:1 gene:PPA05441 transcript:PPA05441 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPRRREAPSGDVHEGFTALLDRLDARCASDHRCDASDRHPVGRSAPRRLLISHTLSLTEAADEPHSPEPEELAEYGSEA >PPA05440 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:198597:200393:-1 gene:PPA05440 transcript:PPA05440 gene_biotype:protein_coding transcript_biotype:protein_coding MADADSERISQLQMEAIQFSFTDKLGSVVQKGIEAAQSMHRKESLDELEFAKTSLHSQMEIVSRWDKNRDDFTRKQIYALCEILEIMIKDRINSSNSLFSSADTVEDPNFDNIEIIVDDEVKQESLEGFFEEANYSTMNEENTDGDDDFLKNSDDSDENMDNGDNNTPNRNKIRRFPCKYCHDAQPSKKELLSHMTNHHADDLLVRRPFKCDFCEYRATQKQALQRHVKTHER >PPA05452 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:308640:309400:-1 gene:PPA05452 transcript:PPA05452 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTKNLFCTNGQRFTPIEPKPASVEMNQSSDKSADDQSDVEMKHSSRLFILPYLSSRRSIRKYEEMRGADASSQLKRAIAQPENDSEVLPKVIEWCEKVNPISKNVVLMFSNVLSLIYEHGVNGCNDY >PPA05436 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:174245:178269:1 gene:PPA05436 transcript:PPA05436 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQRGFHTEIITDPETNIKIDFTVPDRYSNLQFLNYGAQGCVASADDSAGKRRVAIKKMHEPFTLPMSAVRAFREFVLLSSVAHPNIIQTYSVFSPQDSKTDFKDVYIVMELMQHNLNDVINKIKLDHRTISFFIYQILCAVNHLHREVMNTARDYRSVLLHYFLLGRTATESHRKLVQTHGENAPSLHTCFNWFTRFKRGDYNLEHQPHPGRPSSRVRGRVLRELKANPKSSVRDIEKTIHIPKTTVARILHDAGKTPKLPQVIPHDLTTAQLKKRVDVCQGLLHRRSNFNWVSHIVAMDEKWITYDNPERKLQWVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVRVPPKLLSEGKILMLMDNARPHHAKITQKKMDELEMEWLPHPPYSPDLSPCDYHCFRSLSNFCRGKKFKNRDALVKEFEAWINSKPQAFWKRGIETLPDRWRQVDLKPSNIVVNNGCVVKVLDFGLARLISPSIGDRMTGYVVTRHYRAPEVVLSLPYTEKVDVWSIGCIFAELVNHKVLFEGRDRVNQWTEITKIMGTPSEHFISHLPEQVEAYIRTLPVYTPKSLEDILPDENFLKDTEHLGSNLTAAHARSFLAKMVAIDPNERYTVAQALQDPYLRAWWNNDEVNAPLSGAVYDRDLDDVEFSLAELKSLIFDEVKRIERSHDVFGEMKADS >PPA05437 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:180315:182986:-1 gene:PPA05437 transcript:PPA05437 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPGWSCDEDLMKRSKTVPQSVPALRPADIDIIAAMGDSLTAGNGACAEGEDVLAIAIQFRDILKKFNPNLFGYSIRTGSANVWETARLNAGVPGAHSSKVYEQANDLVRWMKEHPEIDFMNQWKLMHIFIGGNDVCGCADGDHYRDSIRKGIQFMKENMPKTIVVLTGMIDVLLLRTKIDNAKESKMQELQDSGEFDTTEDFTLIIEPYLENLDDLSRNNCPFIRTAKNSDNCVKYLN >PPA05462 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:345966:347987:-1 gene:PPA05462 transcript:PPA05462 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNFTVNDAYESAMFAYMHVVAVKQFNLLCLVVYLVFETPSLAMVWAYKDTLTGEEYLMEFHPAMMWIMRKPNWVVYNTRSATSIMILVVGFYFLLFLGFGGLVFLLIGHIFYILAKQDTVMEWLRIKKAKTTPRTATVSLMN >PPA05448 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:281801:283148:-1 gene:PPA05448 transcript:PPA05448 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPTSRAAPHLLSVEVAAAEAATPCDADACFGAAAAAAVRFADAWALAQEAARVALVVPVAVAAAAPAPLPVVVAPLPLPAAPPVQPQAAPIAVAALAPAPPLPPPAPAAATGTPPLPPRKGNVFSQ >PPA05434 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:165608:166408:1 gene:PPA05434 transcript:PPA05434 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNSFASSVLALSHMLHIPTADDIRSEIAALEYKTEQKILLIAYDKYERAQQNNEAFEWHIKVGAHDELAESIDAHGSLHFRWTNEYYAWDTSVYPGDGHISRAVMDFGPTRPWLPRPTFKNG >PPA05438 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:183432:188193:-1 gene:PPA05438 transcript:PPA05438 gene_biotype:protein_coding transcript_biotype:protein_coding MIDIAMLRRIDNAHLLCKEIHISECKCEQNSTITDAALSGICHDYMDRMQEMQDSGEFDTTDDFTLIIEPYLSTMDDISRNPDGTVNMDFFAPDCFHFRAFGHALCAKNLWNNMMQPVGAQMPANLTDNDTPSTLPLLCPDKACPFIRTTKNSADLLSLCFFATVVLSYRELGASGYSCDADLMKRSKTVPINVHSLRPADIDIIASLGDSLTAANGAGAEGEDALAIAIQFRGLHWASGGDKSLDEHITLTNILKKYNPDIFGYSIRTGSANVWETAHLNAGIPGAHSGGMLEQAQDTVRRMKEHPEIDFVNHWKLVHIFIGGNDICGWCYRGDNSADGDHYRDNIRRAVQYLKENSPRTIVVLTGMIDLALLRRIDNAHLICKEIHTFECKCEQNATVTDQALSDICHDYMVKMQEMQDSGEFDTTDDFTLIIEPYLSGIDDISRNPDGTVNMDFFAPDCFHFRAFGHAVCAKNLWNNMMQPVGAQMPANLTDNDTPSTLPLLCPDKACPFIRTTKNSEDCSKYNK >PPA05458 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:331212:337555:1 gene:PPA05458 transcript:PPA05458 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLPLLVAGASAGPLAEQFECGPQGLEIVSKIANEVVDRCIAAVKDGANLCCKAHDLCFDTHSITGYTRDLCDARFCNCLQDLSDRTKNFECSDPLDAFCLTARHLGDIPFNNGATRLDSHAGISFVGSKPFELDMKSLVDTCQYSKVVAIHCHDSAEPYQDCHSSMHYCLRMIVDFETDEQCVVLAKSMMKKIRGIYGTLDLIDACARAFQECASQHRQEQEERFSVARKIKIECHQELSECLRSATRAEDSVKCVEARKVAIGLIRQKELKSEHTLFEETLIFIKTYKDTFDHFMGKGVEKFKEGGKAAVESVKETYEDVKTAIGETNALIIGSLLFSLHMSTFFFIHSIPFYTTSALLGFGLLHGPEWLPHRTFNGPDDWTKFGLELGPVNQLTLVQAILMFLSPKLPAYAYFVAMLIYGGASVVLYQSVIAHVTHNEELVVESEDQLSESAT >PPA05432 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:148623:158624:1 gene:PPA05432 transcript:PPA05432 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPLFSMSIPLASLLIPSPFAHPGRFALLLPPLLASPPPPYPYHAAEKADKAPKKDAPKKEQEKKPEPPPLLQPRQVIAAQSGNQYLVDDMLGKGGFGEVYKVSLIKDRDKKFAMKVEPNSMGKKGPENMLRLKVEIHVFDTIAASTTVDKKHFVKVYDKGITATFKYYVMDIIWSSLKDVITDVLGGVTSPATQINIARQTLKSIDALHELGFLHRDIKAANYAVGLPPNDSVIYMLDFGISRPFKDKEGKVKVARASVRFMGTLKYASIRSLQDEEQCRKDDLEIYDKKNLTWSHSNSKKTIVKMKIELMEKSRELDAAGKLKFPKQYHDIISKIYKACPDRQTELHSDMFFDPNEFHSVIAKMEYIDAGDFKFFNAKLDEIVKEQKIDEKEPLDWIKKCIAEEYKRKEKEKEEKKKAEGEKKDEKKEDVKKDDKKDGSDKKGDEKAGKQGQGKKKRNKNMNDDEIMRAMDEMLLKLMKEKRKLQKLKGEQPEDEDHSRSDEEYSEVDDYSDDDDSRRRPRGKKGSRKRSRKRKRRDYSPRDDLDDTDERDDRDDDRTPERRRRRRSPRGGGRGKKKVSKVSRKQPAYVEAEKDADRPPEEKRDEKKGENEVKDKIEKTPEKTPEKESKDKDQKKLPEKTPEKQSEKESKDNESKDQKTPEKTPEKTPEDHKPVQTTAEKARERAQEDEKKGIKKWKRKRSDSDSDIYDRSGRQEREKLEREAKEKAEKEKAEKYAKTPLGKIHGLLTRKDKKVPAKSTNEPAKKADEAAPAKKEPADAKKK >PPA05403 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig135:14288:15026:-1 gene:PPA05403 transcript:PPA05403 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDENRTDLSCKACKLHVINGFLTGSLPQNATIERDKRGGGRPGTIGTTQRITCDYKRGTPLSDDTKFSGLCSLCWGWRQLPENYYPHFLNKVHCAPDITCLKGFGRCNPVTRSVNVLVKKWVFSQII >PPA05453 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:312334:313603:1 gene:PPA05453 transcript:PPA05453 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLVTLVSSDSQEFKVEPKIAAMSKTVSTLMEALNMDETANAEVFDKNPIPLPNVEGFVLEKVVEWCEKHKDDEPIVEQTDDGKEKCTTDIPEWDIDFFKVDQKTLFGVLQAANYMDIKGLMINACKTVANMIKGKSAQQIRDHFHIVKDLTDEEEEKIRKENSWCDE >PPA05457 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig135:327543:329743:1 gene:PPA05457 transcript:PPA05457 gene_biotype:protein_coding transcript_biotype:protein_coding MREHVIKDPCKSLARNMTEEVNVYAKLVPNQKPILFPIKLMQYQPFALPDCALPLCMAVRLLKARVEAEATEAQVPDEVAETNRERRSGGRSGSAQPSSPGVQPTPPTTGRRNPPRHASIRNCAIAFDKCAVNNTEERPEYYGHTRKIKIVCHRELNDCVGDATKAETAERCVEARNVAKGIIRQMDATRGVEMGKASTTATTLLNIGKDVKDAAVEKGVQASDGN >PPA05460 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:341433:342995:1 gene:PPA05460 transcript:PPA05460 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIESKASMSRVQKDFDSPQVPRKRRKEGTINDHTNILSLPDELLHQIISNLSTDERLQIGFTCKRLRNADIDFGCKNFEEISITVFYEGIQRVIVKASRFSSAINELFASPDLKFTIEEGRDGERLLVDR >PPA05446 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig135:263335:264141:1 gene:PPA05446 transcript:PPA05446 gene_biotype:protein_coding transcript_biotype:protein_coding MIRARASTFTGQNEKGGMQDKFFDQEVELSIGPGGTKLTEDIVKVESFDDLEFRLPENVANAGYTKPTPIQKYAMKSIQNGKDLMACSQTGSGKTATFLLPIMNSLLWYTDPSSWLITDVPCKPQARILAPTHNKVIPIGRHYCRPLKQTQYLVLDEADRMLDMGFAEEVMGYLCTFNLIRVGSLVELS >PPA05420 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig135:68453:68924:1 gene:PPA05420 transcript:PPA05420 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAALALTREPQKSALWDPKFAITNRKQKNSNQRHSVLKVISLPAAPEDTHYLKRRSNWGPQIVKRTRARLWY >PPA05449 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig135:284480:287394:1 gene:PPA05449 transcript:PPA05449 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHKRFHTLPVYDQRFHTNYSFTVPKRYSNLKFINGGSQGVVASADDSVDKRRVAIKKMHQPFVIPLSAKRAYREFVLLSSVKHPNIIQTFSVFTPQDSKDTFQDVYLVMELMDHNLHEVTQHLALDHMKISFFIYQILCAVHHLHREGIIHRDLKPSNLVVNEKCVVKVLDFGLARLIGPTGRDKVDQWNKIVKVMGTPSEEYISQLIEPIANYVRAVPYFSAIPIEEIIPDSNFLQCTERADMHLTANDARRLISKMLAFDPNERYSVAEALQDPYVKRWFREDEVNGPLSSTRFNWDAAETDCSLAELNSLIFDEAKDGVSSSYVYIINHQ >PPA05465 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:368888:369836:1 gene:PPA05465 transcript:PPA05465 gene_biotype:protein_coding transcript_biotype:protein_coding MKNATFGFMSVNITGDSELLEQSVDIALNIYALLPECNIVELELWIGPGLLKEFLLLIGITFEGGELFSERNVEVCNFKNVLVEAADDEEGEEMFRMNKHVFDDNLEMIFGHDDDDTTGWLSMNYNRTIEDLMKDKAKKGLVRLEVSPQ >PPA05454 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig135:313796:316846:-1 gene:PPA05454 transcript:PPA05454 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIFCPSCSNTILLLNLLLTVASAVQLVEHFECGSAAVWGSVKAAQALITYCNYAVKDDANLCCKEHDLCYELHEQRNHTREFCDARFCNCLQDVSDRSKNYDCKFHMSAFCVATIRFGEGSFDKAVPHIEADIEAKFVGFEDARPFGLDMQRLVDACLYTKGIAVHCHNTVHTCLQSKHERFKRLIDVVEYSYQDCRSTMHDCMQMIIESEKDTNCSAVARDIAMQANTYMELSNIEPCLPAFDHCAVHNPPEHPELLNAARKINIECHRQLSGCIEIAAIEETSEDCIEAQNLAVGRIRNKDIEPVPTVLQIITGYSSDWLEKHGWG >PPA05419 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:66295:68299:1 gene:PPA05419 transcript:PPA05419 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQTKPTPEIIAKYNAGKALLKANPSILDGKIGELSAAAQGPAKKFRDLLLVEDADLEKFMSGGNAIKAGCTASVRNELEGFKFDFAEVLGLWDTSTNRLDGRTT >PPA05455 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:320100:321854:1 gene:PPA05455 transcript:PPA05455 gene_biotype:protein_coding transcript_biotype:protein_coding MKYAGFEDARPLGLDMQRLVDAYIAVHCHNAVYHCMQDKHERHRRLDVVEHSYQDCRSTMHDCLQVVIESEPNSNCSAIARDITKQVNTYMELDECEHGRSIIQTYPIAAARLIKQCNESHIEPCLLGFHDCAESPENRVERPEVHNAARKIKIGCHRELRTCIDAATEKETSEDCIEARNLAIGRIRDKDLKDVKRSIRADRAIDGPRR >PPA05418 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:64172:65367:-1 gene:PPA05418 transcript:PPA05418 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRVFALCGVCLYLALGIIDHNWRVAAYLTSFVFIGFGEGTAVLCRGYAPKYSSIENRSAALGIMTAAGMGGVLFGPAVNLSFASLKERALSHWGGISWNIFTLPLLILIGLNITAIILSLFVEEPTFLRRRRKEQGSSSNAGAGFASIRRIINEEAKVVDPSLWRVSMQMKAATAGSFFAVLT >PPA05426 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:124513:127234:-1 gene:PPA05426 transcript:PPA05426 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFASQHERIRTIHSHIKDAKKTIDSLIESSYSVASLEDYDSKRIELRRSLTHFQTTIGTYSTTIASFRRQVDKMRRDTPEQQDSKKKEQKLFEELRTGDDGGIDYDDTLAFAKSDAMTKMEIEARKESIHQAMSIQSQSVHDSTTTQFTPILTQNEHMSTVISTLIERLNAPPLPPITLIPFDGESTQWESFYSQYSCEIGAMSHLSDHAKLVYLRNALTGAALRSVEGIPIEGKNLKSTIDRLKYVYGRSKRSNTILINQLFSIRPKSFTLEDQLECTQQLINKIHQLEDQSMVDNFALINQIAGTIHSKHLKKMYQLEPSTMKEALFHIESDLREQLEIAKLESTFRSTTRSGFHQPRERPPNPINDKFIKPSITKPYKGPSCVYCGKHEFSHCTTITSISERKAILRDKKLCNKCLSSMHSSIQCDRKCQQCTKPHHKSICDSPSNNHKQNQSTIAASITSNHSFSGIARLFTAKASLQNPINNTSATKHVFLDAGAMVSVITRSLANELNLKPHSSLPMIISGVGGESTSGDVHDVVTVNIITTKGLHSINALIMDTVITRSMNLQPLSSEDYAIVQAQCGDVPHLTQSTIVSPDLLIGITDTQRILADSKTITLPSGYMLTQSILGPIITGQPNSNQSISHHSTLDQSILTSLITDVPFDKRVEQYLSVDEAGREYSITEAEARLESNHKVENHFHETVEKIDGHYQVQYFIKPESVNLPTNYELACSRLRSTIHSLSKNVTHLEFYNSIINDQLTAGMIEEAYHPSDQQCHYLAHQAVLRIDKPTTPLRIVYDASAKLKGKLCLNDVISQGPCTLEHIPSLLIIARSRKTLIVADVEKAFLQLLLLV >PPA05415 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:56161:58604:1 gene:PPA05415 transcript:PPA05415 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFTMREIEEEMKDARLKTEADIKFRRLMVIPYLIFAGILTYYECSTLLDYFEWLPFRIACYGILCFYPFYCIVYKRFTYNSWCIGWIAWNAPLMLFNFGFPPKEVQLRSAVYLFTGDFVKFAWKSAFASLVFGLVEFIKLCSTLLLEWLWTQAETVIRDIGWALVPCIIIAGFLTYYECLTMLDCYERFPVRVAACCSIAFVYPFSCSVLYPPLIAIWIVGWAIWNVPVMLFNVFFPPMKIQDYRYEEADTSSSYSSLWLEE >PPA05408 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig135:26246:27810:-1 gene:PPA05408 transcript:PPA05408 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKKTFKWIVVTSIQSPTEDVKEAMGFASVNRLPTKSYTRKNAGYLYAIANGAHWIYDTDDDNKPYGKGLDQFEFATDRMRGVRFNNWENGTLQESLFNPYRHFGRPDMWPRGFPLEHIKKHDHHDGCYSLCRVQRPPVVQQGIVQKDPDVDAIYRLLHAQPESGLDESFNEFAPPIILAPGN >PPA05406 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:22219:22693:1 gene:PPA05406 transcript:PPA05406 gene_biotype:protein_coding transcript_biotype:protein_coding MRYVSLHRPSTCSPHPFRMNRLVSVALVGLLVVAPVLSVDIEEEENVLVLTYDNFESAIEAHPHMFVELYAPWCGHCKSLAPEYAKVCCVNIYQNPWPARAYREESHR >PPA05431 pep:known supercontig:P_pacificus-5.0:Ppa_Contig135:145641:145939:-1 gene:PPA05431 transcript:PPA05431 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDTQLTITVPPDYRTPDYHTTRLPRRPPPNAAGSGKDSISQQPTGSKKANETVAGSTEQKTKK >PPA05466 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1351:377:1796:-1 gene:PPA05466 transcript:PPA05466 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVLVKGADQLTLIDNSMITKGARSRGMTITYKTKKGLSSGTYIDIGTLTEMSGKKKQMEEETKGKKKRGRKRKEITVGFTVHPPHGGMMGDGEDNEEDPLGDNAMMEEEEDQMESKRILRGRVHRAPSMREDSPHIESDTSPHSSPNRRSSPIHRPPIRRSSPIPHSLSTTLQKGRTHGSVEERDRNITNNLEKVAANLEKVVDALPETSNDFDYKYVAKDIVDLHDANENLLIFATRIDEIIFPNERHLRLEMRDPRKVKWLYEKKNLPRSRQNPKDETSEE >PPA05467 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1358:104:1030:-1 gene:PPA05467 transcript:PPA05467 gene_biotype:protein_coding transcript_biotype:protein_coding IAKKKVVSGDEILLQPVTYTFPDIVHDPPNDKLTEDFILHFVHSTIAEFIVCFLKSFGAKSVSLNQKLVECTVTNGKNGKQLYKLLNGSIVGGQIIRILPDPTDAGTTRLSKVPGVPSPTAKRDVTRRFGK >PPA05496 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig136:327166:331869:-1 gene:PPA05496 transcript:PPA05496 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRRFNISSLRERLAKARDHFDLDRYPVASIADWAKRVGEVESWLSSVRPAVEEAIMEGRRLANEGSAELSTHQAIEELDKIVEDTQKLEEDCESARDALSGLEEEEERLREDLAMMEGSLRELLGRNLRDPEVVKSTRRALLDKESALADVSRRASELHCALPGRSSALRSTLLDPLNQLLNQLDEELSRVGQEEEDEQEIKDQSEKIKYQSKEEPQQIRVLTVEQMPEEEIKDQEMTEIKDQPASPKLLEKMGELSGAIIDQAMHESVDEVMREEKAKDQEEEIKEQPQEIKDHDSPEPEVEFTFVPKPVEQTVRLPEVKEQEIKDHKEEIKDQDPAERKHSASPSKKRDIMQPLRSRSPSLAMEVEDTGKTTEDELEMKRKKTDVMAMDTPPRARTISRQGSEGGIVVRKISGQPEPRVEETPKREELQLQLQQLQQEKGEEEKEEKGQEKRGIDDVAQLYVTLDSLEDDIAFDEEFPLESLETAKERFDVGHISSRNNTLNIHEEHDHFMGSGKGSRIDHTTLCRWTNTFS >PPA05495 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:320065:327116:-1 gene:PPA05495 transcript:PPA05495 gene_biotype:protein_coding transcript_biotype:protein_coding MDADLASAAAIVHAHAMTMEMNESEQAGERIEQLKSDIAHRRQRAIDHRPEWEAFQKILAEASSDVAALEEEQKKNEEGASEEAFAKTERSVCETVRQLAGVLPRMVEGGKRSEGLRKETASLEDRFRAVGYALRETRAKRAAQDVDEAAVCKALEELSRWCSDAAADAAPPPTPANSLDAAAAKARLQAVLARISQMQAKKATLGRLESDRDRLVSLDRGDTKTKHAIRRGVSETAKQMSDLRLALAERRADLEAAAEGADEFWKMVDDTARLAAETTRDAEAVCAATVYTPSPAKMDEVRAGAAELKRRASEMEERMAGAMHEEAPLEEAMRVRVDDVLEACAHAQRAATRMPTPSLMVESTSDVSTAASFTRQPSVERREERREMEEEEHDQVAEDEETLTLASHWLTEAARDASITVDVADTKEVKARSAAILTIIEQLREREREVIGVSDAHAEPTVKQRAHSLLDEMEDLLRRAERRRLRLNDLSEESRAFEQARAAMELWLTDGEDVLGTGEC >PPA05477 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:78871:87014:-1 gene:PPA05477 transcript:PPA05477 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRSMGSAWKQARSELLLGLPHVLATICDVWSVVREGAQPVKPVGTPQQLGRLVLDLLSPLAQFHQQHLLSSLALVWLTRGDAREKDMDRPSFKYTSAQLDMTSLLLDSKTNLDVLNPAGALPHGHAAARAAARLRADAECGTADRCVAVSSRADGRRAARLAPEPIDILAVQVQEVTQRLTEACNGIVAWQLEQPTWLKRTLVVKHDNVMMGGCRSSGHS >PPA05500 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:364035:364274:-1 gene:PPA05500 transcript:PPA05500 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTLLLSKRDGSDKICASLCAFHFSYADREEYQKNVLDAFQTVLTTSALRLI >PPA05478 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:90630:122719:-1 gene:PPA05478 transcript:PPA05478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pad-1 MEAPHPLANTSKYKQYVLAVDKALKSFENTNEWADLISALGKLAKVFSQHAKFGDVPKAVTVAKRLSQCLHPALPMGVHLKALETYKQIFDILGREALPKQLYLFAVGLFPLMDHCGIKVKGELLSIFEQYLLPLGENLRPSLPGFLAGVLLGLEEGTEFYDRSFNLLDRVCEAVGAASFYACLWHTVLGSPSVRLPALIYVNAKFDKSRTIDEQSWIIGDNVHHMVSALCAAGEDTGSPLVQRNLLDLLCAAFPLDSTTVTRADFVELLRRTLFVILRRDMSLNRRLYTWLLNPSGVGTMVNSVPVGDDLLDTSFFTHTVLPMIVDALRGFLEGDTVEIPLSVSSTFYSPTTAAKDADTQQFVEVRACRLLTYLQDRPEVGRPVLLRVLPLLLKKCAPLIPEGEKKEPSRERDDSESAPPGRLSLMLQLPQSTSSAFWLPPEHGESKEEDEERKHRRREEVAKNLNVLMQAVDDIDGFLWVFLADYYEWLLTSLNGGASSETRRKEDGGLLWAVPTMSAGEAEDELTAYANVVAYSLSLSQSGSTAAGRSRHLPELLRRILHCANAMSIIEKRDRMVLSTVEMAVGLLGEISRAGGSLEPILSLSYDFGETAAGLVSPIFRPVYLLSPLLLPPVSEDDTAMAAATPESPNKAFLASLVDKQEQEMMEACLEECLRLMTTICRYYCAHRAASRWPLLRAMNELLLQFADFPLYCAGWSLFAAPSRMSLPEWMDAIVKYFSQVAKEGVSDEKGERAALGTEAHLGPNKAVQDIGEGNGYASICGLITCDWIGAAEDEDLPKRVVLKVIDVDSWLAQLQQSVQQSGREGEHYDFEPRAAALEIVTRLSMRSSAVIAQHAAVDARTRPMGGCSSAQMTVLLKPLLHKGHLLSMEESGLFDKCGAAAWASCGNEWPLHHATGARLVLLLHSRNAAEPSSVVENIMMRALTTDDSVLATEAARTFHRIWTLTRSDEESMLAESAQPKPFNRVLMFLLGVLADDFAVGGEATVELKGVATTWFNDCARRNDLPTIIQMLAVMLMNPATARISIQYVKQEAKVTHSECGAVPADVQSVMLLAADKRQRLHHLMNETDQEDGDWMCDLRSKLLASSSKETTKTDLFPAAPTQNDVPNFDEEETDSLDTLSLSMEGHDPVVVETLQWIIDCVVDEEESELDTQRKFNEAMGKRITTNILPDVVPDAARSDTSVATANDMTEEEVARAAREAAASLADAHPHPFSAAASPAVTHDGVTRIRRGHKRQDSLAETIFSMASGDLRLFDMSDVLKPSGQKLDCIECQTIMGVPHLGTVTSSSSAESGVNGHVRTNSGEAGGATMRNLYHDLHAHMLLYSESGRVVDLGRAETAFRILLALLRPRGAPVPSSILLNCLVSSMAATAPMPTPFLAFATGNGDGAAPESITLPDLMSRHVRAILGRTFWGNDDGTDPSGAGGGASKAVQQVVDESRTRNLTQLELIMTISLHFLRSFFVNSPIAPVSKNDLIMSWKCKIAILDLLAEIMRELSTMMMAQQSKAFVTFIQTMLSRAKMQKCLLLLLVSSVHDPRKTERSDEMHLSMLIAEYNEGIRMTPKGLRRDGRLAGLVGAYNRSLLALTAATIRLEFEIKHGFNSYSDQVPRSRFSSPSSLLGNASLAFLGGSTNTTSRSGLRELPSSLVELRVFLLTVLNALKKCPERHEGWLQLVVHILPCAKGPWWCTFWLCLNKMDP >PPA05469 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:29720:29978:-1 gene:PPA05469 transcript:PPA05469 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAAAASAAAAAAAQQQQHQQHLQALQNHHAALLQLSQQQQQLQQAAEAARQQQQQQQIHDVSAHCTGL >PPA05472 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig136:52071:53455:-1 gene:PPA05472 transcript:PPA05472 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVQEEAPAIACRDFLQTVNATNIGQMGGKSHDLYPELRKLSERNENDQRIGCPPQFAKYFVLDQANKVYRCRLCKESQSRKGKIAETSSVSNYYRHLRDCHPEECLSQEQRNEKFGELCVTAFATNLIPFNVMDSKEFQEMIRFLDYDIKLPSRRTISSKPRNVKKISVKPLHSLDEYSSQSEAEIDSEDEGVMMEAMKQSMEEARKKQKEMEEENEAEQASVITSRSKRGRSVSKKSNPKKTKK >PPA05488 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:256158:261259:1 gene:PPA05488 transcript:PPA05488 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQRSIRNVPADSCDSPVEEALFSAIATPERMRLFVLLTLTITGAIVLGLERSLAANMNLITPQGQMSENLQAGLPSREHHSRIGVAEVREFLNRRQLQRTPPRFTDSFSAYKKAYLQRVGEMRMGGQVQQGCVAGYAANTSISTRYPSTTWSQAQCSREDAPKDGHRHWTVPASFIYLNRSVIERTGEPSLDYPIRREAQPMCSLVFGPEMPPCALNISTMPQQHPSMVRAQPIKTLQQVLLPSQPVQHQLQPVRPNQLLMVRPQSPCTPSSTRPCKHGSQLRPTKAPRTVRPTKTQPIKPTIVAKPFAKYISPKSPSLAVYRNYSVARVYQDRSLRRDPFYPPVNARKLKNGKYAFRKPCFLPSKSVFTSKKVMEKKNVDTVLDRMKKRREQQNAAARKTNKRSVPPPVMFANASAHSHSKALMRKTSYAAGHCDRRTVKDLRPLPCISYKERLPCLAPPPRRLIGTSKKVAATIKKKAQGSTKNWATDKTRSPKKEYIRRIPLV >PPA05491 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:286890:293919:-1 gene:PPA05491 transcript:PPA05491 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKFNPEDLQAMAYFLYSCCQSNDTGKRTAAQTPNGNIFWRLFNEHEERFPTRGSNYHPVASLQTKIRSAFPRYTIHFAESGAVRNSYLTSLGGDSDDDDDDEEEDDEEEEEEEVKPPAKTKDSKHRRSRRLSNQSSSSAPSSAKRTPNGKKRSDATSPPETPAGLRLERRISRIHRVDPLQNLAATPTTLATTARGLAAVAAEEAAASLEALQQKMDETKRRRRTQEKIKREKMDGREYEIVDSSEDDGGDRRVQTRRSRAAAAASAAAIPATPPRRNRSSTAAATVASPAAPAASRKDARPAKNDDRQRRGSSKENADGRRTGRREVKNEEEWDDSEEERKREREWENRRNERQRREMEEEEKRKKNEEKKVMNEDKEEEVVDKETRIKEIKEQMKQLEAELVTLRSDDEEEEVSGEEIDEETRRNEHRQRMRNIKPEVGIMRSDDEEEDEEKEESWEEIDEETRRNEHRNRMRNIKPEVGIMRSDDEEEGRKKEKRRKMRDTEDEEEEDEDGQPREKKKRMKEKEQRERKEQVEKKKLKERKLFDNVSDGEAEPQSRGKKGGVISATRKKKGGDRNGTIDVSSSSEGEADSSTASSSATVVVRNVTTLAAKMDADFEVRAIVSDERQLQL >PPA05505 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig136:383173:383735:-1 gene:PPA05505 transcript:PPA05505 gene_biotype:protein_coding transcript_biotype:protein_coding MCNNYDPNEELVLTHQPQTTCFASSQSRCEGLSCRVDERTFNECIDVSLEFVQSHTNLIAMAQTIKFNSRGIPRLAADFQANCTDSTCVEKAQKLRKTGGFRRTAVECYVEQPVFLD >PPA05490 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:275226:277903:-1 gene:PPA05490 transcript:PPA05490 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVKHLQEARKDAPAQEDVVMEEDEVEESEREEDEEEEGAEAPEEMEMLRRTEDDMCEMMSTRCAVEMMIKENEGAMNPMTAEQIVDEVMIAMRNAILSFDGRGC >PPA05512 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:427205:430902:1 gene:PPA05512 transcript:PPA05512 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSYRGVRNCLVDYYSLWDIDASDVLPPYVKFFDKLQDNFEHLHEFAIDIICLGQDEATNCMSMELAENGKLTADDLDECLSVAGWMENFGLKKLDAREYAADFRVRGYECRNEKFLKENFKCLYPTTQTRKADLDVCSAALREAIAVKGEACEAMDEYITCSREIFADECGQEVSSFVCNLVQIAMLFNYPMCRDDFHTCQ >PPA05502 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig136:365534:367238:-1 gene:PPA05502 transcript:PPA05502 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRCLHNTTSKCHGLSCSVAKIENKNTQSCNEATTQLRFLQLQTNIIAIDQTTKVNLAERNLEYYLGSEFHANCISQECIDRAKLLRKSGISSNRTQVDCHSYSLEEKNNLTCKGDFCYWINETQAIQRGCYTVDGSLAERKLTVGHYQYFNYLDAYLCDKKFCNEDGNLARLNQMPNQNNDERTN >PPA05507 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:387013:387894:1 gene:PPA05507 transcript:PPA05507 gene_biotype:protein_coding transcript_biotype:protein_coding MPNCLSPNRWSLCYVCRSRTADEAALAAAAKALQLATASFKAAAAAAKAARITRAKLPTAPLASTVLPPIASLILILILYSPDTILSVKAPITRLGTRAPRARSVRVSDKMEKLSVKSPARTVSRCQQGPLRA >PPA05487 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:253633:255196:1 gene:PPA05487 transcript:PPA05487 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNRRRSSVESSDEEDGEIVTRDVYEEFDGESVGHDSMEEDTDFSESELEDEGAVEEEEQQEVSEAEEEEGVETIHEEEALADSAARHFVAAEKVVERLDELVRKIV >PPA05471 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:46849:51265:-1 gene:PPA05471 transcript:PPA05471 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIATCGCTPDYDPPNTQNPIEAIFPPRVCPLIEDATKSLTDDNDKGIQQKMGWALPAKRRSTRFPPIVKEFLKNIYDEGEKTGAKQDARGAENMMRVATKPGDEMLFSIDDLLTSRQIAGVFTGFKHTKLEKRIKVEQKHKKRKPNEDEEEEMEVEEEVDEVEIEINGKKQRAHANQIRKNAGMPTLTDESDTEVPLQLLLDTFNLDRPVQDNEDPVMINQRDEFRNEPMENLMDSREDMPLRFDPVEEFHVELNLNDENDRVSVSTASSRFASAQSSPLPSPVKQPTASPVKQHTASPAKQTVNTRPRREHKPIVRLDPDPSKKTYTAETKHLAPTTITLNSIFQY >PPA05468 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:1253:1502:1 gene:PPA05468 transcript:PPA05468 gene_biotype:protein_coding transcript_biotype:protein_coding MNVAFSALGYLLFALILVGSHKKKIFKKYSDTVFLILVFLFAIDIFETAQTIYFNMPDQSS >PPA05503 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:375111:376015:1 gene:PPA05503 transcript:PPA05503 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDLLCLLYAGFLATGGVIGYLKKGSLPSLLAAVGTGALVAFSTYFALPFQPTIVAVISGGLTVAMGNRYRKGGKFFPPGAIAIDRHCKVPSSVVGNNRLVLENHYCFRLELSM >PPA05510 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:425106:425555:1 gene:PPA05510 transcript:PPA05510 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFGERRDRKQTLRNVYSWLQPQSRYFDDYSNAMPIEQFEKLCGPIQDTQFNADLAKEIVLNPCLNMAEGLLIEYSIILGYKPTDFTQPDIYFYKDMDA >PPA05508 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:389754:399713:-1 gene:PPA05508 transcript:PPA05508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dlk-1 MTLVYTTTSARFFRPQESQSAPTTPLAARKGELAAGAAAAAGAHEVAAVSTSSDSSAAAAGAAAYRAVKAGQKPYYRTPFSLYEGFFACFRPVISYFAKSQDNLAKSIHNEVDDWEIDFGTIKDLEWLGSGSQVKDIKEAEIGHLKHLEHPNIIKFLGVCRQAPVFAVVMEYCTRGQLCEVLKKEHSVDSLEWVDWAKQIADGMDYLHKNKVIHRDLKSPNILMTEDGQLRICDFGTSHQLNKMNSTQMSFCGTVSWIAPEMIKKEPCNEKVDVWSFGVVLWEMLTREQPYKNIDSMAIIWGVGSNQLSLPIPPTAPEGLKLLMRQCWSLKPRNRPSFVNILQHLQILKKELEELGQEEWLRRCEIWREGAKNIEYPNSLKKHGAELIQQQHQQEALRKKREEELRHAMDIREMFELKLQRVNKMYAQLTHCLEEMDEREEDLAHRERLLDERCSVAGLPRPRIGGSFTAIQQQRPVVVRAGPKTIRGGEQDVFCSSIASAQCYGPGGQGQWNYSSSDDEIVGSAASQYTRGSPYRCSQASSSSGYVPSSASVNFSRQNSTRSSGFHNPMATPVRPRNFSREQSLRHSASSHLHGDFTRGSPARHSTGDDVQNSSIYRNAEGRWSDGRLVAQRKQRAAAARASRASFIRDSPARTPHSRSRDKRASCPIDGGASLSPARRTRPASHYDTLDNAAAAAAAAGSVTGSGCDCGSERCCRQQRARSLVVHQSSPGHSVFSPQKERIPVQLVELNPGKLPCSSSYEEALRSVCDSHHNDERDGSSYEREVFQPDLQLADHDARQPDDWRHYAPWIARAVMNTARDYRSVLLHYFLLGRTATESHRKLVQTHGENAPSLHTCFNWFTRFKRGDYNLEHQPHPGRPSSRVRGRVLRELKANPKSSVRDIEKTIHIPKTTVARILHDAGKTPKLPQVIPHDLTTAQLKKRVDVCQGLLHRRSNFNWVSHIVAMDEKWITYDNPERKLQWVDVDEKPQQAPKAELHGKKELIMRKSPRRKWTSWRWNGSLTHLTPLICPLATTTNRDALVKEFEAWINSKPQAFWKRGIETLPDRWRQVAAQPESRLLGVMDPNVDLFSSIDSNNPQTLGDRRASDASAECSSDDEDEKEEDNGNLLDSSLDSTSGPAAFEATAKDLEKIRSRHHDPLTSSMASTIANSLERSLEISAMHSDGLSDQEMNNPQANLMAIVAEYSTESDDFEGAC >PPA05482 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:197008:202999:1 gene:PPA05482 transcript:PPA05482 gene_biotype:protein_coding transcript_biotype:protein_coding MNLYPKAIAEDEKIVFEESSSEDDEQETLSVRKRKGAKAGTDFAVDFSFDLGGGPKEDLEMKNVRKYLKKSVASTLEDKIAAERERLRVENGEEEADESSSKLMEVDEELEQLQVDAKDRLREKQKPAGRRGTKEQDAFFSAEGRDDDDLLPTTFEELMLSRPTLKAISGAGFTEPTPIQARTIPVALAGRDICACAATGTGKTGSFVIPIVERLLHRSRATPTTRVLVLVPTRELAIQVFQVFRKLSVHSQLDICLCAGRGHGRQESLRAVVRVRREERHISWALVIMMQCTTPM >PPA05504 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:377145:378070:1 gene:PPA05504 transcript:PPA05504 gene_biotype:protein_coding transcript_biotype:protein_coding MVILERDGKMNWNDDEFGGFSLHLTRLSCQERQFSWQVRTAIELEESLNPLILTAIEKEESIDDKTNEDESSDGKEEENEDEMHTALEWTQGYRVEDYDDEMLMETALEYIDGGSEINENMDGWSVKDDEEKIHSLAGIECENGEDKCEWMLSEEKNDLERWGQSVESNDGKLDETDGFGTGIVLISKGGSELIN >PPA05493 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:301738:305449:-1 gene:PPA05493 transcript:PPA05493 gene_biotype:protein_coding transcript_biotype:protein_coding MKERIERKNSFYRSLSLAIDLVVNLVLNIYDPGRDGLLRTLSFKVALVVLCAGTLEEKYRYLFSLVAQTDHVDQKHMALLFYDLVHMPKLVGECAAFGGTNIEPSVRSLFETVRLSPTIALPPYLAWLKAEPQSLVWLPVMHRFATAEFAKHQAKCNVCKMFPIVGLRYRCLRCFNFDMCQNCFFSQRTSKNHKLKHPMQEYSTPTTSGEDVRDFGRIVKNKLTGSKRHLGYLPIELGEEGKPLAAPSVAQTNPATGPLHSRSSAAAARLGELSAHEVAQPAAPRDPPTSPIQMMNQI >PPA05476 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig136:75978:77756:-1 gene:PPA05476 transcript:PPA05476 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPIRFLFSSSGFRSTDTTPSLESTPLPSLASADPSQRASTVSLTSNKPQSAGDNQSAQFSLKSSASNLRSSMKQDSNNNKQRDPAESTRALFLLAEHLTELIDCVCRSDEKERLMPVLHAVWNNVVPYLRAKK >PPA05485 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:219248:230724:1 gene:PPA05485 transcript:PPA05485 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVLVLDEADRMLEEAFKEQMGEIIKMCARQRQTLLFSATMTDQIDELASMSLQKPVRIFINENTDTALKLRQEFIRLRAGHEKDREAIVAALVTRTFSDRTLVFVRTKRECMRVQILLGLLGLKTAQLHSSLTQTQRVEALAKFRRAEVLNMHMPRTLKSYIHRVGRTARAGKAGRSISLVAEDDRKILKEIVKSNADRAMKQRLVAPEVVQAYKDKIEALEESIETIEEDEKVERQLRIAEQEQKNVELKLAGEKTEREGRVWFKKPTELDRMKKKKREKGVSEANGAEQNEQKEERKRLAKEERRKQMAEKTDDERAAERTAAFQARKIKRDKKPSPFKNYKILIGLVTDKRDKKPQRMRAVVEDEERPARGGSRRERERENASSFTSSLTAIDKKGVKKARAGPADKEFKNAKNAHMKGKRKY >PPA05480 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:135152:136785:1 gene:PPA05480 transcript:PPA05480 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFELASDDEYEIVDMPPSDASLPYGGCSCCRGRNARCRAAQERRVKEKAREIPFDIYNPHSLPRTVQVKKVNGQVGLRRAGNYVEEVVPGSAADLAGGIFPGDRLISVDGVNVENLTFSIPPVLSPDRRQSIALVPEGSQNRAAKRDLIGDVLDAAGCAPRTSLVYSDPSPTWSLSSFDSMRDEL >PPA05475 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:69239:72547:1 gene:PPA05475 transcript:PPA05475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pash-1 MDNYDDVDDAHSIEELEQRRLALEKALMSGGDDSSDESDDEGGENMSKSEEESASEGDNSQEDEDNWEVVPAETDEGPETSSSPKRPKMECPMKSAERQNYPDEGSSSTPTINVDQINEAAGGNMLKRMKRIPDYGSSDTDLPLPDKWIKTLHDSGVPIYMHLTTKVVTLSRPYELKTDSLRRHNIPLCAIPCEQQRRMTEHRENNRLRISGTNEITLPQVQLKTMDQIQVERLSPDHLYDRAKSTFHIKEIMVYKKNDWKTIRNDRKKEAIETALKDETTIDMDVQNPMITVAAGCAAQGKKIKKRGYILHVVGKTSVTLLNEYVQKILKGSAVYKSDEVKSSINPYSTMCYLKTKNDPNAVGDEASNLFLIGRGSGDSMKKSKRQAATDSLRNLIPGINIDANHIVISNVSDKDTMEGFDMLAVEDPKVIEYSKRTGRQLPHTILLECLRMNASWGTRAPEESNTRLGHQQYELVLKVGEHEAKVVCKSLKEGKQRAAQSLLKKLYPVMETWGSIFRLFSASAEDKETRRVREEIVRLAPEIGGKEYARNEAVLDALRNEMKRASARVVARLERKRGLQESERRYEEAPPTTADAVKMEEE >PPA05494 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:306961:313218:-1 gene:PPA05494 transcript:PPA05494 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKVLEQLERGKERDGLQQRMASLTEAWEATKARCTTIRQQVERAESEWEGLRRKLAELLAWTDQQSRDLVNNSAMAGNLSSALHQVTASKNLAKQLEERTPKMKVAIQEANAYLMQHDLRRKMASGGVLEGEDEMEERDPITAEEQRCGLQLAADVERLKVGWARLQQQHTEWSAVVTAGAARLQSLERALAECQLGLTGLETELESSKPVEDLRLEQLGAARKDADLLTERVNELRGQMDEANAASAAVSAADAPLDAHPTNQLEAVNKRYDSLRASTRVRVAAISNALKNLGPSSEHFLAQSVQLPWQRAVSATNRLPYYIDHKTERTQWDHPAWVELSRELATFNRVKFIAYRTAMKLRALQKRLCLDLISLDELDKAFSRLDLSSEESISLESMVTCLVPVYEQLHLQQPHVIRVSHIFLEFACQ >PPA05474 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:60080:68964:-1 gene:PPA05474 transcript:PPA05474 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSVHVLLQVEQQLAGATDGSDDLASSKDDQWMQLYLAGCKLLEALCTLPAGYLAQFQMCHWAFVSSVPSTGTAVFVPFAGRIHKLLQVKYGKLSRDEISTATASLSNMKHLTGFDELRPFFYTLSRAAHAQGDQGESLRDSSILSGSLSYRSAISRLEHALYVDFAEHWQFMPCTLKSNSVVLVPAFSRFYIMPSPPSSLDGPAMDSSPRSSFDPSASLLDQSIVADDVLLEREQRLQAEQKTMEDSKTVAVNSIDRHNDYIDVSESNGELIDNSTSSSDLRAGSQPSANFLQRSGSNEIEMDDGRPPCERIIISHFDEKDKAHHLLAGKIDVERAALVCACLGMIITVCVFIVSLLLYHHFMNWRQLLVMLLLFVLFSFAHHGIKVAVKEINPSYLLPFIVVYLLMILAESYAVVATSYHYYILKGALPIHVLICTVPHLLFIFSQAIILYM >PPA05509 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:413555:414499:1 gene:PPA05509 transcript:PPA05509 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKLFQYKQVEQSEPTQGEPPKRRSCCCGRLFVTACLVALLITFVAFVVPQLYSGRFNDDVAEFNAVYGDASSESSDEQLDIAIKEAFRGRQMTKDRPIIRPLVIRPDSEEEVDSLEPKIDAKQDKLEKMLEQELARVKQEEFKNIDVRDDYVEDDFDKQDRADIRARIQELMQQKASKNDGDLCEIMQ >PPA05492 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:295069:300567:-1 gene:PPA05492 transcript:PPA05492 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKVRTRLRNAFLGCVGGCMDGKMGLTGNGGDDAEGRAGPAAAAAAAGEYGAEAGGVPSDSATSPLLERDGRSRTLPRLGTASQRASVPTLHTAASTNDVEEEARALRLHQSRLESRSRILAAQNEQLELQLSRVKKLIEQVYGTLERAANDNRALLANGGHREGGGERGDDARGERMQSLLDTVDELGKAMESLVVSVVYNSDSETRSACDRMPEMKS >PPA05483 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:204610:207648:-1 gene:PPA05483 transcript:PPA05483 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLLLVVPLWAARRKDEATMSPLELIRHRGYSAQEHHVTTKDGYILTLHRIPGDRKGCRGGRPVLLQHGLILSSRDFLAMRRNESLSYALADAGYDVWMANSRGNIYSMGHKKLNVSDERFWNFSGDELGRFDFPAIIDYVLKKSRRKRLFVVGHSQAALSLLIADTVLHSIRRKNNKHLREGLLIRCLIVSAYVCYEMISRNFLLRSFMKTTNDSAIVLLVMAASLLVMQFVVLPILQKKTTPKSLLQTSMATLIVCYLAVSFTTSFEQFLVVTALQTGAYAVAYAESSTQITT >PPA05513 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:431852:433451:-1 gene:PPA05513 transcript:PPA05513 gene_biotype:protein_coding transcript_biotype:protein_coding MSALYILFFLAYTKTVASSTCYSPVNLTVRYEVLSKTIAARSQLDCDKQCTDNYQCSAIVVDRKTDHVVCVFLGTQVPATICTRPTEAYRKMECAEPDGLPDVGCFGPAGLDACRTQLTGPSRLATGGVGLADLDGPVTIEVLVRPTCEMHFLLQNGTSIYRKFVAVHPVMPIPTNYTFLVDGVVDGWGYCQEDDASG >PPA05489 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:262267:274112:1 gene:PPA05489 transcript:PPA05489 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLLRLALLLAAAQYAEGSDLCEQCVAPTALPCVAADGCEAGGTPIDGVVVGGPLPCKKLTCDSGRFITEPKQPKEVTELVCKGAGGASEWDFDGASITNATCVKKVSCGKIGHLKSACDNLTDFQTCAQIDLEKDDDKLACTAPSGKMFFKPKDGAWAEEPDDIKCELFSGKWMRGTNEVAKDDSVICAEYKYESCEANAALNLKSDCGATPKCANVTFKDAVPSTCTAPQDLYVEGTPWEKKTKVECDRKDGKWKADAGVELAAGTNVVCSESAPIAGAPSTTAPSTTAPSTTQQQQQVPCTGPGKTLTSDCDATVQTAADCEMILSINDDDAVNCAAPNQLHVKVGTGWVQKSEVKCDKNLGKWKDDAGGIVDDASNVICYKGGDPNLPPPPLCGSVCDAFPADTLFDCKPEEGCVRGAEITHTVNNCKEMTCDGQGFFVDNTGSRIDTPKILCSGQNQWQKVTGTAVTNALCVEKFVCQTRNALSTTPKADGTEVCAACTTVDLSVDNPTCASGDRFFHKTTGGLWTEAATLSCDVHSGKWMQTPPPSPSPPAPVAAAIAAGDVVVCAATLPPEDPCTACGTVDPSTFCDANGGATAPPCTASTKQFITEAATDGTTVCQQMKCDGTTDMLFINDATVLAAGEMITCAADNKWKDKDGVEITKAVCVSAINCQAGSPLKSTCSAVSSKCDEIDLDANGDLTCTITPSHVLYTQPAAGGAWTKHAANVTSLDKDDLVICAPPDKLPDRILACPALEYDCEPGHEADPTGCVPVVDKTATTLACPQPSNPPPPINNLYFKHKNEHYQQATSVKCDTVTGKWMVTYNYGIREDNKKELELSDRVICAKKNPEPVVCKLITAPTKDIKGATYIIQKYKSASEPKLPDEPSRGAGDTLSVINEKIQSFISSTPSSDVLTPSVKPVCSQTGKWEKPVTNSPTKAYEEYGDHVAVATISEKSDGLRRRLMCLCNGGDQYFAIKDETDDLPLLFFNGMGNAHVECEGENRWKLVKDQLIGVAREINVKYVECVQQLHCLSKDFAKAGTPPCPTGDKYSTAGCAQLVDVHPYISAEPYDKISCPEGKKLFVQKAEGTGDKATLFWYELQGREISCTIERNNPRAGTWDAKLASGDPFPIESDPANSFFCADSNPDERPTTTTTTTTAAPPPPICTLCENHLPKAESCNNCVNHTVLAANTNDAECVMTAMDKYQILIGEATEVDELICDREEPISWFERRCETVKVNGQDNVTCKAHGARITKFNARLKEKENKLKPLAYVVIAVTLTAIGGMGIGCVMIFLRMRKDLSEKEKKKERGVTKKYTTANVMKTSGKSSGGRSELGKSKEPTKSKMEE >PPA05498 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:341115:355951:-1 gene:PPA05498 transcript:PPA05498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dys-1 MMFEFARKAVLNTWWALVQLFWKRFGPPPINEEKVSEAIRKWCVEAARRYEETAIVDFTSSWRDGFAFNVLLHSFDPKSISMDAVSAMSAHERLEGAFALAEEKYGVPRLLTIRDLSSEHLDPRATVTYLMSLYLSLLGRSNVGKSAASSSSSFLTSSSLSAPSSRSPLVLSSDGSEGVTTVLEQLPVSAVAAAETAAYEAQQMAAAAAAVATAAAAGTAAAAAAAAAAPQERLSRMQQAHQMRSVDQGTESMITELTWHTEGMPVKDMVSSIRTLSGRTQVPRLTGDGLYLILYRVTSLQETSTSLRSRKSSSSSQRSSRSRKARKEGLAREFEACLEHVLAWLLEAEEEVESLSDVESRDVVTVKRQFKEYELLMASLTESQETVGRVLHRGQVLMQRVDDPQEKEQVQQQLVLVNTRWEQLRELAMARQASLQSRLNALQTSRISEIAAWLTEMEKQVERAEPLADTAEGAVQQIAAHAQLQQHIDEYQSTIDQLGSFVAVIEEDEEGDASLAALEQRLASIGSRWAALCEWSERRAGGLDGLVELCQRTELAYATLEEWLKTREQDLLGLRSVHHLETEEEVQNQIVALRTTRDYLEREHNQIVAVSRLANESVQRLETENGEGANKVRRRLDAINQRWENLVTRVEEQSRMLVQAGKADKAAVYGEDASRRRRSESASDQEGRAITKVVDRFVQHVTEMEAELGPLREWTNTFTVSKKPEQVRRMISVCQEKLVEIKEKEAGVARLQLELEHLHMAPRMSARDLKTANDAFHRFNKSWAKVVTKISEALNVLSGQAEVGEEAEIWDYKQMLLDIPASTQSFVAHGIEEWMEATQRVLGDVAKIANHEERAARVEKLRAQLTTQRENLAMIQRKMDALGEAPAEERLIKEVDGEWSSVGDEKQLEEDVVRSEKLVEMARKAEMPADLVERAETRRAEMEERKRVTSTAEHALGVAEKKIKELSTALATSNDAGVGVAEGWKKLKELRKEMDENEKMRKEAERAAEKMLAVDDGVPKEVVDRTRARVRALQEGWKGLNERLDESLILAEKEAKKTANKKMGERQRTVDELEKELEESEKATDAEEYSEHLDNLENLLDKVKQTKADSLADLVQGMDESLVRDNYSKLNESIDRATEKAEKRIEELAGKAADAEKFEKSAVELGTWAGHIAAILSLRKHADISALDVPAEYKNREIRCNQDHMAFPVYAG >PPA05473 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig136:57483:58040:-1 gene:PPA05473 transcript:PPA05473 gene_biotype:protein_coding transcript_biotype:protein_coding METLDAGISNPNYQEDDAVVQQSVGTPHPSYARMAEYDQVPSSIHEHQNGGAAAGSAHSVGGGPAPSSATHTLFSSSVE >PPA05514 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:435146:440145:-1 gene:PPA05514 transcript:PPA05514 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPKLLLVIYVLISQCQAIAICNIFDDYGCKGGSFCETQNKKADCTCDKCTCIGQPCACDAGWTGRDCNEDIDECVVPRADNQSLCINTGKCVNTLGSYWCDCVNGTSGDDCSINPDDCNMSYIGPDGNNYTNLCHYHDPLAKCNDGYATYTCTCSPAWKGAMCDTDVDECADAAALSSPESLCENFGTCINTRGAYECKCIKGALGFNCSENPDDCALSNEFVDGKYWPNKCISRDNHYREPNCTDGYDEYTCNCSIYWTGEFCMTDVDECKVNDPYPCENNGTCINTPGFYQCDCITGTEGFNCSINPNDCENITQCGLSDPLGNCTDGLAEWWCTCGPDYTGQFCDLEMTIYRVLQLIGGKTANEKDLIKMMKDLLTNPSMMKDLVPFVIGLESEENRTKMSWSAEDLFEWIAYEEKSLNPETDLVMWNDVVLGNCFTFNHFKSNRSYLMRQAGAQGGGLKAAIKLNEHEYLSYTETTAIMTFIHPNTETIFSESPRYNAEPGAQTTIQTAESRYIRLGGRYGRCVQNANEINSYYYDGSYTTDGCLRSCYQDEVFNACQCMDPRYPKDADARACKLPDRDCVDSITSKGDVTNWAHCECPLPCANSQFDSSLSMTPFVRGSAKCNNLKLKDRVNNTGCNTKGEKPDYLILSVQVPSLAVKIYEETASWNYNKLIGNIGGLGGVVCGLNMITVFEFGFFFFVQLPITLLTNRIFASFFFNNDDSHIEYLHHF >PPA05481 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:186226:196431:1 gene:PPA05481 transcript:PPA05481 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFISNICNSRKALLNQQPFLRNTKGLQVGLNVNAKLIRIVKESAIAFSDDDEMANVKVGDEIIPVNISYISAWSEFFRGYFASKMKESIEENCAASEFRDLLDVIYPSCKPISHWNVKSQIRLADRFIMPSLSRKCEIFLSDRSMHNFSDSELLKLADEYRLAFMKTIIIECTTGDQLHKNVIAREEYKSYSEELKKAIDTRMVLPAAAFRMDEAELLGEADGEATRQISQEEEDALLNGEFEPTTATAAAAADSPAAIFDPPAGVADPTAVADEDDLMNDDSESSKSSEERREPSQDVPISSNASDTDEIDLPAHRVTAEDLRNSAYIRSMLRVEKQRREATLERFSEKMNRMKERATELYDEQLRRLAELQEEQSNISKQLKAARAAARAAEHDDDASQRAVCGGRTATAPATAAAQSPEDQRRRRGSEHEQWSWKEAKENQSALRHMRKLVTFMKPRIAYSSDNAMPSTSRVVTTTVMHQRARKSTGGGISRPGPSNGLSRAAVQSQQAQAIVNAQQAVAAASATAAARMLAAASSPLQSRWVEQSMFQQLQQPSAIKVSPHANQTASSVPQSQQAAAISAALAAGSRSAATPPAVQQKQLQMSRKRPHGLEYLAGDAHPAHQQQQPVVLQTRNGQLQPLQHAQPLRQMSQQQQPGRTQQQLLQLQQSVQRRPPPTCSPDADPELREMSAYINAVFQRQNTYSGMEEMHTKMIATLQAACRLETQMRDARTAPRPFEEVGFPTTDTSFVVPPDGSALRSKICVNVPAGQLARPQKRPSTDLPIASVNTKGKHAPRVVFASDYRDFADSGKLSGTVHFTPSSDWPETTARVCIFFYIGTQNTGVWSRSEFATADCKEKDANGNFNEATVRFTINVDKAKYAKNESGTFINPNAKFEKFGVVPVACAGAQIVRGDEATFDCEALEQAGLQSKYDVMKRNMRAFIEQDKRKLTGGRPMQQQQPPAARPPRPAAAADDDIIIDTRFRCIATINDSKMFLCFKK >PPA05511 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig136:425985:427060:-1 gene:PPA05511 transcript:PPA05511 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRCGVCPRPVSLPMRSFPVNSLPEKQSMWIRRLNLLPEEGDALLQQFREGLAKQPPVRTYWCPAHFDGDQPDPIDKTLGLPNITAPLDMPLLTRKLSEVAVRDCNVSVAPPSRMHSLTLFSQSSIPPIESENDQSNDYNSQEFDAGDYSSQETTTDSVDESTSLELPEFLLIESSQLLSLFDRCPSCGLKAIVSRSFTLNGSAVRIAWDCEHCVTPQSWRSQSLLKGRYYHGNVKLVTAAHTTGLPFPVCS >PPA05484 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig136:208015:208648:-1 gene:PPA05484 transcript:PPA05484 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPFRVMSKTIVLDLLPQSTITRNRNLDEFSHLDFVLGDRAKKEIYDEIIGDINKLEGKKGANY >PPA05499 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:357445:360806:-1 gene:PPA05499 transcript:PPA05499 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSGSTAIPSKREVKKEKKSDRDEKLEVQEAVFLRWLASIVEDDIRDYKDLYDVRHLAAVAQLVTGQNITLSGSRLEDVSAVFLAVGEVKATPAEFVEGQQKVNYQILEWLDAEVLGETVKKPK >PPA05506 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig136:384533:385178:-1 gene:PPA05506 transcript:PPA05506 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEELKAKLSCVGDFCYYESASGAVTRGCYTVDDSIATRKLTASRSESGT >PPA05501 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig136:364451:365350:1 gene:PPA05501 transcript:PPA05501 gene_biotype:protein_coding transcript_biotype:protein_coding MHELGEQCNKFSKIYTRDKLRPKTGSGAYLVWKEEKECVDECALLLSKRDGSDKICTSLCKFHFSYSDREEYQMNVMDAFRVFLKGSQLRLLM >PPA05486 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:231118:231862:-1 gene:PPA05486 transcript:PPA05486 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNRTTAFDYGEEGNLKAYGKKTAPVYDFSRYRVPTSLYFSAADTIVDTASIKQAFAVLPECSIASIRNLTGFGHFDFLWGQRANKEVYSKIIKEMRRME >PPA05479 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig136:124292:125616:-1 gene:PPA05479 transcript:PPA05479 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGSKRSSPVKAAAVLLHLKRKRSDEMRVTRVDIPPECWVMGLRGARTSTVNRFLGHKKEQFISNWVTNQNK >PPA05470 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:42484:47547:1 gene:PPA05470 transcript:PPA05470 gene_biotype:protein_coding transcript_biotype:protein_coding MRILRSRSREETCSITTLWKVEIEINGKKQRAHANQIRKNADMPTLTDESDTEVPLQLLLDTFNLDRPVQDNEDPVMINQRDEFRNEPMENLMDSREDMPLRFDPVEEFHVELNLNDENDRVSVSTASSRFASAQSSPLPSPVKQPTASPVKQHTASPAKQTVNTRPRREHKPIVRLDPDPSKKTYTAETKQLLFTQGQRHNIWRSGTLELKSKTLSKKMGHDDEMPSESGIDKNLMEQLVKAQLQNQALIEQLVKKSETRILDGSVERLSQRYRIAHKRIRVLSRRRKYIREMVGSTLLIDLKQWEDLKKIRLLIRHVSTTVERTFTESIAPTKWADMTLDQVKSKMLTLFGDSTSIFDRRRKMLDLKMSKENIDDVRILAARVNLTVENAQVSEATINEWKVLTFLHSLDLSRYSDIHMKMMQTAKHKGKECTLDDLISDYNDVSQLKKDSRAITDSRREVNYVNDKGRNNQRKSDKSRPSQAQNQPCYRCGRKGHSQEKCRFKDSDCNKCGKKGHIAEVCKSRSKNAHCVSVDTVATTDYHFSLKINGQRAYMKIDTGADITIVSEMTWKAIGEPKCSSADCTATCANGNTLQLQGKFRAKAEYGGVQAEDYMYNFIELLNLVEIREPGPTINEVTTPPSASAEYTEWVKTAFPEVTASGLGRCTEMSASLQLKPDAKPVFVRARPVPYALTERVETELDRLEKSGVIEKVEYSTWAAPILTVSKPNGSIRMCADFSTGLNAAIDLPAHPLPVPEDIFASLNGASIFSQIDLAEAYLQVPLDEEAQKLLVINTHKGLFRYKRLPFGVKAAPGMFQRLMDTMLSGIKHAVRYLDDIIIGGRTKKEHDETLIQVMLKLKKFGLRTRAEKCSFGMKEVSFLGFIINKDGRHTDPKKTEAIRTMPEPENAVMLRSFLGMANYYGQFINGMHKLRSPLDHFILISTSFLGRNQLRKLGIPSTITVVCRG >PPA05497 pep:known supercontig:P_pacificus-5.0:Ppa_Contig136:334267:339340:-1 gene:PPA05497 transcript:PPA05497 gene_biotype:protein_coding transcript_biotype:protein_coding MAREFEDRDALLEEMERLADSAPNERTQAQMEHAKKTMAELKEQLASFRHPASYTTKVQKAWQRLCEWENRLDEMVGLEINACRDALQEARELGGAIDRMGEELSSFDEGRERLLAEKILSPPEGERVEQTVKRSKQKRKELLVRAESTIDRLDDCLIFVGKMNDEGARIDGVLDSIEKRIGEFARSDGAPEDDGAVQELLVEWNRNEGGLKELEQYERIVREKGARGSEQLFLSRRTRADTLKEVLDGWQRTLQAMEDDSDTLMLQVEEMHDDLERRLEEAEKEEGKQLSTTLSFLRGARDRLSARARRLCTAKPRLSLNDLVGDVNAKFKRLEERLETHGDARPGPLLEDAAREKEEEQEEEGEGEPEKKKERKGLVEQETETERATLQRRCKG >PPA05515 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1360:227:4287:-1 gene:PPA05515 transcript:PPA05515 gene_biotype:protein_coding transcript_biotype:protein_coding PQLADADWPETAVVPEKNRKKESTVAITVPPDSKDTVFKITAPRDSKDTVIKIGQPLVDPAAKKKNRLSAGQAPSNKAVRGGGSVVFLMERTVKTENVARREVESNSRDDAFANQIHESDADTTISPPSERRETTSSSSFVPAEPTFDPNRTQKYMRNKDVRALFKAATGRVAIQAAARVSSSTSSAGDDTIEMTSATRRAVQKSLQR >PPA05517 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1364:137:1360:1 gene:PPA05517 transcript:PPA05517 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFRDAHSALIPDSSIVTSAHLNTKSKPVRKRKASTTNRSQFLRYFVTCDDPTKLRCSLCPDDSPTEIRYVYSGNKKVSTSLRDHLSLHPAQFGEVIKNESRYVQAKRHLIRAIATGALPFSLSNNVELRSFASCLDPYFTLPDAQTLSTTILDDEYGSAYNSVRSCVTQQDVCISFDHWSSKDSAHSLMGACAHFIDEKWQRQLFIISLDPITGAHNGDQVKDYLSDLQSEYNFRSIPCCITDNARVMSAGVSRAALPRLGCALHATHLLVCDSLAAFTEGKDVIDTIRRVAVHIHRSKQSWDLLKEKLNEVGCPDKRKMPLEIKIRWGSMMRMLRVASAQ >PPA05518 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1365:26:1529:1 gene:PPA05518 transcript:PPA05518 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVGLNQCVYLWSACNSQVIKLCDLANDSDSVTSVQWTDKGDFLAVGTNKGITQIWAVHANKMVHEMPGHSSRIGCLAWNGDIICSGSRDRVIIQRDVRAPPGYSERRLTSHRQEVCGLKWSPDKQYLASGGNDNQLLVWSLRRPEPCQTYTEHNAAVKALAWSPHHHGLLVSGGGTADRCLRFLNTLTGQPMQCIDTGSQVCNVAWSKHSPELVSTHGYSYNQ >PPA05519 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1366:1219:1730:-1 gene:PPA05519 transcript:PPA05519 gene_biotype:protein_coding transcript_biotype:protein_coding MREAFNQGSLSASGSVIRKALKSLEALKWVDKSEDGKGRILSKQGRKDLDRIAADLRSTAAPAEL >PPA05532 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:35069:35700:-1 gene:PPA05532 transcript:PPA05532 gene_biotype:protein_coding transcript_biotype:protein_coding MQWTILLTIAAFLCAFELAMAQADGYKCSYDDGHVVERGYPTRPMAADEMQQLGEFRKQWEQFKPQFRLYIKGDVAAMPPIPAVPCFCREPCLGNAIGNTLV >PPA05589 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:272881:273875:1 gene:PPA05589 transcript:PPA05589 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIERSILFISYGPCGLVSPTACNCACVVMFGGEASSIYTILASFVFRLFVIEGKNPAKRTALAIICTVVLPVATAMMIAVCLARTNDADAQYLMNELLPEYGAYMHVVTGQKDIHHPAIIAEFFIMAVLNGPMYMAILYLRATFFTSMTF >PPA05554 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:148495:148992:1 gene:PPA05554 transcript:PPA05554 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVSEVSLKIHAVLRELRIVFSCVSIVLAACTHTVIKLCVKRITKLYSLLLALVEIVVLATDIVRELVLDPICLLPATCIIRHAESNRK >PPA05607 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:358582:361521:1 gene:PPA05607 transcript:PPA05607 gene_biotype:protein_coding transcript_biotype:protein_coding MKRITNEDCRANCSADLCHNGGTCLPIRNGTDQLCQCASGFTGARCQYDENECLVNNGGCHHDCVNTIGTYYCRCWPGFELSGHGDEKMCIDVNECETENGGCSHRCVNTEGGHHCDCPSGLVLSSNGIKCAESNTCAADNGGCEHRCEERDGKFHRCACALGYKLAEDKRKCHPLDPCLLKKGGCAHHCVNDNGRARCQCYPGYRLGMDRRSCQDIDECTLHNGGGCQHECVNSHGSYRCRCRSGFTLNEDGRTCDEHMSRCSVGNGGCQHDCFDQPGGGHICKCRDGYELREDGQTCK >PPA05525 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:20433:21254:-1 gene:PPA05525 transcript:PPA05525 gene_biotype:protein_coding transcript_biotype:protein_coding MQFRKEKTKSCSFNQLLKLIACPTPVGQQVFHESVTLSVCFPMILTFLIKHSAEKK >PPA05580 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:245433:247863:1 gene:PPA05580 transcript:PPA05580 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFHDVGASTTTSSKGMKLYDIIEDSHLVGGMITNCMLIFAIIRYTTHALGSYKQLLLIFAFYDVFLVSLHFFLKPRVMVVETTIFGVAADWDNRYITSFYCSCNTVPFVLMIIDFLYRYWCIAQPHKISLFTNGKFIIGIMLIPLAEYILWFFVCTEVLTGQGPEVGKDLLRAESLHRLGKEIHEGWLVMNYWENDELNVRIFIALMIFNAIMIGCFTVAMVLGSMTYYHIHVLRGNSISAHSLHMQRKLFISVCAQTAVPLVLVYIPYICVLNLPVLNLPVFFWDDACMLLTSCFPAWDAVIVIVLMPDYWKGLLGICFKRKHPQQELTQWSGLDGSIAGDAVLD >PPA05550 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:134765:135298:1 gene:PPA05550 transcript:PPA05550 gene_biotype:protein_coding transcript_biotype:protein_coding MKFHDPTDAGTTRFPKVPGVPSPPAKRDGTRRFGKVADKLNEWFVSAFVTASRIRFVMLHTAPPSLPFPSVHLGK >PPA05528 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:30323:31920:-1 gene:PPA05528 transcript:PPA05528 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSQLGDEWAVNEAVDFAPAFELESDLPEVKLFGKWNLQEVNVADISLVDYITVKEKYAKYLPHSAGRYQVGKDINITPCRCSSTPLSCRPREDSTRIGRAGTVRRQSVDVAPFRRVNQAMWLLGTGAHETAFRNIKTIAECLADKLINAAKESPNNYAIKKKDEPERVAQFNV >PPA05536 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:49863:51560:1 gene:PPA05536 transcript:PPA05536 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRLEDENYDYFANDTRRETKIWKDANSHHIWYEVVGPGVIIRSQLSGTRNHDKSEDLFGMSCDDAPHSIDKGRRWERVDNVEKILPRQKIDDKLSIVFASLPGSRMEWGVFRDTRDLEQASLYHKRGGIRKCIEVDNEAVTVRLAKTNRSFFCGSQSASACDASPKKDNVSSHARQEETALSHTRYSIVQLQRRDSKMVDRSWRGWQQQYGYEFKYRRRPSMRRFDWRLCLNEALDTKLHDIDECSEDFEKSLRKPYNSDEPVHYMHQTR >PPA05588 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:270343:272113:1 gene:PPA05588 transcript:PPA05588 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRRVVEVVNVNLAEKPDWYINKHPQCKVPSFERDGKIVIESAIIAEYLDVLYPSSSILSMDPYLRATQKIIREQAAPLVDSFLGLFGVYGGSAKGDEAQARVKKVEDALDVVEKLLKDDYFGGSSPGYGDWMLFPMVERVALLSDAVALPFPSAARWPALSRWWLCISVHPAAVAVMHPTDVHLGFMKTLTTGKPDPDYGI >PPA05558 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:156427:157039:-1 gene:PPA05558 transcript:PPA05558 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLRGKDDIGAFGSECMSRVLGFLSALVWQEAVRVPLEYASMPDYGITCKRCRFDRIERILAESGAKQADSDISERLPLHDPSTSRFDDPRIYFTFSLFNSVNRGRTLSTETSDSESQVVSDRPLLAKLRAAYACVDTLR >PPA05571 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:198722:201335:-1 gene:PPA05571 transcript:PPA05571 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASLPQCLVCGAPTASIHFGVQVCRSCTVFYRRACKKPQYACRAINSRCTVTQDGSTQCKRCRFERYEEILNRSRAQSRNEGPSSEIPPQIEKPSSDRQAAGGSKVPRPLLDKCGTCYKMLCSMRRNSELAARGTLLHPSQITTGEYDIPPSTCADLNLTCRFLLAGIIDLAHELFPEFIGFSSEEQWTLSVNFAKRFFLLDSAHRAEKIFPDDMTKCLGAYTSYMSLEAAEHFFDDCRIENSNIDEAKEVLKQYIRTTFPELRRAVRSVKLDEVEFHAMLILIFWFSAQRGGGRAIRGVPTARSVRRRYIRVQIGHSIR >PPA05537 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:51616:60096:-1 gene:PPA05537 transcript:PPA05537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-deg-1 MPPNNNKPPGAKISDIYRDHQHAPPLEVKEKMRSQMDFPSVSTIDEGSSLNPNSPAQEEAERSVAQKFRDFADLTTAHGAKRVLIARTRCSKLFWLLLMFALYGMVIYVVLKLTGKYTQHDRITSISIKFDEVEFPAITFCNLNPYKRSLVRLIPSIRDTMDVYDNAKSQGKVRKEKRPKFSRKQHIEMSENLVKELFREELEEEEARLSNITDDSPASPRRKRMATGEPHQIYEAIEAHCRCLGKLDMECIRFESPPKNDNSKCICTLNMDLDKAWPCFNSTIWYDHMCPVCSVQGTCHADYEDDVPLPDMMVRPTPDFYGDQAEGFPCVCRHRSHYEGTNGDEKPYCVRKHSPVEIRRLWVAESPPTTTAPPPTAPSDHVQRPIVTNPETIKAMGFAGMTDGVAMLTKAKENIMFTMAAMSLDQRVALSQAKREFIEMCSFNGKECDIDKDFKQHVDPEFGNCYTFNYDPKNNLTSSRAGPMYGIRVLLFVNTSDYMSTSESAGIRLAIHPATQYPFPDTFGYSAPVGFASSFGIKKEKVVRLTGYGDCMEDTQASAARSIYEGYEYNPEGCHRSCFQNRMFADCDCGDPRFPVPAGKKHCSAFNATARKCLESKIGDTGDFHHMTESAENCVCKHACSEVVYSMTFSTSKWPSGASDLGDCEGMTDKECAEFYRLNAAMIEVYYEQLNYELLQESEAYGIVNLIADFGGHLGLWMGFSVITVVEVLALLFDIVQTFCRGRRARKHQDDFTTLKVSLRSAAVISVLANGLFFRLTLQRLFTAVFGNIAEQNERNALEKSRILTAAKYSIAMNMV >PPA05587 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:268537:269157:1 gene:PPA05587 transcript:PPA05587 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRYCSLIGPTEPDRPTILDCPSPTRPLTLNIEYPSVTFTPISIFVPPVCVTHSLVDPLLLILTISEYRKSFFSLICLYKFARSANVPMVSRRISFQPAAQAAATEIVVDL >PPA05553 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:147578:148144:1 gene:PPA05553 transcript:PPA05553 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILGNLETNFNAQIALVLLSILLFLLILRYLTFGGRALFCQHWCLQTEYWNPRTMDQNGKNFGHHKETTKC >PPA05594 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:301738:305810:1 gene:PPA05594 transcript:PPA05594 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEETDLPSSSRPPFLCRSSLHDSAPNLSGLAPRERTLADKIERTPVPDRPSSLLSSEHSALDEEERMQLREREEWLERGSRMQLYDYDDVEVEYRAVEGNTEPRNLLSPGDERLCRSEYGLSPTHRIDNRDFYETAHAVLCAAGDRDASLDNDRCNPEVIEAEAAAETRPRHHMPSSISMYERSIRDHEDDFDYRCGTAAGFGRRGERVEPMGGARQGSIRSLREEHAMRSRTAR >PPA05572 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:202084:205741:-1 gene:PPA05572 transcript:PPA05572 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSIVIFNVEPPLAPDDVTSKTTNLVTPVRSVQVAKPADVSVKSSVFQVDLGSRQFCRFANKFKGLYTVFVPIPTLEITDYSLIKEAFIDHGEDYVERNQLPILDDILTYCKNGGVINSSGDNWREQRRTALSILRDFGMGRNVMEEKVRRSIEEYLRHLRSQEKTPSINMRWPIQIMIANIINEVLFGYLYTLEDCDGLVNFVEEFDDLLQGLASSYLLPLAISFPFIRRVPLLGYYAVDQHADKMRKIHQYVIDNVDRTLKAYDPEAEPRNFVHAYTQRMNGNAFLDQANLIQTCIDFFSAGQETTSTTLRWAMLFLADNQPQQNRLREEIHQTIGRDKLPALADKNNMLYAQATLHEVQRLANILRYNVFRKTHKPTEIAGHKLPAGMTIHADIHYVMWNDPHFVDPKEFLPERYISADGKSLRKDLVDRTIPFSIGRRSCAGEGLARIELFLALTATIQHYRILPMPGRKIDLTQQALFIGGPKDQELTLERV >PPA05524 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:17441:18067:-1 gene:PPA05524 transcript:PPA05524 gene_biotype:protein_coding transcript_biotype:protein_coding MFIWHRETRVGFQAQNSLIHYSPSQLNWLCQPPKFCCELKCCDPSSPYYRMLTGSAFFLLFVPFCIAMYRMTAGDDVDKEHKQAKDRKASKRSVQESRA >PPA05562 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:167145:169345:-1 gene:PPA05562 transcript:PPA05562 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRSCLICTVPIKVTHLGIEACRACAAFFKRTVIAGRKFTCRQGGKQCEIRKHEKYMCRSCRYDRCLKIGMNYALPPKKKPRKESSKEQQPNKDSNTNSNKESTTSRQIVEVKAPIVDISSPSTSSSTTRPSSATVGAPRDSLIDRMEAEYKASFDRRLVLEKEYVASHNLQRFMASLITCADMTKMEHWMEDDANFAKNDAFRSDVRTVQHDTSLHLPEEIIASARAIRAKVFGELQDYYRNELKVHDFSKRLGNLMMLAHGAGETGVLMNEEMRMYATMFDVYADDKLFREFFSE >PPA05590 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:277300:278828:1 gene:PPA05590 transcript:PPA05590 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLSCLPPFRRWPEALAPKVGPLGLSPKKVGDDVVRSAASLIIKELKEPPRDRKEVKNVKHNGNITFDALLKIARIMRPRSMAHKLEGTVLEILMLHF >PPA05582 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:255117:256582:-1 gene:PPA05582 transcript:PPA05582 gene_biotype:protein_coding transcript_biotype:protein_coding MVHREYADPVLNEVTYSFQVFATALAYVFNIILIFIVQRHSNKEIGTYRILITYFALSDMYYNTLHFIVYPIPENYGNAFFVGGHGYYPELLGVGLYMGAYVPDISFSVFYSFFHPDDVSLGFLAPVFNGSIAHPIAHRIETAAKHSQALYWGAFKKPPVDSPSQAAVPIAHLPIIRAALHRVLSCGFGGASACVR >PPA05600 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:320842:322207:-1 gene:PPA05600 transcript:PPA05600 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASNGFLQLGYYLYQKWHQIKTLYSRLAMVQALNPWNIVTVVATVRRSGLTFSVGGDKSLDEHITMANVLRKFNPDLFGYSVKTGSSNVWETAALNGAIPGAHSWDLLEQAHDLVRRLKEHPDTKYEEKWKLIHIFIGGNDMCAWCVHQKKESADAYRDNIRAAIQVFKDELPKYCLSRSNLLTDN >PPA05567 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:184813:186740:1 gene:PPA05567 transcript:PPA05567 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGKPSAQTDIEKVPLTSESTASFTVNEAIEATGFGKFQLVLCAICGLSWVASSSLLMLLAILGPSLKCEWQLTDIQQALCTGFVFAGWMVSSPVWGRICDVYGRRKSSGYGGVAQWLCARNAIRKVASSTPPALRFTQTKGGLMATNAVGFIFGIASAFAPNYYVFVACRFAVGFAVGGTAQSITHTSEFLPAANRAKWMMILKSFFAIGTAVLTGLAIFILPNFG >PPA05581 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:251153:252019:-1 gene:PPA05581 transcript:PPA05581 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEAEGPEDYESASDLEDVAIPPVDESAEVRHEISSHFSSIATLLGSTNYTPKRDWKVLSEDAKRRKVSLVLTMVNLLCAFVAPGSESELSNALSEKLSPKERKESDNCANQVIAAIGERLLEAPNRQAMSFHSALAEVRGVGVE >PPA05533 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:38447:39134:-1 gene:PPA05533 transcript:PPA05533 gene_biotype:protein_coding transcript_biotype:protein_coding MIFAQATALEVQRISNVIGTNLPHQTTKDTVVKGHSIPKGTFINADIHYVMARDPLFIDPDRFNPNRFINEDGTALNLKDLVDRVIAFSLGKRACAGEGIARVELFLGLTATIQHFRVLPLKNDPIDLEPLSMIILQPKKDQFVKIEKV >PPA05586 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:266241:267560:1 gene:PPA05586 transcript:PPA05586 gene_biotype:protein_coding transcript_biotype:protein_coding MRERGYVNPILNDITYFFQILITCLAFVFNIPENYGNAFFMRCHDKRHVRGSALAEPLGNGGATKSTQSIRLQKQLFRSLIYQSFVPLFTAYYPAGSALLLPVIG >PPA05596 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:311537:312320:1 gene:PPA05596 transcript:PPA05596 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSGMYRSTGAAEYEEDGLNGNSSYGVYSGGGGARKTLFSMDDSSGVSSCTNSDHQLAPTHRAQSAFHARHHDEV >PPA05542 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:80502:81295:-1 gene:PPA05542 transcript:PPA05542 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRAATIEDMSDLYEMVSELARFHKYEPEQILSREEYAKDFEDGCFNAFIAIDEETGKAAGMVICQDSFDCWKGKTMSMHQLYIRPSYRKKSYGKLLWAAVAQAAKQRGVAHLTWKTGGKNIVALALYSSVEGVSEVKNDKGLIDFKMSREGIDKFVATFHR >PPA05535 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:43274:48457:-1 gene:PPA05535 transcript:PPA05535 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSSNSIAVPPSPLRRASSLLRDKLQHRVKEPVVNRVKQFADRTTAHGAKHVLEARTTFSRFSWLTLIIVLMCVVVLVVFMLVDKYSRHDRITLISIKFDEADFPAVTFCNLNPYKKSLISLIPSVKDTFRPASQVYEAIEAHCLCLGYGTIICVLSVQTKVYARLMQAKKPYCIRKARNTRRLWEMVDAPEKMVVVAKEVPPKPTTPAVTPRPPPVPQVSKKYKTRSQRPIVSEPETISAMGFAEMSDGVAMLTQARQNLMFTMAALSREQRIALSQDLHEFIEMCSFDGKDCHIDRDFKLHVDPEFGNCYTFNYDRTRNLSSSRSGPMYGIRVLLFVNASDYISTTESTGVRLAIHPASQYPFPATFGYSAPVGFASSFGIKKGCHRSCFQNRMIATVAIRAFPCRQADGTAPRSMRPLDRVLSGNRLASSVGLLWQHIRAKTWLSRITGKCLESKIGKGDLHHVSAWASDCDCKHPCKEVVYSMAFSTSKWPSGSTDQLSDCEGMSPSECEVFYRKNAAMVEVYYEQLNYELLQESEAYGIVNLIADFGGHLGLWLGFSVITIVEVLALIVDLVQACFRRRQERKRSEHLMKNAL >PPA05595 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:306538:307887:1 gene:PPA05595 transcript:PPA05595 gene_biotype:protein_coding transcript_biotype:protein_coding METGQAGCRECSEGARELQLQQHPQPSDPLMEDPRMSGSWEYRDHRDADKHLERIPLPPPPIQVMNRPSDLAVRPHPEHSASVRPLQLRSDHTPTFNDLKTPISPNDTIPS >PPA05543 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:82228:85428:1 gene:PPA05543 transcript:PPA05543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase [Source:UniProtKB/TrEMBL;Acc:H3E758] MSLRAQFACDKSVTAKFYALPESDKVQYSYVWLDGTGENLRTKTCTLDFETTKPDDLPVWNFDGSSTGQASGDDSDVYIKPVAIFPCPFRRGKNKLVMCETLDRHMQPHATNNRRKCAEVMEKAKDHHPWFGMEQEYTLLDIDGHPFGWPKNGFPGPQGPYYCGVGAGRVYGRDVVEAHYRACLYAGIRISGTNAEVMPGQWEYQVGPVEGIDMGDQLWMSRFLLHRVAEEFGIVASLDPKPIKGDWNGAGCHTNFSTDVMRKEGGMNAILEAIDKLSLVHPQHIAYYDPKGGKDNERRLTGQHETASIDQFSYGVASRAASIRIPRETDDKGFGYFEDRRPSSNCDPYNVCAALVRTVCLEGADRKLSMLYFFNVKQTALFYPASLFT >PPA05584 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:258434:261278:-1 gene:PPA05584 transcript:PPA05584 gene_biotype:protein_coding transcript_biotype:protein_coding MGHREYVDPLLNEVTYAFQLFATFSAYVFNIILIFIVQRTSNKEIGTYRILITYFALSDMYYNTVHFIVYPIPENYGNAFIMGAHGYYRELLGVGLFSIFYGLFHPDDVTVRILAPVFNGSIPLPIVHSMETAAKHSQALYWTDGTFESPRWNNLLGAAVMSSSMLFTYTIISFVPLFTAYYPAGSAKRLKSIILAKKIDYRVSQRDNLLKFVSQLHWCMGADRFKGFVSYGFYKGGFTTTKPAPFESPKDYMFGSGSMAACDNCSSLSCTNRAFLNLTGLSKLARKLIRIAPKELTMGEFTRSTAPVSTVTI >PPA05527 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:23366:24087:-1 gene:PPA05527 transcript:PPA05527 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDANDPKYFHNMCVGAHVTKAARWIAVFSLVSYVLRTIFFLETKYEEWDIPITVIWIADLCCIAALLVGVFRDIRWLVIPYLLDQMLSIVMMIYACYAVITEPPVGYLI >PPA05560 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:159957:160288:-1 gene:PPA05560 transcript:PPA05560 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFITCSEMGNVDQWMTDEERIAKKDDLKMTLKSYTQDFCDLLIPMLKMDQSTEREFYALAVLAYCDTIHTIFRRI >PPA05583 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:257174:257487:-1 gene:PPA05583 transcript:PPA05583 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGSTPVVVEFVGDCCGPCKVIAPLFDQLSANNPALHFFKVDIDQATSVAAFY >PPA05585 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:262936:265491:1 gene:PPA05585 transcript:PPA05585 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSINRTPANIRTYTRLVLCAAVCDLTGITMFPLCMPRLHNIPRGMVIIFYFYLHEKVCWASFGITEQLIIVNDALICLSFVYRLHATTRLPPATYKIFVAVALVVCFTLTTSVGYYRLLKQARKKGEGFLDFTFDETPVVLSWTNFGAEVLFGSAILLRLLTARKFKSLQALNAQLLILFCFVVAASNVAIDLYRIVESDIPGFIVLPVLRVPSTSAVSDSKPRDHNAVQKFKPLSTRTNTGEDSEKHIACTILYRINEKLEVDSVELWELPEAVSQHLSYFAHEREPLVEDAMGGPVEEFEQSGEVESRSGKGIGRSRTDHRRNQL >PPA05534 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:40663:42896:-1 gene:PPA05534 transcript:PPA05534 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLGLVTLFAYALFRYYSMQDYSKQYLTSFKFAPKYDGDDFAARPESIVTDQIFSYSPNSVREYLEYLDKVKNKEKIDLFWPIQMMTGNIINDILFGYRYKYDDCEKIVTYVEDFKNKPNSTIVEMVQWFTGLVSSPEIAVGMAAPALLKIPFIRYHCLDKHRENMLKTSQYVVDNVHECLAGYNTEHEPTCFVHAYKQRMQGNNYLDDVNLISTCNDFFMAGQETTTTTLRWAMLYLALNQDVQGTLRNDERWHQRRRYHLSARLPQEGCECGAGGLQAPREESK >PPA05548 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:128810:129152:1 gene:PPA05548 transcript:PPA05548 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVPSPPAKRDGTRRFGKVADKLNEWFVSAFVTASRIRFVMLHTAPPSLPFPSVHLGQ >PPA05541 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:75264:79841:-1 gene:PPA05541 transcript:PPA05541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:H3E756] MLHFLFSALLEDQEGNRAHNLTAEYRQQRVHAADLRWQSTSAPFIYVSWLFLACIAKLLFTRATSLTKIFPDSSLLIALGLAIGSFLEQSQVSKAHFTLESHLFFLYLLPPIIFEAGYFMPNRAFFKNWDSILLFSVVGTLFNALAIGFSLHFLSGFITFAHEFTIFEILQFSSLISAVDPVAEIHVNDFIFVNIFGEALFNDGVTVVLFNLFKQFSWLDEPQAVDYVAGTASFFVVSIGGLIVGLLAAFLTAILTKYSDHVLILAPCFIMLVPYMGFLAAETLSLSPIIAIAVCGMVMKQYIKGNMMSSSSEAVIFLFLGLSAMAKSDMQWDTAFVSLTILLCIVVRTIGVIVQCALLNRFRGKAFSMVDQFILSYGGLRGAIAFGLASSISSSVPAKGMFLTTTIAVICFTVFLQGSTIRPLVNYLKVETKNPDDPTMTEAVYSKYLDYVIAGIEGIAGQKGHASVAHGFERFNNNVLCPILMKNHSKTRNFDATKIVRAYSKLTLRDAMNLSSKWQNNKIAAISRQQQSHVLPTTLRLPFEHW >PPA05559 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:157728:159094:-1 gene:PPA05559 transcript:PPA05559 gene_biotype:protein_coding transcript_biotype:protein_coding MRSILQKDYSREPKFHVSARELEMYDQKKGGKFLCRSCRFDMCVEIGMTYTIPVRKLQSKRKYSVEVELPTFPSSDLPIVHKESLLELMRVEYDYFILGILRSPVIMFKNFVRNYYTIECLYNPYHYEDDYNRLLTPLMIIGDLTEREFHALLGLIFCDIDTSIRIPDDDIVRIVQNRTNDIETGNLMNEEMQMYSTFYDLYSNDRLFRELIS >PPA05563 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:169950:172926:1 gene:PPA05563 transcript:PPA05563 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQRRSIVAGKTFTCRQGDKNCPIVNGDKFTCRSCRFSKCLEYGMELQPRNRKPKAVVDPMRSSAVPTIDDDVIEYIETSSKNAPEIRLPFERECVIRPNVQLFNQPKKEEFNIWSPLPVPDALLNVETQRMDSGVPSTSNPKSKPNLERSRSAYRFMCEIRRISELLARPHPPHPMFMNEQDCPFAQATLDSLITANRILLTSIVSFGHAAFPEFSGLTKDQQWPLAVNFHYRFRMFESAYRADRHFPEDPERLFGGYTTWFTHNYDERFFKDCQNTINVEQVKTSMHAFCKGRHQRMRALMRRLRLEETEFLYAISLMFWTTDCSDLPESVTLIAEKKRTAIMQEIHVYYTEERRLDNYAVRLGEVLTAVQMFDNKDLIRENYEFLRLMNVFSDDSFLSRLSREDDVKCA >PPA05579 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:241889:244155:1 gene:PPA05579 transcript:PPA05579 gene_biotype:protein_coding transcript_biotype:protein_coding MNNASSSVLSNNASSPLEAVSAMKDALVSTDTIYRPMKLYDIIENSHLETPIIELFPVGGMVTNCMLIFAIIRFTTHALGSYKQLLLIFAFYDIFLVSLHAVLKPYITSFYCSCNTVPFVLMIIDFLYRYWCIAQPHKISLFANWKFVVGIMIIPVAEYIIWFFVCTEMLTGQGPEEGKDLLRAESFHRIGKEIHEGWLVMNYWENDELNVRVVVALMIFNAIMIGCFTAAVVLGSMTYYHIHVLRGNSISAHSLHMQRKLFISVCAQTAVPLVLVYIPYICVLNLPVLNLPVFFWDDACMLLTSCFPAWDAVIVIGLMPDYWKGLLGIVCKRNKRPQKEVLSIPTGPVAPSRNSR >PPA05605 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:342364:342689:1 gene:PPA05605 transcript:PPA05605 gene_biotype:protein_coding transcript_biotype:protein_coding MYTPVLLVITPPLLHISLNLPFNLMTSLFVVFPFLNALVILIGVRDYRLVVRMFPCENSSCTTRIDLK >PPA05606 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:344933:347102:1 gene:PPA05606 transcript:PPA05606 gene_biotype:protein_coding transcript_biotype:protein_coding MGHREYNDLLLNDLTYIFQIFATSSAYVFNIVLIFIVQRHSNKEIGTYRILITYFALSDLYYNTAHFIVYPIPENYGNAFIMRGYGYYRELLGMGIYMGAYGHAFPILIFHFLYRLFAIRYPQYLAYFPAFLLALVISTSAMDAVWWEFSVFYWFFHPDDEIVTALTPIFNGTISMPILHTMHNAEKHSQALYWTNGTFNGPRWRNLVGVSLICSTMTGTYTFIVFCSYKISAYLKVRSKSEQSIRLHKQLFRSLMYQAFVPVLTAYYPAGSTVVFPVFGFSNYYASIVVPPACATHPLFDPLLLIFSISDYRRQRHRRSLTLRGHRPLRRSPETSL >PPA05565 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:180724:182792:1 gene:PPA05565 transcript:PPA05565 gene_biotype:protein_coding transcript_biotype:protein_coding MVCQCTLNFLGYSVLARIAYCIVVAFYNILFPYFIGTPIDLYKKAGAKWAVVTGATDGIGKAYATQLAKKKFNIYLISRTQSKLDATKKEIEAVAPGIGILVNNVGMSFEYPEVLHATVGGLQRLADMTTINCLPTVLLSAVALKQMSERKAGVVINIASFAGYNEMSQWNVYSASKRFVLHLSGILRAEYAAAGVTVQTIAPLLVATNMSKIRRASFFGPFAETFVAQALRTVGLLELMGLMPRFLRDAILKSTTETMRAAVLKRLAKEQ >PPA05540 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:74372:74871:-1 gene:PPA05540 transcript:PPA05540 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFGIFSNMLDKRMKEMQDVLASQHGDEGFCDDYNTQTQRVGPSSRAADVDSAQVRHSIPDLSHFSSIDERTERESHPKHVQFIV >PPA05601 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:325869:328427:1 gene:PPA05601 transcript:PPA05601 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNRTYLGAWFQAAGVIDRDVSRLHEALENKHLIMKQIQLPWRNARERVLMRAKNEAARFPLAYSCPSNRMLRTRAIRSRLEAGAGSGVSFPEFVYQSLQAYDWRMLAEKHDCCFQLGGKYNNKIGKSTGSGGTWLDGEMTSPFHLFQFFRQLHDTDAVMMLISLCLSEL >PPA05549 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:130280:132636:-1 gene:PPA05549 transcript:PPA05549 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKSFEYAHDDRLGGSTTTERTEFHMYSEMCRYAAAEPHAVASLLGGIAAQEVIKLATRQYIPVENTLVYDAHSGLTETFKLSRSGAFQSSKQWLQYIKKLEGQDSSYLR >PPA05574 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:227546:228144:-1 gene:PPA05574 transcript:PPA05574 gene_biotype:protein_coding transcript_biotype:protein_coding MYDFALGTFKRVLEEVQQGVGIVLPESFKEFTEAPKNPRPKGWALRSTKKTGRYDPVARKLVDDLIEQYFSNGKKLLPDEAEKRMRERKDILPAQRMTFDQIRNRITTLLSQKKEHQRKRDLAEEGISLDDIEEEVDLERPLDEDDLIITSDEIYDLVHSNMEFFDNPPSEPVFSDFGEFEQ >PPA05597 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:312834:314636:1 gene:PPA05597 transcript:PPA05597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-jip-1 MQCGWTDNSTIIGVKTVCVKGGMKATGVNLRSGQSGIFPSALVVEIDVIEEICQGALPTNTNKILTAERDTFYLTLLASIEVGHHKGNDVLTQAMNKVLAMYKRKEEIIVPQTVLMEISFRGIHIIDKKKKNFFQCPSFDFFYSLQNISFCGAHPKQLRYFGFITKHPLLPRFACHVFLSNESTQPIVESIGRAFKRSYDEYMAFAHPTEDIYLE >PPA05545 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:94973:96022:1 gene:PPA05545 transcript:PPA05545 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTKQSVAVVFAVIAFYHLFATFTFYLMFYPSQALREMIGPVYISSKAVQMICAFGNVHSVATVTAIVACALLIFNNIKVNSFSAMQTKQHYRVLLYLALQAFVPFCLVYSLALVCQITITTSIPPLIGAISVCESNQFEKIRSENIHNCSTKRA >PPA05556 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:152803:154702:1 gene:PPA05556 transcript:PPA05556 gene_biotype:protein_coding transcript_biotype:protein_coding MARFDEVLLRARATVPQQNDDSSEADSSSEPGPFIDHKSFYEPDRSNSVTPLLDRIRKGYSLMCLIRKSAELSMKLGFSGNHIGAVLKDTLNQTEDIAKIARGIRSEMLRELHVHYEQQGTADYASRVGHIFCLLVNCQNHSETIREHFQVCRLMNLFEKELTEAKKNMRIV >PPA05522 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:8724:9330:-1 gene:PPA05522 transcript:PPA05522 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQEKNDAVDRAYEEFAKFRKSINNNWNCDTKDDNVCRDSTERESDTVKLSTGAERSGEIIDDATQQLKQ >PPA05573 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:221844:225848:-1 gene:PPA05573 transcript:PPA05573 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALAATTAAQVGLQITGHVLSGGVAVLANIEIRNYTKEVITSKGVDIIHGEVAYAPLNVAPAESMQFVPLTGGGGTNELAIAFGRKGQSKSAIYGSANTTRHPVITIDVVPTDKNQFAPTLKKYTRILAEKDEFPPIGLLHLLDRLLKLPSDLAAHSDAYEMAVDAALHRLTSYTSIKPLTVQSPFPTMSSSRHRTARYRRTVSVITSVESDCQLV >PPA05578 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:238981:240736:1 gene:PPA05578 transcript:PPA05578 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLYALVEDSHVIAGFITNILLMYTIVKFSRKSLGSYKEMLLIFAAYDVFLVALHAVLKPRVVVVETTIFGVAADWDNRYITAFYCSCNTVPFVLMIIDFLYRYWCIGRPHLIALFSDWRFAGFLVLIVLFEYFLWYFVCTEVLTGQGPEYGKTLLAEETGRRLGKEIKEGWLVMNYWENDVINWRIFIALMIFNTIMIGCFSIAITFGGLCYYHIYVLRGATHSISANALNMQRKLFLSVCAQTAVPLFFVYIPYLCVLNLPFMNLPVFFWDDACMLLTSCFPAWDGIIVIVLMPDYW >PPA05575 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:229884:230621:1 gene:PPA05575 transcript:PPA05575 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNFAKDVAQSIAMDYAKEELKDGFRSKPNSFTKPAQHSSGEGYKVPVNWNANSNMSPMAQTFHTAMQKRSL >PPA05576 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:232391:233657:1 gene:PPA05576 transcript:PPA05576 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSYEVFDGAVDRGEVLTALDTSWLRVTDILFMEKEQKEVLTSDFSFYATVVLIGSHSPAMCEEYIDECLRRVCDDTSRVGLSYEDNLYSFHAEKALSSNCQLVEIPLHRGESTGIGWLTERIRNGYSYNYLISRNYSRTVMDAINFHLLSTYNMNNV >PPA05602 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:332314:333196:-1 gene:PPA05602 transcript:PPA05602 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFDRPGHSATLEKRRLRKGQEMSPYMPVSFTVSALCTYCQLKLTKECVKEGIEADASAMRERIRMVLDRNLLHFFDEARHLTIIAYCL >PPA05526 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:22204:22486:1 gene:PPA05526 transcript:PPA05526 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAFSDTKDLVLTQSSDPGERRHVNCNPWVYFAACASFLLFFFMLIFSCCLACGVGWHAWKEYGTEDVPGPPRLP >PPA05568 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:190049:191205:1 gene:PPA05568 transcript:PPA05568 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAMLYYGIAIYTALLVNSPVDQCGVTTVVHNSNMMSSNTTSIDVEPTTECRHLTTDNYIDIITTTMSEVPGTLWLTIAALFVCKGAIGSVYQTGYIYSSEVYPTALRAQGLGVASGFGRFGSMITPMITRMLFAINLMFPAIVFICAGIIGAVLSILLPIETKPVRAPSKCEKVSRFRDF >PPA05555 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:151128:152592:1 gene:PPA05555 transcript:PPA05555 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVHLIFIENKETKRAYIEFWMKRSMRIEVLTENTRDTGSGEIFISMECLICGDAIHHARMGVDACRACAAFYKYGLFI >PPA05577 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:236279:237466:1 gene:PPA05577 transcript:PPA05577 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSVAALAMGLNQATAFLVPTRHARVERCTVGVALGTGSMEWARALNGGWLVHISAQKKKRSEKFSLLCVAFATDLRAFFINHFVCTNLTSITLYLLLI >PPA05593 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:294873:295325:1 gene:PPA05593 transcript:PPA05593 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAYMRGEGSGRRGSDGSQEGEGLIFADSYPVIDTTDPESSLSHTPHGSDLDDDDSRPVSPTFDGPPPRPVAAMKR >PPA05569 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:191574:195590:-1 gene:PPA05569 transcript:PPA05569 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSIYGLLCALMLGIGQMCMFTGYDTQSFIVEPVLHSVHDRQPGLIDAHAGYYGQAVCMITYTLANLAAPWALGLLGSKLTLVVGSSFFTLYLLSFMFVHFVPFYLTAALMGVGFALYYTGNGLYLTEHSSRNTIERNSALTWAVGTTCMIVGGVVMLFTIKPPTEVNANLGNLTGAMNVTTADRDFRDYANSEIRLMYGAFAVVSFMSNLIFVFIPTRPVSNSLAAVNRRMERIGFGEQMLKIGRALVDHRMIKMAPVFCFVSTTTCFWIYVYPTTLAFTAKLSGYVYLPAYYSIVLGFGDMLMGFVIMALSKRIPNFAQMPSLIVGAVLYMVAMVLALVSTPAWSTNTPNDDPTMWLEPSFELALLIALLFGLADNCINTSRTVLCALCMPDQRAQVFSISKFYQSLTASILMFVAPWMSVPMYFGLIAVNGVAALVLYRRVANKTRRIERKITVASKEAAEASVHPAPIRATLGSQDKDLPIIDNS >PPA05564 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:177392:180002:-1 gene:PPA05564 transcript:PPA05564 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKRPAKPKKRFGDCQECLVCGGKTISAHLGMNVCRACSVFYRRSVGKRVYECRSNTGKCEVSKGATCRKCRFERIERLLATSGEGEGKKSTDDSSPDSLKELEGDADHTHRCEASSSSSANECATAQPRQIVERIRSSYKTMCQIRLTSELLIRPDPPHPLLMNEDDCPYAQATFGTMQSSNRILLTSILGFGKSAFPELADLSKQLQWTLAVNFLYRFGPFESSYRADKLFPQYPDRIFAGFTYWLTHNYDEHYFSDCPNPIDKEHVMSSMHNHCKTHHTNFRTFMRRLKLTETEFLYLSALLFWTTDNLDVPDTLSAIAAQHRAAIMQELHVYFKEELRMDNYAARLGELLTAIQMFDKIDAVKENFEFLRLVNVFSEDSFVYRVLRDEAPQLDA >PPA05592 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:288930:292240:1 gene:PPA05592 transcript:PPA05592 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGMEESPISTDEEEIAIERKLSQLPPAPERQMMMTKSRSAYSALSGSATTKPNFDCADVSSSEDDSDAEFHVHPSLKGLTKTRSEYSVPRIRAKPSDFELNLSRMLRSTEKFMKEETEMPVTSFSVSIDQSWPAPDEPRQKKRQEQKKPSISCWSSPPNLYETEPGDDLSLSAASATCWSAPDITNDYEEERESDEEDDEDEEESEDLPNVNQLT >PPA05547 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:99851:100661:-1 gene:PPA05547 transcript:PPA05547 gene_biotype:protein_coding transcript_biotype:protein_coding MYKWEDQRKYFAKRGSYHSLGTIASIPHLAKKNGVAIHSFSFSKAQNGKSSCDRVAAQVKRKLRDFVARENNIRNAKELFSAISQSGLKGLSAEKALGKLLPPKMEGISGFGHFVFDK >PPA05531 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:34181:34970:1 gene:PPA05531 transcript:PPA05531 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVVMLASVLVYLYVQRKKHQARANTYEEPTIVRVMNGIVIISGLIVLGFGIVWTFGAKPTFDDATKTTYCNFWVYYVAYASFVLFFVLLIVTICVTCGIACYEVCRKDMEQNQESKRNDKSIMFVGMINRSKSN >PPA05523 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:10455:13386:-1 gene:PPA05523 transcript:PPA05523 gene_biotype:protein_coding transcript_biotype:protein_coding MDILFVKAVLLISLLQCIEAYVFSCEEVKFKLLNTKLEFNTTYMCVFTQEGFSNWSQLKSIKFNSNTRSLYDIRNGCYTQADVNNGWKLTADASLKLNCNQEFTVIFSSVSRFDGDYILPLKKEAHYTMSSPAEQSSTRTIVTPQSKMWIQTESCAGAGSVSLSTGAGVSEGEQRYALRTWPCSALPRVIYSFDDVVTLTVDTGVTYRFSTSSNMGSSSRPPISKVTRGDYLAVLTSGHSNDVQNLKGNTDSRAEDVTVVLDVTLDPVNTGSVQLQKRRGGTTTKYTSGTKTEKFSTDYLEVRYEPSALQPQSIWRSLDNVLIDVYIGVNPPPTQPTTTTTTAAPTTTTTTPTTTTTTPTEAVTTTTQRTVEACRLCSQKLIAITADGVGAHAFQSDVIDLRGACAVRTMTCTGANANIELNDGLGIVDDGPDGVARLILQCSEDGTAWLDAGVPITQVECASG >PPA05591 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:281515:282176:-1 gene:PPA05591 transcript:PPA05591 gene_biotype:protein_coding transcript_biotype:protein_coding MIKYDFAIATKKDSELSGRVAAAVEALNRTGELERLRKKWFEDRGECGGGKVWVPPSVVSRL >PPA05551 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:142144:142871:-1 gene:PPA05551 transcript:PPA05551 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLRPLARFHGCITCCATIAYLGYTDYRQAVIAMLGLSKKPKKIEIAQQAEMTTSHQKSSI >PPA05604 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:338150:338730:1 gene:PPA05604 transcript:PPA05604 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVARLLYIPCIYALHNEIGLSCYRIMLWLAIVDVIAILCNSICFGFFLIEGTVFCSRPWSVWIVGCVGLGTEPQTM >PPA05544 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:86886:92008:-1 gene:PPA05544 transcript:PPA05544 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIVCLLLVGACVEAAIVFKNSYLIDEKDLQGTGNSYAFKCDNGCTVYTTKMSSVGEVAIYSAGTLVATLDSIYIKNADNFLQGYTLKAGTDYKLMKAGALNNANNPVKVYIVADGAGTGAVTDFDSITNEIDINGSGKKTVTVLNIESSGITFSGYKGATAPKIYSAGFDSLDCKPIYTADSVENAAASKIGIVSALTTIDFGSVDPSNKYHAAKHVASANTVAVGQSAVVMSPGYTGCAVEHNYNDFAMASYDFKSSNSNTKAWINFGDISITAGHELTVKVSGQSDLKISSSSVKNYAYVADNIDVNVSWDQKAQNDYFFAQIDFTNDAVIEFENSYLFDELDLRSNRYGKQFICPGKGCTVYTTKMTSAEVGIYMADELITTLDLIYKKQDGFLVGHHLSPSLDYRLKKTGDGNMNSIVKVYIVADIAGTADVMDFDSDTSEFDIVASGKQIVTVLSPTAPKIYSGGFDAVSTCIPVYIASSIENAAVSKIGVVSALATVDLGSVDASNNYHVTKFVDSQSTVSIGQSVVVMSPGCTGCLINHNYNNVPMTSYYFKAENETSRADIDFRDMDISQGYELTLKVSGQSDMKIGGSAVFGTLISTLIAIITALL >PPA05538 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:68068:68864:1 gene:PPA05538 transcript:PPA05538 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSSSSGLPLLTILIGVAFASGSSIPGNAFSGLQRREDQTQQSDERVCEVKRPRPVLRILVGARYGPMDILQEIDEAAEVLSGVKGGVDGVPDGEVDNRKEVLPPTLRPDGTTLPAGPSSGVWGGTGYPYQGGWTTGGYPGYPGGYHNGWWVGQGAYPNGGGWDGTAWQGVWGSGYPMRGGGWSGLENYWARSGYANPPGAYGQQPRYGDVFGNRGYGAYTNFVV >PPA05603 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:334946:335702:1 gene:PPA05603 transcript:PPA05603 gene_biotype:protein_coding transcript_biotype:protein_coding MESDILQICQIVISVLAYIFNFALIAIVHRHARKEIGKYRILITFFALSDIYYNTVHFLVYPVPENYGNAFFMRGHGLFPDFLGVGLYMGAYGHEFPILIFHFVYRLYAVKK >PPA05566 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:183165:183662:1 gene:PPA05566 transcript:PPA05566 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFFTTFQVTDNVRLGIEAASDEQVRAACALANALEFIQDFPKVRKTPFKTGNMNSIQGYDTFVGKKRLSLSGGQKKRIAIARALVRDPKVIILDEATSALDTQSEKVVRVALECSLRGRTSVIVAHRLDTIRHCDMFQRCQLEMRSTTI >PPA05598 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:318278:318727:-1 gene:PPA05598 transcript:PPA05598 gene_biotype:protein_coding transcript_biotype:protein_coding MLELMGPNGGKRTLRAKKGGFLTEIVTPGGYRTMSIVRSTMDGQHFTVEQINDYEVALRSRDGYYMSHQHLDFAGSVSVVKEPEILTPVRNSDGSWSFKSRWNKWMSSKRTAFSPDALFESENTDHEQWWLEPW >PPA05530 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:33634:33919:1 gene:PPA05530 transcript:PPA05530 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDIVLPAWIESTARKTEMIFNAAAVPLAVTTLVLGAVNLNNCPVQPGIPK >PPA05599 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:319422:320794:-1 gene:PPA05599 transcript:PPA05599 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLTGMVDISLLRIEDADQELCKKIHVLECECEMNPNVTDEMLGDETKLYQQKEQELQDSGEFDTTDDFTLIIQPFFEGVKDVGRNPDGTPNMDFFAPDCFHFAAYGHAITARALWNNMMQPVGSKTTANFTDNGEPLLCPEPTCPFIRTTKNSVDCTKYLTN >PPA05546 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:98341:99220:-1 gene:PPA05546 transcript:PPA05546 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNTISSTRRRTQSEAQNGKSSCDRVAAQVKRKLRDFVARGNNIRNAKELFSAISQSGLKGLSVYRATVKREKTEKAEKALGKLLRPKMEGISGFVHFVFDKNTIRVWKMNGIGDGRLYTDLSGFTRVLKIEEEGGFLASSESSKADEASIQKGDNPKRFWTAYLTKKDSNEEEIDDVDEIDDHGHEMSADTKEAKGLFTCQECSSSFINYGNIIRHMEIGRHRIRPEKIHIYDYALGLFKRNLEDVQAHNNVLSEVSQAMTEMSHGADLSSKAG >PPA05557 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:154790:156196:-1 gene:PPA05557 transcript:PPA05557 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDATPMYPATFTSMNHGNRVLLTTILEFASASFVEFNTLSNDEQWKLAVNFFYHFRAFDSCYRAERAFPNDLNKTFGTFTTWISEDAVDGFFDNMPNAGDSHEAKRVMLAKVHTRIAPARAAMKRVAPDEHEFLAMAGIMFWTLEGLIARDEIITNGEEYRKEILRKLNAYYRDELGISDYVARVGELFTLMMHFEKVQDIQDQYEMLRLLDVVGEDNFTYSLQR >PPA05552 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:146675:147097:-1 gene:PPA05552 transcript:PPA05552 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIEKKQVEKETNFNAQIALVLLSILLFLLILRYLTFGGRALFCQHWCLQTVYWNPRTMDQNGKNFGHHKETTKC >PPA05539 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:72533:73242:-1 gene:PPA05539 transcript:PPA05539 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVLLLSALLIATVFASTARSSPLHSILLPSSGTPIPIRPATRCRPTHHPLRHPGYRVYPPVVVQPLWYGYAPTPHTFDVVVRVVAWVRTKSGREHLHSGVDFAVRDVINASVDAVERRINQ >PPA05529 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig137:32141:32504:1 gene:PPA05529 transcript:PPA05529 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQFGTSPTFDDATQPTYCHYWTISRAHFAYHCWNSGSDLRSGCVRSVHKDVKAEERQSEQDKKERA >PPA05561 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:162128:163673:1 gene:PPA05561 transcript:PPA05561 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFELCQPGSDLRMSEEAAKASGDSKPAPNEMTSKDYYFDYYSHFGIHEEMLKDEVRTNTYRNSIYHNKHLFKNTVDLV >PPA05521 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:1765:2829:1 gene:PPA05521 transcript:PPA05521 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTDIVTDKKVICAYTSFYALTFVMIDNNFLYRMFASIFYLYVPTDSGRARLRESALAEYGIDTTVRVMVMGDYYLNAENRSFQWERRT >PPA05570 pep:known supercontig:P_pacificus-5.0:Ppa_Contig137:197191:198009:-1 gene:PPA05570 transcript:PPA05570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-glb-14 MQSLVAAETARLDARNRQLIRDSFEFMRAEKNRNGLGIFIRLFAEFPQYKNIWSNFRAIPDSSLISSDGLKNHASVYMAGLQHIVESLDDDATLGEAIQRIAMSHAKWKIKKYHLEVSNSIIN >PPA05693 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:399818:403476:-1 gene:PPA05693 transcript:PPA05693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sulp-7 MSSIDQTLESSSLVGTTRRRSMAGKIIKKSAAAVLSFLPILKWLPRYSIKKNLLNDVVGGFTVGIMHVPQGIAYASLAGVRPVVGLYTSLLAPLFYMIFGTSRHISIGVFAVVSLMCGACNVRVTDEFFAESSSNYTDEELGEAKLDYALHVLSGLGFVVGIIQVVTGFMLGASFHVFVAQLDKLLGVTLPRRGGIGKLFMTLSDVIQSLMALEINLYTTLIIGTVISTIFDFNTTLSMKIIGKIPTGLPSITPPDLTVIPHILGDAAAISVVILVVSISMGKVFSKKHKYEINVRQEFFALGLVESLGSFFPVWPSSTALARTLLATVFSSFLLLAVLFFVGPFLELLPVCLLSCVIVVALKGMFMKLVDIPALGWR >PPA05645 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:153531:157155:1 gene:PPA05645 transcript:PPA05645 gene_biotype:protein_coding transcript_biotype:protein_coding MERNLNEGQQVNGDAIEDPFADFPEPPADIGGWNDNAFMPIQDQQFFPPIGDFNQFPQYDQHQAYQQGYGNYYNQQPYQDPNAFYVPDLRPMPFQEQYNGHRHEQYQPFPDQPVAQVPQDFHQSQALQQPADGDNRIQHSPNRDPVEQNPPQQFHQPPQPALAETVVRTSPQDKPTPYPKSKSAENRQPSSPHSPALRGALTPTLPYENPMQRMKELTRQSYGGSLAASAAAAEAAEARRNSPQRHQKKEGAEMTVKDALQKHYQEQKRQKKQLRDQYYHQKLPNTSPIPTDLIFPKPIITEQGAIPILPPIETPKISHVENTSRPNGDVVTLLNTGTPLNEYPTPPSTRTDNIPLVHLDSSDDHNTDEEDKISLITELSHPNTPEESLAPLLTEQQRKDLNNKIKEEARAKTRSFMLLDAIKGIPMTPYERNLSHFDWVSLREFCPLKHMRRRRQGLHLGTFSLIAKDTFNLQEDISAVRTDLPSNVTRKRPLEEKEGTKNESLPLKPGPRMEMNENGEMVERVYVHVHHANVNDPEYTENGVIMLKEEFHKQEKRPDRSLAGRKCHD >PPA05646 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:159169:160858:-1 gene:PPA05646 transcript:PPA05646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:H3EZY8] MARTKQTARKSTGGKAPRKQLATKAARKSAPSTGGVKKPHRYRPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSAAVGALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >PPA05650 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:183955:185247:1 gene:PPA05650 transcript:PPA05650 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVPLCLSFPYCTVYVLGEAEETSAPSSPDIQEYPGKENSKIKLSIDCREDSDDSGMSSITSRKSTRIRGSDDQSSSSRTGEKKATECVVKSTDMPEEMQRAAMQVAVDAMSKYRVEKEYK >PPA05658 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:209816:210751:-1 gene:PPA05658 transcript:PPA05658 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKNRSRRQQGNVYVFDTPPPYPGIAPAQPYTPGMPAPAYPTGSAPLPGYSQAPPPPQTYPLPSYENVPGNYQEAPPLPQKH >PPA05689 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:372434:373030:1 gene:PPA05689 transcript:PPA05689 gene_biotype:protein_coding transcript_biotype:protein_coding MERHLKRAIDKEDAKVEPKKTKTGDDILPEISIDEDISIVEISSSRDVPVLDSFCRLQINPDLRGEKDAVMARVAKDNNIKKKEQQ >PPA05615 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig138:19220:19704:-1 gene:PPA05615 transcript:PPA05615 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISIVLLSLLAIQSSHAMINLRVARSAGMTLSTCHRSVITERCSLTGYMIFDLRSDFAACVHSSCSDCIIQSRTQSAFNGFDMHCIRLTACVCSIINDASCYAMTAECH >PPA05671 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:260746:266388:1 gene:PPA05671 transcript:PPA05671 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEMGIASLNDDRWTERITNWTPLNKKRSIGRSKTRWRDEITLRLGPNWQSEISTNPHSHRCKQTKLVKEDGEENMDPDLPSVFADSMIDHGEDGTINQGNTHAARGQEKRPWINRQFIAASIARRQKSSSTTQRRETRSLSRRKTRSTRRIIAATFIPIRKIQKMRKEKTNDDTKRKTEMEKAEIKEDFLRRMEARNKQLIDPKVFLHLVKLAKNPTRRIDLFPKMPKDDKSTMWHISSQLATMEVFTKKTVTGKRRKPMNIEDRKKISWDKERIRKLILNDFSSEASLKYEELSPKVFKEGSANVKFIDATTTQQSSQKTFKPPYKHIEKTVDAANYRQDKNWQEGKEFQISCECNPREGGCKIETCPCMQASVAVNNRVVESRKTKDNELHAIVECGGHCLCCQNGTCAASRIQFKENDIDVVLQNEMGFGFRALVPYQTGDPIVAFTGIRQVGVDGEEALYAYTAANLDFVNGEAAWMRKKSGIKDRNARSFFINPKKKGNCASMICHSLWANADFINIYRGGMKYTDPECCIYAKEPIEPGQFVYLSYGKSYGIPIGKCRCSRSSSHEAPG >PPA05698 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig138:419561:420360:1 gene:PPA05698 transcript:PPA05698 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLNSQRLLRLCSSKDERGALKLGDSKRLNSSYSTQYKNSYLAIDEFMN >PPA05663 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:229162:229517:-1 gene:PPA05663 transcript:PPA05663 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAQLVIYFIYILIHHIIKFGERKRQALAAGRRLSQSLKAIRNRRKTRKK >PPA05669 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:246728:248957:-1 gene:PPA05669 transcript:PPA05669 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLALLPLFFALGLCGVLPHTKFEKEIEKQIDVDEFKKDMKILDAHLAVETDAERAELQNLLAAVEPVPSLEAEAEKDEEAVNADNKADLFEGDIVLTNEQLSYVMANETERAKRQASMNTAIWGTTPIPFSFAAGVSDEVKGIVRAATAFWTANTCVNFQENGAGENKILVVNKGGCYSSVGKVGKTQELSLQNNGCNSISTATHELEHALGVYHEQSRADRDTYVRVNKDNIPTQNQHNFDSYPTSSAMGLPYDFGSNMHYTSDSFAKDYKIPTLIAVGSYADYQNSMRGHMPSFLDILKVNKYYKCDQKCSNKANPCKNGGYLDVRNCAKCVCPEGLGGPDCTGNPDGCVVELQASTSYTKRTIEMGKYGQPAQLKYENCAYVAPEGKRIEVVFDAIYSGYGSGCRMGGIELKTKTNMLQRGFRFCETPTKKSYWSDTNKLPMLIR >PPA05641 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:135609:136670:1 gene:PPA05641 transcript:PPA05641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dct-1 MLPPGKNTDWIWDWSSRPEALPPKCVRMRQYGSNLTTPPNSPEPELLAAFPIDRKDRASYLSMNMFVGFIVSNLVTLVIGAAIGFAVCRRLAKQKEI >PPA05617 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig138:28766:29408:1 gene:PPA05617 transcript:PPA05617 gene_biotype:protein_coding transcript_biotype:protein_coding MALKKVIFFLALASMSSSEFIYETEYSILEHEAQSCPLRLIRLPKTVGEYYVHRIKDNIRECLPYTCDTLRSVETMAEIEIDTVDGGKQWIRALVPNATSCYRRIKYYSSHA >PPA05619 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:34980:37205:1 gene:PPA05619 transcript:PPA05619 gene_biotype:protein_coding transcript_biotype:protein_coding MKYDNRILVTEPRCTAASKYIRIPVNLTDGSPMYIVGRCSRTKSCLGFRVIKETSKLDESRLIQDALRRNNSQQKIVVHSTNDNEQKTIDQRSANMLAVPTNQQKVNPVSNDSLGISINVSQLNKEGGQLYNFDQANMLVVPTNQPNAIQESKDALIISPHVLHTELEQVPTLNATVSSSVPELKRDPEKDERQIQDKTVGFAVLYDQSGGQINNFEDNALGRTSETEQGWSDDKRIEEKTKWNNRGEEYINVGLPIVLLALITDNYFVYWYRKPNLESM >PPA05649 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:174505:177207:1 gene:PPA05649 transcript:PPA05649 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLGPLVRSTQLLSSRLISDTAASSAASTILTDSVKEAVKPPPVDSASELSRESQLRIRREAIQAAIREKAASSLLPPFEDNFGRKHTYLRIALTEKCNLRCLYCMPAEGVPLTPKPHLLTVDEIVRIVEVFAAHGMDKIRLTGGEPSVRKDFTDIVRRIKAVEGVTQIGVTTNGIAIGKRLQELKDAGLSQMNISLDSLNPEKYMMITRTNGFKKVMDTIKNAEGIFDSVKVNVVVMKNVNDMEIGDFIEMTKDRNLSVRFIEFMPFGGNKFTLNKFFSYKDMWARVQERFGDKIYRLVDTPNDTTKAFRIRGYKGEFGFITSMTEHFCSTCNRVRVTADGNLKV >PPA05694 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig138:405212:405788:-1 gene:PPA05694 transcript:PPA05694 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLWNEDVETMNIIITFRTGNVSHFLCMHCEGSFHFFEAEIGCIVSATSSTMVPVIIDLNPIPIIMSPWECLIVNDSNKMDKSK >PPA05675 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:295554:303154:-1 gene:PPA05675 transcript:PPA05675 gene_biotype:protein_coding transcript_biotype:protein_coding MYPRECLKHPWIADTRASAAIDTLLAQPTIDDGATQLSNKQLKRYIVRRRFRKLAFAVMCYVDFRKILIDLRRRNSVKGEEFFAAAKVPDAPPSEEMGSLLAKKTKEEVKTPLSDPSTSDKRETTTSDEGDEKVVVKKKKKSSTTTSSGAERPTKKKAKKEESPEEVPIHSPSEEELKDKTLQKKRLKKEATAMATSSQEKPAKIVKKSSSDEFKARRRVSNSPSPSKVGVIPEERPKKKSAKPASSDSGVVADSKRRQSSMDQESVVSRKSQTTLSPPESIAEKKVKKTKKSSSAAVVVENGTAKQSNKEGEKSTDKKAKTGGLVAARVAQASRTESSPLKMPVIHIQPPTPSTNTIAEQKKKIERCASPLTIKIPSPSSSSLASPLSNAPTSGISSPSTETLQVEEKRKMEEEKPKRRVWKQEKYSANEASESRRSTEVIAVPKNQLPPSVETERAAAVKKRALASPLAERIARMENMAKEREKEQQEKRGRLKYSRSEEEKWIGLIIDRENSSSSSMKKESSPAPLSKISIVTEKAATTTKTTTVTTKNKTEEKEAGSTVTTNKNKIEVRDKTEKETQAVKIHQTEVKEEKSQCEETPKKSVKKTVVKKTTTSTTTEKEKKIKGRSESIESSMSTLAKSDKNEVELSSKHVANGHTVSDAELKLGTETKRKVKVEKNEDGKGRMEATEKDVASVQVRDKETKEKVKKTTVTTIVAGDERSTSSESTPVKKKTKPKAPKEPSPPLVEYPAGIADDIMRFKEAKARAKKKEEDQQHRHVRFADEPAPEPLPEVKMSRSTRVEHSSEEERRNGMGKTRRKSSFFEITEEEKGQLETVKEDFSFANLRQRLEKQLSKKGSDDSDEETPVETKKEVIVCPSTNSLLKKWKNIEQSNC >PPA05621 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:44033:44517:-1 gene:PPA05621 transcript:PPA05621 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKTSSLLLFFFALLLIGITSADGQFWPDPVNCRLRNVRLPKRLGGQMVYKIRQNVMRCPYGCFGLSSEMVSAEIEVDSEGGGREWITALLVSDTSCALDFKYT >PPA05660 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:213136:213929:-1 gene:PPA05660 transcript:PPA05660 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNTSTTPDGRGVLVYNGELILLYTKNVVMSFDGNVQPYFKGKKEGCLYLTSHRIIFVSGNTGTPFRSFAMPFFGLQEVKLEQPLMGSNYLKASRLL >PPA05648 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig138:167110:167804:1 gene:PPA05648 transcript:PPA05648 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDTVADLGMARSARLGGSVAVLSGVGEHQDLHHLADHMVDHVGDLLPIVLGAAKVGSTSTPLNL >PPA05653 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:187702:189139:-1 gene:PPA05653 transcript:PPA05653 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLYDLDVFYIRPLSHQSYYEAFAEELLRTHTVIAEEQKSLKEKEMLIMKNQWAIGDFACYVHLEKKKVLKWYEIPKTDKPLMWYKFLEIQNEVKKEDKAYRVRILSVRYGIEGMEYDIYSLDCGFIARGIPYENLCHFTLPPAIFPREIDTEVAMTDLENSLKS >PPA05666 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:236897:238133:1 gene:PPA05666 transcript:PPA05666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ttr-36 MTTGPDGTFSLQGSAMELTPIDPEVRIYHDCNDYGKPCQREWIIRVPNKYIYSGTVAGKAMDLGTMNLEVELEAESQSCLH >PPA05670 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig138:249917:250791:1 gene:PPA05670 transcript:PPA05670 gene_biotype:protein_coding transcript_biotype:protein_coding MRDCDKKISDDCERYFTVEFIKVYIMGIVYIVIAMIIWAVYDLVKWMWPKKRAVWVPPIQYSPYPRKMPHIFRVANRPFKKEAIEPVDLGLSNDDIAYIDETDHCDQSNDDCNSVVTTDIVDTHL >PPA05686 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:352372:354646:1 gene:PPA05686 transcript:PPA05686 gene_biotype:protein_coding transcript_biotype:protein_coding MISTVKADFFPVKLEFMRNYRLPVSKEENENLGFDDPANMPTWKALRRTSAAPMFFSPVDDKYIDGGIIANNPTLDLLGEVQLYNGTVQYMNRGDEAVEVGVVLSLGTGQIPLTTMDPLHVELTNPISSAFAFKNLSLILVDQVTATEGAPVDRSLSWCNAIGVPFFRLSAPLHKDIGLGTKDDLDIAGMMWDCIEYTTLHKAYLERLCKILKKIGKRVDRDCAFSDGKTRSMQTQTSTPSTPLSSPDPAPPASTQI >PPA05678 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:323567:325259:1 gene:PPA05678 transcript:PPA05678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lgc-52 MDSLLDGYEGLAGQFGNIVKSLPRASYVKAIDIWMFSCVGFIFFSLVELAIVAYNDKITDQRNRHSKRESQDTMMPREENVSFSNGCNGDHRCTRHARFTRRKKPRNEFGARVDRAASIGFPVLFAIFNLVYWTYYLSTPSMPSQAL >PPA05665 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:234589:235280:-1 gene:PPA05665 transcript:PPA05665 gene_biotype:protein_coding transcript_biotype:protein_coding MHWQHRTHLNPAIITSSVREEEDEAPCPRDESMSPPPVCDTNDLVAEPLLRMLETVKAENATLKRERILRISTLR >PPA05628 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig138:73147:75578:1 gene:PPA05628 transcript:PPA05628 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARKVPIKGATKLSGKATETKTKGKKSSLFTKACTCKFCKKILSTPGYLRRHYGTIHKDLIQPSPPGSRHHYCNLGLNRTQHWPVEKWPRGVLSSIPVDKGLQATFL >PPA05668 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:244729:246041:1 gene:PPA05668 transcript:PPA05668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ets-4 MQSGCLTAADNGMMVKQEWISNDRLQNDNNNYCSHQQPQQQQGLGGDQQHQQMMYNQQMHHGYYHPQHQQQQHHHQHPNALVTQQQQQQHAMVPHQQQLMPGPPQSMPHPPQQFFPQFPVANSVPTDSESDGDESEFRDCNTVPRWHLLIPAIRLACDPEQCVPRLSFMTGKICGPNLTISVNEDDDMSLLPPPPYMPEMMQQSLPRQPYHRTGGNVHLWIFIRELLECPDQYGGCVRWVDRLAGTFKIENSQVLAQFWGIRKNRSAMNYDKLSRSLRQYYKKGIIQKPEKKQRLVYRFLPPYNL >PPA05661 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig138:214973:215617:-1 gene:PPA05661 transcript:PPA05661 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGDFEIDYRFFPEAVEDMTKLKHNLFYRAGIASLETYFKDLQELIHPLVAVLRSKAAFASLGDASLY >PPA05639 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:123638:124805:1 gene:PPA05639 transcript:PPA05639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-arl-3 MGNINLNVWDIGGQRNIRVYWRNYFDQSSALIFVIDSVDRKRLEEAKNELIDLMDEEKLRGCPILVLANKQDLFVAMKAHEMAEALQLTEIRDRAWQINPVSAVTGEGLKASKLGEAIDWLMGTLKLNPGPSTSKK >PPA05642 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig138:137997:139741:1 gene:PPA05642 transcript:PPA05642 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFAIVALFFLGITFGGIALQNNEAVTDSSNKEPQSKSNDTNSNKKPFVEQSQGLLHRLTVQNEIAASHPIAIHHHHKKILYEKDGVQPWLGMDDCPYSERSRCELVYQVVAMLENAPEDDEFENFKVTIPKGDGKLFHRVEERIDNIIQYIHADGMDRIKKKLYTSRTPDNSNDSLFKYLLKQIRGPEPWYKPELCPFGSTKRALIYLLIRELDRLEGEENTSKFCFVLHESNGEIVHKIAARINFEMNYR >PPA05662 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:216597:224539:-1 gene:PPA05662 transcript:PPA05662 gene_biotype:protein_coding transcript_biotype:protein_coding MVESALYANHSNRSSRAGTLEHKSRASTASTIKEVQPTILDDATMESIFNSITLPDVEFSHKQAEMSVLDHFDTALANESSQSYRSSVSEVPSPVPSSLAGHVVAEIVAHRVAEHVIKEAIIDHAIHQHEREREALHELARLKIEQEAMERERERAAELMAELEDQFEENMTNASRRSSVYSHRSFGNLSPRHRSMTVDSLASLAESRFGQIDIHDDNDEYTVFKAEELDLHHNEVAYQRVKKPKTFSAPIPPLARRPNEEDVLQLEAFNHKLTQARQEIAFLEARIAEHEALRAHRAASIASHRHSYHGSTHHDKWASEVDAIYEEDVGVSPLARHQIEHGTELARVGNRTLIIPAMHRVESFSTQSIHKYIIGGEAEPHADDKTVLLFGPKGSGKSSLINSMLNFLYDVKRENKFRFVVSNGIEATKGVNVYVFNNTILPFSVTIVDTPGVSNEKTKGSEPTDKTCSTLIKTWFEKELISNGKFRLDAISVVLRNAESRLEWPFIHELAAIKRMFGDDLKTNVLPIITNTEVLPQPVAVRALASANIAFLQYYKTTNVEMCYLFFCTESTPTCYEERQLVFAV >PPA05695 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:406380:408820:-1 gene:PPA05695 transcript:PPA05695 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNDGRQTVYFLCKRGEGEMDCPANHRPFNRGEVYCAIVGREHKSHRRVQIAEIGDRPLPMPEGIHIKNISSAPRIIVAFEVTRKNSDGTFILTDYTFVGEVKSTKEHFIGEHATLKLAMRLPSVNMLKLREISDNWNALDYRVLRNCDQRRDTLQSTLADQQKEMRCSIETMERMNNRFNEEGWNEMLSKTRDLEEEVDELRRENNRTLSLNKKMAKDMIVLEKELEGTHQLQIECEKLKNLNKELREQLTIATRLNDGCYNQKRKDEQSIQEYRQKRDKEKARRIKAERESMELKKENELLKTNKRSLEEDIEKLMQWGADSSNRHNRDLCSGDNEEVKQNQDRPSDGNRVKRNDMERDVRRMGGDWLGWRNHEKSHDYSRSGRDRDSSKDELRERR >PPA05657 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:202115:209602:-1 gene:PPA05657 transcript:PPA05657 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRKKKGSPNDDDDPFYDGFVQNQHKFFTMFSADDLETDPQFEDLDVDGDLLTEFENIELQHSNAPQAVGSGGVSGSTDMEELLSRFDQILFDKEEIGPSCSFNNTHASSHGNGSTSQGLASTNGSNSHNPPSFLFDDLISASFDPISYPSTSYAASSLSQLSIPSFSRSPFNRVPSSSSNVSAEVNSSRDQLIFTPSSLLPILDGLNTPVPDGFHSWSTARLRDFVIGLLRSVGLPEANAILYLRRHAQMSTGADQPSTSTAAVTSAALTQVPHSGEISQGSAEVEYGRSISSFKSTNEETNECERNLKLQRSKIFGRLSICNDTRIAEHPIPLLHSPIRRQDITDVIIAPVSQSEAHASHSPLEIPSTVSSASIPSSSFQQSQSTAHFDVGVAGDVYKFDSSARTLNNSNDDWKSNLPQTREEFDSLFAVQPMNDKSSHSDKLVQSQSSATHASLQHTVVSRPTEHNTLSMPPRIQHSGKIDDIQEMLSEIHSATRRPLSHANLPPRIATTVSPNHHSPTSGMTVTQSHPPPNYHLPPISSFWSPPLNGTNLYSLHGDQIPINTSLVPQSQSDQYRMDHNLRRMPGPHIMFTSVTSLFSPTVSHGANRPIFSHSTGAISPAAGGNRPFTAQSTGQSAHGPTPITGQVKRKANGSSTVQTLPFSAGPLPSSVTMAIPASSSLPSAQVSSAAAKEASEKSQGTQMKEAVRAPRRVQKHRSYFKHVFFNITTVDRIHPVRPHEVAWMSQYHTIILTIPWIEVRFSRASGRTKLAQISVIIVAKKDDESGAIRIGLRHLVIVPQMARNVFDNCLISRMTLIVGLGQQKTIQWEEKEEEWPNRIRRMLRIMKEKETNESMYELWEQEIIDRLNEQKR >PPA05616 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:25369:27666:1 gene:PPA05616 transcript:PPA05616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:H3E7C9] MPEMHANAAGPIGNTPLVILNRISAGLPGKIAVKLEYLNPTASVKDRAASAMIENAEKQGLITPGKTILVEATSGNMGIALASYAQIKGYKIVLLMPSTASLERRALMLAYGAEVILLDPSVKGVAMLKRAQELADSHPDFFWLNQFDNNANVEKHYNTTGPEIWRQTEGQVDIVCFGVGSGGTITGTGWFLKEKKEEIMSTYNYQVQVVAVEPFESSVISGLEHSPHKIQGIGAGFVPKNLDVPIIDEIIRVTSDEALDMAKRLAKEEAIMGGISSGANVFAAVHLASKEENRGKLIVTTINSNGERYL >PPA05638 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:121493:122845:1 gene:PPA05638 transcript:PPA05638 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKNENIIRFDVGGTPFAILKKTFPAGTLFYSWFVSCTQKIPFCQLDKGAYFVDRDPFSFGVILNYFRLRHSSQLWEACLPKDPDRLAMLTQEAEFFRLEQLREQAICLLQSCSEKSDTAYVNEVLAKSASCPQGFKHILSSD >PPA05697 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:414770:416406:-1 gene:PPA05697 transcript:PPA05697 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYKKELQGFFSIPVDNLRASHLIIPFIKQNISDFKNAVIVAKNPDVLPKAASYSEKLKCGVAVIHGTYACDRQAATELADAESGSKDESRTALHPPLSLVGDVSGKIAIVVDNIIDEAHSFVEAAELLKSRGAYKVFVVGTHGLLSGDAPSIIEESPITHVIVTNTVPHDLQKMRSHKIRTVDISTLLCEAIRRIYHNESMGVLFRDMQES >PPA05672 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:267501:269746:-1 gene:PPA05672 transcript:PPA05672 gene_biotype:protein_coding transcript_biotype:protein_coding MYFHIVSRMTKYKLYYFNLRARAEVARQLFALAGEPFEDIRVEFEDWFNTIKPMGDMPFDALPVLEVDGHKIAQSITIARFLARQFGFAGKSVYEQAVVDSIADQYSDFFPHVRPFLRTLLGFENHDLDTLLIEKVRPARDEFFGQMVKMLQASESGFLVGQTVTYADLLLAEHVSTFKQYDPHYVRDWPEIQDHWAKVRAIPNLSKWINDRPETIY >PPA05667 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:239033:239806:1 gene:PPA05667 transcript:PPA05667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ttr-35 MKLILFPLLIMAAFAWGIDIPEQFTGEQSYRVSGVFMCGDSPAKGVQVKLVDDDFGPNPDDEMDQTYTDDEGRFVLSGRENELTTIDPISRSTTTAMMDSSTMKTKPCQRRWKFELPNKYITKGTHPAKTLDIGVWNLEAKMPDESHDCLH >PPA05702 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:460278:461744:1 gene:PPA05702 transcript:PPA05702 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQSKATHSSIKTEPTATSVSSTQRDEYNIGRNQDGSYDVQLLGLKVMHGEVWVHLHYIAANTDEWKEERRYEMSNHANKRALTEYIFRQLNKKPDVRFGPIIDRYLQGCQVNVDAMRVAARALYEGRQQNHTGTNEAIKNTGAKMSRGAKKAANDVKEQPPKDSNGTGGAKKTSNHAKEQPPKDSNPKPQKQKKMTTVKPSQQESSQGSNKTAENREMKCRKGSQCRSKDSKYRGPERKYQDVSHSTYAKNLYG >PPA05679 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig138:326584:330381:1 gene:PPA05679 transcript:PPA05679 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKVWTLAYWTTSATPQTCVPKMNPGWYVLSVVQTTGAAIYGQALAVVSVSETQKMVHVHFRGPDAITTFIFSGYTYLLKSVYETVQIEGYDVVAEHGQTFTALWQAGLRKAMSDAWDEYCDFPILISGHSVGGCPAQMLALKMKRSGMWDYSKISLYSYSAPRCGYQAFAYAVNQAAQTRYQIRLPDYAIQLPAVTCTTDNPAAPQQCFWHAGYGIQYAKSFLWGTYSGPTRCATGEQAACLAGSILNIGNQNGFYSLTYGFAPPTC >PPA05636 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:114188:117106:1 gene:PPA05636 transcript:PPA05636 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVVALVLVALAVSAEKKKKDDPSNGFREDINWVSGLEQAIGIAKDLNKPIFLLIHKTWCGACKNLKREFNSSPKILDLLNLTPEFVMVNTEDDDEPQDAKFAPDGGYIPRIIFLDTDGNPLKTNNEKRYKNNKYFYPLVPQVIEGMQRALEEFKGLNGEDKEEKKEEKVEKKKEKKKEKKEEKKDKEDKKEEKKDKEDKKEEKKKDEKKEKKEEKKNDEKKDKKDKKDDKKKEEKKEKKEDKKKDEKKKEDKKDKKDKKEKWVEKSRQFVHDV >PPA05610 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig138:1579:3141:-1 gene:PPA05610 transcript:PPA05610 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMTHRVLLAILIFNNSGGAQNAETPPEVAGDKDIQAQLWDYYDKLIQGTQHVALTVHRSLIGEENPLPMWTFMNRYC >PPA05620 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:38131:41424:-1 gene:PPA05620 transcript:PPA05620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:H3E7D3] MVSYSALVNKQREFYLSGATLDLAGRKKRIETFKKLLVENKKAIDDAIFADIRRHPTVMSDVDMTLGEIELTLKNMDEWVKPKKFVTPKDAQYDPASDELHLVPEPLGVVLIISPWNAPLICSSALIQAFAAGNTVILKPSELDPTFAPLFANIAPKYFDEKEFAVVEGGIPETTELLKERFDHILYTGCPPVAKIIMTAAAKHLTPVTLELGGKNPVFVDESADLSLLAKGIVGAKIFNAGQACICTDYILCTPAMKPKVISTLKAAFDALGDMSKVPANARIINDRHFKRLMGLLKDTKGKVVYKAGGETDEKDRFIPFHLLEVDSADDILLQEEIFGPILPVMIVPSFDAALNHIKRNEKPLAAYIFTENKEQTKRFVRETSSGGVTVNGSCAHTGGGLPFGGVGNSGMGRLMGKYAFDMFTHEKPICVRNSLKADFAY >PPA05626 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:62021:65100:-1 gene:PPA05626 transcript:PPA05626 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISNWSKNKGGKSARKALQSDKKGNDKELSSIQPAISKGNMPLDCPHCGKTIRQRKNLNRHVWNIHGIQAKARSKRVTEPKSRKAPAQQKDAPYQNKAVAPPAPSSLSAPRQASPPGLQIIVEDGIDTPQMDSVQPATTPSQQDVIPVDVDSGVEATRVKTEPPAESSGMCGKCEKVVDLTKHRVTGHFLRECPECGWKGWTLPRFVKHFDAIHGISSDTKEYRSKIWTCPFYSQRHATLACPAFLKWSPEKDGTHTVYFCKKHFHVLGAEREVPHDGYNAMEGMMEENMQMETTELNSISIRPLSAPPGYTRSKSRLTTTSTIEVAEMDAQMRLVHKALLHVLSTQAKVDLAKDLDKFNMYFYQTIHGSILQPLVNKDPEYANLMHEIRETEHLPLYADCSEASAENRKRSVMVVTMLATLADAVKTLTEVEKLLSMLRNLSTVTGLGEKKKEKGELVLNKLNLGKIKTEQTDVGQGKGGEGGVCEPPRTSLSKAPAPGPSSRL >PPA05631 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig138:81479:85070:1 gene:PPA05631 transcript:PPA05631 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSRIGRTSYAYTRNELPDDDLYWDEIFLLKPNTEYLITKIKETTIEQLRERKDSLRQFVDRSAVCVSSETSVAVYNACQTFLVLLEQVTAQFGFTKAIEILFDDAQRCFTAFLRRFYFFIVENVHSDLKNISIHFTERLALLPCTTDELPLLSSLVFSPALDVFIAVFAQSEVLTGLGRHSLRSLSVMLARVKGFDEKNNALVQKFASVHDEMALQGLAQLILEQIEVSNENAAMRISGGSDGLFSKISSIFSGAKENREVMQSTHDASLDLPFLFIFFESIRFNKTFVSTILTTRSSLDSTAEEKERSLLLRHFFTFCSLSFEESKGENNLFITCKYYDYGRSRILLKSIL >PPA05612 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig138:12708:13521:-1 gene:PPA05612 transcript:PPA05612 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHSTTRNDPRNLRNYLAMKSPIGELKEVDLPSPKFAIQCTTKNREWKKNYTQLEI >PPA05614 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig138:16686:17123:1 gene:PPA05614 transcript:PPA05614 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGMGTKASNSWENEFQQFGWPDVKLTPAAFSSGIGHATQMAWAKSTKLGCGMKLCDGDKKVLVVCQYRDAGNFMNQNIYDPR >PPA05681 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:334576:338796:-1 gene:PPA05681 transcript:PPA05681 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDPASTPSSSSSHFRFTYKLARFKSPRHNVVGFGNASIPSYECLVKNIPDRMLNVCCMTWNVNERSASILKTIGDFLDKKMTATALEDIVAVAIQEIPAQNRSFHEEALSVIEPLILRTHTIYFSHRAWSQMLMVFIAKPHLRYAIDEPTVKFVPCKAVAKPIRTKGSIGVCFRVYQRMIVIVASHFSHSSIQNRMANYHKMVSLLKFPTLKKFNGGEEKMFSADVVLWMGDLNFRLSHGSVVLKNVLPNPNDALIYDELTQQMREGNIFIDFREARIAFPPTHKLENHSNQYVVGKTPSYTDRVLYWARDFDYVYPIEYSCLEEETLSDHRPVFCCFKVMAINLPIPNKYFGMVRRTVSNPQYEDEEEEPGPSSL >PPA05699 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:420896:423359:-1 gene:PPA05699 transcript:PPA05699 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDATNDSGLMSAGVTKRGCRLRPPNPFEISLITGIALTAVFILLELLIFHRPFPNAAEWKAMDDAAFFCDNRPNCYPHPTDNSLCGRPFTCGQKVSGWDLTHCTVRKRRAKETSCSFFKQMRKWKKPKYQKFLIHNTATRIWEIYEKKLIVLFDDDEAKDVCKIRYDNLEIRCAPFKKDGKKFLCGTVHCSAENGYICELEQIINEYEAVFFMREPLSFNTPSENNNVIDYVRSFNKSMLTMGGKFFKTPDANSFISYFPGVIPKATRKFEVLYFDRSAWENLKWVKTFLFESVYGPFHNAQHNTLLHLIEKLKFDHDYPHVPRPDSLSGPRSMPTAHEIYRAFYISLVIFFMFIAYSCAYGATLNK >PPA05637 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:118329:120460:1 gene:PPA05637 transcript:PPA05637 gene_biotype:protein_coding transcript_biotype:protein_coding MNASEEGGEKGIVESTMRPAALDSDVPFTESSDFFFMVGVVTPLVVCCFAIFFCAVNSLWKTRKNLERLSQMEARPLPDYMEFTIDITTKLDSCLEMSSAQCNEETT >PPA05630 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:77896:80942:-1 gene:PPA05630 transcript:PPA05630 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPADAFVNYGDSALYDEYDLSLYKSFPLAECLAASCKVYVSAPESSLPALEKVFLGDTTLAALARESNATSGLKTPYNLKAYSGKNFITNLNFKFATAPVAVYIVYQRAAFYSTALVYEPSSAPLSVQWSNSSTLTLLSATNFTVTGAVKQGSLARGRVLAGGYDVATKSFVKTPALYEFSIDKSFGFSVYGPLATLYSSQSIEAELTIQNGVQESTFSRTFFTSAGYTGAISGQIYRSSLYSKTVQYKISYEKTTKVHFKAYLNTFADSVEVSVDGETTSLRGTLGLASYLQRFSASGKDIVITFAKSSDYSTFLLHVASEIVLDHVKEKIGEKVEEIKEKVAEKIADKIAEAKEALKEKVEEIKDKLKEKIQEKVDEKVDEAKEALQEKVEKEVEEKKEEIESKIEDVAEKLKDALSKATAKPDSAEVDEIKDALKEKIGEQVEEKAEEVEEKIEETQEKIEEAKEEVQDKIDDLRNKVTVLPDSSEIKEALKKKLEDRIGKVTRRPN >PPA05627 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:68988:71556:1 gene:PPA05627 transcript:PPA05627 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKDQSNGKKNVNTKQTAKKRQKGDGEIPSPIKRRTLDKTFPCPTCSKTYTTFENARSHFQKMHAPFIERFLSNPINGEYAALFRRIVSNLDNDFENENCTVCGKTFSMICLVHSHVKEAHSNEANSQTGTTLFSLLDGLLPVDETVINDDQPRFFVLISVHLAKYYSLPFFEAPSIVSQIGEAIAPQLQDEGAIDAENGGETSDVVQQEGSNDQAISRIAHVASKLSLAHEAHRLNVYLITACKFPWLKEHVQAPKPDFSSVISSFAMYENSKPEQSTLIRQRDYRMHITVLTVITAIGSRITRNKVMEKFVEWAEDSINYTDLERYEQEEYVPVFNLVPKTEPMETIEIDE >PPA05687 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:365847:370848:1 gene:PPA05687 transcript:PPA05687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rrc-1 MQNETIWWKAKLTISSGKGVQPAHDENSNTFKIGFFPSNCVQLFEDKGINVSPPAHHKSFSAARRVSQLFKRGRRRNPVFGCDLQTHLERSGRRVPLILERCVDVIEKHGIVTGIYRQCGIQSNIQRLRAKFDCGADPDLFEFTIVRDVYCVSSLLKQYFRQLPNPIFTYQAYHSLLDAFESETEAEKIRKLKIAMDELPLGHFNTARYLMKHLNRLCDHTNSTDMTSRNLAIVWAPNLFRAPPSINGQDSHLLSGLNVHTSLCNFIIEHTNEIFGDEKMEESFQSVSGYTDNYSSVLHSRHQSFNGLLSDDEDPLKKSQSDSRFSRLFRGKSVDEFVGGFRNRRRTLNGQENSRMKEGISAKPEPEEEKVKWRRSQSTDAFRAGRSDSIISMITKGYGEIRDGVRTWTKRAYSLRPGSRPPPSPQLTHLERMNDSVTVSTMSRVSTADSISRPNGIREESPTSSCSGSLKEFMTTKEKRVAFRTTAFERYADLPLAERSSPVEEWSSESRNSPLLEMSRYDNVSPARPREHVIDRVVAGFEPTIESPSPSPSPQYNNGTPC >PPA05647 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:163788:166044:1 gene:PPA05647 transcript:PPA05647 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSRRALTLASKLVQSGQSSSSEPIILNILAASRPAQLHTASRLHGMGALRQLNDHNDKKSLVIFDKDGTLICFHSMWVPWTLETAKRIEEHSSLAISDKIFKLLGFCPHQQKVRPGLLAEGTMAQIRQSIVELLTDHGVDAVNARKIVSDSVLECQTHSKVTLKEIHDLQKLFGDLKRHGVKIAICTADSRIGTMGMLESLGLNTMVDRVVCGDDEGAMPKPHPRNALAICADLGISPEHLK >PPA05684 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:345184:349113:1 gene:PPA05684 transcript:PPA05684 gene_biotype:protein_coding transcript_biotype:protein_coding MENWAVPYKKSDARKHIQELIRKMKAYPLWRMLPIAIACNREDVFTAENLQLMNEGVPGGFKSLVETMTQPEGKCPLHIAIEMHRLKIARRMLEEGCDPGIRDVAGNSALHYASLASVQMIELLWEFESSHCLLNQTNNEGCAPVTLAIRNANPRCLATLMGYGAEMSIRVAGRNALFEVMQSKGKNTEYLNCIIKAILDGSPDLLHEKDTSGNTVLHAALSKTSLMGLLYLKATELDLNAKNYAGHAPLHLYALKGEIGLIITIASYRCNVDERDSNGNTALHISVSKKDLKVTRLLLCLGADPNVKNSSGDTPRHLAARLKQ >PPA05632 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:87548:90118:1 gene:PPA05632 transcript:PPA05632 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDPGLFNGRLCLLSLLRLTDDVFVQNTLHDPHNISVVPLFRPAMLHRPAVFDCKRPSCTLGSTALDLVTELLVSHLRVGYPLEFHELSLGIVHRILAYQKNRCIRMSHWQMLFNAMLSLMRFLLSHESKFSTSIFPLCFRIIIVINLFLTFGDTFLPSGEAYDLLYYELVRQDSVFTQLNAAVERRIAKEDKNSPDWEMAERVRNHLANPFNIVNTVKAKIEEKVEATGEDLQPDQIIDLIRASFETLSLRLYDGLTTVAPYDDSVHIPFFLLIATSLQNEAEKRKITDGVFDYPRSHFRLGSENLVLIQ >PPA05611 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:5359:12427:1 gene:PPA05611 transcript:PPA05611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mlt-9 MRQNGGSSASSCISTAPRTFSAPSVQFGQPVGVPFQQVAVAPPPPAASAGAAFAPRPVAAGSVVEPALQPIGAGGISRQPSVLEQLLGHRITLSEFSAEGYNAEGGGPVNSGAALSCRVDGTPCCWANVPPPDDQLDWQIATGAPDTNLPHFQNVPVPEGSYLVAYARGAAPSDEAQFASCSIACASSPITVRAKHWQSENVLLQVCQRESFPQSVNFNPLLNCQEFPLVQGLATTEVVLPKASLIDIVFVASNFVGENGDMAILDDIELSYDRNGDECIQQQNELNEKITEAEQNSALNSIEESEEKTQGAHRLTFETNNAKSETATLEGSQAAKQRFENLKHVTEPEVAEVPSAAQTKEVAEVFGGNGEQFSGQQQGAGAARGGQQFARASSGVSHEIGELEETVEQVQPSARAQGKAIFKESVKTDTGKLKVPSIDDEEPVKMNLAKPACPATSCTFEDSNTCNYQDAHQTQSIRGLTSKFQVVTGQFMNKITGVKSGIVGEYYAATFLYPREMAGLEAVSEPFKKSSRIRFHYYESTHGVQLKACCGSVDECSFQSDKFVSVHDRVWKTGSLVCPAGTEKVIFVCENTRTNQGACAIDDIEMVRTEGEVSQAASLC >PPA05629 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:75685:77342:1 gene:PPA05629 transcript:PPA05629 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVRFLGARGEVSKVNDSYYPLQVVKVICHHPRKGGIDALGKHAGFKHNGDFRPMTETYDDLASFARYIGEVDGMEKWFVKHIDANSGEYRCPYYPRDGEWACTAYLGYKHERDGSVSVRECPTHLGHRISDNDQEGEMEREEEREEEREEEREEEREEGGEEASLHGGDVAPKVIVPMTERTLRKFHIALSEIHDFSLKLRVPSHLAQLTFWLNNCFDLSGVSDGNATVPSSTITDRHITVIHRQCFSEAYSRVLHRGSKIENKIELEEVTQTLRDALQKVDRKVNGDRKNADEEISIKTEPVEVYTVDDSDPEDEREEEEREEGEEGRRGEGVREEEDERREEDDDSILA >PPA05644 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:145615:146389:-1 gene:PPA05644 transcript:PPA05644 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEVLLRKQGTHDGAFLVRQCESSPGDFSISVKFEDRIQHFKVLRDNSGKYYLWVVKFSSLNELVNFHRTSSVSRNQNILLKDMALETQFVQALFDFNPQEDGELAFRRGDIITRQKRP >PPA05696 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:411546:412985:-1 gene:PPA05696 transcript:PPA05696 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMMVNTSWMPAVITGKKGTSSTYGFHDLFFLCSIGEGMASFPNEVEVGQVYNMEIGFNADQLVNAALWPPTVFKARERQFDSVKITATLMVEEARGNNSPEQNRKWMRDTNGESLGPRGGFNCQGFDDEMSGQIVAGFFNQHGGNQLTLGRYEDEVMVGDYSELANLSGISEI >PPA05673 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:275845:290572:1 gene:PPA05673 transcript:PPA05673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pod-2 MPSAGISSSSSTTGDSKGLKANMKRYTISSNDRAVPDHDMVFKTVDEFVDYHVETTEKRIPIKRVLVATNGIAAVRCMLSIRRVLQHMFNNDREVKFICITTEQEILSNAEYLKLADHYVTSPGGENRNNYANVDEIVSHAKDQKVDAVWAGWGHASENPELPRKLKAEGIVFIGPPSEAMKALGDKIDSSIIAQARKKRCIRPIESVGIPTIEWSGSGLQMDTKKRDGSPIVVPKELIEKACVNNINEGLEAMQKHKIGYPMMIKASEGGGGKGIRKCMSETDFKENFYQVQTEVPNSPIFLMKCLENARHIEVQLIADRYGCVIPIFTRDCSIQRRCQKIIEEAPASIAPKSVLKSMQQDAVRIAELVGYESAGTVEYMYLPDEDKYYFLELNPRLQVEHPCTEMLANINIPAIQLQIAMGLPLNRISDIRLFYGLKRYGTDLLPEEKVLTDTEYSVIAARITSEDPDDFFRPSTGQVIDLNFRSAQNVWGYFSVSSSGKVHEFADSQFGHLFARGRSRSEAISILLCALQELELRATFTSQVCYLVDLLKEEDFKQNRFNTQWLDRRIADKVPQSIPIADHELIAISSAVIGHNRAQAVFNNFRNSIERGQVLPTSDLTETHIFDLIKDMKIYKVQATRSGPLSFIINLNGSTTVVEIRLLGDNSLLVTHKEKSHMCHLEETSDKYKVSIGRSIVTFEKDNDPTILKSPYTGKLLSYKTKDKENVKVGQVYATVESMKLVFDVDVKKVPGRLEHIAKEGDLLYPGSVIAKIIEQKSSEDYRPKPFTDVFAEWAEQKEGTNNQSNIKKFQAAFDRCINLLNGSVPPGMENKTNELVSDLFTMLRCQDLPHDMLKKALEQMLNRPGEHKKIADALKREAETEQMTKFKRISDILDQHAEGLLPKSYQDNQAICAGVYEVCTKFMGGSITHMVDIVNKLLDVYLSCEKFFETDQYTDAVAELNAVFGADKDKVVAMIYSHTQLKAKNKFMISLLDAVEKEGMHLVAPLEEKLREIGNLFKTDQVSKRCRDLMLLNSTVKYRKFANKVLWDVDGVSLVQRNDVQVLTVADAIAKLKEKFTEKLKNTKKPPQKIFDCSPWSHKVIHEFFFDPNLADAAIRAYIGQHFAIEEVQRSRLASNDAIVYDFCLDNSNLMHYMSSENNQYLSIVKFSVNYENFHEAVTHRDIVSGLVTKFKAMGVRSNGKRSTLRVTFLVDVIDFQEEERRAEAESARPSATSIHREAQLEELPVNSKRDEQLVNEADKAAEKIRSLISTDLISVDVVTHVLVCNKNKPLMQVDLLGAEKLELWRLPAEARLMSDKLSSLHVYQADDVTEKRYSRIYVREIVEVPIRPETISPMEETEQAILSQMDRACGAINVTMSQKMEQNKARRASIFCTDAERDMPQAVFVGNHLLLSITFPKMPNTFTKDYEGELDEMLMNAIESMRPILFKHHVTEVEIVYSKIDTTAGSHTKTAMKRRMKYQDKTGVTPEFGIYDEYQKEITPVAFSHQLYEKTLDAHHPIKKIEKKRSVTRGIKTTYVYDYPMLFGRACLETWKKMEEQNEDLFNAQFNRLQDDQKAAYIRSDYKRFYDEAELILVKGSLNLVEDKAELKKRADNCNNETGVVAWKLTLYTPEKPQGYTVITIANDITFGNGAFGVAEDELYSLASEYSRKNKLPRANVSCNSGARIGLAQDIVSLFHVKFKNPSAPQDGFEHIYLEASDYEKVADQVDAERLPNGTYKLNAIIGRQDEKMGVENLQGSGLIAGETSAAYDEVPTYCFVTGRSVGIGAYTARLAHRIVQAKSSHIILTGVSALNAVLGKEVYTSNNQLGGTEIMYSNGVTHSICDNDAEGIAVLVMWMSYLPDVKPEFPYLEPFGDDREPRPVTYTVQPNKPYDVRELIDSRGSYKHDGICDKNSFNEIMNDWAKTIVAGRARLCGIPIGVVSSELRSVCSVLPADPATPHSQAINVQQAGQVWYPDSAFKTAEVVGDFNREGLPLLFIASLRGFSGGQKDMFDMVLKFGAHIVDALRQYKQPVLIYIPVHGELRGGAWAVLDSKINPGFITMIADIDSRGGILEANAITGLKFRGPALLNLYKRNDTILFGLDKDLQEETKKNGIKSPRALELQSHIAKRSEEIKSSYRKAVDEFADLHDRHQRMKGVGAVQHVVDLRNSRNLFYDIIRVEMAKVQIAKRYLSVAEREATWQNAMSWVNARLQEIKAVETPAEQFEVLEGAVRSSSVEDWLRSVRDQSLDRELESMGDAELLELQRKVAALASQRKMSL >PPA05623 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:48303:50586:-1 gene:PPA05623 transcript:PPA05623 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPDQYHVLKISDENELFFYKFIPWELRKFVPQACAIITPERDTPRLLPFACLGSIDKRGHHDEFDYERRNGAMPNTGKTLLVMRDIKADMVSPQWVDFELGIDNMNCFRLSGMSLNTFKRRIMKSELREMSISQIKNMLETVLHDYLDIIDLIIEEMDKVIEALCRVSGISFQGTSIYIAFDAASLPSSHFRVAVKLMSFGEVKLSSEGSLYVLNGMITLREILRSIRKNKFDLM >PPA05703 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:465941:466380:-1 gene:PPA05703 transcript:PPA05703 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVGLMSKLNDHGSTTCVTKDRLFTEILITDASTDAAVALHAYQTGHEAIEENEAKRLLPGNNCLSSRSSGTWMPCRRVMNE >PPA05656 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig138:199249:199775:-1 gene:PPA05656 transcript:PPA05656 gene_biotype:protein_coding transcript_biotype:protein_coding MERVSNITRKVEEEISRTRQQQTLGVPNQGVIPANSGVPEQSLNYQVAGHSITPGMRETMGQRHYDMNFIGNQPSLASNPKLCPLRFPV >PPA05690 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:374241:378830:-1 gene:PPA05690 transcript:PPA05690 gene_biotype:protein_coding transcript_biotype:protein_coding MEASMSIGSDIEQVPPLPSIQISRVNPVTHPISTTPLINSSPIVTNDEVAFTYMHERLPKILRPLYTGPLPKGILKRRHGDVNPIPDPPLDFEFPTERTRKIKSPRPIDSSEEETSPDTSSCDDETDGETIALRLDATPGGPAKRVKTEPRKNCANWQAPDPAQLKKFEAEYKRKKQESEYRWKELPDPSLRPNRSKSALSEALALAMRNANATRNYTSLNFNSIKPSSSTTPLKNTVTPSPVNATAQTTPTPSPLNVGASPILLKGTLTPTPLKAKPTTSTTQPTPTPSHLNIDTSSSPQKRTVTATSLKANPTSTTLQPIPTACPVKIVPSPSSLESTVTSAPLTTTTSKTLNPIFFTDPKALSSPSMNRDRKDSSPSTNSRSSSPHLAAEISGRDPFPIAREGIAYMNRSYDRRPGILVHSKKEPSKDDNSTHIRCNLCNKWFAKAGKNKIRYHVLDHCKVKAYQCRFCEYAHHELGKLKRHHKNVHESNKEVLCLNTELINAAHEVCMKRCFPNFDTGKLSIGRNINYPTSLDVLYKCRVCKKRVYSSLAAYHVVDKHIDVSIYPCRDASGCKYQTIHKRELLIHKQACHNDSSMEIYATRLDFTDSTKFTLKSFFPEMIDLDSADCEPEFKREAEIWKPEFTKDRELRSVLAAQDGLTHTLDQSIKEPITCAACEKNGRVISINNVYDLVLHTKYHIGGGKQWKCPESGCFFTSHYMIEADKHCLNHHPNNVYEAINRWHELLPVMTSTYRICFPSISTQWPISTVTINHRAPIPPRHVAMVIKTADVE >PPA05664 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:231362:233045:-1 gene:PPA05664 transcript:PPA05664 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRVVTVEGEPRPKPHPEDQDTSFFILFKENSTIHGFRDLFVSPSRDLKLIWIILLVFSEFMDKKVVVSYFVHGNDSLEVPDLVICPLNRFNRSIFEQYNMSMALRQYVELTYPGLWPHEFQAANLPCSAFLNEDECSKAEEIQTFAGKCFRLPGKQQSLPGFGHGRKVIVTLPTEYYHPGANNIANDGIIIKFAASNKGIDNDINFVPSGTQTLVSISATKYNFMNDPPKFSCAEGDNRNYSRACLSERAEMACNCSLVASMDKKHKNICTAKELFGCYYKHIDLIEEPSSVPKQQEVRNYWL >PPA05618 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:29767:32100:-1 gene:PPA05618 transcript:PPA05618 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLAILPLLFSLAVAGVLPHTKFEKEIEKQIDVDEFKKDMKILDAHLAVETDAERAELRNLLAAVEPVPSLEAEAEKDEEDINAENKADLFEGDIVLTNEQLSYVMANETERAKRQASMNTAIWGTTPIPFSFAAGVSDEVKGIVRAATAFWTANTCVNFQENGAGENKILVVNKGGCYSSIGKVGKTQELSLQNNGCNSISTATHELEHALGVYHEQSRADRDTYVRVNKDNIPTQNQHNFDSYPTSSAMGLPYDFGSNMHYTSDSFAKDYKFPTLIAVGSYADYQNSMRGHMPSFLDILKVNKYYKCDQKCANKANPCKNGGYLDVKNCAKCVCPEGLGGADCTGNAPAGKRIEVVFDAIYSGYGSGCRMGGIELKTKTNMLQRGFRFCETPTKKSYWSDTNKLPMLHKKEELVDANPYLFQSFIR >PPA05683 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:343137:344531:1 gene:PPA05683 transcript:PPA05683 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTRRVAQADGRGFSKLEMEESGIVYPPEDGTAMIRVVQGSLKNRPGIPLHHVVYTVSEERNAPTYSIFRTSEVEDAVDLCRRCHECAFLFTRLGRKRTISQNVDMTYFFCCLSPPKVLI >PPA05677 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig138:320526:321950:1 gene:PPA05677 transcript:PPA05677 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEVEPTSSSHLASHERVAPSQDIIRQPTSPEEPTPFCNYTNYNETKAQDLAHVLMTNYSRSSGARHLTSLFTLSFIPCHNGTATDNQKECAAGAESRECDGGNYNTGYIRYLGHHRHIRNRLLD >PPA05700 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:434282:449162:1 gene:PPA05700 transcript:PPA05700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nmy-1 MANEWAVKKLCWLPHEKEGFVLGSIKKETGDEVLVEICDTGKTTTCSKDDIQKANPPKFDKVEDMSELTYLNEASVLYNLKERYYSSLIYASPSWPLACRRKVDPITYSGLFCVVINPYKRFPIYSENIIEYYKGKKRHEVPPHIFAIADSAYRSMLQEREDQSILCTGESGAGKTENTKKVIQYLAHVAGATRAKANANSPAKPSGLDNASIGELEQQLLQANPILEAFGNSKTVKNDNSSRFGKFIRINFDMSGYISGANIEFYLLEKSRTLRQAPDERSFHVFYQFLQGTTPEQKANFLLEDVDKYRFLANGYIQLPNVDDSQEFAATVKAMKTMGFHDDEITSVLRVVSAILLMGNIEFFQEKKSDQAILPDDRVIQKVCHLLGLPVSELSKAFLRPRIKVGREYVNKAQTKDQAEFAVEAISKACYDRMFKWLVNRINKSLDRTRRQGASFIGILDIAGFEIFELNSFEQLCINYTNEKLQQLFNNTMFILEQEEYQREGIDWQFIDFGLDLQPTIDLIEKPMGILALLDEECLFPKATDKTLVEKLNSNHNKHAKYIVPDMKAKSHFAVVHYAGRVDYSADQWLMKNMDPLNENVVALMQNATDPFVVNIWKDAEFAGIYATEMNETAFGMRAKKGMFRTVSQLHKEQLGRLMATLRNTSPHFVRCIIPNHEKKSGKINSMLVLEQLRCNGVLEGIRICRQGFPNRVPFQEFRHRYEILTPNVIPKGFMDGKEAVKKMIDALEIEEKLFRIGQSKIFFRTGVLAHLEEERDHKLTDLIVSFQAQCRAFLARRLYQKRIQQSNAIRVLQRNGLAWLKLRNWQWWRLFTKVKPLLQVTNQEIVLHAKDEELRSTRDKLDKMESEYKESQSKIDQVRATRTSYRLSRSTLRTTSTFLFPFDPIAELTSVETRSNMSSTTVTRSTTGAEEKSALEFEDSGAIPFDRITVSVSLENCEDEQDVVPLARRNKRSLTKSDSLSFSEPSSEGTIHPFYRTQSLVSKSPPMSLLPNESVDSTMSEPIIAERNILHEQLQQETEEHAELEETKSRLLQKKASSIGLSNELEEIIESLRDRLVEDEGRLEKISDEKKKLSETVRDLEEQLEQEEAARQKLHLDKNGQEQKWKKLDEQFAALNDSHEKLLKEKRLMDERAHQLENQLMDEEERAKHAGKIRSKIENHVQDLEQELLKERQIRAELDSARRKVQSELDEARDLIEEKRSKLEELSGQLMKREEELGQLLTKNDEESAQIALLQKQIRDLQSQVEELREDVDSERALRLKAETARRELTSELENLRTDYLEATDKSHVSQEIQKKKDDELQGLKRSLEASRQDYEQRIEEIKGKYSKQLEDLSEQVEQQRKLRSQVEKSKAILESERNELSSELASVQATKTESEKKRKQAESAILELQTQLSQSEEMKRTFHEHLEKAKEELDGVGRQKEEDEQNNSALTRKIAMLEQQVADLSEQAQEETRMKLSGLSKIRQLEIDLNNAGEEKDDAEQRLEQLEKEMIGVRNQLEIAKKKADEGVILQLEEFKKKAQRDIENSQKVLIESEASKERILQAKKKIQQELEDTHIELESLRTMSRESEKKQRKFDQLLAEERANVLKAQQERDSFAQDARDRETRYLSLLNEHEALRANLEESERVKRMLQLELDESVSSKDDAGKNVHELEKKLRSYENEIQILKGQQEELEDQVNLAEDARLRLEVNNQALKTEHERALSLKELESEEKRKALLKQIRDLEGELEGERRGKTGAVSQRKKMESQLAELEQQLEVANRLKDEYNKQLKKNQQMIKEYQHDAEEARQMKEDIAGQMRDVERRLRSAEAEYQRMAEANEQLSAAKRALEAEKEELEELRGKGGGFSSEEKRRLEAKITQLEEEIDEEQSNLEISLDKQRKAQLQVEQLTTELSMERSLAQKMDAEKQALERQTRELKNKVVELETATQSRARAQVAALEAKIQYLEEQNNLESQERLNGSRQMRRLDKRLQDSTVQLEDERRNTEQHKEQAERANTRARQLRRQLDELEEEMTRERAKGRNLQREIDDLNEAMDALTRDNSQLRAVNKKTQLRRATYASKGSIDHLNRDDEEGSIVSGSDATDDNKKSLP >PPA05634 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig138:97723:98687:1 gene:PPA05634 transcript:PPA05634 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGELVCVTPFPSMPTHFLNDGKERTKYRAAYFERFPSIWAHGDFCVISSETGGVVMLGRSDATLNRGGVRIGTADIYAVVERFEEIDDCIVAGQAV >PPA05685 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig138:350268:351724:1 gene:PPA05685 transcript:PPA05685 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNVSLTNNTNCSNDIFRSLLLCGAARCEISKSGCVSGCVNNVMLTRIKSGSQITDDLNSPRTTSMLNGLEDEEAKLLIDNKIRFHTQDIFYKRLSDRLEELEKKGDKPRNFVNLLSMDGGGIRGLVIIQMMIALEKIMGEPVYPYFDWVAGTSTGALVSTALAQGKSLRECQHIYLRFKDLVFDGWTRPYNSALLEHFMKLQVGEESLAEIKEPRIEQRPAQ >PPA05688 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig138:371288:371737:-1 gene:PPA05688 transcript:PPA05688 gene_biotype:protein_coding transcript_biotype:protein_coding MIAYLLAALLLSSSIPSSTAKVVDQDRYWGKDKGIMMMEIEFVISRNDYARQAKWKLISAWKHSSSFCSRRFSSSRCGILRIPHPFSPQYSADFRGQTLQGQA >PPA05643 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:142668:144377:-1 gene:PPA05643 transcript:PPA05643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sfxn-2 MEHDKRIDLSVPRWDQSTFYGRLRHFASITDPSIAFCNNRDLLAAKFLVDSYKKREEPSGTRIEDLHRAQKLVGSAFHPDTGDLQNLGGRMCFNAWGGTVLCGAMMIWYKSTPAVLFWQWANQSFNALVNYTNRNANSELKTSDLVTAYGSAVGGALSVAMGLKTFFARTQVSNTLQRMVPLGAVAVANAINIPMMRQNELKNGVVITDESGNPIGSSRLAAFKGVSLVVLSRNVIVAPSMSE >PPA05635 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig138:107662:108078:1 gene:PPA05635 transcript:PPA05635 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDLTLTTQGNGEGNGEQRVENGVNGDRSLTKDLIGATLPVVLEEVDEKEEKEVKIKHQSCLSIPSPIPTKP >PPA05655 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:195802:199037:-1 gene:PPA05655 transcript:PPA05655 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPRSYVEWEKKMEKGGMGEWEKRWDVQSVLRTLLDDSCFIPTGEGNTMGHQYYIYTPKNSTFLCQPTVSNYSGQMEQQHSFQNAGPSMTFGQWANGYANNTMNTSSTIASGEDVAGCSSNSKNSILRQPHQQYSSRQSATMQAIHPQGFCPTERASSSSTSSHSFPNSGSNAIDHAKASSSLTPSLSPVAPQLNSTVLPQMHSNSAIQGNSLPNIHVLQKNSETSIRGVSIAFSDTSDDPQIDLTGHHSINLTKGQDYCNSTVTGLSNRATRSSNQAMTSSTHIPSVNPPLASTDQTDIPNEKSPKDEDLGISMHGMVGDPKLDILPKNSEHSNASGCISTGQPDETNVGTNVLVGPTYQSSSLSSPLSESPADSTGPHSSNHSKDQAEQILNVILPTESSSIGTNQPSVHFLQKMGQADTLNEEPTLPKQRKRKAPPIARKYNLRSKPCQDESTDSSRKDGEETEKKSN >PPA05692 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig138:390431:396403:1 gene:PPA05692 transcript:PPA05692 gene_biotype:protein_coding transcript_biotype:protein_coding MQFHAVIIAKLRNIGQNFLCFLILLLQLGIFHRVFSSKNTANSVSPLVMSFPLFLDPIVAVLVYQIEKIPVAKESPGIIFVLFVQFTLFLSIFIALEYRPLSIGFERAVSTKRNRRSTNRPAADDVEAAAENAEDVEMELLGRRPKPHGIAIPKKDDDGVDAVPEGVKNITKIYNGNVRAVKGVTLVVQKNECFGLLGHNGAGKTSVFDMIAGVRLPTSGTAYCSGVDCTKPACIGYCPQEDALMGQLTGYQNLYLLASTLSRDSFGIIKRRISDSRDYWNVFENVYAIAKEMEEITDMEIDFTVVQCNLEDMFLGLNGEKEEKAANDAMEENDN >PPA05640 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig138:128483:128999:1 gene:PPA05640 transcript:PPA05640 gene_biotype:protein_coding transcript_biotype:protein_coding MLERSASTVSGNESWVELAPSRGSLCSSVDVGMVMVDDMNIGGKDSRLSITWE >PPA05633 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:90926:96088:1 gene:PPA05633 transcript:PPA05633 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sur-5 MGPDLETNSVLSPTCSTFAFEFLRRSYHGKSSVGMAPITDSNGNGTIKSNLYVPSGMGNAEDALRQAINKNTGATIGPDYHDLHKWSCDNYGEFWNQLAIFADIQWSGECKEFVEPGSIASFPKWFSGRKLNYTQNCLAKGMPSDPAFITAYSSNDFVTHSFEQLRNDVERLARQLEDMGVIPGDAICGFLPNTYETAVAMFATAAIGTDRAVWSSASVDFGPRGVLDRFTQVKPRVLFTVDAVRYKGKLFELHEKINEVVAGLNLDKVIVFKHLRPQDGAPRGDEAFSPLVRSKLVKYSDLQFEKLSDKKFEYCQVPFDHPLFVMFSSGTTGIPKAIVHSAGGTLLKHVEEHLIQGDSRPSDRMLFYTTCGWMMWNWLMTFTYVGASIVVYEESPLEPDPHVLIKIAANTKCTMIGAGAKLYDEYTKMAADFKKMYDLPSIRMIYSTGSPLKAASFHFLNDYVAPGAVIGSISGGTDIIGCFMGASLSLPVVAGECQCLYLGMNIKSYNEDVCDGCTMFVEA >PPA05704 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig138:469599:470086:1 gene:PPA05704 transcript:PPA05704 gene_biotype:protein_coding transcript_biotype:protein_coding MIADSLNETIREYHKGSVLEHADLFQLDILLVRPIYVTKQSPPSYATLAASVYRSFNV >PPA05680 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:331089:333840:1 gene:PPA05680 transcript:PPA05680 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRNQVKDTSQIWNLAYYETSQTPEVCVPKMAAGWKVLSTNSVAGAPIFGQSLAVISVSETAKQLHVHFRGSESITTFIFSGYTYLLQSDFPVQPFEGMSVAQEFAKTFTAQWYGGLREKINSAWDEYCDFPIIVSGQSVGACMAQMMVVKLKKFGLWDSSQISLYSYSSPRCGHQQFALAVDDAAKTRYQIRLPYAVQYSKNIFGSITGPTRCATGETAASGTILTITNHNGFYAQTISVPRVFGSSLAVISVSESLNIVHIHFRGPESMTTFIVSGFQYLLQAN >PPA05659 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:211688:212812:-1 gene:PPA05659 transcript:PPA05659 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGEKRGCITDTEVVGHVQALPDGNFGGEVKWKLSFPKGGCIDFGQALLAAVHLASRQRRSQAPPVYAPTAHATYAAAPLTYYEAPKGPYYGFQAPVHVFPDRPSDQEDSEIGQNRKVPEI >PPA05654 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:189833:192633:-1 gene:PPA05654 transcript:PPA05654 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLVVIQSLNHLVRVLALIILRIREGASYSTAFRCLTGRKLIDVLRSYGVKSIVHLANIVVEEVVVKEAEEPNEELKPIEAPAVAEESQITYKDSRSRDEDVAALIVDQVLKQIELERINDTAVPVVVNALQMNDETVDKSVVPEKVTIEHTVEEERVIDDVALVVAELLEDVDVKIEETDRSDIADDSATVAADVHKQVNEEGIDAASPEEDDAPDLDEESIEDVIAPVENEEPLNEEDERNEDDIIASLDADVLQIAVVYRFDDSVSLVHLKVVAIIEDDE >PPA05691 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:381790:390113:1 gene:PPA05691 transcript:PPA05691 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSHLALLCKKILKTNIRTPFRLFGGDFLVPSLLCGLIVWAMLRTGLDQRTGVMPQRKYDRPELFRFETKEGMRFRSNEALNWKCVSTDTPSCTAIEEQMKQFWNGKYINVGVFDGLAFHGNFTTSDILAAEPQMTLSSEEFELQRPLPYDGDAMGHFFGAVLHENVKTITSRFRLEKLQRLNHSEELYMRYMRPVTYETSSHSVLFSTSNSNARLVVYCILVILPMFGCGNLVSTIADERKSRIKEYLLTMGISGGSYHLHLAFAAFFKNVFFCIGAAIILFAPNIQFIFLFNLMYCIYLLSCINFSMLLTSFIHNPKLLGDIFFMVVPMAFMMPIMLVISRSQVALAFLSTINPGYAFFHSQDALRECSVKGGHLVWFADFPFVLPLGICLLLQCINAAIFISLSILVDHLIRTEFDLMTLIAKYKKGAWRSDSFECLINVNDHHHQVDTDDEGSCDLFLHESVHDHEKDVAIEIKDLHKTYPNGVRALIGTTVDIYQGQITVILGQNGAGKSTLFDVIAKATKTTSGVCNVNFPKGSFERISLCPQYSPAFPKLTVVEHLQFFAQLGGEKEWEKKAKEFMKQLKLDEFAQTYAGTLSGGNKRKLCIAIALIGKSEVILMDEPTAGVDTETRAVIKKFLDKKRGKKTIVITTHYTDEADDLADRVIIMAKGQVACSGSTSFLTKELSAGYDVTCVVKNKDLLSASADRVLNLAKIFAPAELKSMHGQEFCITVSDKDKERYSAFVRELEMSKEKMDVIDCGYSASRLDAAFVKAAEKTGSMVRKETIENSTGAFIEERKNKASKWLRMWNSLKALVMKRVAYELRNKFYQISTALGILVLIYAAKHGTDRLKPSEELNLLKLRECARIGFVDVPEIAEAFRRMIRETGDCIVIDEIDNITTWQRENYLVRPPIIGAVERKGADYTMVVATNRRLYEPLFAHLMYKAITNGTFDLVLHYQRVPNVVVNEEMTDITAKFVLYFTLLCLICRFIRAYVIEHSSGYAHLQLLTGTSIGLIWFAHFLVDIAIFAIYYAFLIAATLYFDYSPGTLTE >PPA05676 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:304165:306956:-1 gene:PPA05676 transcript:PPA05676 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLHSIRKRSGKFGKVFQCRERSTGLELAAKFIKIRKDADKATVEREVSIMTQMRHPRIAQIYDAFYAGTNDVILLMEMYEHIYAVAMIVCQICEAIEYIHSQHIIHLDIKASHPSLSPLPVSLRGISCGGRGDNVREGRRERDRVELRGKTEAADDPENIMCVSETGNRIKLIDFGLAQYYDGSKDLYYMAGTPEFAAPEVIKYEPLDFRTDMWSVGVITYIL >PPA05625 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:55793:57148:-1 gene:PPA05625 transcript:PPA05625 gene_biotype:protein_coding transcript_biotype:protein_coding MANKQTANSAVIKRKPRRKAKKWNKKQVYKTATCPICDREMPQAQNLKRHLLTHQKKAAMLEKKIALLPPSSEHNVSLTHLVRLPSQKNRDDETRFHDHHTAIQAADLSAPQISLTTNSIFNKNAADFFLPNTRSTTPPAIAALKLRANEINAIQHPSKREMLPPPVASHSSSPNDLDGDDAVSTLKG >PPA05682 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:340318:341648:1 gene:PPA05682 transcript:PPA05682 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLISRLDFDRSDDSREGMSEENSTPDDCLQCEKENRESLRDEEIVQTPPPSPTEREAAKAAVSYGNR >PPA05652 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:186306:187265:-1 gene:PPA05652 transcript:PPA05652 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLLSVRYDERYHTGKVVIAGLFYSRCTSFVSKWLHLRNHRGLSVPDPPLLHSFPISTIALLMALSIRCHQCNGWHGKYPDKTSISTCDNINNQCETPNFCVKIIDAMTPGSSYVTYKSDCYYAPNIQVNPQNLSQIQTKGCYPFQDGSAPVKRFVDTDSNID >PPA05624 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:52119:53503:-1 gene:PPA05624 transcript:PPA05624 gene_biotype:protein_coding transcript_biotype:protein_coding MHYEGDVEEEMEEEPTHLDTSEMNGIQSPSSSQSPSLPQPSALAVDGVLNDTEDVLFVENCGGGGGRVKSHRVKTEPDMSGIEDHLIQPVPSIQDASGRTVEELKALYHKVLAMLGRISQEVTTIKETQKMLSMMEDFSSITRLGEKKAQKGDLVLKKLNLGAIKKEPADRANGGDDEDDQEPCCSSNPSIRPSPTSTH >PPA05651 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig138:185483:185820:1 gene:PPA05651 transcript:PPA05651 gene_biotype:protein_coding transcript_biotype:protein_coding MADDIATYIKEEFDKRYLPSWHCVVGRNFGSYVTHETNHFIYFYIQHVAVMLFKTGF >PPA05674 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:293323:294830:-1 gene:PPA05674 transcript:PPA05674 gene_biotype:protein_coding transcript_biotype:protein_coding MDLESRIKAYRFVAYSAVAFSVVAVISVCVTLPLVYNYVHHVKKTMGNEITFCKGSAKDIWSEVHHLKSLPNANRTARQAGYDLGVRGGQNAQAAGSCEACCLPGPPGPSGTPGKPGRPGKPGAPGLPGNPGRPPQQPCEPITPPPCKPCPQGPPGPPGPPGAPGDAGAPGQPGAPGQDAAPGEPGPKGPPGPPGNPGAPGAPGEPGVPAQSEPLIPGEPGPQGAPGPQGPPGTPGQPGLDGQPGSPGPKGPPGPDGQPGADGNPGAPGPAGPPGGPGERGICPKYCAIDGGVFFEDGTRR >PPA05622 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig138:46050:47136:-1 gene:PPA05622 transcript:PPA05622 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEIQQIIIMHALDLINEISRKNPILNSIVYALYYAIHAGLTPKINPFIQKLLKFTNLEADFLANLSGVMFQYSIYSQQLAPRRHNHPAAAESFLYYLAYSALSHTLGTSRIDSIIESILTDDYTYPIIRLDHPVSTPMPDFSTIHRHFDAVLKIRPRVCKIAHFVDITQWEDKLEEAHLGRIYPFSPPDSPMHDD >PPA05613 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:14404:15129:-1 gene:PPA05613 transcript:PPA05613 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAKTPIAPMTWDCAIEKSAQAVANTCVFAHSKNRQNLGENLYTMWSSNKMSFTGMGTKASNSWENEFQQFGWPDVKLTPAAFSSGIGHATQMAWAKSTKLGCGMKLCDGDKKVLVVCQYRDAGNFMNQNIYDPR >PPA05701 pep:known supercontig:P_pacificus-5.0:Ppa_Contig138:451226:452243:1 gene:PPA05701 transcript:PPA05701 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEDCPQGYACVRKRSGMAPRARGYDGLKGVSSNNYLGPSAPFLHAGDRGRVRGELSPFLVADGDHRDGHPSFPGGSWMLGDCEKEKREE >PPA05705 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1382:2867:3972:1 gene:PPA05705 transcript:PPA05705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-par-3 VDAGWEELLFRIPLNNSGSAGLGVSLKARVTVKQDRSRHDCGIFVKKLLHGGAAYRDGRLRENDRVVAIEEECLRTRTNAEASEAITTKLKQIGPDASAVTYIMAWGGLVGPLLNECDETV >PPA05706 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1383:749:1605:-1 gene:PPA05706 transcript:PPA05706 gene_biotype:protein_coding transcript_biotype:protein_coding MLENHLKISSNLNVAKKLMLADQYHLTSLKARYPLDHCLESFTSVAELTEKLKPGCTDYSDSMAAAIYRHIKIMADSSNFILRWEVNNAAARIAMGNGLSKVFKEGGFEW >PPA05709 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1388:138:4448:1 gene:PPA05709 transcript:PPA05709 gene_biotype:protein_coding transcript_biotype:protein_coding MMCTRVKQTKWFWGGNTYCRWCVSLFILLVPLTCVYPLACRGEGMERAWWTAYIWALQTAGPTFIKLGQWLSTRRDVFSKSFCDRLSVLHTKTRKTRYFRDCERTLDEVYKATLNVPEFERVTGRKIEALKGKKEVDIAIK >PPA05748 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:356229:358675:-1 gene:PPA05748 transcript:PPA05748 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYRQWKVSERERAVEGPILLYIRAKGWFFDRVDQLGMRYCVLTKRGFLLIYNEPDKGFVLDMRRAFEVVTVSDSVALGKKQYRRCRIKIRYTFGTVNVVLSNAKIDLWRDRLLNAASAGTNVPISNNYQQHSFLLWLKKPKDLATVPRPLSLPAATSYSAVTAVAPSSPAATTALDAEISPSLVALKSFSAVSDGQAVSRRDNWARVKTPARFLSSSSMLTAADDVSLCEDDQYLAARLSDEEAPSVAETSTSGLFHYNSVRESSVSVGNLRRQLEHKIVAERLRAETAKSVPKATMMSVAAATVSPASATVSPASATVSPALSPRGPQTTISTAAKTQKHHVKVRTSYRNRPATVPLLQYSKKHRSPVRASAKSVPSDSSSCEESRYNSFQFWRSSIFESDV >PPA05756 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig139:409527:410914:1 gene:PPA05756 transcript:PPA05756 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSMESRSSTVAVNINNSRLQVMGNEEYGCPKRWLQFDSHVLGVDRFLGTT >PPA05736 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:244355:245834:-1 gene:PPA05736 transcript:PPA05736 gene_biotype:protein_coding transcript_biotype:protein_coding MTHHVEAIQDHFSNLSNDAIFDIFSRLTQNDLDEMSTLSNRMRMLTIASRSRALRVEATDLTIMQPFIDNNSRLHDTRATVNRASELLNRFDFKECMYFSSRGGLGVSYFESHN >PPA05721 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:75113:78336:1 gene:PPA05721 transcript:PPA05721 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTMQQSPVPRGPSSRPESRDKYAATANAVAAGAGAPPRHPGVMGVQAQEWAQPRSPASPAGPSGYSAAPGVPDPSNPAAMQGMPPQQQQAPPGAMPPGYPPGYAPAPHGYWPGQPRPGMPPGPPPGAYGMPPGYPPHYMQHPGAPHPGYGYPGGPPPHPGMPAGMRPPHMQPGPQDMVRMPPGPNPMEWAAMQRQRETNADGAPVPSPAGPPMPEDDRYRAGGPPPQAMVPQQPLQQAAPPAPPAARREISIVDRLVGPATPANPIEVMPARRAFFDKLVAFCERHGEPITMIPQVSKQNVDLHRLYIAVRNKGGFEQVTKDKAWKMICCEANPDISESSAAGYQLRRHYQKHLLLLECMETGRNPEDAVAFADKLKKKKKDPSAGATPGGTEY >PPA05724 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:106756:119547:-1 gene:PPA05724 transcript:PPA05724 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKADDAKDDVSDVEVREEEEDDDNDFILHLRPKGALALKVLFNQTKWNAELTLDKPSRNVVGVAPLKLTISPLLQIAMDERRSSLHLILERENESGTTELMNAHVDVPDARRERVFSLNPYLGVLPLHENKPFSGAVLKNQSITPATKRRKGEHESYLLRMIIEDGETAVVSTVPADSLFPIESGQLMMEQTSVSVSKEYLGLQSDFFAQIFYGGYREGTQEIVELQEVNAEEGHLLINNLYARRPNLSFEDTRVLLTLADRFSLVVLMRKCIEDLMKQDANLSGGREARAQFLLMADRAKSMHALQKILATFKSAAELHDAVMAIAPQLTHATMIKCFEYSSTIAVDLERKLIVERRPRIFFKFGSKRCVVQLLDDVVPVIAKRFYEICHREYKAYSAFKAEAAWTDGLTEQHVPDTSGLYLAARIDPAYREQCVYVVKHNTRFTSEL >PPA05720 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:70850:71036:1 gene:PPA05720 transcript:PPA05720 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTDSTDPPQPPAAAEEAAAAAAAAASQASPHPAAADSGAMQNYVRMWARK >PPA05750 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:363273:368324:1 gene:PPA05750 transcript:PPA05750 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIDPSLICEALGRAETVNDHSVDFGFLRRSTPSLFASCCPSHSSFLLDCLLARLVKEVDEASRSTAHILFSSSTIKRILLISREQHRRLPPSLASSILLYAHKFWDFVVDVVSYDATEAFSLTVLSHLEHCPECQSSPCEWLREVARQLFSSDSRCKAVYKCMISLMRACPSLCRPYFDPSFIRSLYASLSNTAIGAALTDLLCECLSNGYGSWQEHIDCLSTLISSPSTPRAGIYERLLPGMARSAQIGAPFLQLLLKKIQDAPLPSLEAVLSVSRFAISAVGTERLSWHGLIPVEEMTRAVLHVDTQRNMLISCFIVGVTLA >PPA05741 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:319667:320342:-1 gene:PPA05741 transcript:PPA05741 gene_biotype:protein_coding transcript_biotype:protein_coding MYQRDLFLLRWLVSQQFALMTTKALSTVSTSSSEAVDISVDVNGFGPTFRLTVRLSSGTLLDFFTLKTEHITLIWRESN >PPA05739 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:294060:300710:1 gene:PPA05739 transcript:PPA05739 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPLRSQSFKHRARPTVEVKSRNVLEEVAASNERRKSTPTISRRCSLQRGRIERTDVWPEPKSASGVEERFLKLPDCEDYTRVRQFKIDEKGAVVSRGDSFRRKQKPNVTKIEKSPSPYAVSGDSMRGDSCSDSVCSSDENRDRPSSDGAPSGSVPAVHKIYVVGDTGTGKSALISQFSTSEYRNAFADEIDHLDNSVSINIGGEESELVFLESDMADPLFLDDSVHAFLFLYSIDSKASFKQAVNGIEMVRNRPALRHTPIIVAGNKVDLERKRAVTKLEVRAAAAQFGFSTFEISVALNHDVDDLLIGLLADIKEAYGSEGAEKRRVVDFHEPSPRPCKKEPVQEDDFSSAIRRYSQRKKRQVDSVETGNGKCSSLSPSSFFNKLRVWRRGSSPRIEQ >PPA05743 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:324868:329621:-1 gene:PPA05743 transcript:PPA05743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-bbs-1 MRVAKTQRSEKLSRLSLSPSSSPTTPTNTIGVAAGSTLFIYKALKPFYKYDLKPIELLPAEQAAWIRYWSDGHHIDHLVRNLEMAADEASFAEMSTLSQAIVMGSDEDREQLIAKVANMKERMPVNKSQMTCVASIKRSPESLVDVCAIGCENGDIQFVDTQAFQMLASVNIKSPVVFILTTGHYDVDFKVFASTRAGTIYLIQREHLGKDREPRKIATLPKRIVAIKMFAKILAVATDDCKITYYDFRGKRLKEANKADSDQ >PPA05729 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:184114:185223:1 gene:PPA05729 transcript:PPA05729 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRTRRVLKDLRPNDDNNHCFECGAGNPQWVSVSYGIWICLECSGLHRGLGVHLSFVRSVTMDKWKDTELNKMKARINK >PPA05722 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:84158:86972:1 gene:PPA05722 transcript:PPA05722 gene_biotype:protein_coding transcript_biotype:protein_coding MENPEAQATTPGAAGTHTGPGSGPGSAGAPSPFPGGPPPPGYFGGPPGAPPPGAWPPPAGAPGGYPGYPQPGPMRPHPGMPDPSAMSEEQRAAYMRQQQQQAGVTPTDAAPVTPYSQPPVTPAAAAAAAAGDSSRAPSAPPPATPDSSSRLSAPDTASDRPASQASNAAAAAAAPAATPTPGPAATPAATSAPSTSGNRIGA >PPA05747 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig139:352526:355823:1 gene:PPA05747 transcript:PPA05747 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKGGKGRSAKAAQAGDRGEGQLRVNFSGKFANRAEKRARLKEEKDEVKKLRDQVAVQTVSKDKAKEPHSIVIPSGEVGKFVKRLVRDYRKVMEPNTAMKLKVMKRNNIRDFVTTGAVLGVTHMMVFTRSEMSVNMRLMRFPQGPTLTFRVKEYTLMRDVLSSLKKVMVFDELYKSAPLVILSGFKEGQARHLALVQTTFQNMFPSINVDMVNLASIRRCVMVRYNEEDDTFDIRHYSIKTVPTGMSKSTKKLLQSKLPDLSRYKDISEYFENRSQSRYKDISEYFKK >PPA05754 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:398605:401986:-1 gene:PPA05754 transcript:PPA05754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-44 MNADAKYYGDSNSLAAGFKRLNKPQVRCAPDGAATWCIITQGDVWCCVPSAVLMGATNLQMERLQQLLNENIEIDGAGNFPEIILPLRHFVVALKRQLVSAGLSPLSIKINGGAATAIAASREFTYSDIDLIISADFGEEDSFNKAREALLAVVLDQMPSSTIKSRISAETLTDVYVKKMIKVSGHSGDKWSLFSFNNNLGRCLEIKFVDSMQRQYEFSVDSFQVLLDPLLEDAEKPYALLTSAFRDVDEALFHLEDRLIKTVDPEKIRGGGLLKYCQLLIKGFKAADPEECHQMEKYMCSRFFIDFPDLPSQETKLRSYMDSHFANHENASSLCGDETDSEASSNQTTQSYLAVSQAKYDFLMMLYRVISSSTVCLMHHDRRQTLQLIDQLAYHLTLSPPSMASLGSSSGAPPRVSLLYFTSNQWIQVV >PPA05733 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:229086:232565:-1 gene:PPA05733 transcript:PPA05733 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSSSEGDQYFREYSDCGICYQNFARKRTVGLLCGHEFHRTCMLDWCESSSEEKDEETANRCPICNVPSGSHIRDENNRKLPTVIGFGPTGQEHEEIKARINSAINPAHNQTAVQRVDNISTENCEDIHAIDRKCNELEHENGITTDQVVEEMTSVTEEDECPCCLEPLSSKRTIRLEVCSHRYHRTCAMQWYEAKEEDLNCRPELLNDLFSDYRFTIADLFKNLLQEKRMALKKGKSGEFITDIDEEMEKLKRRSAGQIDATNN >PPA05751 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig139:375868:376696:1 gene:PPA05751 transcript:PPA05751 gene_biotype:protein_coding transcript_biotype:protein_coding MALSILDLVYRQRVLVVPNKGRLLTRLPLPSHLTVPAVSALIARLDDCYEICQEIALNLLQAIHGHAYSVFGRPFM >PPA05726 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:156766:157078:-1 gene:PPA05726 transcript:PPA05726 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLKKVEEMKNELAAAHDLSDKWKRERDQYFGELERLNQEQLERERQGNWMKLLVMCRSEQRARNAVFNAHGDLAAAREWRSNRDDGEKG >PPA05731 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:188681:198760:1 gene:PPA05731 transcript:PPA05731 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKSSNNSSASLSQFYGGGGSTGNFTSYSDGGGGGGENTSYSSGGSFQAENRYQGFGNTAAAPQRDQSGDLLTGAMSGLSMGWGFLSRGAQQAASVAKDLGATAAAKASELHGDISRDGGLLSGVSSKASELAGGLSSMVKSSSLQGFSNMLPKTGYEDMNSPAGDGGGARSGGFAGGFGGEARGGGQKNYGGDLNSWNEMDGDDHRHDSFGSASERSTTTTSSSTTTKNSTTKAGKKEAVAPKKAAAPVKESNLISLDDSPSKEEEEEARPAARLPPPKKPASTINAFECRRIMNACDLGLGISVCALQSQHR >PPA05727 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:159322:161015:-1 gene:PPA05727 transcript:PPA05727 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVEMGGVNGEDPIPRTFTAEEEEELLAGDGLEKKVEESKKQMILTGAATGEKPQLEGHYNQKRNEFNELNDEMVEALVGTDFTRVEDLRFRYNEPNMADEWAVDEVNEAVDVAPAFELKSDLPEVKLFGKWSHQELVGNIAVKEEIRPRKEAHNCSHRQALFRDHPPPY >PPA05753 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig139:392135:397000:1 gene:PPA05753 transcript:PPA05753 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSNRRATKEPPKEEKLRLSNKRSSSSSMGSAVSAAPPKSMTNKLTDEGSVIRTSKAPSDDQSVSLSLSTPETPTTTTTTTTRSTEKQSRAADDQEKKSKKKLSKDLSVLKLKRIQTARKLDEKVTRCRTKGRPLMAGQVDARQDIERAETNLKEWTKQFNQQMNYLKEMLTKEMGEIRAGMKELEEMEIKTEMESKHVRGAKCCRTMESARVLTEESLLRTIRLAVRGEKKERKEEEGDGEWMMRCTTADDEETKEMAIECASGGGEASTAVLLEFLQDESAEIREKAAIVVGERVYGSGTRMNPFVLRLEREKEYGSRGSIDEGKEKESDRGMNLFDAMVENPYKESRLIGDCEYTREILERMRV >PPA05711 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig139:4100:6495:-1 gene:PPA05711 transcript:PPA05711 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRTIARSETESFKQPSDFFVLASIFTNFCYRSVPMDLGEGAYGSVLGFQSKFDDAVGIAVKKYAQRCFRELQLIRSLGHENIVKWISAYTVAEPLSSRNQGPYSIYLITEYAGLDLRIRLNNEEKNKQYTLRSFKRMISELLRALKYLKSANVIHRDLKPSNIAIDAHFGMARAFNINQELTTDSGTCVYRALETIVVWHGNYAAWSMDERLRIYDDKADMWSVGAILCEMITGLVLFYVQVEPGKTASAIDVIRKALQICGPIPDYFDTDSLRKKLRKENANASPRIDFIQYFREHGRSWLRREIEADRVDLDDFINRTLVFDYEDRSK >PPA05716 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:40408:45245:1 gene:PPA05716 transcript:PPA05716 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSRFGRRGVAAAERPADGVRAFVVAALDSPVKRIRAERAMRETDSLAARTCPYCGRLFENHLEVEGHAAREHPAERTEVYSCSECGLACNSVRTLITHWEKHRDCPRGHLVVRTPHEVVESRGEPEKKQLFACGSCEKKFISRIGVKYHVKNVCKGAELIKLPSREHSGGGPGGYGSEWTDIDPRSVRSNEWCCALVVTTVVNVL >PPA05714 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:24052:28521:1 gene:PPA05714 transcript:PPA05714 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLCLLLSPSLIYRALCVLITKEKSLAFARQIVSLLNGVLLTATELFVLRDQLRRLDDDESRSLFGCLYRSWCIRPISLLGLCLLSQNYGHAAELVSHFSQVDITVDILVEIDKLVNLLESPILSFVRMDLLSAHHQRSLCTVLSALLMLLPQSEAFTTLHRRLQTVPALTVMGAEAVASAKDRVDFAPLKPIFLAALNRQHDEIRREHKQILLDSLKI >PPA05744 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:329798:330163:-1 gene:PPA05744 transcript:PPA05744 gene_biotype:protein_coding transcript_biotype:protein_coding MHEKYLKPHQIATWFYSQAIRDEAEGVQGAHENRRADDDRVAYFSHLVQ >PPA05728 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:182085:183259:1 gene:PPA05728 transcript:PPA05728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nlp-8 MVRWKLKDHHDEAIEESSLRPKRSFDRIENSDFGLSVFKRSIPLKRAFDRLDFTDFSRRKRAFDRLDFTDFSMRRKRSFDRIENSDFGLFKRSVAPMPLTAGVENVQDLDDQSRAALVQQLADSIRTLRRVREAEQTAATEEEKKQ >PPA05715 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:29215:33321:1 gene:PPA05715 transcript:PPA05715 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIVKCIAALSKVENSPICRASTLMGRILLSLEETEGMVANEAAVKALGGHVNGDHHSNIDGMAVTHMGKLMLLYVEGVAARTAGLALPSLPPPAPHQHPPSHPAFYDRRLDFAADDLPGTSGAGGGGGEGGTRKPKDDLPGTSGAGGGGGEGGTRKPKFCFKQ >PPA05740 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:303506:304018:-1 gene:PPA05740 transcript:PPA05740 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEDTNKIIEILLILVLPPVAVWFHDRACTGQVCLNILLLLFFWLPAFLHAIW >PPA05723 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:87785:101353:1 gene:PPA05723 transcript:PPA05723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-let-526 MAATPHYGQPPPMYAGGGPPGGAMTPGGGQRPPPQMAGTPYPGYPPAGAYGAPPHGYPPHMAPHPSQYAQHMQQQQQAAAAQQHHQQQQHAMWAAQQQQAAAAAAAAAAGRGGYPGAPQQQMPGGVPPPGTPARGPYGYPPQQPQPLQQTPQQQQGRGLSTPTPAQPAPSPGGSTAQRMRGYQQPAAPAGSYPPTTAAAAAVAATPLRAPPPMAAGAAHAGTVQPPGPAGLMMSGAFPQQSTLFPPGSVEASSISQRRRRKMLARDLIGATPRRLLMTLRSALDTEVVWAVNALTVILHDDSFTAPSLAAMPGILSAVVDHLAATLHVLWPDEFELPVPCVASSSKAEEGPDTEKMVKKALKGSAESVKGVVGVRDKKGDKRDNGNYTKITRTGRKVHFKEAEMPEELRRRLLDEMRDEDCRPSSSSSCSLPSSSTGLPTDDLTASGSLVNRAVARLKANLEAAHALNHPRFRLYESCVELTSEVKEEVKDEDDEEEDEDTISPFFFRLPNKIECAEYPNDVELETFRPTALINRDSVLVSLTHRALALSNIVRGLSFCHGNEQALAAHPDLMRILGKFLKLCVRERLVKKVKPPKPDDSTPCSSQALVAPPSLLDAPDSAAALLQDVAVQLREDAFTVLAHLSVVLDLYDMDSSVSYPIMDGLVHWAVSATAEATDNLLHGIISPRDYALEALCKLCVLERNVDLLLSTGPWPRMERLVKVLSRLLPMHEDSHHREFAIVILNAMCLGSEAVCWTTAVETNAIHHLLNFIEISDHNMHTVMQSHGMGALRDNPEMMGTSVGMLRRAASIIKMLTKVSDAYKTYSKYQSKLIQFTMSQLMDSRVAGMIAESLYEIQQAEGGQEEKREEEEKEERKRRDERREEEKRTEKEEEGREEKEKKVANGHSPTSSEGDSATQDSTASTTKGKKGMNGVKNEDAKKEEVEEDEESEDSQPARGRKRGGSQQENGRDAKRCKGGSTENGFPSPDGSSIKRGGSNGEISAASAAKKSLKMKESSRAENGSAMTAVA >PPA05746 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:338312:352238:-1 gene:PPA05746 transcript:PPA05746 gene_biotype:protein_coding transcript_biotype:protein_coding MYGYNGYVPGQSTTYTTSAVAAAVAAAYSGSAANTPQQPPNVFGYPTASTFGSGMDGAGAAAASYYGYGYGAPGGAPTAAGYPNLGAGAGYASLSDLSYAAKGRAPYGATAPKPNPALTTLTTANKAILIEPCIAASYGGSTYDAAVYAAASNMLNKGPAARTFPSKGGNKKPNSNMRYNKPNSNPFAFPHTQGPMGGGGVGGGAQPNQRYPGGKRWGIGASAATHRDTQQFYCEVCKISCAGQLTYKEHLEGKLHKKKELLAKGEGTQALPRSKVSFRCDLCNVTCTGKDTYDAHVRGSKHQRTLALCKKLGKPIPAGEPTIIAPAELGGTLPKPVGAGAAPAGAAAAAAAKIPTIGAAAAGKKIAPMHFVGGSALHTTKQTNDLEAKKAVVAAAVGSAGGAEGAAAAEGEDGKKEVEYDLSALLAAENNVKPVGEEFVETERDANGKHVTYACKLCDCKFSDINAKDIHLKGRRHRLMYKQKVDPTLQVEVKPNTLREKRAARQKKDRLQSGVRAGPPPPGMMGMGGPGYMPPPMARFAPAPMPRPYFDVHRYVETEDDRHIMAKHATIFPDAAEIKEMEDVVQSLEKALKGLSDHFETTKPKEEGVAAGERLLKGAMRIGVLSKGLMQKGEEEVELVALFAQPPTKKILEEAAEEIKKFIEVPPADFSIDYVPEDACLTYVVKGKLPRVKMYLTSTVLRAGPVAECPAGTVDKPADILREEKCLTALAELRHSKWFQVRCTELQSCQVVLRILRDIAKRIDTYKKLAPWTTELIVEKVISSVGVPIPVGDALRRFFEAVSTGILFESGPGLLDPCEKDGKSVLAALSAQDRENITSSAQHALRLIAFGQIHKILGMEKLPAASPPPSERKRPREEEEGAAKADGEKTKGYCERKRPREEEEGAAKADGDVVPEAKKDKPDDESVVVVKKAEEGGQLTVKREEKEEGGGQEREEEKEAMEENDMRADCTNDFIRC >PPA05718 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:54131:56363:1 gene:PPA05718 transcript:PPA05718 gene_biotype:protein_coding transcript_biotype:protein_coding MWIQTGLATAGSAFVVLSCLSAAYVIGNDINQLYSDVMGEIDQFKVVADDSWHTMMAMREQPSGTSEAPPTFATVFGRHKRSNDQCNCGLPATGCPPGPAGAPGLPGTAGENGDHGRPGPPGPPGIPFHVTEPKPIVCEVCPPGPPGPPGHIGLEGPPGGVGLQGPHGPPGNNGIAGPRGPPGAPGTRGFDGIPGQVGPKGRDAVTGKGLPGGTGRSGAPGAPGEPGQDAPDGEDGKPGPAGPVGKPGKEGIAGADGMHGPIGAPGENGADAAYCECPPKSGSPSAAVRNEFISQQDYQRRRYHH >PPA05710 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig139:973:2170:-1 gene:PPA05710 transcript:PPA05710 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRPTLPPLSNDSIPSTTPMTTFVSLDDSRDRLLNALWCPLRQFGTQCPDPTLISYYSCCGELNNRCCSHLRLYILILIFSLPLLLLVPLIGVLARRAKKALGRRQDAVTQTKYRRGLVLIDQTIEETIEPNEELMELR >PPA05749 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:358859:362564:1 gene:PPA05749 transcript:PPA05749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lpd-6 MKKLAMRPGHLSDSEMEEESKNVELAQDLGRGCKKGGQTQIRLGFYNMSKLLELGPRLTVELIKVEQEIDEGEVLYHKHVTKSAAEIEELKKKAPRVKKMRERRRKDIEMRVIRKLERAEDQKKEEEEEQRQIREAAARKQAMATGQTEEVETDYARDREIAAQRERDEGRLGGGEGQNEAPPYKRRRMGRENGEGAAGERGEERRTLKKSSSVKVAMKKFSKGRGGGRR >PPA05734 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig139:235801:239319:1 gene:PPA05734 transcript:PPA05734 gene_biotype:protein_coding transcript_biotype:protein_coding MTHEQFHVRIPLGTAIVDQFLGLPPHPTKCQATYIWIDGTGEHLRCKTRTFDAAPTSIEQYPIWNYDGSSTGQAIGRDSDTYLKAVAHYPDPFLGGQNRLVMCETFDKDMKPTATNHRAKCAQIMKGIAQQVPWFGMEQEYLLLDRDGYPLGWPKNGFPAKQGPYYCGVGANKVVGREIVETHYRACLHAGLKIFGTNAEVTPGQWEFQIGCCEGIAMGDELWMARFLLHRVAEQFGVIVTFDPKPAITMGEWNGAGCHTNVSTAAIRAPGGMKHIEAAMKKLEAKHQDHMRMYDPNGGRDNLKRLTGRHETSSVDKFSWGVANRGCSVRIPRSVAEEGKGYFEDRRPSSNCDPYAVTGMIAQTCFLGLPRNE >PPA05732 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:204019:204504:-1 gene:PPA05732 transcript:PPA05732 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLAVILSVLLSLALLAEARPSSLLQGRVFEDNGIAVDSVPKRQAPAASDYREKILMLETNKLPSLSKYFSMHEW >PPA05742 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:322041:322984:-1 gene:PPA05742 transcript:PPA05742 gene_biotype:protein_coding transcript_biotype:protein_coding MYNENSLVDVLKIDSQSDIKWIKFGAFGREEAALIIGTKSGGIIVKLFRRTAQLTDMFRRV >PPA05737 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:249095:253143:1 gene:PPA05737 transcript:PPA05737 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNTQNQGVPVGAPTASATVGTESVLEYGRYAHWVRTKMRDGPPDILVPPAGTAGTTPLHGRYEPFANVKPNQAVDDAKRVSEENAKKVAEENAKKEAEENAKKMEEETAKREAELKAKIIAELNAKIAQRQIPCYSRSFHGRSTNCYSDSIYWPGARVYAPSGSGLKSAGRCGRQEIAATVLDHAGNSYIVMKVPPKEPFTAWFEGSFSGREAASGIEKNRSLPGSLPRRAESTGDVETFDKDMKPTATNHRAKCAQLMKGIAQQVPWFGMEQEYLLLDRDGYPLGWPKNGFPAKQGPYYCGVGANKVVVEIVETHYRACLHAGLKIFGTNAEVTPGQWEFQIGCCEGIAMGDELWMARFLLHRVAEQFGVIVTFDPKPAITMGEWNGAGCHTNVSTAAIRAPGGMKHIEAAMKKLEAKHQDHMRMYDSNGGRDNLKRLTGRHETSSVDKFSWGIANRGCSVRIPRSVAEEGKGYFEDRRPSSNCDPYAVTGMIAQTCFLGL >PPA05719 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig139:57343:60704:-1 gene:PPA05719 transcript:PPA05719 gene_biotype:protein_coding transcript_biotype:protein_coding MITVVIREIRMASSFLADLPVRNPDSFSTITKSVKKMPVQLSFDNDDVKVIKNVKESLLIQYLERHYELQPEFGTYLSRHYEQQPGSDNTPAYRAKAAREEERKKSHKRKIESDAIGVIRDK >PPA05725 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig139:120902:124732:-1 gene:PPA05725 transcript:PPA05725 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLKSECVPYSTFRPDPSNHPIALIIVIPLYILVFVLGLAGNLGLIVATLRHKSLQTVQNIFIVNLGISDVILCLLSIPLTPVTHIVKEWFFGEILCKAIGGVQAIGVFIGTFSLCAIAIDRYFRLVIAPGRPLRRDYAIRITFLLWIISILVSTPYIYNMGMFKIRKQNGTRICGRVCTENWGDDESADYAKRTYSIGDDESAADYAKRTYSIGILIIQFLVPFTIMAICYHSIFSFLRKRASNRLTSITQQANLLYVLAATAGGDSHQHKEQLEHLIEQKKRVMSQRRRVTIILVSMVVIFGATALPHNVISIMLEFETDDIKMFQFGEHDYTYVVNLATHFIAMLSCVTNPILYAFLNPEFRELILNGIKWAPYFVSRLFNFYQQCL >PPA05738 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:270890:271584:1 gene:PPA05738 transcript:PPA05738 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNCTPNRSPNLSPIPSPSPIVSPLLSPTVRRTGSGRKLPRIHPDRPYDERAPAAAGGPVRRPAALLTVSNYCSY >PPA05717 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:45680:52470:-1 gene:PPA05717 transcript:PPA05717 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSAARLLCRVVIEVRRGTGHGEDGEEGTQGSKRPARAIDVEETCDVLSDATWRTGTLPRHLKAIRPIRRRQRRKEKKLGKKGMNGVRNEEEKKEEEGEDEESEDSQPTRGRKRGGSQQENGRVANGHSPTSSEGDSADSTVSRTKGEELVKKGMNGVRNEEEKKDRALRYVVLPEHTAPAAFLKARDSYFSFYPMFAVLKGPHIDYPHQQQLIENYRNTIEPNSTKISDAALTGFKLLCSHGEKYECMGRASFFPNPPSWAVNGNCILFNPWAAASILAILVCMTIQLAGIKMNPVSAVTLITAVDIGGRDGRGREDAMIRSRSEGKRGGSQQENGRVRLYESCAESTSEVKEEVKEEEDDEEDEDTISPFFFRLPNKIECAEYPNDVELETFRPTALINRNHWRQMLEKVEGDEKSDDSQSVGRRKRGGSQQENGRDAKRCKGGSTETGFPSPDGSSIKRGGSNGEIFAASAAKKSLKMKESSRTENGSAMTAVA >PPA05713 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig139:14798:18611:1 gene:PPA05713 transcript:PPA05713 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVLVVHSHEDESPMARKIALVWLNDLARLLGPKLLFHLAPLLTAALPSIDNDGLKAREMNTKLLALVQESTELDVDKESTELDVDKVVEVLLKHISHGKRETRVAVLNWIQHIYTTHPEKGKGVVLYWIQHIYTTHPEKCGEEMEWWIGKRPSRLFAHMERIFPILLKTLSDSSDETLSDSSDEVLLLDLHLLSDVCQHKQNALSIDALELDEESKRQREKALSIDALELDEESKMQVKMQVDKFLDINGRVS >PPA05745 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:332846:336209:1 gene:PPA05745 transcript:PPA05745 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVNEELEALNSLVTVLVVVFILLVVLQIIQTILKVMRPKLKRERDCKPHAVVVERGSSRGSGGTKKDSSSRKGSSSRRGASLPSKRLCTVLSLSTEEECEKSTKSTSHEPCTGSREECAPLGTHPVAFKRPASVSSSDSAVPALEMSKEMAASLKMLNCADVANTPLPSTAPLAVPHTPSKSKSRSAEKTKDSKEDKTKEDKKTKAPASVDLPVQATPTPTTQISTAAALSTAANASPNVQSTQRLSVAESSLSMPGPKKNSPVKTAKEEVKETPSAPAAAAAPPVTAMPPQQ >PPA05735 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:240348:241988:-1 gene:PPA05735 transcript:PPA05735 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASSTPSTPTTPWPWSANRDTPFDIDVVHLTDWFTYICEKYFSGVAFDRTQIEIVWSPSMVKQAGKCEFPTASSATIKLNRKLLESLPMEETVETLIRECIHAILHVSKASKDTFQLEARRIQAIDARLVCLDIETTSYGDKVNMLKKFHWRCSGRCSCERIPGLEKYVETGGWVSRARNRAPGSGDRDWQRHQAQCGGEQEC >PPA05712 pep:known supercontig:P_pacificus-5.0:Ppa_Contig139:6869:13311:1 gene:PPA05712 transcript:PPA05712 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNDHTMSGDAQYAPLTAALVRTLTDKLYEKRKTAALNIETQVKELYAANQMSQLEKLIGVLRELALAPNGQTRKGGLIGLAAAAIALGKNAGPYTVFLIEPVLSCFNDPDLQVRYYACESLYNIIKICKTHSLQMFDQLFDVLWKLLAETDQHLSADTDQNVRSGAELLDRLLMDIVVSKEDFDVANLMSLIRDRLYVQTSSNRRFVVSWLNTMLTTPRFTLLPYLSEVMDGLFRMLGDQQAGVRDVTETVLGQFLLGVEKAPNTIETINGYGGT >PPA05730 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig139:185287:186069:1 gene:PPA05730 transcript:PPA05730 gene_biotype:protein_coding transcript_biotype:protein_coding MEARINRSPITIPDDQLPLASGNQRARDFLESQPDYKENWSLQEKYNSRAAALLRDKVGVIEFIRFSRRTSNS >PPA05755 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig139:403149:403533:1 gene:PPA05755 transcript:PPA05755 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDILHRPCSHLEDRLIKTVDPEKIRGGGLLKYCQLLIKGFKAADPEECHQMEKCCWAGTKA >PPA05752 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig139:377897:390869:1 gene:PPA05752 transcript:PPA05752 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTISTSVTMATGYRIRFLTVIDRKETLSLLTSWFLPRISARLDAATVSLASLITEPLHPLMNAVELILNEIGSDPSIHSFLSLLLPLLHSIADVVSPLVHNLSPEGYLPADFKLGDSSLLNLIRVVSPLDDSLADVVGVCVARPQTRIEHAWLPSPSFLTSEILHDMAEYYLKQLTECKHCGAFEGAVDGFEVLCARMWSIGGTKRGAEPEKWLAEAMQAIVGEKGSLCLTRRSAGLPHLVVSLLVTEPEWSRSQLLLGTLSTLLNMEEKSLETRVHSCNVAKAIINCARLSDKIQPALEMALVTAIAGCCSSEWPARNAASQLLSALISRVFGVPREGQKDLRPHHHNMMSAAEFFARYRIWNRKLNMLRGAIFPSTGSQPGVDIPLRDDLVTLIASYNYKKQLITTSTLRVTRNWLHHGKGDTRQCIVSTVSYEFGGNRLFSPLPSGANYEQLEPEQGPASFLGFLSPVGALEGYGVHKKGVCGANATILEYRRVKTLGKDNADHAYVEKGTEPHRAIANNLAYQFQGVRFYCWRLEDTDFKIPVACGLPAVDAAAVRIVAGVLAVPLAGAPVPSLPLLCRPTRPHSIHTESAHGAPLIPGGESARAGRRLAVYHCHEGGVDFENDISLLLSWIGSQSAAGMRQNEANAVLMVMTSFLDRKRDDDYDETEERMRAIVRRWEEGGEYREWCDWNVSLLLSLYHRLSMPLPPLQSIPSIDSLTLALRPLAAGWIRYAERRAELPLSSAEFRHEIYRSLAMMESSIDSTEIIDRLLPYLLEDLKEESKETNRLIILRLLIRVARGERGEKRRKEIWRTIEGVEMEERRGIICRHDVGDLFMRATL >PPA05757 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1392:155:1965:1 gene:PPA05757 transcript:PPA05757 gene_biotype:protein_coding transcript_biotype:protein_coding MSERSWKDKVVMWNEGGISSAEIVKRCEQIGKKVSKSKVLRLLKKTYVVRKPYTPSSIIDKEKIQPIFKYIFDAFMSESQEDEEKIVKEIQAQFGETITPTIVKRIREAQGIGTDHVRYGHSVRMVNRPPRVAFCTHHLSVGTMFTHHAFTDESMVQSGKRGRFCFVLKGDTSRRIKPKFKHPPQLMIWGGVSWEGATPLVVMRNKVRIDGGVYQSMLHSTYLKWAEEKFGGNVVLVQDNAACHTSESTQAFFKRSGVQTLDWPAESPDLNPVEMAWAIMKQWLKKHKKENTLAALEEGIREWWKTNYTYDLTTPRPKRRIFLPLVVLGVPTALAILALVDPLEGFKRLEGLVDDASVRK >PPA05759 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1395:947:1379:-1 gene:PPA05759 transcript:PPA05759 gene_biotype:protein_coding transcript_biotype:protein_coding MITFGSRREATLEEAKAHKEKQLKQEEASKKPVVKRVGLGDALTMLAKRPKMSVLDKSNLDWKSFKQEQKID >PPA05758 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1395:35:400:1 gene:PPA05758 transcript:PPA05758 gene_biotype:protein_coding transcript_biotype:protein_coding MREASNRGSLSASGSVIRKALKSLEALKWVDKSEDGKGRILSKQGRKDLDRIAADLRSTAAPA >PPA05760 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1396:344:1107:-1 gene:PPA05760 transcript:PPA05760 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEFEREVIMKGALGIDPLDEDMDVDEVWTETRDKLLECARKVLGVTKGKKMVNHESWFWKDESVRVATKRKKEAFREWFRRKSVQTKAEYEAKKLECRRVIHEAKMNAYDDLYEMLDGREGEQAVYRLAKMRDRGKKDMKEVKSICDRNGKVIRGEREVKERWREYFEELLNVEKPQTLLSDGDPVDLPVLDWSLEEVRWAIGKCPWRKAYGDEDAGGLETQ >PPA05808 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:235511:237621:1 gene:PPA05808 transcript:PPA05808 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLILSSFILGLVSHAAAQCSTSQNSNCVNWVKNGFCNNMGYSLAQRQASCGISCGLCTSAGVPIVPGVCTADANANCANWAANGFCNNAAYTQATKTAYCCKTCACIRDNDDWRYNGFNHNSHYNHDYDTRSPVFKGTFHASSHKTRKPKKLKTSRSPINDRMVPKKEKKMLATDKLERYLTERTIETTRKNGRPYKPLLGCARQIPSLRIMNTVLISALLLCFGSEIAAQCTTSDNAYCASWVPNGFCNNSGYSLAQRQAYCGISCGLCTSAGVPIIPGSCTADANAK >PPA06073 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1287504:1289141:1 gene:PPA06073 transcript:PPA06073 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGVEDADFTVRRLLELKKVGPTVKEWTLECFHVCGINDCKEATVLLMEAMERYFKCLFVDFIDGGKYSGEVSEDTVERTQCALGHNRHIESAFGFVDRLHTHSPNMIVPRRLAKLMISKNHTTRWLLSKPVEEQRAIMKIARSSLAEIRSEHVESRKKLAEEILVRAHEREKERVLKKAPARLKALCAQIRFRERALRQEAPEERIFVLTNKGSPLSENELRRRLELLIEDDLKGLVVTRSLPSTLIGCWIRRWIDDRSEDGKVSITFSVFIFC >PPA06086 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1340332:1342371:1 gene:PPA06086 transcript:PPA06086 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVEPEVQMVVFRDADSQDGLIGDGEGGGQEGGEREGRGERRGEREEGAEMGNHKKISRRCKIFCIFIVLSLVTVAVLAAKAIGMSGQTILEKMLHCMKELNPVSGQTWTSYFYETCLHFDINWNDVHA >PPA05772 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:50275:51376:1 gene:PPA05772 transcript:PPA05772 gene_biotype:protein_coding transcript_biotype:protein_coding MENENYHKIKAYRLVGYAAVGFSTLAVISMAMTLPLVYNYVHTMRLNTIHELHACKGMARDVWGDVASMKAIPSHNRTARQSGYDLPVDNVPEVRQEASCQGCCQPGPAGPAGAPGRPGSPGRHGAPGSAGNPGRPNGSPCEPITPPPCQPCPAGRPGAPGAPGPAGNDGRPGAPGPKGQDGHPGENGSRGSNGNPGRPGNDGRPGAPGKSAQSGRPQPGAPGQPGHPGSQGPAGPAGRPGNDGRPGQNGARGQPGQSGAPGNDGQAGQPGQDGNAGGSGEKGICPKYCALDGGIFFEDGTRR >PPA05767 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:22463:24994:-1 gene:PPA05767 transcript:PPA05767 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKSKLTHFRAFHSQLLRLANFGSRFKPQPLFHWDGVKETKAFRDRAIQAPKYNGDFEANGIPSEDCLYLNVFTPCWKPPVEGDSVCPGNLGLWDQTEALRWIQINIGSFGGNKDNITVIGQSAGGASTDFLHLSPHSTGLFHKMICMAGNAECRWASNQKMPLHCRSKARRLGINWDSSEELISELRKIPADNFGVNFFKKEKEDDVDFETVVYNDGDFFPESFDKLRLKAKPKPTITGVTKEEGILMMMFMKINKRTAEWIVKAASQSASDKEKLARILSARFDGLWEDEEKLGRAIANIASDYYFNAGTLEQCRKTVAIQKEPVYLYTFEHWNPESLGALLSIVPIEDVTHACELFYFFKHSLFGASNPEVTEEQQRVIDRFTTAFTNFAKCGNPNGMGSSTLPARWDPITRENYSKNYVFETETCSMRDDFFEGRTAEFIRIVKEHSAERHRSSL >PPA05878 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:471605:474610:1 gene:PPA05878 transcript:PPA05878 gene_biotype:protein_coding transcript_biotype:protein_coding MKCNIHVDRAQENAMCQEKIYAVDAYTRAEINLIDVAETLTFFENSFPSLRNIPKSEKSKFMCRRCRFDKCLSVGIIYNGPMRLRAKPVTPLMEIIETEFNSIDSYSDDHANVFVPQFDRAKLHEKEFYALVALALTEHDLQISEEAYQLLDSIRYEIYENLQTYYQNELGLVDFSECMSLYKTFFRFFATFFDMYMTDKLLERMSL >PPA05834 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:306350:307306:-1 gene:PPA05834 transcript:PPA05834 gene_biotype:protein_coding transcript_biotype:protein_coding MISEIDFLEGFSIELVKDIIQSIVGYQNVYMNAVEKFPVPDKKVIFGTLRKIAETSIDALSVKSWITEEAKKQLYHIAKNVDKVQVQLPEYAKAHKLYRHCQKWSAVMLTIMVYFQKMMPGKPDETHVDGELSERLKALLEDIKEIE >PPA06009 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1011311:1011729:1 gene:PPA06009 transcript:PPA06009 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMEEKIACFVDTSDKVKDEPDADLKAKITATLNTIKGVAGKVKAMSADQKQKVIDNYFTGTPQFPHIRCEPLKTVFQSTR >PPA06012 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1016680:1017223:1 gene:PPA06012 transcript:PPA06012 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTRAVVHEVQRFANIVATNGLRMTTRHTEVGGHFIPMGTIVNADIHYLMAHDPIFENPTEFRPERYLTEDGKGLKKARESLARAELFLGFAATIQHYRLSPRPGQSIDLDPIPAPIKIPKEQNIVIERVN >PPA06041 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1165840:1166161:1 gene:PPA06041 transcript:PPA06041 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGDKEERRRESNPSGFLVGFFDSFPLVIEHQSKVNTNPKLKEWIDKRPQTPY >PPA06030 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1095280:1097505:-1 gene:PPA06030 transcript:PPA06030 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPQSILLFTLIPISLQSCADHYQPITSCNGVSAAALITDESSSFSCQYDAMADIGDPLAVDTCTLTCPTGSNMVAFLTDFNVQITINSAYFDSVIWLVTQEDSSRVSFGNSIQFGSRCTPFIDDKGVEYTTKCNLVDSRAQCNDDILLPLCTCTAGYTGTTCGITLEAIANLKNIGDYDKLRALLEAARKTPALLVDNFPAILAFLPEALKRDLSWSLDEVVDSLIYELSGIDTPKAFTQIFDDTLGNCYTFNYANTTVNPKGLYQTRLAGHNRGLSIMLKLEPVEQVAWIECSAISVYIHGPGTPPKNGALYSLRSASSDTISLKKSITKLIAGCIVSKTDLKQSFYDDGEYTTNGCYSACYQDKIQSECGCMDARLKKAPGAIGCTFNKTDCIDSVTSRFGEPSTWPNCHCPPACYQEVFGIEATRTALPYKLASCSNDSGCDEINERTARLTLFMTTLESEVYNEYEKMTIWTFLSQFGGILGFILGMSVVGIIEILILFGQLGRDSFSTSKKKS >PPA05781 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:80371:82417:-1 gene:PPA05781 transcript:PPA05781 gene_biotype:protein_coding transcript_biotype:protein_coding MKLILFLTVLPALGAACPFNGFETWLDGRCFRQNYQTSVPYSESSYTCREAVFSSNEAHLPSIFTQLDNDQFYLSLDHQFGYTFWLGLSCDGEKFVWADGSEANYTNFAGDYKCTKAAVDFKYYYGNDKLWYESANGKDSGVNNVVCEAKTRSASPCDSYDEVETVGQTKTCYNLYKATSGWENADAMCTLDFAHLAVIHDQTLNDFIRRTSVSAGLMDGIHIGIQLDRPSGNFSWVDGTDVDYTNFQTGLSTSAASVCGYMDTKSSSGQWGLTNCETIPLPFICTKPAFYVSNPHPAGCPVKTQYAPGDDVYSPSYPGAPGEGICDYLLLEADPNKRVKLEVTFLESNACCDTLTIYDGLSGSTVLTTVSGWHPDPFDIIANSNAVRLHWNASSGVHVRGFHVKMLNSVRK >PPA05904 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:562123:563444:1 gene:PPA05904 transcript:PPA05904 gene_biotype:protein_coding transcript_biotype:protein_coding MAPERRKRQNARPIDNLNKSKEKEKGKKKDNAFSWQHYVFLFILAAVSFLGIIYFHHSTRRTYPVKQKTAVCVSDEDSPFFFPCKDGQRHNEKLMKNILDSASSLSDEAIARASVKYVMALAMRTEDIELEKELLEWMKIALQNVKCTKIIDGIQFMEHTPRGLCAAAEYRNPSIIDPENTLALADLNLFL >PPA06001 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:992106:992452:1 gene:PPA06001 transcript:PPA06001 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVIGTSIEIPGSTEAKEMLAKDLNASSIDGWLIIRLDDDIALLSQITLIIFNVIMILGMSVSFTLASLTYLSIRRAAALSQNDRSMQMTLLIAVSAQVWD >PPA06025 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1079216:1082447:1 gene:PPA06025 transcript:PPA06025 gene_biotype:protein_coding transcript_biotype:protein_coding MFEYDRLALDISLLSVGGGKHREGIPSLGATTATIGGGLLSPNTQPAMPRAIHSDSSSSDCGSSSSGYGSPGGTPLASPQTNLSALLPSAIARVFRDDLSSSPSDLSNHFGCSPGGEIERPAHGTIGLRIKPEDTQHPAAVEDGFSFDEIADFESLLCSPKKTPPNKYQCHICYQHGHYISDCPMRFNTPYDELTPYQGRKKCYGEFQCQICKRKWTSQNSVANEAQSCIKCHVPVFPHKQLPVEKALAMGYIKASKALPAKIAPIGHGRPPAAPGVIGRPPRV >PPA05896 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:534045:534447:1 gene:PPA05896 transcript:PPA05896 gene_biotype:protein_coding transcript_biotype:protein_coding MYIKHGRLAKASDSDALNEVALRMIVQSGIIITIHMTTCVIYEVTQYIEMNEAIMYGAQIGWMSDLRHSST >PPA05818 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:264709:266279:-1 gene:PPA05818 transcript:PPA05818 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPEQRMFARAYFNWGISRMLLTTICYLTQEWRKACFGIAICCMPALLALMFIFPESPTWLLSKGRLVEMRESEKKIARIAGVRYVPVDHPIPKKPKSIIAVMKSGLWRRLVVLWAMWFTAATSAYATDLASNRLSGNLYLNQFLFGLVLYVSKVILGLVDARFPSFTRRMLHQGSQFGAVVCFGALAVFKLTGYHGHLMLVLNIIGIVFVEYTWDANYLCAIELGHIDIAHPGTIYTIVTVLGTMNLIQSYFFLVETKGVKLDEVTVDRSDARKEEHEDEEMRQLKQ >PPA05801 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:207252:208307:-1 gene:PPA05801 transcript:PPA05801 gene_biotype:protein_coding transcript_biotype:protein_coding MLELTHWSSRKRLAIAFTFSVAALIPVIDGKSVYTLLTLYGFIPFPSAYAIIIVMMTMSVLPLAVLVPPFLLVLFVTIAGVDLGLISLSVTCVVWGQCSFDTSISHC >PPA05786 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:125226:127462:1 gene:PPA05786 transcript:PPA05786 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPIVHLVSLINGREDYLRVETLIKSILLFHRGGLHYHFIVDAISREVLSALLQTWQIENSTMVLVRWSIYEAEENADRLGWIRSSRPGGFSQIELALDEILPGYIEKIILLEIDVLMIDDVTMMTAYFNEMEDGGALIAKDFNATSSKRETIGISLLHLRNMREGGWRDIWRKEAARIATGIGAKAPTEKDVIKSLTMQRPDLFYALPLNKMTALKAPSFLNAPATETFEFKFQKCISALDGSFFETQLKNQTFDSPRTLEYLTPQMASEAHEDVTLLLQAPVRKVAEEIESAMEWPGPVSVTAYGTDEERISLLSELKDAKRDVTLHYMYKTEKPAPNPIEHMKKLSIDSSKTSNVLLVDKLDLIDYSKDLYTLVREQREMNSTDIHIIQTADMHPIGAAMSKMIAQRVLAAGRGYLWAEAHLNVTTSFIVMSAIPERSKLQRRDRIHRQQRQRRHGEMNGVRGRLKYC >PPA06081 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1314609:1315448:-1 gene:PPA06081 transcript:PPA06081 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIALFSSLVVLIVAQQDGQQWGINFAPSNGDSNGLNGFFSGITGFFEDLGNAITFGGPSFLRNVTRQGRQDYYKIMQNKTLTRAQIQTAVGNWADANNVTSQVNAYNSKKQTEKNQFRSNVTSAVQQLPNLISQLNAIDDNQSLTPSQAADQTRQTIRNATQPFLRDLVFDVLPPSSIGSAIGGAFDAMGDDNNNSSEEDGDIFSRK >PPA05998 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:980177:981800:-1 gene:PPA05998 transcript:PPA05998 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFLLFLFAGLSFGTRVDFHNNCNFHVQVIRTENGRSPTDQASLGPHQRSSADFSSNGMNFKNGWNGLTLAKFSFNSWNSMDFYDISVIVGYDTPMRIETNKGGLTVSCGSAGCLEAYLFPTDDTKVVREGIEKAAVQATLGPNENATSADYTTTIVQFRNGFSGLTKVQFMIGMVENTDLYAFDKEFDTPMQVETEGGPTIRCLASNCKFAQLPHFTKTGGIFNITFCPPENNAAEKIETNGGKMTGAF >PPA05842 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:332794:334880:1 gene:PPA05842 transcript:PPA05842 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVDKKIDGFHTINVYDRSFNTFSFTVPRRYTNLQFINAGTQGTVISADDSVSRKRVAIKKLHQPFVMPMSAKRAYREFILLSSVKHSNDLKPSNIGVNLNCVTKVLDFGLARLISPSVADRMTTYVTTRYYRAPEVVLGLPYSEKADVWSIGCIFAELITRRVIFQGKDKVTQWNEIVKIMGTPSDKYISQLTGPILDYVRSLPIAKQCSIEEIIPDPHFLANTERADLHLTADDARRLISKMLRMDPTERYSVAEALHDPYVRKWFREDEVNAPLSRNRFNWDLAEVEQSSTKLNSLIFEEVKRFESSHDT >PPA05961 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:770695:771406:-1 gene:PPA05961 transcript:PPA05961 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLLLLLITPLSVYGQAGDPRPIDVNNPEYMTKIWTGLASINAASNSPNYLVPVKVISGTSQVVSGTKYVWQVLMAETDCNKANTNFDRNTCVPSANAPSSLYKVTLWEQPWLNFAEYSVEKI >PPA06033 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1105393:1106968:-1 gene:PPA06033 transcript:PPA06033 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSCMQRRNAIGFPRMIAAGRTSAYKYLVMQMLGPGKLRRSLPEKQFSLATALKVALQTVDRLHALHDARWLCRDVKAPNLVIGINDDEDNGQIYMLDFGFARRFRDAEGNCIPPRASAALVGTFQYAPLAAHAHKDQSPKDDLESWFYLHDHRTHQGYGPLPCGGFKDYHQMGDYKRAIRFFEEPEYELISRELRSAAARADDLEMPFDWQINRWMLRRAHFVGDLGESNMASERIANATTADEDAERFSLPVDTTPPLDSR >PPA06050 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1187934:1188670:-1 gene:PPA06050 transcript:PPA06050 gene_biotype:protein_coding transcript_biotype:protein_coding MMCETRLVSELSYRSPPVHPLEMNEIILKFGDEAFPEFNELNKTEKVVDVRSFGSYTTFVSEDIAKFFYDDANSNTKFNNE >PPA05968 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:806361:806948:-1 gene:PPA05968 transcript:PPA05968 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLGNKHNTDSLAGRVEQSTPLLSIRLPHGFRAKRPKETATLYRNRKRLTSNDDIVETLHHIARGFEKKIAIVKEFDAKGREKSRTAPTSLFVEQYDDWRRCGWLGIDDSACQCQKILANIVWFEV >PPA06055 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1199769:1202300:1 gene:PPA06055 transcript:PPA06055 gene_biotype:protein_coding transcript_biotype:protein_coding MQILHLLFLVSIVHSLKFLAFSTQFARSHANFIARISDVLVEAGHEVTIVAPVLTDSFGGPLAKKAKVVTIPKCEQTKPFDEFLNKVSANIWVMKHSAQMILEKRKVNAIWGHSCLSVLDTPGLFDSLKVEKFDAAFAESPDVCGPVLFHLLEIEKWAVTYSVAIRDGFDITQTPSIPSYVPSMMGGMSDSMTFFQRLSNTFSFVMTNLMIGTVYTDIDAVIRQRLPELPPVMDILSSNSLVFLNSEPLVDFPKPSSARVIDIGGITVAEEGHKPLNQKWSSILDLRPKTILLSFGSMVKAYTMPDEYKMTIRVTFKKFPDVTFIWKYERPDHNISADIPNLIESTWVPQNDLLHDSRLSAFITHCGQGSTAESIDAGIPVIVIPVLADQLRNSYQVERNGIGIRLEKADLAKEGRLEEAIREILTNQSYRQKARKVRQMIADRPFSMKEIFVRNMEFLAKHGPLRQLDHYGRHLNFFQYYLIDKIVTIVGAVDSRNRFGRAKKKE >PPA06076 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1297146:1301885:1 gene:PPA06076 transcript:PPA06076 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKGEKEQRSGAESPLTIQTDAQESVTEIRSDKGVKRIITRQTVKTRSIHAVNLDSSLSLLSSQHSPNHLPPARDVTMQAKQLQLLRVSVYQSRLIVLSVEPKTNLDIQAGDIIREVDGRVLFSDSQLRALSGQITLTVQPAQVYEAPPKFFRLVNPYEDRDSQFSVKGGEVIQVLSEEADKLTVRSVHDPTVVGRIPMTIESESVTMMSPFGRGVVALVGAQAVGRRTIKTLLLQYAPHLFATAVPVTSREPRNGEQEGREYQFWRKETVLQRIRDHEMIEWGELDNQLYGTWAGDIKEVIESGRVCIFDCSPQALPYLYNSSFKPFVVHIRGPELEESVQLEQLRPKIRSKDELEKADKDSDHIASNYGKYIHLTLINRNTDVTFKKVLEDADDDGHSHFSYRRPVGSKWRDV >PPA05901 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:550076:554613:-1 gene:PPA05901 transcript:PPA05901 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPRPLPVANNKAKLHKWCPVIHEEKLRFAGRPDDTTFNDPSHLLVSEYVVNRARTRDGRKKNKVFVDSMGNEITLVGLSNGVHSGLILNHPSVLYWYEKGLSDHWQHDLNKLNDEARARRAPPPPQPPPPPPPAPQVLIVEERRSRTKKKRNRCSPIPMKMKKQKKTDKQRDKEEIDPALAIGWMEEENGGDDIEEMDEEVMEVDRGDVQQNDVVLVDDIVDDVVDPEEEVEEYPNGSSLPDDQRLPIYDQNDKEDFDE >PPA05866 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:429376:430723:1 gene:PPA05866 transcript:PPA05866 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYRVNEEDGGQQANSKDPPAAQISEEPWSTTNSAEQIVRNKSDDDQRGSWFAAWSRETRLFVSMTAMHGVKRVWESRALSKLFWGLTVFFLFGFLAWQLTLIVMEYTAKPVRSDITFELVDDGLAFPKITICSYNPMKKSYVESEGTAIGLEYNIFGGNDEEALEQDDAELQAYKNNHPGFTINSYSTSVLSELGLCQVLNLQASPFAWMQKQTEATETAGSGNKYT >PPA06066 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1252069:1253086:1 gene:PPA06066 transcript:PPA06066 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIYITCQLITRDHIIGEQFLFDSNGTIFPEFYFYGTHYYFMYVQVFGAILQSSNRFICVCIPFSRVHEESVDRVRVFFVYPTVLMAFVNPWMLILTNQNSSWTLSSVYNG >PPA05792 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:160153:161809:1 gene:PPA05792 transcript:PPA05792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ugt-7 MFANDFLRTCKRVIEEPGLIERLKDEHFDVYITENFDICGIALAHAIAPKAVIGSSSTFLFGSHFAEFGVEAAVSYRPSLVSSNVEVHSVVSRFWNVYAEIFSRLTFWYQRHVVNNLMKEHFGEHYPTIAEQASNVAYVLTNSEPLSETAAPTSARVINLPDIGTKTAKPLDEYWEGILNTRERTILLSFGSVAKSSMLPQESKQGILRAIARFPDITFIWKYEVDDEFSKEASKVENVVLTKWMPQVDILNHKNLALFITHGGMGSTRETGLRGVPGLFIPIFFDQPRNAGMAEFNGLGRVYDKFALHDDLKLSVMIREVLDNKKYRENAQRLSKMLAKKPFTSKELLIKHVEFAAEFGPSAALRPQSKSKKE >PPA05976 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:857700:859359:-1 gene:PPA05976 transcript:PPA05976 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFPLADSLLSSLVAAAAESARPSNDNNSDKSDLSNSLLIFARAHSIASSYKKNNNNNNNERTPPIVVPNREKTESEIDILFRNLLLGRKQELEKRKNELELVVKNMDDEIANETYGACQIYADCGVANLQTPLRRVLALTRVVEKANQLVDSAYSLSRERSQIVEQLITITNELEMTQMEIEDELRKQNDVYSNSQWNGMEIVEMMKKLQ >PPA05966 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:803190:805207:1 gene:PPA05966 transcript:PPA05966 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFFGSRREFDAQKVDKGDEKKWTWAEWKRPVIAVILTFLCNVESSMLAVGEWPYMNTIDHEGASAFYGIAVAVSKAGTSPLLRSYIARHTSEENRSTAYALQNGAGVLSVIVGPLAQICFSGLPYPGARIIYPNINLNIFTAPIWFAIITNIIALVLIIVCLDDPKDEEETKEEKTPIFSLAAICERFSNLRSLHLPWILIALVIFEKVVSDLATSSALAGPVMTVMYALSGQNTVLVVAVSQVIVGVLALALSLLFFLCKLGRYVSCRVLFIFSTIVVIIGYIITYPFPFSSDPLKPFNETTRTGCNPQEYSWCDTQLAVNLVPYIIILIITNSFAIPAAGLSLDTIYSKMIGKIDQNLMQSLFVIADDIVQIVGPIYGASVDFLPSLIIPSLSVKYSLLLVSISSTSSME >PPA05763 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:7917:8163:1 gene:PPA05763 transcript:PPA05763 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLKSQFTDGSPSSKSVPAVNNQLPSGDAASKTSPIASSPSSKDTRFV >PPA06101 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1448400:1449064:1 gene:PPA06101 transcript:PPA06101 gene_biotype:protein_coding transcript_biotype:protein_coding MCVILHELTFTLDTMGSSLFTLYLASFFYLNSYTFYLCAGLMGVGYAIFYSGHGAYLAEHSSRASVERNSALVLSAACWSLIAGGGVLLAASLLTPPTDNAPPTTKIYRDFSTNEIMLM >PPA05911 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:595235:595601:1 gene:PPA05911 transcript:PPA05911 gene_biotype:protein_coding transcript_biotype:protein_coding MKISFLLCIVVTLLVVGVVGARVVRPPLSTTKPSITLPSVNFKSESECNKMCAEMKKPNARKLCGQVCSAEFRKESRFATLTPPLINRAPYP >PPA05861 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:403776:405078:1 gene:PPA05861 transcript:PPA05861 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPLLILVFSTTNAQYLAAPCGTPPCPSLVPPPPPPCAIPPCIYAAPPPPPPVIAAPPCAPPCALAPPPPPPPPPACTPPCAFAPLPPPPPPPVLLATPPCPRPSCLPPPPPPPVRFVEPCGNGCSPDPFATLLPSVQTTANAAQLPAGSLLTPWLLRDQQQLQLQAQQAQQLQQNQQFTPDRLEPTAVPEPAATEPKPATANQQYQNQPLRDSSSSFGTTQSPFAAPNLPANQQQQFGQTNQNRQFTQSNQQQIFRDSSIQLTQNPIAAPNLQATSQQQQQTLYGQPVQRDSSSQVVYSQSQLSQQSQLGGTPLMRDQVVVQGQNGQLQTATRMIGMRDPSQPQQQQQQPQTTINQGQLLRDSTTTFAPIRALNPPAPIRVCANPPCSPSDNPFFDMVVLNNRRRAPSKAYSRFPTTTTTTTVAP >PPA05775 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:60968:61611:1 gene:PPA05775 transcript:PPA05775 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRTIPISNGSALDLHLGFYIESVSNFRSQEMTFDVDLYMYTSWKDSRMAHNSSDEIILVSDRKARDMMWLPDLYFANARHCKFQEVTVPNFNLFIARDGLISYSLSICQLFAAQ >PPA05789 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:136672:141229:-1 gene:PPA05789 transcript:PPA05789 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTIADGTTKSHVIHVQPDPRVAQLYLNPEETNFLEMNMMHPIVPFIVGPMFTTIFGLTCQRVLDEPGLIERLREEKFDVYITENFDVCGIAMFADSLVVNSFVSRFWNFLGEMHTRFTFSYARRTVNKVLQDKFGQSYPTIAEQSSNVIYVLTNSEPLIESAGPTSSRVIDIPGIGAITPKPLDKYWEKILNRRAKAVLLSFGSMAKSIFIPIFGDQPRNSGMMQFNGLGLVYDKFELHDGKKLASTIREVLENNKYADNAKKMSKMLAKKPFSSREILIKHIEFAAEFGTSSALRPQSVDMSFIEYHNLDIIVLAVFPTSLIPIMDPSLRDGTLKSHVIYENPQEVNFFDINMWNPIAPFIIGAMFSNVFEKTCAKVVSEPGLIDKLREEKYDVFIAESFDLCGIAKAINPKSVIGSSTTFIFGWQVDEFGVDAATSFRSNMMTVMSEDSSLYTRVWNIYAELSARLAFLFPRLSINRVVKERFGADYPSIAEQSANVAYVITNSEPLIESASPTSSRVIDCPGLGAQTPKPLDEANLETDEKKVLNRRNKTILLSFGSIAKSFQLSDDNKAGILKAISKFPDVTFIWKYEKPEDEFCTNHASKLKNLVTTKWMPQVDILNHTNLAAFITHGGMGSTQETALRGVPGIFIPIFGDQLRNAAMMQFNGLGKVYDKRELHDGDKLAATIKEVIENKNYAENSKRLSKMLVKKPFSSRDLLVKHVEFAAEFGASSALRPQSLDMTMVEYHNLDIIVVFGLFVIFSVIIISMLALK >PPA05883 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:487623:488771:1 gene:PPA05883 transcript:PPA05883 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYLIFLSMVGSATATAGTALLVPNCTDDSGKYTATAVNCEDALPATYCGTGAAKHFYLADTTYLPAAKGGDAKTRIIQCYSGADITAGDITIDQAYVSAAVATCAKTCGYCCLTPKYNCKNADEPALNCETVTQAMCLDSKWRDTLAVSCPNKCGFCLDGGCVDAAVDCDKDPTICKKAAMSTFAKANCKRTCGFCTNTTSTTGSGTCGDTHPNCANWVHNGFCQSNGYTVDQKRQYCGKSCGYCT >PPA06059 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1229212:1229864:-1 gene:PPA06059 transcript:PPA06059 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRWSKIRHWRTELITGYPLKSVEESLTTRKLKAYYLSTYPSPQCLHHNQAGGQNIYFTWHPQEISEYDLARATIQAFYSEKRYYDYSRPNFNHAASHFTNLIWKSTQRIGIARSTRWLSHASMAIEALVGSDGSDKRMAERKHNDTLGSVEM >PPA06119 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1538263:1538886:-1 gene:PPA06119 transcript:PPA06119 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLTNHSTEADGTTTCVFKTEDERPQENRFVCVFGAECCDTDGCCFISHPGATLKAVTLFLLSMLGCILLISLCLVLKSKTESEMENQTQLFATK >PPA05850 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:368181:369768:-1 gene:PPA05850 transcript:PPA05850 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLGEGVLNWNTDGRVLTRDQKQHYEKYGFIVIKNCIPQYELERYKNRFQEICEAPKGNFPDMTIMRDVSIAKSEFKAGEKAITKLQDFQNDEVLFDYCRYPAVVDTIKDLIGVPTSNLTAMHTMLINKPPDTGSLTSRHPMHQDLHYFPFRPADFISCAWTAMEVVNRANGCLVVVPGTHKGHLLPHTYPKWEGGVNKAYHGIQDYDPSMPRTHVEMEAGDTVFFHPLLIHGSGANKTEGFRKAISCHYANADICKYIDIRGTTQEELSLEIADIARKKMERAGLDAESVKTIELDYADIWRARARAVNGSRANL >PPA06006 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1002963:1003743:-1 gene:PPA06006 transcript:PPA06006 gene_biotype:protein_coding transcript_biotype:protein_coding MDELSALKVVLIHFTPPLFFGSYLFFGQTPSRFEFVPSLNRMTRVTNWKAMFALRMHYYAFSFPISLLNPWCLLLTTRMRHDVLGKCLSETSVMRLLPNRTHPTQGPSDPRGVSDRPSSSNK >PPA06021 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1052091:1054600:-1 gene:PPA06021 transcript:PPA06021 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTVSKKFEWSEWKRPLVAVTLSFLCNVESSMVTMGEWPYMSTIDHDVTSVFYGYSTAATKASHAIFAFVFAIWAHKISGIRIPMLAGRLITLVGCIMYIFVEFIPVNRRWWMLVCYLLFGVGFGTSPLLRSYIARVTTEENRSTGYALQNGAIVLSVVVGPIAQIAFAGLPYPGVEIIAPNIKLNIYTDRSSSKLSFASIKERILNIRTLNIPWILVVLVIFEKMVSGIIGPMMSSMYALPGQQIALIMAVAQMIVGFLALVLSIIFFICKLGKRAVFTAIGINFIHIINGSIYIFATVLWIVAWKWLTPYK >PPA05791 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:154204:155551:1 gene:PPA05791 transcript:PPA05791 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLTLLKVHSQCYTSTVSSQARNRIYGPHEVTSQTECEQACNCATGFTSDSFCSSFTPKLPARSCLAYSFDSTTLKCSLLGDTMDNICAEPVTIFLLERCATTTPEEITTPTETTTSTSLTMTTMIDPCATDTTGVCTCDANWQGANCDQTTIINRTLSTTPSCYQLPASLNTIANVTSDQTNTVEKCQAIMVADETNEFYVLSGALCYVGKVNGLTQSVDISSCSDVCLGNWREKCAGNGFGLLYHFIYTYDPNACTVTPSLCNADKNQGYCIDELAANSCVCAPGWKGANCDTSV >PPA05979 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:867412:869357:1 gene:PPA05979 transcript:PPA05979 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVADTLAEGDMDVTLLLNQLRTEIGSGTTKARVFPIEKSKEAFDYITDPSNDESKSIYDGSSHDLRSMLNFAPLFNKIFYLSCKNLLSKTDILEQLKNEKYDVMIAETFDYCGFGLAKLLGIKSTVAVFSSSLNDYTAWITGTPSPWSVVQSAYSGVVDRTLSSRLWNLLCVAADFRVNHLFVSSANQAFEERFGAFPSIQELIANSSLIVTAGDPLLDLARPTQRKIIDIGAIGIREAKPLDKEYDDLLNLRPRTVVFSLGSVAQTSDMPITFKRGLVEAFRRFPDVTFLWKYEKPEEAKGFLIGVENVVVKRWMPQNDLLGDSRVVALITHGGKTSLNEVGAKGLPTVFIPIYGDQPRNAAIAVKLGFGIFLNKQDLDKPRVITSAISAVLNDKKYTEAAQLVAQIIRTQFGNVKSLDQEVEYY >PPA05811 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:244931:246259:-1 gene:PPA05811 transcript:PPA05811 gene_biotype:protein_coding transcript_biotype:protein_coding MHPNTLAGLIYSVVGLFAYGLTIAASIKLRKKIFSPAFLPLYITAAIVDLITHINTWIMYRLRFESAFFFYFAWIIRPEMGIFRNCQLFLVNFFYFDQNACVFLLALNRYTVIFHRDRHEWLWKTYKWVIIGGTHLASFGICFGARLASMNANVTFIYNDVVQSYELRGDAERSLTVNTLLTFVAQAANIFIAIISSILIIREDWESLKLITPIVPYFSDLFSLGPAV >PPA05915 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:606308:608177:-1 gene:PPA05915 transcript:PPA05915 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKRPLKERQECPSSCVACGAAATGYHYEAPSCTSCKTFFRRTVLQEKLYTSCLKSGDCAKEESIRMCRACRFDKCVSGGMNPLLIVSLKNPEENPVVQKLFGMKGIDVNESSTSNADFDVKPLDLVVMPKRAFPSAIECKIDRLIGGLLHLEKAHYRLRESSFTASPRDGYRLDTDSPAPPCDPVTGFQNHAPHGEKALIRHASIMCAHLTLAYFSYENKSDITLHPDGSSPHNGCVSKDNKHERMLHHGIIQIIHHLELDKKEYVLLKALIVCNPAIEDLSRSDKEELEKERLKYSTSLMSYVMYRRGHQKGPAAFAAMMIVIDTLNHFMKQHKVKLELILG >PPA05822 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:275633:278939:-1 gene:PPA05822 transcript:PPA05822 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFHQVEDQNDNYLAPAVDEIIEMNEEAKKRKEYEWDIVWVNVFIQIALHIGVCIGIYQSIFVANWRTNVWMVIMTYYAGNAITAGAHRMWCHKAYKANFWLRVFYMIGTTMAVQVKEMGKKIDMSDLEADPVLAFQRRAINSAAHAFGYKPFDTKITAVDEIVLAFFTNGEAWHNYHHTFPQDYRASEYMWKSNFSAIFIDFCAYMGWVWDRKRMSKELLTGVCTFILGDQQTCTDQIGFIALALEFADNTQACERFWACAKAPEPDDAKKKKDEKTKTPKRLHPTRPQMDLPDDSIVLTLPDHLNNTDAVSTEIYRQIHSPQGVIGTTKRPNFVRSSLESVSSLAGNLAGGLAGGMFNTFSKFVGMGGSSKPFNDPHYSRHNPYNARDAPDELGIPRPGFGANVGFGVFTSPFIDFLGKKKR >PPA05812 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:248125:249756:1 gene:PPA05812 transcript:PPA05812 gene_biotype:protein_coding transcript_biotype:protein_coding MECVICSGPPVYAHLGVNACRACAVFYKRTVVSKTDLKCRTGTIDCMELKRCVTLELLKIAPCTYKAVQSGNQILFASALEFDNIVFPEFEEFPEKEKVIEDFKQHVEIMRLLNLVDDESFVYRLQKD >PPA05920 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:644621:645519:1 gene:PPA05920 transcript:PPA05920 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEVARLCKHSLESARVGTDEDKVQNGRDFYKFFFTNYPDLRVYFKGAEKFTADDVQKSERFEKQGQRILLAVHVLAETYANQEVFKAYVRETINRHRIYKMDPALWLAFFTVFVGYLGTKTTLDEATKNAWAEMGKVRCAKIVCAYSSLN >PPA05795 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:172555:174535:1 gene:PPA05795 transcript:PPA05795 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLPTFTLVDEGNRMLWAGWAKLVRDNDWSSDDSTVIDLLPSLPSTRAVFAQDSRDGSFLGCVVWNEYDEMAFIGYYIVHPSMVGKGLGPKMWKRAFTRIPKHVNVGLRAVPSMIAKYAARDTPYYVSTLNNYELTVSEAREMCSKLEGSKHSIVNVEKTTDEQWEDLLEFDKDVTKRDSMGVTEKKVGVLYSYAFQDNAATSEQIFVNSEERVVGYAAVSSTGYSTENKFKIGPVFASSINDALSMIRPLIDYCEGITPDAKILIKTLSGTVGERTLSALIDKEPEHEGTTLFKRPFRNTIDTDMCYIAHNHGGHFDA >PPA06039 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1160473:1161472:1 gene:PPA06039 transcript:PPA06039 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELRLVKLYQLPVVDATPIYYRTSKQYKEYGKCMRTLLTCFDLMKCEEWLTENEIVTRKRDLISAKRSYASENLLYLHQMMKMGTFTETEFYALIVIAFCDMDSTIYLPDRINGIFENIRSKVFIELQNYYRKELRIDDFSTRLGRLMTLSAVITEFHNNCYEQHNMFAAMFDIKSQCDLLLEAMN >PPA05940 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:706066:707185:-1 gene:PPA05940 transcript:PPA05940 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPPSISDVPEGLEYLGLVDQILIKQKREMMEIVFGWERNNRYFIMNGVGQQIYYAYETSDACSRSNAHQSRVQLLRWMLSVLRRARNKLRTYDVEAPPGNPIGHASQRVSCACVHSYEVYDQNQQTVATIDSPDCCVMNYTCADKTFDVYSMDDQHIGCVRKKWTGILQESFTDADNFGINFPLDLPVTLKATLIGATFLIDFDNFEQH >PPA06047 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1181803:1182306:-1 gene:PPA06047 transcript:PPA06047 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRIAGPTVILNAPAFVFYTVHLLIPKNIGYDLIRYLAIAIYSVIMALAFPFFERRFRRLVNKAPIIGFIMPRNVRITPSDRFDDFSTKYVAEDHEQTTDHYFNWLKKDLNKASKYK >PPA05859 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:399204:399681:1 gene:PPA05859 transcript:PPA05859 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLFIFALLTVSAFSLPLEEPQIRERRQIGLFSPSYGSSFQPMSYGVVTYQRAVPLAYAPVAPVAYGPSLYSPMPMNSGYPGYGSSFGYPQMANPSYMMGFRARNRH >PPA05875 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:463478:464835:1 gene:PPA05875 transcript:PPA05875 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFEAVGENKNVALITLNRPKALNALCNQLMTELREVLHKLDADKSIGAVVITGSDRAFAAGADIKEMKDREFLSTYSSRFLEDWCAVSEVNKPVIAAVNGFALGGGCELALMCDIIYAGDKAQFGQPEINIGTIPGAGGTQRWARNAGKSVAMEICLTGERMGAQEAKEVGIVSKVFPAEECVPAAIKLGEKIAHQSPLIVQMCKESVNRAFETTLREGLHVERRLFHATFATKDRKEGMTAFAEKRKPEWKSE >PPA05950 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:734091:734557:1 gene:PPA05950 transcript:PPA05950 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAMVDVRNFREFWNTVDFDPTVFNETVRVDIDGGYTGTLLWIPPMQAIIFSGTFYGSLLTVFFTGKAIERLGAKAILAASIFVSMAATVATPILAESSFVALFVARMFMGAAEVCLLSNLKN >PPA06046 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1179680:1181406:-1 gene:PPA06046 transcript:PPA06046 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTEELPVEGVKTRRTPKEFFVKYFLAGQKTKEDDVESGQASPFDKFVSNNRKAIGIFVPMIFVQTFYWLLAYENELWRLFATRYEMSLVMMFGAAIGGATAEGGGAIAFPVLTLAFKVPATTARDFAFMIQTVGMNSAAITVLLMGILVERHTLILASIGGSVGTIMCLEFLDHRISPDLKKMIFVSVFFSFAIALFLLNSDKKRKTFDKIQNFDLKKSILLVVVGFAGGVFSGISGSGLDVFSFSVITLLFRVNEKVATPTTVSLAGINSAVGFFWRHQMQHAIQPLAWEYFAVCVPIVSIFAPLGSFISSHFHRQTLALFIYILETVAIVSAFAIIQPGLVLSIISVSIVVVGFLFYYLLAYLGRRFISQENRVHPQNSIPEIVNETF >PPA05965 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:783920:788951:-1 gene:PPA05965 transcript:PPA05965 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEFMLSMKKVIEFITDNYRNPEKFPVSPETRPNDIFNQLPQKAPENPEKFDLVWNDFHEIIMRGCIQWQHPRFHAFFPCGRSYPDILAETLISSLGTVGITWAANPAITELDASMVNWLGRALGIPETFLFRGLDTCQSEGGGWLAELSKMSELEEDENMTASNRHTSKKHDRRAEIISKLVAYGSFEHHSSFEKACKMACVCCHPVEVYEDDDWGMRREVVEEAMEEDVKRGLIPFYLHIALGTTSTASSDHLAELTPMKEKYGVWIHVDAAYAGSAWVVEKYRNNAGIEHADSININLHKFFLTSASVTPFWTRHQKKYKDFFRVDPAYLKKKNGGNDLRNWGVQLTRRFKALKVYMLLRVYGINGMRAYVERMIGMTEYMESLLIKLPNIRKFGQTNYGLFCVQYHEEGMSEEQVNATTSHLCEFINNSHKMFFTHSNVRGHDIIRVAVTLERSTKKDVEKSVDLFSQLVDEFKKKKADDGNFLKTESSSSSIDSLVNEEYTIENLPPLRRRPEALAPKVGPLGLSPKKVGDDIAKATGEWKGLKVSCKLTIHNRQAKIDVVPSSTSLIIKELKEPPRDRKEVKDVKHNGNITFDALLKIARIMRPRSMAHKLEGTVLEILGIAQSIGCTVDDMHPLDLVDKIKGGELEIPVE >PPA05923 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:648813:649967:-1 gene:PPA05923 transcript:PPA05923 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSYVHLDSLREESESIQIDDVNGFDNSIVLPFLERLKEDGLTEQDIDVANIYGYIYLFDSLKLYALAARKVLNETGNPSAVLDGFRVWSAMRRMVFPGIVGKSGIVSGIITMDDRSERAPLYRGFFISPNQDQVVTDIMTNFWPSLDGRMLLDEPICGFRGEKCDYTLIVIAAILAVVLLGMLASAYFIHRHMEKRALDKLPFRLFRDDVQLIDEEQVNRCQSALHAQK >PPA06060 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1230307:1231390:-1 gene:PPA06060 transcript:PPA06060 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLSMVFLLASTAHAFNTTLFKSLILDYHNKIRSVHNAPSLVEDHNLENGAALWAYHLATFPNPYCLYHNQAGGQNIYFAWQSKPMSEYDLARAAMKAFYDEQKYYDYSRPLFVKPAAHFTNIIWKSVQKIGISVYWKYFNNQHGGCRIQAYSNRPVLGYMVVIHEWPRGNTMTTQEFTRNVLPPKEVFNVV >PPA05820 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:270099:271163:-1 gene:PPA05820 transcript:PPA05820 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSAFVAVLFIGAVHAQSAAFTTKFENIVSKYLSPNTGKAVDMVGADVYNCSTTIDDIVSHLMSQIMGLLPANKYLPGMSMLSGFQSCLSKAGSSMEKAMGVIGAAFKKQLTPLFNKVKTKVCTMKANGKDQKTALTEGFKIATAALTKPLVQNIIYACMKASTAAEYACSQSPLTQIMQTANYDMVFNKSKGK >PPA05927 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:662237:664278:1 gene:PPA05927 transcript:PPA05927 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSTLNRVPFLLLVFTVLTLAQDEVIDEIGKDGSAILNESRIQGVFVRQCSCDEQLQCTEEMKKQADSCTYPCFEHFNKITEKPDELRQCFDDKLEIVDNFINCFARRVDSCVNHQNGPMIPKTSIASIFSNGEQRLNKPPTGTIAALIAPIKHYLDAAGVFAACVKDCFIEKNKDGYCYDRLGCQPLISDAKAQASLKTCTRRMQWKRQAGEVCECSVNAGLTDLKQYCSMFKLMARRSGTHHAHGK >PPA05934 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:692485:694020:-1 gene:PPA05934 transcript:PPA05934 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLEKPLQCLVCTIEIKSTRFGMDVCRACSAFFKRTKVAGKQFACRQGDMKCPTANNEKTICRGCRFAKCIAIGMEYDGPLKGIERTSTRKRNENNQTAPRPFKIPAPKGEFSKLEDREQTTLERWEWSKDISEQFNCGEGQLNNIECELSKEADEILDNYRREVLEELQLYYKRELCIIDYSTRLGNLMSANHAIQEGKSLFKLFYRFYSTMFDVFKTENIMKDLFV >PPA06096 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1369918:1372368:-1 gene:PPA06096 transcript:PPA06096 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQLPLLLLLISSSFAKEPLKILVYNVKFGHSHSVFLGNIADILSEEGHNVTSLIPEIYPLLADGTTKSTVVRIPCNQMPFLRHIFEKQCEHTLTFERTIEDLKKEKFDVMIAETRDYCGIGLSHLISPRSLITVASTNIFDYLSYQIGQPLFPSHLPASFGGSVEPLSITSRIDNLIAMFTSFWMFREIEKPTERLFKQKYGEDFPDMAASHKEIIANSAFTLTNSDPFLDIAKPTLRKVIEIGGIGLRTPKKIDEEWESILSLRPRSLLVSFGTVARAFLMPLSMKRSIAEAFSRFSDTTFIWKYERPEEKEQFASDVDNLILTKWMPQNDLLEDDRVKGFLTHAGKASYFEAASRGKSSLLVPLFGDQNRNAAAAEVVGIGKQLDKSYLEDADKLEVALRETLEDEGRQERAREVRRIMESRPFSPKELLVKHVEFAAKFGPLKALQSQGRELSYVVYYNIDIVGIIVLISCF >PPA05863 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:417002:419327:-1 gene:PPA05863 transcript:PPA05863 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAGVKGGGIFAMSLDQLTVGFRRRSTQEKIMKQNGAEKKIVASFENLRQEVTRRGWMEGAPLYCCWKIFESFVLLAAVVALQYNGWFITSALVLGLAWQQLGWIAHEYCHQQPFANRETNDTIALILANFAQGLSRDWWKDKHNTHHAATNVVDQDGDIEVVPLFSFIPADLKKYKAPIEKFFLKFVPYQHLYFTLALPLIRYSWVAQSILFAFTEQNSTYRVYRRNALGEQVALLAHWIVISIQLYYLPDNFTRVCYFLISQSLCGLLLGHVVTYSHNSVDKYPANSRILNNFACLQILTTRNMTPSRFIDWFWGGLNYQIEHHLFPTMPRCYLNECSKLVKAFCKENGLEYLVDDYTTGYRYNLAQLEKIAELAEQQALTA >PPA06027 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1086549:1088262:1 gene:PPA06027 transcript:PPA06027 gene_biotype:protein_coding transcript_biotype:protein_coding MFHTLYVAVSFACCFLSIVFNFILTEIARKRTKRVGIYRYFTYSFVITDVVYSISFALTTPFWYSAPGLLIFFASSPFWEYYTMMKISMFVWWMAYIIIIMCIVSSFLYRYGLLCNPTVLEMFTNPSKAAAFLVSALLLFAFWMTLALRFIFHSSDELRGDLQEGLDERKFHVNFSAVFCLGVKVQESNALQVEMSSVILLTILFISFATIVYCGYKIHYTVVNSIMSEKSRRMHACALRMLIAQALNPTIFLYLPESTTIEEQWSNFLKQAQY >PPA05799 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:191321:193199:-1 gene:PPA05799 transcript:PPA05799 gene_biotype:protein_coding transcript_biotype:protein_coding description:cGMP-dependent protein kinase [Source:UniProtKB/TrEMBL;Acc:B2Y2Z9] MSESMHANQLRPDNDVENVSLCNLDRIGTIGIGGFGKVELVKHIDRVYALKVLTKAHITATKQEKHINNEREILMNADCDFIVKLYRTFRDSERLYMLMEPCLGGELWSLLKQHGRLDNESTRYYCAAAMEALDYLHRRSIVYRDLKPENMLIDRNGYPKLCDFGFAKNIRKEGKTWTFCGTAEYVAPEIVLNKGHDIAVDIWALGIFAFELLTGSPPFASNDSMVIYNAILRGVERLAWPRYVTKEAVNAVLSFCKQEPAQRLGYGDMDAARAHPWFETFDWPDFKAGIMEPPFCRPVYSAIDMSNFSPPTSTYEFPTGVDESGWDKEF >PPA06089 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1352259:1353593:-1 gene:PPA06089 transcript:PPA06089 gene_biotype:protein_coding transcript_biotype:protein_coding MELADAGFDVFLINHRGSTYCRRHRDFNTSDPRFWKFTIDEHAKYDNPAVIDYVLNLTGEKSLYWLGHSQGAVVGFMTIAERPEYNHKIRALIQIAPATTAHAAKGFWRGLFIIYELFKPLHDIYRSSIGSHEVGMGDRTIPQMVMHMFCATPYLDQLSTRHKLEHFDHNPDENLARYGQVTSPEYDLSRVKAPVHIFWSSADWLATEQDLEDLMGLLRKDIIKGLYEVPEYNHLDFVAATDNSEKKAREGKVCAQ >PPA05982 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:876255:877923:1 gene:PPA05982 transcript:PPA05982 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQFDAVIVGAGIIGSSTAYHLQKGGRKTLLIEQFTLGHTKGSSHGKSRITKFAHPEPEYVALASDSTAQIRDLEKNRGVKMIAISERGGIIYGSKERMEKTAATLKKFDFPSELLTSQEAMKRYPHYTYGDDYTALVDPNMGLILADTWLEAFQDEFRKFGGTVSENTKVNGFKEDNSSVLLNTSNGDFRAPKVVFALGSWINKLLPEIPVKGKIEIISVCYWTPKDPSDLPLVTPQNHPVHIAYEEGRSFLSMGATDVEGAVKFILHGGQEHFDTDVPLENVHEKFVEDVRAHIAKNVPFLDTVNGPVKVERCKYTLSPDKHYVIDYLPGSKNIQVAGCMSGTGFKNSPAIGKAVAQRAMGEQPFTDLSLFSINRFNKNT >PPA05909 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:588922:591132:1 gene:PPA05909 transcript:PPA05909 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFLLLLATAIFVLYRYYCSVNKKWEQRGIPGPKPEFLFGNLREIWDFDKPRSLVLRDWTKQFGKIYGFFEGQRPFIVVSDFETINEIIVKKFDHFYPRARFALQERKDGPSTRIIEARGPHWKRLRALGSMAFTNKSLRNILSTVEDSATNVVDGMGRHQGEINTLEYFQEYTLDVICKVALGMRDVKMFNNEYLASCRGIFYRPLRHPVTVLPSLFPSVVNEIRGLFFLLCKNPYNYSFQYNISAKLAIVRSPFVVLMEMLKKNVEDRKAQRANGLKSTGDFIDLFLDAEVDVSEVQFGEDSDTARRLSSDEIVGQCLVFLLAGFDTTSNSLAYTTHFLANHDDVQKKLIDEIDSFLIENETIEVERLNELPYMDAVIKESLRHYPLGSTVVTRECAKSCEIGGFKFEIGDQIATDTWSMHMDENVWGKYAKEFRPERWLEESSRPRAAFQSFGEGPRICLGMRLAYIEEKIALIKLLSRFTIEKTTNTNPIKLLGSLTVGPEKVMVKLVKRYSV >PPA05886 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:495345:495563:1 gene:PPA05886 transcript:PPA05886 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLFVIVDDIVQIVGPLYGTAIFASTGLNLINIINGSVYILGTIVWLAAWKWLKPYA >PPA05972 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:823850:832219:-1 gene:PPA05972 transcript:PPA05972 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGVFFGTTEYAIRLRLRELNLSFNQLKTMHEGWLFGLSSLERLDLSSNLITSISNQVWTHVPALKSLNLAKNRFRSLPSGAFHPLRRLESLSLASNSLDALHKTAMAGLDELASLDLSNNHLAAIIEDSALLQGTLPNLTRLNFANNSLRQIPARAFQRFSSLTVLDLRENEVTTIAELAFEPLKLKQLFLNTSSLLCDCSLSWFAPWLVSTAIRRSSIHMHCSYPDPLAELDVIAIDTGNLTCGAISPRPRISHHPPTNQTALVGGEAHFECTARGASPLIIEWSVVEAGRSRPLEEDAATVITANSTMSDDSDSEEIASLLTFHGIKLDDRAEYQCVARNHFGADYSNRSALDVQQVPKLTSEPDDLSLLVGGNAKIDCAATGVPRPTIRWHKDGGESFPAAVEKRLHLRPGEDSIYVMAVRKEDAGVYTCVAGNSAGSVQASATLRVYDNSFRSYTSQLDVNSGETAILDCTCDVQSGQRIEWTKNGERLVADVILPLRIHNSSNDVHDETIKEEKTPRKSLRANDQIFIILEVSSSDGGRYECELMVGSERLARRVIHVVVDGEGGEHTEHEVGNALLTVEHPPEALPYTIKFSTRIHLDWIRLDAGHVQKKCQIFEQLPIPRRNTRDCSLTDKFVEEILIGKPAKVGHITLFLLDTKVYAHLGVSINRLISLKYPFKVYEFTQSNDQMRIKRKSEIGFFVQFALILLYVSFYTHLVMSFNRFIAIIFPFRTLSSKSLSTVYEDVFNKELLFFVQLCGHFADHSEAPVGAIFPSPVASSRHSRLLPARPAPSSSPIAWPNQSRRLSLCVGRAAAVPSFPSGSSKVLPVYLFVLHNSKKSP >PPA05930 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:672323:673824:1 gene:PPA05930 transcript:PPA05930 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDDIDYMQESEAVKIPFIRPEQGCTHFFVTFFAIFIYAKIFMKCFTKNKDELLTGLFSLLFLWQGVLYVIFLLVECFFDAPRYFPCTWKPFYYDRLNDTYWTTILEVGDIWKTFSRRLYEAYIFSSGAVTLGMMAVERFTSSFEILAPIHNILEKTPRIIMLLVKLIVPGIPVRALYGIEPSFFAFDETQSLVGLFTMHHGKIQTDNIGPYIIASCVLTVVSTLVISIKLIKNCGSTKMSDDLRRAIFMMILMVPQLTSGAFARMGQIAREAGDIDRATFISGNLLNVTFFFVLTGPLVIFLSSRARAALFKSSVSRASIASTA >PPA05846 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:360101:363050:-1 gene:PPA05846 transcript:PPA05846 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVKLVPDLNVQYICNADRSEFPSMTVMRDVTFAKDGDDTKDVDKVNKIQHWQDDPVLFDYCKSSEDMEYFPFRPSDFIVCTWTAMQTVNRFVSLHFLPPLTIPVPMAVWSSFRDRTKVHFIHTSIQIGAYVLHRILLANNKAYLGIHDFDPSMPRTYAEMEAGDTIFFNPNIIHGSGTNKTNGYRRAISCHNDDHCRYSYEKTPSQEQTAKDVHELMVIRFSKAGLDFKPEDLDYTTPWRMHARPINDFSELYDELIKLTTENFNTGNLDALLANYDEHAVIVDKISGKVGYGEEQIRALLQPELGRLEFKV >PPA06018 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1038323:1040079:-1 gene:PPA06018 transcript:PPA06018 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSSQDISDTETADVEEVQSVPHEDSHLSKEEQERIRAEAEEEEHKKKLQLYVFVSRCIAYHFNAKQDQPIDAMKRQLKVTKHDLAKIKDRFSAFLRGETQIIADEAFTKAIQSYTEVFLRSERVQKVVQAGGFSQHDFREVFRTNVDKRIRSLPEIDGLSKETVLNSWMTKFDTIIREDDHNSRNRGRLRTTALNSESILSKDQLFDMFQQCLFVKKFEHQIIFNALQLDNPDEQAAAIRREVTTREDCLREISKMRKVMPKFVVKDMETLFMDEVRLTYRDPNQSIDKVRQSINLLISNLESVPVTPRGAAIGKRKDNRSRYLAISCFSAGSLFRET >PPA06091 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1356946:1358471:1 gene:PPA06091 transcript:PPA06091 gene_biotype:protein_coding transcript_biotype:protein_coding MTILMNCIDFAIGSIGIPANTILIFAIVLSKDTHLKAYSVILLNSAVTDLIAVIVELMAMTRMIADYPALVHIYEGFCTRLGTLASSCNFNDRPMTFVQSWTMSASILAYAFIFYYGAQVHRTLREQGSKMSSRTKEMHDSLIRVNNQRTYLIKALTYHSLLPSFSILGVFGFAAQMMGVQNPMIERAAFVSCSIPPAINALLTLYFVAPYRRFVCQLGGFKSGHPSLSTVNGVGLSNFSLSRKDAF >PPA05937 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:699907:700958:1 gene:PPA05937 transcript:PPA05937 gene_biotype:protein_coding transcript_biotype:protein_coding MYINQTDPDETLTWLISELLDSEAKGEKVHIISHIPAGDDSFLMGWSHNFYEIVNRFENTIAAQFYGHTHNDHFQVYYEDSDPNGRPTHFNFITPSITTNAYKNPAYRIYTIDGGYLGASYTVLDAETYTTDITQANMEDEEPMWFLEYSTRDEYNLPDLSPSSWSYLIDRLAVDDHLFRKFHHFDSRSSHNPNCVHDPECRQGIVCYLRSSKSFEEDNFCPDLPIASTFSQK >PPA05798 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:189225:190724:1 gene:PPA05798 transcript:PPA05798 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKLTEDKWSEATPGILWIFNPCGAKDNRLRVIQQAVWIGVTVKMVYVFSGAQTLRAYSSFFLHDMDHYSLSGANLGSWIISGLRILFGLIPVLRFSRRLLMNSSMVVTVMGLTAVVVGILILSAIAFAAVILASAGGVGSMSRFYSGELVPRSILLSSTSILAIIEVDSTRIIVKFVWFPVANDVGAYSMLIFLIPSAVLLIIIWMMCPETSNRTVPQVLDEIALRKKLTVEFNE >PPA05971 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:821018:823155:1 gene:PPA05971 transcript:PPA05971 gene_biotype:protein_coding transcript_biotype:protein_coding MHEIEAPLLSPAHRGVVPSSKLQAPLAPSTRGRPCGPFAGHCESPAGFTLPSSAAPSSFSHGVVHPWPVMIVCRIVWATLLPSYHFPQFHRHAAHSGLRHAAHSGLHKEGLLPAPYWKTSGINAH >PPA06117 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1532300:1533034:-1 gene:PPA06117 transcript:PPA06117 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLLALLPALTVGLDFTTCDNIADLLCFEDGNCAISGFTDLPGSPVKCNSAATTPVVAPNMGCCVGGTADATTPATTVATTIAGSITTVSSTSCVDKLNPLTGVSDCPARSSLCTNSVYLDVMRDQCPKTCGFCTGTGTVTNSTITSCVDRVNPSTNISDCPTMK >PPA06008 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1009836:1010478:-1 gene:PPA06008 transcript:PPA06008 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTQPPIRMLRAGTVADGDDSEFYDGALLRSEVFAPIDTVWLRESDIKNYRYSVAALRTKMSFAESRDRYVIESTELSFYIDISKGIHSLPLPFLLREAEHSKT >PPA05956 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:750948:752819:-1 gene:PPA05956 transcript:PPA05956 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQSAAEGILETHVTWEEVEKNMQDALNTTAIFGKNKNIVHIGDGNGMLSRIGLITCDWEEAKDDEILPKQFALKMASCLAIKKMTDSNDPDTAQQILHFFELFIKDTHNAEVKAYEFMSKFETVPHCFYTVPFSEDNKLAGSIALEYLDNTKISHIYQTLTVAQVARELGKVQAFSVLHGVENEEWLSKRDVYTTFWKYIYTQEGLTKEFIQLKEKNASLTECVDAIVELIPEYHGTNLPVTIHKQLGVRPVLVNGDLWSANVLVDKDTENIRGLIDWQLVHHGIGVEDLIRIAFSGMTSTDRRAHMDELLNLMYDSMEETLQGAPAPYTREQIFDLYELIVPHAAFFLAPIVIPLFMTTISARDLNDEKKEQRKAVVMDKIRGIFEDIAIFHKKNENNKKKFEWKADVFATTEANN >PPA06015 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1023956:1024552:-1 gene:PPA06015 transcript:PPA06015 gene_biotype:protein_coding transcript_biotype:protein_coding MERWLRNEKLSCENGVRLLPASSLPIIHHPPVFVIPVFPVPNLDGWWKNPRRNQTKIEQTMVKQPETKQPKVAIS >PPA05881 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:482292:483055:1 gene:PPA05881 transcript:PPA05881 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADCTGIGNGVCGNIDATTGFGCCQGVTTTTTTTTVATTTVNSTCVDLLNPLTGVSDCPARSSLCTNSVYLAVMAQQCPRTCGFCGTTTTTNTTCVDLTNPSTGVSDCPARRAYCTNTIYTALMRIQCPATCGFCTSG >PPA05877 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:469929:471162:1 gene:PPA05877 transcript:PPA05877 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKNVVVVATINRSNKPSKNLSISEDAEQLIDNIRHEIFENLQSYYQNELGLTDFSVRLGNLMSLNHTIQECHSLFKVAMRFFSSVFDLHMTEKSMEAIMI >PPA05804 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:220357:224088:1 gene:PPA05804 transcript:PPA05804 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPDLQGIRGLAIAAVIAFHLDGTAFPSGFIGVDMHILRAIRIFDGCDPIEGTGTQCQRYCQDVIWAATFSTNIHSILEKTDYFHQLSTSGVLTHTWSLGVEIQYYFIVPLIVLAHRKLSETFRFSPLLVMLFIASLVYHLIASPKLAFNSLTARVWQFIAGGLAHEVSTSLQISPGLADQYVEIISDEKGTTDEDVEINDGPRTCKFWPIGTVASYLYGRGVADILSIILLVLVICPSIILPVSVYRVVAVLTTGVLLVLGDDECCQGFILSMRFLVYLGDISYALYLVHWPMIAIWKDYHDIRPELASAIEWNERESHNDYHKHRPFEECLDDLEVSHMSRGYVTSKEHFACVWVACAIIMPYTGYNCTDYLNSIPVLVNNMRPDITFTIFHDDPLLREPLLNASTDAAAAGFIKKGSRVLVLDEFYPSAYQQRQESVALTLHKRLIRNKPLDDLKMTYEFFSKRQSSYFARLDLLPFPNLIRHNISAAMCSEETGLCTIVGTCIPISRIRYM >PPA06118 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1535064:1538197:1 gene:PPA06118 transcript:PPA06118 gene_biotype:protein_coding transcript_biotype:protein_coding MDHMSPSVSLALDKCAVCGDNALKVHYGVLSCFGCKGFFRRAVRNGRNKYVCRLSKNCIVSKPVRPDREDLRKAAQDSDERRGSVSSSVGSSPRPILNLIRTNSVQVNSDDWTRNLSEQERSILFGLARVSRTIEELDGASFSGIDDFSLKSLVAFRSLAGSTLNATFSSSDRSITAIWRIVNVVDWVEGLVKMMEAERPRVAITVHDKACLVQHSFMPLTLLAELSSIAARSRMNELVAVRNSMEALCLSFPTNDILRRLACETLVPLRHASLSPTDLLLLKAIIVFNPECPGLFSMATFRIREIRSRLFDLLFQSISNTRPSVNLSAPSNYGQVLSLMAPLTHVSGDISNSLRTGFSRPFDCSLSYSTLRTDVFNPEVNDLIVEAAGVKEEVREDRSSSFSGSITDSPQTISSTPSPASNVRKQSFHEMVSRHNSSFSLQRGMAVDDDSFSQLHSRSGKLLLQLTKSMEEMLRNSKEEPDAMDAPLSLDWAEQREMPNRDQVAKLFPDIARHME >PPA05830 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:298828:300281:1 gene:PPA05830 transcript:PPA05830 gene_biotype:protein_coding transcript_biotype:protein_coding MSARAIFRRILSNEMMEWAESGMESVQTLAFTVDAMYGFVVGLVLYFCPHFIGNFVFLRETDGVHWHLLRCLGAQVLSIAYLNFRLRESSEAAKSASCFVRVTSSIVITILIVQCFTVTPNLISDWKLAFAFAFSMAMVALYGSFLMWNGWPVGGHLMPENGVSNLLHQVDSISHVCIGLTWITFPSWLLHGQVVEGLDASHEVCGRLLGTFFISTFCNGLHALHLPSISDRKAIVVSRLICNSGILMAQIWSQLAYEQNWHVNHWVGIMLFTVWTVLAVLHYLIHPSEEFSRGGVEQKKQD >PPA05945 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:720654:721306:1 gene:PPA05945 transcript:PPA05945 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVNDVQQFRCSSGSSFAFIDKTNQFQSPDWWLQCEDLGVWRVMSGAIPGGLYEDVPVGCIKPTTCIAAEPFMYVFDGTEQIFTDNPQYILTRNYTSYVDGKFVGVSIWQCNRGSPTGEDWMLSKS >PPA05826 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:286465:287361:-1 gene:PPA05826 transcript:PPA05826 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGYSTAKAEGKIEPLVDPLNQTIKLDDGSRISRFNSDGVLLKTVFDDATTLYEGVRRGARVSKNGPMLGQRIKQKNGLEPYVLTRSDNVAIAFRELGLPVGEQTLIGIFSKNRVELCSNNAQIVRHSRCS >PPA05985 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:887877:888690:-1 gene:PPA05985 transcript:PPA05985 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAPEAHEMDKAMIFATNAKKVLDIGTFIGASALSWALVLPEDGKVVSTDINHEDLDVYNRKELAGGTVLDASNARGAVINEMNRLTAKDERVLNILLDTADGIHFIVKKRNV >PPA06105 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1455261:1456198:1 gene:PPA06105 transcript:PPA06105 gene_biotype:protein_coding transcript_biotype:protein_coding MWIFGDSFERRYDRALGVALMCMTACDMMTSFTISGIASSVIKVIHLCGCCSHIHIISLEGIHVLDSICYFKCLGFHSFSVSWAIFPEV >PPA06108 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1464100:1464831:1 gene:PPA06108 transcript:PPA06108 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTDCTGIGNGVCGNIDATTGFGCCQGVTTTTTTTTVATTTVNSTCVDLLNPLTGVSDCPSRSYLCTNSVYLAACVDLTNPSTGVSDCSARRDVHVINVIYRAYCTNTIYTALMRIQCPATCGFCTSG >PPA05952 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:744281:744976:1 gene:PPA05952 transcript:PPA05952 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRLAQSDSQMFPFLFVNQNTGQYEGIFNEVWKMFADHLNEEMLILGAANGFHLAHEDFPDANGSYVGVIGAVQNGIADATIEDFFLDREKIRKFHLTLPFVTMTMTGMFTRVRESEAWPLDAFIVFPYKIVAIMIALGIVVFLIEQVTKWMRISADPRKSGKISKLNRQRWILIRKTAKMERNEQI >PPA06024 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1065043:1066623:1 gene:PPA06024 transcript:PPA06024 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRNERIYPNPEIYDPDRFLPENIATRHPYDYIPFSAGQKFAMHQLKIALSWLLRRYIFKSERHRKRLTRFIPGDEGIPVFGNFFELGRDPECS >PPA06084 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1330672:1331170:1 gene:PPA06084 transcript:PPA06084 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLVTLFALLLICSIAEAKKHRGWGSHSHERGRGGWVKLRGRPAPRPVYHNHGGFGGNHGGFGGFPRGGGFGGLFGR >PPA05825 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:284539:286355:-1 gene:PPA05825 transcript:PPA05825 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDGRLNNSHQSRPEHVKPTPETLATICYTSGTTGMPKGVMLTHGNVIADTTSSLCLIRTEIVETDIMISFLPMAHMFERMLQAVSYMTGGKVGFFRGDIRGLPEDIKELQPTVVPVVPRVLNRLYNKVMADVNESRVKRTVFDAACAFKKKEMKGFVVRNNSYFDQLVFKKIREGMGGRVKLMITGSAPISANVLTFVRAAMGCVVIEGYGLTECVAPCAVTIEGDSRPGHVGPPSPCVAIKLVDVPELGYYAKNDAGEVCVRGHTVFKGYYKNDEETKKVLDSDGWLHTGDIGTWTKQGCLKIIDRKKHIFKLAQGEYVAPEKIETIYSRCKYVAQSYVHGESEKHCLIAIIVPDPEVLIPAMEKSLGVKGTRAELCARDDVKKAVLENIQAAGKAAGLFSFEQVKDIYLEADPFTVENGLLTPTLKSKRPQLKRRYDKELATMYSKLN >PPA06051 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1189813:1192621:1 gene:PPA06051 transcript:PPA06051 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCEKAMQKETPCSCTQCTCPSVCQAGQFCQSLAPACDPCLKPNPCPTTNYACDSSTGVKKCTCAAGFTGVNCEFAIGNLCASYPCINGGTCTGNTTEYSCDCPSPYRGTQCQTTGDPCSGVSCQNGGKCINVLDDTRGVCNCTENWQGASCEQANQLRVCSSCTGTCWKPSTSINNTAMFTAHTDNPMSISKCKTIMMGIPNAEFFIVAGSLCYTGVEPKLTVSSTDAACTIPCPGDGSVPCGDNENVRLYLYPYVDEATQCTSTSCGPADTGYCVEQSNTFSCVCRPGTDGTYCQNNIDECYFAPCKNGATCSNANNANGYTCKCLKSYFGTNCENFEACSSSPCAHGAKCNNIGSGYYDCDCTTGWEGRDCDEDVDECSVAAAKTPTPDVLCDNGGTCLNTQGSYQCACINGTEGFDCSINPDDCNMTYTGPDGNNYTNLCIYLDKDAECVDGFATYTCNCSLGYKGEHCMDDVDECSDAAAVGEVLCENFGTCVNTVGSYHCNCIYGTYGFNCSINPDDCALSNSTIDGVVYPNECIARVST >PPA05788 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:133726:135758:-1 gene:PPA05788 transcript:PPA05788 gene_biotype:protein_coding transcript_biotype:protein_coding MFASLYGRTCQRVIDEPGLIDKLRTEKYDVYITENFDVCGIGLAKAIEAKAVIGSTTTCLFGLQFEEFGIEHAYSYRPSLFSSSLDVHSFFSRLWNILGELHMRITFSYAKSAADSVLKEKFGMDYPTISYWEEILNRRPRTILLSFGSMAKSVLLSEANKAGILKAIGQFPDVTFIWKYEKPDDKFCRTYASKIKNLVITKWMPQVDILNHKNLALFITHGGMGSTQETALRGVPGIFVPIFGDQPRNAGMMQFNGLGQVYDKFELHNGEKLASTIKEVLENKKYADNAQRLSKMLAKKPFSSRELLIKYVEFAAEFGSSSALRPQSVDMSMIEYHNLDIIAPILFFPGATIE >PPA05977 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:861745:863467:1 gene:PPA05977 transcript:PPA05977 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAQPPQSPTNPKPSSEDCLYLNTATPGKYPVMIWIHGGSFLFSGADEFHYKGAVRNFVSNGVVVVTIQYRLGPFGFFTTFTPEFPPNRGIYDQIMALRWVKEEISAFGGDPDRITLFGESAGAMSVSALSLTPFAQGLFHRIILESGAANAVFRQPNDVRGTMERQRAAQLCDVIADPITNRAARQTLQDCMANKTTFELTKLDITWFMPGTLSWAIVRDGAMFPETPEVLARSRQPFDALLMDMRDEQALFVEEYYTGSVNGDGPNTIREWLMNRGYQYLTDAS >PPA05855 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:388679:390369:-1 gene:PPA05855 transcript:PPA05855 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHNDEVIETEKTTAMERPIRLFPDRPRLESITEDEGIFFHGNHLSPVASHAYIGSPSTSSLASYSTVANADTPVPSIMKRSSRICFDDVAVQFGQGRHGRLLTKEQMRLKSLKSMKRHNRRRDSISLREEEEDDRDEEGPSSSPIRDEEKKQNEKNEENEEKKMYWIENKSATTSFISFVAFLYALLAVVYLTVEETTYEEISGDGQLFPPGTAFHYYMYIVGIGFIAYVNLFIIHPPWFNRILYYLSDKGWWRNAERYIIMPAAHNARPVSSLYLRLGTAREFKKAN >PPA05993 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:959885:961191:-1 gene:PPA05993 transcript:PPA05993 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKPDHKSVPWPAVEPSIFLPLKWLSLASTLLPAAGVYFCVAYTFTFGKEAISNLTLSSCPDVKSGLPPISYSIGSWEPQKLIWLLVLFTHFPPRIFLTMLYPQVWAPGSGKVWIASCCALEGISLVLITIFDVDSIAGFHVHAAFFASWAFGSVACMGVTVHLMRLTGLKDRSELFHRTFIYKCLLLASFILAVAVASVLYPMSQRFCLSWAYSIFCIFEYSLVGMNAAFWAINLHEFSTIYVGFRITSVRYN >PPA06112 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1483599:1484002:-1 gene:PPA06112 transcript:PPA06112 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFPTMASEGVEKMRAKEEKEQKMFPPSVDQEKAAECLCQHCPPRSTQETADYCCSSLFTFTPLQKGILLRDGLASKMKEFGSHPCIILDPLFVNFIMTEVFPQYLLLTVINR >PPA06004 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:997259:997773:1 gene:PPA06004 transcript:PPA06004 gene_biotype:protein_coding transcript_biotype:protein_coding MALNVLRLVLTSIPVAVIIVLALVLRCMFMEELNEYYDQFTTVIRPVTTYFGLSAPAPPRAPLSGNHNVPRRSFMENLQNSTGASFLLKAPSRVIDV >PPA05984 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:886634:887531:-1 gene:PPA05984 transcript:PPA05984 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYTLTYFEARGFAEVSRQLFHLSDTSYVDNRIQRERWGEFKSLTPFEKVPILEIDGKVLPQSFAIARYLAREFGFDGRSNLESAWIDACADQFKDYLEYIRPFFRVAIGASQGDFEQLKNEFAEPNRDKFFGFLEKLAKDNGANGHFVGDSLTWFDLLIADHILTMENFVPGFLDGFPTVLETRNKIVNTPKLKQWIERRPVTRF >PPA05837 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:314778:315075:1 gene:PPA05837 transcript:PPA05837 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAKEPDVDTKKFVTKCFKLITAGKRDDVKPLVKKFDHDKFIKFLDEYMIGDCSSFREEFEGMM >PPA06080 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1314083:1314354:1 gene:PPA06080 transcript:PPA06080 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDPSLTKKSLFRIQLVSNISLDAAIVLPTIFIMVFTREVRYEVRRAVWAIFTCADSV >PPA05865 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:422831:425389:1 gene:PPA05865 transcript:PPA05865 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIVWAEKFTSNFLVLGQKEGDVGTFIYGQRKFKNERSAAAIRVLIVNTACVHKLFDSTFNRVFVKESLTVTHHSFVANGRYAMAKLDHGFHWDRDYFVRFAAISGI >PPA05782 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:103759:107066:-1 gene:PPA05782 transcript:PPA05782 gene_biotype:protein_coding transcript_biotype:protein_coding MIDIIYAVGVGIALVLAYYVYDSQYWTRRGIVQVPGKLFLGAARSVLKLKEPRILVLRDWTKKYGKTYGFKEGTKNVLVTSDVDIVNEVFVKQFDNFYGRKSGILSPDPDKDLQVHLFQSRGPRWKRLRALSVPTFSIASLKKIKGIVEDSAIAMVDIMEKRHGDGSRFNIHQFFCEFTMDTISKLVIGQKESALFENDRVKIVQSMFLRDFDHPVVLSAFAFPFLIPLIKAVLYRLDTDITRASRKLRSEIISAVTNRMKEREEKPEMIGYPQNMLDMFLDASVEGEDIEQHTGFKLSEAKINKSLSFDEVAMQVVVFILAGFDTTSNGLAYTAWFLANHPEVQRKCQEEIDAYCQDERIDYEDLNHLKYVEATCKEVLRLVPMGAISNSRTCMNDTTVCGIDIEKDTWIEVDAFSLHVDKSIWGEDADEFKPERWLDPNFKIPQIAYAAFGAGPRICIGMRLGIMEEKLALAHLLRRFTIEKATDDKELRMHGALILTPLDVPN >PPA05762 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:3213:5747:1 gene:PPA05762 transcript:PPA05762 gene_biotype:protein_coding transcript_biotype:protein_coding MRILSFVLCASFFAVTVAVDESTASTGAKSAPSQTKPASAASLPVASSPEDVVKIVEEQTKEGKKRLKIAIFAPHMANSQVIWNKRVGEELMKAGHDVTIYMMQMYELRNPKVDIDPRIRVVSVNGSFGLDGEKMMQEQAEFAFNDMPFWDSRLRATMGRFIEMGPKSCALFVKNKKFIADVENGKYDIAFTHMYNSCPIGIIHKTKIPTWVWLISGALMDNVAELMGVPVPPSYCVPAMMDAGEKMTFFERVKAFTGHTIFKMTYDKETAAFRAEFGDDFPDISELAAKAPLVMVNSNELYDFARPTLAKIVNIGGVGIKSKDTKPLEPEFATRVEKAKAVAVMSFGSIAPMYLMPAHWKEAFFHAFAQFPDVQFFLRYEKPEEIVDILPPNAYASKWLPQTDLLLHPKTLGLISHGGYNSVQDVLHAGVPIMATGLFAEQPRNAHLVERLGMGINVHKTAISKETVLNGVRRLVEDKSLKENAQRIKAMIATKPVSAETLLVRWTEFLAQHK >PPA05840 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:328430:329697:1 gene:PPA05840 transcript:PPA05840 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFLVQIVYGIVSIASIAMTIVSMVTPGWSLYTFPELSDVVDDINQGILPFTCAMPGDYVKPNTIFAWDDCGEWFNNLPWTEKLVVASMCIGLAFQYILHPLYLLTGLAVIFLGVAVIIYAIFQDEYIELRPPIGPAPPVYVDSYIGYSFWLACGALALNVGFISH >PPA05943 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:712323:713507:-1 gene:PPA05943 transcript:PPA05943 gene_biotype:protein_coding transcript_biotype:protein_coding MPIHSQPGGSNDNGAWMPRPDDSVDFPPGLEYLTLVDYIFVKQSHESWEVSLGVETRNKYVLTNAAGQQVYYAYEESDACARFCEGKDRGFILHVIDNSGREVMRIIREYNECAGCCGGSCAGSRSSFSHQVTVEAPPDNIIGTVCQCASSTMYSYEISDQDGKPVLLVDSPGFFNMAFGEAHTFSIKTNSGSDIGEIRKEWSVPLDLSVFVKATLIGATFLIDFVHFEDSE >PPA05870 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:446906:449754:-1 gene:PPA05870 transcript:PPA05870 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRIIIALFFCILAVSAQFGMGGFNNRGFNNNNRGFNGRGPQRYDNSYQKIILILSSPLNLLTGYLIQTKTPQRSNTYKKLLFNLHFWITANDIYNTLLYAPIPLFPIVAGYCDGILCRLGVPNHQVMTYPDMGWLLEKPNWSYHHMKDSDEYDWKYALFSPWLILFMLPAMSSSTKSYHRNLTIVLIVQVIYLFRMSGQGEVKLLMNTYVFT >PPA06043 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1173130:1175205:1 gene:PPA06043 transcript:PPA06043 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDHMVARITSEYEVFDGALDRMEVFTAADTSWLRVTDPERYRYSVTAMRTKMMFMERQKSYGFVTDISFYVVFPVDIFFMIIVASIIYSTIDLLRSRISKNTSRWTCANADVILGPHSSVIWGGQYIDDCFLILCDEPGRVGLFYEPDLYNYYSTKELTSRCRLGEISLPRGQSTGVPWLTQSIQEGDSYNFLISRNYSRKIMDEINFALLSVYNEDNVQSIVLGHNVAFKYVLAAARFKEKQSARELEPFSPLSFGQLEIPLIILGNNNTSASVGETN >PPA05899 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:539808:543141:1 gene:PPA05899 transcript:PPA05899 gene_biotype:protein_coding transcript_biotype:protein_coding MIDENKVRLSARNMIEFINKQDFDNMDLRWSIQVFVSNIINEFLFGFQYPYDNCEKLMNFVLGLNDAIAGISRSFVVPIIFMLPWTRHLPVISHYWGKHELRFQTMIDYIRETAATVKYDPTEEPICYVQAFHKNNKDKRFEQLVSCCADLFIAGQETTTTTMRWGMLLLASHPQVQEKLRAEIHSQIGRDRIGSMADKATMPYTSAVINEIQRVANIIAPNPVLFHKSTVETEIAGYKVAANTLVNGDIHQMMKTDPLFEEPDRFWPERYIGEDGVTLRKELVERTLPFGIGKRRCAGEGKDGINFQILPLSGCTIDLEPVYTNIHFPKPQNFRLEKSIRRIRLSDEVVQWSKARSPIPFHVGSIPGHRLRSTKAPERGIGTIASALKGRGRPKKTISSNAPNSKTRKRSIAEVDDIVVGGTEAEAGEDFNTWDLITNETNDKEGYDDEDEEEETVLVNRQDDEDIMMRMIQEGFNDVFYH >PPA06103 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1450615:1452297:1 gene:PPA06103 transcript:PPA06103 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRLVCLFTLFSLCLSSPLGDLEKELKKEGVDLVKLHEELKHESEEVEEEFSGKETQDQLAKLRAAYHTCTNGTIDVKTELEINGDLTKDLFEGDIVLTKSVPCPTSIQSIISREQWARALDRDPNATLQRRQALTDAIKMWTPMGAPMIPYSYAVGFPEDKKPVILASLKFWEERTCVKFRVASATDKNVVEFNHNSAGCSSSVGMVGGKQTVNLGNGCFSVTVVAHEVSHAFGTLHVQSRSDRDNYVIVDTANIQQGKEHNFMKDPPYYGRLENYGIPYEFGSMQHYHEKAFSINRDKPTIYAKPQFAKYQGSMEAPRASFFDTLLINKMYKCTDKCTTKITCQNNGVQDGSNCNKCFCPKGWAGTNCEKRPDSAILTKLTANQKIKMEVVAGDKAFQEKLYVIEAPVGKRIRAVVSQIGE >PPA06037 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1148575:1152619:1 gene:PPA06037 transcript:PPA06037 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIVWTVSGMFTAIGAFCYAELGTLIKKSGGDYAYIMEAFGPLLAFLRLWIEAMVVRDLPLAIAISITTCTVIYVLTNVALYTAMSPDEMLESPAVAVLFANKLYGPFAFVMPLAVVFSTVGSANGVIFTSSRLFYVGAREGHMPAVLTMINKNTRTPIPAVLLTGLLSICYLALSKNIYSLINYIQISYWLAIGCAIAALFWLRRTMPDAPRPIKVNLIFPAIFFLGCVALVIIPIVASPKDTAIGIAIMLTGLPVYAIFIAWKNKPAFVDRISESTTRLCQKLFMVVDDEKEE >PPA05882 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:484931:486746:1 gene:PPA05882 transcript:PPA05882 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQSSLLIVFLLASSTNAAVTPNDLPDCAEDGKFLETAVNCENEVAASFCDATFPPGMSGLPAKGLLALDRIPQCYAAITATSTTLTNDPAFIEAATMTCAKQCGFCCKTPKYNCKNSDEPAINCEKVTQDMCKDSKWRDTIAVNCPNKCGFCLDGGCVDAAVDCDKDPLICKKTAMATFAKANCKRTCGFCATNSTTGGTVGTGTCGDSHPNCGNWVHNGFCQSTGYTDDQKRQYCGRSCGFC >PPA05916 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:609224:610183:-1 gene:PPA05916 transcript:PPA05916 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSPNLYEFLIQKSAIVYHSFWISINALLTFSIPGLFFLPDVESIQQIYALLNNMLEIPRDTGYSMTIGTYWVAIYFRVFPMALDTFIGLKAKPIIGLGILYFLTFMNTFWALFFTWKIVKTNIDMAQLQLEEIRTREATFAQYLDTGKERSHYPISFLISFLPLVICRIGWATVPFFTRIYYYNFLNFAFGYLVLYGIPLRPVIANVALLITVREIRVSLLNCFGCSDQKITNVQSTETSQA >PPA06088 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1348029:1348360:-1 gene:PPA06088 transcript:PPA06088 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKPGSSSFWAHFDLSEKDKARCRTCGLEVRGMEVSRKRGTTSCMRSHIRTKHKELFAATENDKERHSIPI >PPA05765 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:15790:16334:-1 gene:PPA05765 transcript:PPA05765 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGNLDDIFQYYSADATLVMKGARCAYGKDQIKQALAPLTAPATVKITNDRFEATSDHIIYRAHIHTTLKASGAEFQGDTEQIWRKEDGQWKCVHDEFAPAH >PPA06005 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1001968:1002387:-1 gene:PPA06005 transcript:PPA06005 gene_biotype:protein_coding transcript_biotype:protein_coding MYDISYVIMYFAMEIPQDWPSMYGFLLGMNGTVLPQLTLGVTMMAISRMMLVCHPTHCFTKNLKHLSVKEVMFFHLTVPALYAW >PPA05833 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:304312:305959:-1 gene:PPA05833 transcript:PPA05833 gene_biotype:protein_coding transcript_biotype:protein_coding MILEDKKALLACIGASVVTYNALRLLTAYLHTQRAEWIPIGTVKHLWMYPIKSGRRKEIFSLHCGPLGASFGEYRDREFMIIDGSTGKFLTARQLPKMILLESEIIDGVLTVSNPNGKSVSVVLADVIAKRDVKRSTLFENLQADGMDCGDEMSEMISTYLEQPGLRLIYFRPDLFNGRPCKPQPEWWNTPVPKRNDTVRYVDLAPFMITTEGSLKALNEQLERPISSINFRANIVVDQCQAWDEDKWAEIRIGDTHLECFAPCTRCILPTVDPETGVKDADMQPLKKLREFRLAPEGKMRTAHGQSPIFGVNAGTVNPGYIHLGQTVYVKYKPSVF >PPA05813 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:250835:252383:1 gene:PPA05813 transcript:PPA05813 gene_biotype:protein_coding transcript_biotype:protein_coding MEKECVLTDDVGGKKASDIETSTFINHESFYECEPSTSQTPLLDKLRKGYSLMCLIRHGGELGTRTKVEEAMEIKSGNLILVPATYATFPMHGKTCKEAMKAFANHTFEDFRELDEESKEFIVRTSHGVMNSLDATYRSMHHFPGNDEIRTPGYTTYVRAKEIERFFADCPDDVDTVKIAGQDGDFSEIRKSLETSVSVARRYYRRIKPTDYEFLALLGLALWNDEKCLTSA >PPA05900 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:545216:545969:1 gene:PPA05900 transcript:PPA05900 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEFTVEVKAIYVNVHFFCGHNETCNGFDCGEYYDEVDSGVTFAIALFCFLVLLAIIFLLRECLYAYKVDKQFRANIADHQPLYLTVTKPPTKEMNTLCVGERKGKRRSLNEAIENPVELPHSLGLTMNLASIDETDYYDDDDE >PPA06054 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1196705:1198877:-1 gene:PPA06054 transcript:PPA06054 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTLTGKRNQRIWREEINSTIDFLFFSVFSYILIVSLFERHIFNPLKVPESTLTNSTLEYVPDYGASTTTILFILLAAIALGRVSEWATAPSLLGMLIAGIALRNVPLISGLVVPMKWSSVLRKAAFVVILLRGGLSLDGEALKKMKGACIRLALCPCTVEAVVIALAAKLIFGISILFGLLLGFLLAAVSPAVVVPSMIRIAEDGYGSRVSSLVVAAASLDDVYAITLFSLCFSIVFATGDSVWMTIIRAPLEIIGGCALGAFVGYGLRYVRPSSRLPLLLSSSMAIFFGCASLHIDSAGALAVLVSAFVAASNWRNGETASTEESSLKLFWEFFFQPLLFGLIGFQLTFSLLSWRKVILGILVLAIGVAARTAIAFLAVMGSGMSKQERFFVAFAWIPKATVQAALASVALEKTRNGRYEKEYEEASLIVFSLAVLSILTTAPIGAAVIRITAPLLLTKDSEENSSSSTIDRSEEMKALSDVEP >PPA06040 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1164090:1165388:1 gene:PPA06040 transcript:PPA06040 gene_biotype:protein_coding transcript_biotype:protein_coding MESMRMPSFKLTSFKGRGRAEVAREMLFLGNVPYQEKRLAMDHWPSLRPGTPSGNVPELEANGKKLTQSFAIYRYLAQELGFAGSSHFEAAQIDSLAELHREYEEEIDSLMAVLFGFSDGDKEEMIKAIGEPARDKYFPILEDIAKKSGSAGHFIGGSLTWVDLLIAEHVSIMDHHCPGFLAYYPHVLKTTRKILDTPRLREWAKKRAENNLRI >PPA05860 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:400793:403529:1 gene:PPA05860 transcript:PPA05860 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKGDNFSGRPSTPTDSFFMNAPNGGIIFSQGQEWKEQRSFALTVLRSFGVGSSVIEDMVHRSLDELPPPIILPAPAPQIIVQQPAPICASPPCIIPAPAPIICSTPPCIDLPPVVVQPAPVVCASPPCPCSGSACGAKVVTVAPAVSSVSTLSRDDPMRDMFASFFKQYFAAQATQGSAPSVAPQNGRVASTQQVTIPLMKDGVVTQVPAKLEVIVNNRRKA >PPA05802 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:210614:211519:-1 gene:PPA05802 transcript:PPA05802 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTHVAREGMLICILLGPLVPWFRSISNHVTNVDIDSSHFNIAVYKSFEALLVPEKTIFSVPSMLPSPKLTAQMEVSMQKLFDIGVNTTIQVRI >PPA06095 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1367424:1369074:-1 gene:PPA06095 transcript:PPA06095 gene_biotype:protein_coding transcript_biotype:protein_coding MISFLPFEGQIACRSVCRSLNNAELLRKPLRLKEIAVYRTSPQELTLFAETDIDKKNETWFACRSNQGEVLNAWRRLGARSRDRELTTISHHHLWCWEEASLGRIEGAMNDLTCNAHVDVLKLNQLTLTEGVNESLRASLEGASIDSIFATLNYQLSETESLDWLTEVPSKGLTLYTRSLSSSAFPFSEQFLINASRLPRSDHGSSALTSPIVTDSLLLSLLSSSCSCLRLLFNCSSLTAVGLFTAIELLRSLDGSRGFTVYVQSEIADELMDLLDDGPGRIFDERSSKLDKKREQLLVKDLWMEKSYREIRYLYLDSTDGSQCSIDDNF >PPA05872 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:455152:456856:-1 gene:PPA05872 transcript:PPA05872 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFWPVVCRIFVGTEGVLGALLNVIVIALIWRKRLSKNVTTYRVGVTVTAFQGALQNTLAALSCQVHLFHYDQYAIVMYGPVAWAPKYVSDILLFLFVMAAFGIWELIPSSCMLQYLALSKPHYSYVRRLFTAYGVCIALIVYSIYTQDRSVLVLAGLGVFPTYSVGYFIFFYCCRQSYQILNALGGIRSERTMQMQRKFFIMIILQAFLPLVILSMPLGLFGVAIITGMAMDLNTLALTVYMHT >PPA05819 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:267781:268742:-1 gene:PPA05819 transcript:PPA05819 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLFVLLLVGIVSVDAQTAPGSGPFETKFKNLVTDYLGANTPKAISLIANDIFTCSMTMDDIVAHLMKEVIGLLPANKYLPGLGMLTTFQTCLGKCGSSMDKAMTNIGGAFKKQLQPLFKKVSDKVCTMKKNGKDQKACMTEGFKIATAALTKPLVQGVINVCMTKSTKAEYDCATPPLNAILKTNNYNMVYDAKRG >PPA06014 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1020973:1021947:1 gene:PPA06014 transcript:PPA06014 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAKFDLKKIIEERRAQILERKAALKGLLPNPIPKPASTDIEQPLNDVKQSHRYIQMPSSKFDLKKIIEERRAQILERKAALKGAQPVPIPIPASPEESLNDEGTASHGAVVDFKKLIEERRAEILKRINAQKAAVQPLDDATPSAHIGLKEIIENRRAEILKIKAAQQSLVEPQQDIERTMPLRPLNNKLSHPHINEQNQTI >PPA05895 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:521621:525408:-1 gene:PPA05895 transcript:PPA05895 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLLFIPALAQACHHQFQKELRYLELYLGIQPENEGQLPVKHASRFQQYITASQELDCKFDGECLWSNLENDGVLDSSDFWYFHKSDKKRFPTQLQPGKRKLPKGTHFIVTGNTTVQPQSAIILSAPIPCQKGEGRLAFNYWLYNFAQLQVLVVKAAKRRGHLQIIGKPETDCHYYRPKDDVCHVTIPHIDEPFRIAIRAFSLKDHVVGSMALLNDISYNAVICEKSPLSNLFEAIPIGERAAQPSRMYTLSDMNCVEASNTCRWRNGKDRTNTKWKIGRGIERWNEEMIVSYRSSRPIGHFFFLAVDSLTAKPFARFESDMIPCTQKATTLSFQYWAKTGTQVEVCSIDERGVPLSCAYLTDEDGGDKPIEIVVDPYGKPFRFSFEIITFDQFSGGLVAINNIQLKGVLCSEKLPPVSTTISPNTIADSFALTPRPIFEGSYPLQLGCDWTSDPCFQWVDEDGKLFVGKAPEDSEEFPFPEELINGNVGAIFLNGADTAILRSREVPCAWDLEITLTYWRSEHGFIRVCALDQCVDGGKTEGKISLLVSSMRPFEVTIEARSRGNAIVAIDSILTEGEACPIKTLEQTLCDNVKCDFKGTFCGYSTNPVSTGATVTIDEKHNRAVLASSSFEIVQPALLLLKVTQETFGSRLLLCPDAKSEPDACTELLGPIVLSPQTRELSFPLDPSTRRFAIVFLHDKGAQFGEATFTLHSVDVKTGDNYQLCF >PPA05771 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:47729:48628:1 gene:PPA05771 transcript:PPA05771 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLFLSGDANGTVPNLGGVLQAISDGKAFTELSCQQLEIGYMGMFDVSPPAGVDQLALYTGDRGSKTWSPISFFIPFSTSVLLLAIASVLLVEGVSAVMTKRSKLANKIMVALSSYCFTMGFALLFFAYNAGYQGMAIATKPTAILLYEEILDQFRSGAKQWYFRSVGVFDFSSLPGIPYQEEMDVQKLINIIDHSDVGLSS >PPA06058 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1226505:1227860:1 gene:PPA06058 transcript:PPA06058 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPLLLILSSSLVSSSWFGLGGANGGCPAAGSTCSCSIPCASYLGMSGGFGPGGGGGGGFGGAGAYPTGGRGGGAYATAPFRSKRERILAQKEDAVETRYEDEVEEETLTGTNPFRSRAHGSKITREAELEGFSEDHLCNSPTLKKILKANIVDNADQSRNLIQKALRLESKHDFVVICTPNPFGFTATRDTEYCSVSSIVHSCYVFAF >PPA05835 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:308237:309569:1 gene:PPA05835 transcript:PPA05835 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLGRGIIDWNSDGKILTAEQKRFYQQNGYLVIRNCVSEADIDRYYDRFKYIVHADKSEFPYVSVMRDITLSNTQVVKDVNQVTKIQTWQDDEVLFDYCKTPLIVDILKDLIGTPQSNLVAMHTVLINKPLDTEMFVHRRDEFPSSDASRSAANNKAYHGIRDFDPSTPRVHVEMEKGDTVFFHPLIHHGSGANKTDGFRRAISCHYANDDLCYYSDERNETQDSVDKDLMEIFERKLKNLGIELDMEDLDYSITFKIRSRPVNGTKSNL >PPA05888 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:497969:499096:1 gene:PPA05888 transcript:PPA05888 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRKKTPKAVALHKKTPSSTRKTKSSVIPLSLPPPFSLELESNGVSSPIDHLLEMCQQAIPVKSTTVLPQLVNDEHAPPAQNIQVSPLVALLTAKPPPARGQKRKLTKPTTPISSPPVLTSMKSGSESSGSGEKGKRKSPPVHHNSECSNCSTRETTLWRRNEEESIECNSCLFYFKKNGVKRPASLQNRIIFKKQKNNSSDGIEKNERTSEEKMIENIAPI >PPA05851 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:370394:372285:-1 gene:PPA05851 transcript:PPA05851 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIPVIGGTLFTFLSVVGVSVNVLINCIVLYAIFKGRLLFKGQSSSVYIFSVSSIGMDNLMILIHIIYLIPSIYSQTWLFEGGMDAVPVVLFSFVFMYCWYYTTLSHILISTTRLFAIVNWRNNSLTNERTVVLVVLVHVLSFGGAVFSQFLSPCCKLSFSYEIYSYLYETIPGIPNYSNNIIDLPFNTFSSVCSLVCYTAIILYMRWIGRAVTGEVAFKRRHQEYVYVLDVKYAMQFASMAAFYSISWLSFRILPPLVGNSPSSGWLYGITTLFVLLNSWSNAFVYLIRKTLVKAINKGAVSNSTRTGGTGRQASSTHTQ >PPA06067 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1254417:1254846:1 gene:PPA06067 transcript:PPA06067 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSRNGALRDYGREKMLTIVGFALFLALCASTLFYVLICIHAADDDNKDVNVIRTYYIYAIMALTFVNPWMLIITNKNTRRRLFFQRSETSTPLIATITHSLRQH >PPA05953 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:745198:746056:1 gene:PPA05953 transcript:PPA05953 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVHAARNDNLLIVSNGNFFGDRLPELFGYPITMGEAPGKAEIITDMQAMADMICDESSNKIVVTYIILYSIFSVNPAHPHNLNCDLEPVDVSDRAYFKNNLPGFYEVNVNVPYPAVFYLNKNRFNRSTIHRFNFIVQAMFDVEKQENLWWRRFTGRKRQTFSDRKPKSDFSYTPLPLEALAVLIYVCISLIVASFVCFIVELSPIYAKIHPRVRGYARFFR >PPA06063 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1244179:1246605:-1 gene:PPA06063 transcript:PPA06063 gene_biotype:protein_coding transcript_biotype:protein_coding MVSISYSTSMFTSVSFLDSLVPFLPALGSIVSVLLTLPALQLVETSGRRPLLLKTLIICLIANVCFLVFSLLSVNPEENWWASWLFGASFLIFGIGYNLGIGPVAYFIPSELVPPEAASIALGSAVAVNWLCTMFTTLIYYPLQHWIGGWSYLMFIIPCSIFLLFLWRFLPETRFHYYEHDELGASRSLTELDPILPYGTFERELINGIDDDEQSLL >PPA06092 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1358584:1360714:-1 gene:PPA06092 transcript:PPA06092 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQSSEAPSETPSKSAKSKRSRVPKRSRFVRSIHYIGSHHHLFIIFFSFSSFFVFSDHFCSVQSKHPLRKSSAQCPKCTIEAFTVIAADLQTISNTKNGTTMAEHVKQAYIKLLNIDRWSAIHNYSPLVAILVTAGLPLMRVVTKAIYVAIENGYFGTMMYIESKLEGNVFSSEETKEKQEVGNSVDAESCYDSSDDDNEEDRKIREELMQNFTIRSLVKFMTSNLDVYNGDYGRTSCGENV >PPA06077 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1303375:1305251:1 gene:PPA06077 transcript:PPA06077 gene_biotype:protein_coding transcript_biotype:protein_coding MRIILTLLPLLSLIDGKGGVGGGRGASGARGASAGARGASSASRGASASASRPSGSYSAPRVVNTPVRSPSRSSLSGSSALLGFGAGALAGSLTSNRINNAYAPVSYGGNNYYWSRDVVPQDAPNVCSRPLTDIDASALEDVMFQDGTSPSEFAWMCSSSEMCCDWSCCEDNGRSSIAVARRSFSDPTDSTDSSSTLTPLSSLSSDSTTDTNNEDDVIPVEEGIAQDDFIYSEEYSYRGSIAGWIILGIAIAALIFIIGCIGVFTVKRRRKAAQLSWQRRVVQQSQLPRDDPWTPDLPPSHRTPPVFTSPVQGVPPSYSNIVHAAPMPKSTLPEKPPAYSTQ >PPA06000 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:985758:986206:-1 gene:PPA06000 transcript:PPA06000 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRVESLRQNIRERLTDQRVSLCIDQWTIKGGRMTLSCFNANFINEKGELENLHIPVSPLDGRPAADKLRSQIDDVIEKYQLEVVAVVSDSSSSLRNAVKDTLFIQMQQGHNTL >PPA06104 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1453233:1453458:1 gene:PPA06104 transcript:PPA06104 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSVGLEIIPSKDTRVNGFRFCGKPDETPIDSDSNQMLVWLYNEQPNALWTQIDFTLI >PPA06036 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1147254:1148106:1 gene:PPA06036 transcript:PPA06036 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPEKEPLQKEVAQFEKGDPEEKDGGKGLAKSLTLFNGVSIVVGCIIGSGIFVSPTGVQKDRFFVEMSL >PPA05922 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:647917:648522:-1 gene:PPA05922 transcript:PPA05922 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAVHDNANPFLGICFNEKEELFLVWKFCNRGTLQDIIYNDQIQMDTKFHGALIKDIIGGLEYLHASPIGYHGSLTPWSCLIDRNWMIKLADYGVAEPIERWEKQQWITVDDLKDDEDKCNAKQKTKLELRADTSSFRKLSCQL >PPA05838 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:315901:316271:1 gene:PPA05838 transcript:PPA05838 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIADTLAAGGMDVTLLLNHQKTSIGSGTTKVRVIPIDVSKEAIDHLSDPPNVDPDIYARSSDDLRFMLKATRSPSQQDFIFYNEKTALKI >PPA05960 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:766436:769740:1 gene:PPA05960 transcript:PPA05960 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFYDELFFTPTMWFQGPVDYDKERFIYGALFTVDATIGGVGNNPSSSKKVDFPHQCCMYTNPNLHFDRFYSYETVNTELWYAQSYKYFQNFTRHEILERLRLTPPMEEYARKGQVDPSFMQGGDHTGDFIPSTIHAHATEDFVVPALKLLDKRVREKHGSKRPVIVMIGDDKDWMKKVIRDHLPKDFKAVIAQTNQSYPAEVDWEFSRQYCDSVLMAASGSTFGWWLAYNSRGYNVYYNTVFSKPGGFGGSLTPGADLRFVRIPRRQEACVPEAIRRRTTGTGRMRHLKKIQHRFKNGFREGTVAVSQKKRTQSAASN >PPA05905 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:571583:575888:1 gene:PPA05905 transcript:PPA05905 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSHSFFCLLSLYSTMRCAIIVVAFLAIAVNSAEIRIDPPSAQCQGEIDRVWLDVIFLVDSSSGMTQHGLRKADAFLESVMRQMTIGEDTYQESRVGIITYAAEAHVVRDLSRSDNSSSTLPYAGDDEANLFGAFTAAVNMFDNSTSVHRKKVIVIAASTYEEEGPHDAVQIAKQFKISGGSIVTIDYRVSHSGLSPLADLASNGFAFTNNDLEVANLFNSFLHVNCFCPFDRHGASSTTYGSPDGGCFDDENIQSDWMAASDLCHEEGGFLVKIENEQKQRTMDNVASSSPYWIGLRFNSAHDGFYWQDWSEMNFTRWASSQPDLSIGECAFSKGSHWYSAPCNGDKKGLADHVFFCEIQPCSANADCYHPVAPNVTEPAFPEVSTLRPEEPSTVLPIEVSTLKPEEPSTVLPIEVSTLKPEEPSTVLPIEVSTLKPEEPSTILPIEVSTLKPEEPSTILPIEVSTLKPEEPSTILPIQVSTLKPEEPSTILPIEVSTLKPEEPSTVLPIEVSTLKPEEPSTILPIEVSTMKPEEPSTILPIEVSTMKPEEPSTILPIEVSTMKPEEPSTILPIEVSTLKPEEPSTVLPIEVSTLKPEEPSTVLPIEVSTMKPEEPSTILPIEVSTLKPEEPSTVLPIEVSTLKPEEPSTILPIEVSTLKPEEPVTEGPASVKPEEPVTEGPASVKPEEPVTEGPASVKPEEPVTEGPVSVKPEEPVTEGPASVKPEEPVTEGPASVKPEEPVTEGPASVKPEKPVTEGPASVKPEETVTEGPASVKPEEPVTEGPASVKPEEPVTEGPASMKPEEPEQPDLPIDFSTAEPDHSNKYFPNGTSVELAWWQELKSTSTVRFANLIAEDGNGRTRYPIKLNSPITFKVSLKNEQNLFSHSFFRQTVKISNFDEESFKWTEIPTYGLLNNMAACVNGVLCPVKVKRGEISMTIDLSAHKLWLHTLQNDAPYQFEIMMTDMISNKFFSFVVQARAYIH >PPA06106 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1461416:1461641:1 gene:PPA06106 transcript:PPA06106 gene_biotype:protein_coding transcript_biotype:protein_coding MQVARVIVVLQWLVLIHSYKILVFRPRHSQSINNFLGNIADTLVDAGHNVVSSFKRR >PPA05997 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:977616:979757:1 gene:PPA05997 transcript:PPA05997 gene_biotype:protein_coding transcript_biotype:protein_coding MFQTWRLKRLRWSLYELDRAHDRVDYIPTAHRAGNMGYLRMFAHDLLPLYVEKVILMDTDTIILEDIAVLHGYFKNMQDQGAFFGASPDMYKRYGIRPKLPSLDLGMNVGVIMLDLKRMRETNWDDLWREETLRLLQKFGPPSASEQWAVDSICLGDSVDYGKIVIPHWTEDKKWTSNKTSARHFTRIYQCIQMIDWEEINGEDTDMNMSPARTLLYMKDGEKPNSGEITLSAAVPFREAFDVIDRVREWPGTVDVIVVGSDRERMKLRDFLREHKKEIGGRTSIHFVHKRINLDIDRFTHYLHKLAADICRSDMILLALDIHNLDISHQTYDVLASEAIDRFTIIHSTKKSEIGLKTSKKNAQQYADEKKPLKEIATLFEYVYH >PPA05816 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:260091:263433:1 gene:PPA05816 transcript:PPA05816 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDVEPRLWDWPLQGNNGVVKIENDEEKFTVDLEAHHFLPNEIQVNVMGHNLDIHCEHKSRAELFGDVSRSVTRSYRLPDDVDVTSVKSVLSPRGTLNIRADKKIAKRAVMIRNRRLEAEMIQYWGYPLEKYDLITPDGYIISMLRISHPRDPGTANSLCHRPPILLVHGAFTHAAQWVMNPPGSSPGMILADAGFDVFMLNVRGTTHSRRHLTLTKDNRDYWKFTVDDMAKYDAPVAIDKALELNGATSLYYIGHSQGTIVGFLTLAENPAYNKKVKALFQLSPAGTLHYVKGLAKLLIWLVEVSRPIMNLCKDVTELFAGPTGKTINWVHKSIVDHVDKTDFQTRAPVYMVLRNSVLHYNHSPSENMRRYGNAKALPYNYSNIESDIYMFWSRNDWATTPDEIEKWLIPHLRKDVIKGTFEIPEYSHAGYTIATDCGERIFSKIIRIVRKYELNACVE >PPA05768 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:26045:26911:1 gene:PPA05768 transcript:PPA05768 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRLQFLSTSLFIPHISYILAYFFTMTPREILSEKLKPFHNVTGCGLYGFADSTEFLPALTLAYLLICGTIVSVYIFWARIKLMRILRERTLNMSVRTRSTHESLTRILTIHAIMPIFVCTGMGSLVTAQLHGYHSVDIEGSILDIAVIPSLVNPVLTLYFVRPYRVFIASVICCVNRRISLVHSGGNYGDKAAPKLSLSQKMQPL >PPA05832 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:302796:304162:1 gene:PPA05832 transcript:PPA05832 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVPRWIHQVLLNSFALLSFSGNILLIVMVAHPSSKNLGKYRVLLCSFALVDMTISLFHASVIPVFVQAEFGFVIFAFQTLYLNEKLGYALNEIYCILFYEPFVLLTFHFFYRLMSVTRTDDLQAHFLKGMTVAIVMNGVIAMMIVADVWLIYPEIEENFFAEVLMRDYHVDLNKIPKPNIIPVHYIFTIPVLFCVLPFALIVGLPATGISFGQAGNVMGFIVSAFPVIDPICMILAYSKFRAIITQFFRQLATREKTTIVQSMHASSFAMSR >PPA06020 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1048686:1051141:-1 gene:PPA06020 transcript:PPA06020 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEVKKTFTWAEWKRPFIMIVLTFLCTIENSMLSMGEWPYMSTIDHESTSSFFGYANAASKAAHIIFAFVFAVWAHKVKGVKIPMLAGRILTLATCFMYIFVEFIPSNRRWWMLVCYVLFGAGFGTSPLLRSYIAQVTSEENRSTAYALQNGAMVLSVIVGPVAQISFAGLPYPGVIVIAPHIKLNIYTAPIWFAVLTNIIAIAITAFLLEDAHNEIEKVNNDESLSKFSLASIKEQLVRIRDLNVPWILVALLIFQKMISTLYNSTLGSVVGPMMTSMYAWPGQQIVLILGIVQIIMGVLALGLSLLFFFCKLGKRISCRVLFLFSNLLIIVGYIISYPYPFMSSTVQPFNETTRTGCNPLEYSWCDTQLVGNIFKVVNIVPYLIILIITTSLAVPAAMMSLDTIYSKIIGDIDQNVMQSVYVIAEDIILIVGPIYGTGVFTAIGINFLYIINGGIYILATIHYSHHQALAISQN >PPA06100 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1446725:1447518:-1 gene:PPA06100 transcript:PPA06100 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIIVLFSSLAFVSATEGGIDIPIPLGDAAVDTVPLTLHFNFPAMSNLSEQAKKDFADILFNQDITKTEMENHIRSWGANNGILDAVNLEMTKDDQRTKDLRVNITKAIAEFPAAFAKYTAIEDNKSLTINQANEETEALLDTIKTPYLKRFIYAVSYPDYAEGEEPLFTINAAKRR >PPA06007 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1006153:1007931:1 gene:PPA06007 transcript:PPA06007 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLFALSLLFFLPSLEAANQSEVNEFQQANRELIKHLFKDYDNERQRQFTANVGIVVEYWDPRLSWNPDDFHSIDHAYLRDESVWFPKFFPCDSSDYSYATFQKDEFTVNHLGQILVTYQFTVTYNCDIRNKDFPFDQQLCSLCFSLSGYREEEMGLRGLVPPQVDLYGTGEWTTKMDDLHPIASMYYAQEKYAVNFVRFNQLDCLVIESLQVYYRLIFSRQPDFWIFLVILPTYFLGLLILVGLFFGNTGTYSVNSPIELGLTTMMSMTVIVGILNDSVPKSEDLSRLGYFVFFDILTICGAVVVVLFFHNIRIIIHKIAKEKTEQIPKTKNSSRYWPFLLRFTRRSSNARFLFFAVFCSLHTANLIVLLAKSRDSTGFEEMTQAFNVTST >PPA05931 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:677728:678553:-1 gene:PPA05931 transcript:PPA05931 gene_biotype:protein_coding transcript_biotype:protein_coding MYGIYITEAQVFFKNVFPAFDELSVREQELIFKDYIAKMNTSDVSEEAHVILDRYRHEVLEDLQLHYQNELGLKEFSIKLGNLMTLNHAVQECKSLFKVFWRFYATMFDTN >PPA05975 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:852031:852682:-1 gene:PPA05975 transcript:PPA05975 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYHFLESNRCSQTIKRLKGRFGLLHYAYTCKRGLLKESIDRNQSHLARIKKHELIPAQEELIRMNERIKDHEEFIRYTIQWKRDMERNHDNDDVEQNRQASM >PPA06120 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1539376:1540615:-1 gene:PPA06120 transcript:PPA06120 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAPTLNSASVPVIGSILSSFPQKDGSVLVLTPSYQYRIAPNGSTHCVKDSTICNAFGIIDDEVYLAQKNGPTLSIVKLSFEEDGTYRKEVVQSFHKSDKLVLRNNCLHYFVQKKEKTDVTFAIPITEHFEDVNGIPFNLPIGELALMATVHRGDLFEIRAINATKEIWRRESGKEEITKIPMKTNSARDRSFDLPGKDQSPGLFLTSISPSGIVLAHSKEMDGEVKAVKSLSFHMSKLPTRVIKLLNGDVEDNGTKKALI >PPA05821 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:274223:275268:-1 gene:PPA05821 transcript:PPA05821 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVLVLLLSSSVSALNKDDFIPVFQSLVSGYLGANTGKVLDLVATDACALKSMDDITSRWFLSSFSLVQLMVEANLMKEIVGLVPASKYLQALGMLTTFQNCLGKAGSSMDRATAAVGGAFKKQLQPILTAAQTKATELAKKKAPAADCLNAVYGIAKEKMTKAMVQGVLNAAMTQCTKEEYNCAVPHMNTVMPTSQYNMVYDPKRG >PPA05827 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:288881:290606:-1 gene:PPA05827 transcript:PPA05827 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKIHHVAKWDDCYLATEVKEIEQMDEEAKKTEYKMEIVWINVAIQVGLHIGALIGLYMLLFMASWKTFFWMPLMAFYATNSVTAGAHRLWTHKSYKANFWVRLFYMIGDTIAVENDVIEWSRDHRCHHKWSDSDADPHNINRGFFFAHMGWLMVKKHPKVKEMGAKIDMSDLEADPILAFQRKHYYKLIAITQFLIWLVPVYFWNENIYVAFYVVNNFRLAAQLHGTWFINSLAHTYGYKPFDTKITPVDNYLYSILANGEAWHNYHHTFPQDYRASEYMWKANFSAFMIDCFAYMGWAIERQKTKGDQSRPLSAAHEDY >PPA05785 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:123456:123982:1 gene:PPA05785 transcript:PPA05785 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSYYIHSVCGPCRRWEISSLCFRINVVHMAGMAHFDALVAFCYCYRYYVIAYSRAEPLIRNVIISLIVLHLPTIAVYTNFAFSPHMEGVEQERAMNESHPEYEKQDGEMLIGKCKI >PPA05964 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:780646:783071:1 gene:PPA05964 transcript:PPA05964 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQVYNTVATLVSLKTTKYSVLGLITLYLLKKLKERSRRNKIQSLYALRIEAAQRERDEIIEWARKESKLVKDEERIRIESMNFMQLRDALQSGEVTAESVIRVYFGLAIEAHEKTNCLTNIIKVESLKDAREMDKKAKDPSFKKPPLFGLAISIKEANELAGHRNTWGLAKLVDNYPSEDSYNIMKLRQDGMIPFCQTNVPTTCMTYMTSNSIYGTTTNPHNSSRTCGGSSGGEGALIGFHGSLIGMGSDIGGSIRIPSSYSGCCGFKPSSTRFSTLQLKEPVPMRPINMPTEGPLAQDPHAIVAIMRSIWSDQFMSNADPFSVPIDFREDLFKEEKKYRIGYYTTDGYIDPLPGNQRVVNEAAELLRKKGHELVPFSMEDIVSEMARGMFSTISSDGGERQVKLLEDEPLCHLMSPLRNYAEMPLWRKKLIGWYCKIVGDKTSADAIHARCDR >PPA05992 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:958195:959689:1 gene:PPA05992 transcript:PPA05992 gene_biotype:protein_coding transcript_biotype:protein_coding MPHYKLYYPDLNARGEPCRMMFALAGVEFEDFRMKVPTSTKDRNDASYLAEPKLEVDGIVMNRNVAILRKFAKEHKAPYLTFPVLEVDGVKIGQTLAIVRYLANEFGFAGPDNLTCAVADALCDQMADYVLANLGWHVVNKGFVDGGDVAELYEQYFVPARGRHLPFFEEALSKSSTGWFANTPDVTHADVFIAASIEWLQKLNPKCDSFFDGFPLLAAHHKKFFAHPKLQKHLAQRPEVDY >PPA06038 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1158187:1158699:1 gene:PPA06038 transcript:PPA06038 gene_biotype:protein_coding transcript_biotype:protein_coding MCYSSIPPQLRGSLCKNLIGEFNALEYYYRSQGKFKQMDGVCLCSLITVFDIESLQEKVEGVTRKDDFKESMRSFADDYMEIFDRILRTFDITETEFHAMIVILTR >PPA05989 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:941517:943553:-1 gene:PPA05989 transcript:PPA05989 gene_biotype:protein_coding transcript_biotype:protein_coding MFYARKEAVEAAPVILLLLEEEKEDVDEVAVTVASTFRHLTPVVAAAVVEEGEESLVVGAAMVMVEEDTTMEATTTEGMNMEDGEGTTITITVDGEDIIIITVIVMVMEDGEAEVEDGEEETHCGTEEEDGVDTLQLC >PPA05856 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:391515:393637:1 gene:PPA05856 transcript:PPA05856 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSEVLGSSTLEMANFAILVLLSIPVIQSAIINERNGAQFRRNRSTEATVGEEFVGEDEVAGAPRHHYPELRSIEFQREEKAWQDEEAKEESEKRKTAVERRQLFKNERRTREGADQMSGFPSTGMTRSFPQMGQGMGMGSPGGFGNMMGGMGGTGGFGGMGGFGGMPGYSGMGMPSSGSFNPFSMMHSPTHHRNKALHVKIFNFVFLGQIIN >PPA06098 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1378809:1379120:1 gene:PPA06098 transcript:PPA06098 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYPGSAFLFTHRNLTVWIPFLITPSALPEFLRTHYSTWLLVELCGHFFSSAAILLNIYLALKVTMSMPSISTCKY >PPA05970 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:817455:820968:-1 gene:PPA05970 transcript:PPA05970 gene_biotype:protein_coding transcript_biotype:protein_coding MIAHHSEATIEKVTPSTGEREVKGLGYVKSTSGFLKFRNTLLRRSYEIPKKMVAVTDDGEMIFTATYGAIVETTVWLLNDLRQNYDPIERPVANHNETLTVKLRIILQQIVEVDAKNQMVTLVVWQKTTWEDYKMRWDPKEYGGLKSLQVPNSFLWKPDILLFNSADEKFDASFPVNFVVEHTGDVLLSPPGIVKLSCRIDITWFPYDEQICFMKFGSWSYDGDKVDLLIDNKDLETPHHMDLSYFIKNGEWELLSTPAVRVASEFDGLKYVEVYYKLHMKRRTLYYGLNWLVPSFLITLTGLLGFTLSPECGEKITLPIFFSISMALLGASIVVTIWIVNIFYRSRKTHEMTEQQRYIFLEWLPWFLMMSRPGSKFTKPQSEASTSTNSTPLDSVIKRVVRALCMTRTLSTLSSLFGKLGPMKLPFSQGTGRLSRRCLTMNGEGDKERLLPDDVVERLARHESRGSFGVQSRLDRTFSMDESEGGSNRCAVRLESVVKECLQRLTAFQEKLEEDELDDEAQEDWRFMAKVIDRLSLYVFTILFILSSLFLFLATPRIFDYRPVVNLTDEFNQTVPF >PPA05919 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:629385:641558:1 gene:PPA05919 transcript:PPA05919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rod-1 MYFAEYDQRGESILALKWLAESGLLVAATNKAKLHMFSLEAQKFVFILDLNADWNTCIIDDFYNKRTDRLALFVNSDNGMLYCIGLDSWVASFGRSLSASEADSQLPALFKESFGKMSQLEMGNGRFEQMTAFSSCEVGGYCIDGHFRQVGQSQKDGPMRVIFNSKDFVPPYIRAHLVGNGTILAVLTKEGEVKMIDLLVMMEVDSFVIPRSSTEFITDFAFLEWPGAQPEYEKIALIMKKNDRTEMQIREISELGKVAYAREVVHSSQLVQLNGPSVDADRLAFVIEPADEKMGFADQSVCVRDVVETQPEQRLSRLISRGMLEEAERFALENKLDVQLVHSARVNQLLLQLNDSPDDEKIFEGFMKAVDKVDREQKGEWILGAICPLKNADWISQLLEYAEREGVDEISASSLASIAYNFATYRMVFGPNAEYGSYSPEWVKQWLQLLQIGNIAAARIIWQRYPKDLQCEMNEGLVTDAIRATEEMLIRTVEETPQLWQFAIDHLEADVMSMALSGCEDTNQILKLFIDLLINISTGLERLQPEGFPDNALYAVSAVERLVNRQKKNALTSMRAAEFLSSSSRSVEDIEGMYKRSRDLHAIKRLKETYECPLSYEKYKRLSTKEICHEILQRSVQNPAQVRDRIDRIARPFMEEHSLPVDETLLGHIEKVTSIARCQTSSEPLDVHCLLVTDAINSVAVRARAILKIADSAPIPWCSKLSIAVQGVMGDKKIEQKIKDELNLTTDRMKLGAICQNFGLDREYVDSAIDTYADFCGFIRFLLTGSLTSTREITDEGRYEIASEAVSIYDRLRPGVRPCQESPLGDGRLRPSQGNSSVDVREYVCMLIQKNDLRALHKFVEKENVIDETIEMLVMREETEDYAFEGDSDWQSRRVSSIVAINSLIQRHRSTDERWKETYEEMRKMLTLVTKYNMDVSLHILRLPGMRAKMLHKFMRRGGKQLKECLELSTALGLSHDETFLALLDHSVPICPHKKAIREEEIDLKSGLYLAGKALQHRKTASTALLQHVMHVTELVMERIMTVARSRMSLEDCKQSSDDAEDLEMILPSVLELDTELMITDRVLSMKRAVTLIRETVIQMQQGQQSGGEGGSSACSSIPNSRRNSMDEEMEEGGEERNDSIDGRKRNNGSYDGKESAETWNNLPLRLSNRRRVGAYDASMDNPILEMTDMMRVVCSVAFMMYEKPKEGQKGADKKLEDMEQKWAELFSELNISNQFILEMNARTLYAVWGGRSDEEQILLPLTKEFAERLVQQHPCDLPLLATIFATLDDKTCMDSIIHLVKFATREQKKSPQTMLNVCRLAQLMMSRKDRVIVQQLASADPSGVMMQTPAPKDTARLQAPETAKVYKTHIKTAYHRAMWEKKLGKKKIVAHSDALKAVREFASHMQQPEIVREYLYSLSPTKLPWGDHSTRQLTSDKHAGMIAMGMAAYLNALLTNSVKAKDDFEREGYLVTADNTIELELSQRGYDSEVHRSFLCDTLVDHLEKECCPYAHEVIQFVIAKLSALGGPNDERWKNGKKVADFICLIPRAFSICDEERTWYTERRNAKSMEADGMGEGGMADELNFAQMPDKAPTRLPFHLLLRTSEVELCKYVVPLVWHEITLPNLQDWYHLLRDVHPITSVVDINSYKLKLKRQMLITKAVMKYIKAAQEQNDQLSEADMEWIQERLYAEKNVNSLLQAFAMETIAVAPQGNTRIQLMQLGYNVTKKYLSEQPETAPDRAKIMDQQKRLRESLREMNTKRLLQSHKIFNQDTSPLVKDVDKLIARIYDRFINWDEPMDVEKKCALIKELAETNEHNVEDTHSAIVSAWLCGENGSGEDDELPDVDMNDTMGSIGDFGGGNGGEKGTRDDEDFLQIPFYDEEVTRIVHIVRLSNRKQDLVRNLINYVNMPSPPGGHSTHIRAICTLLRACTPDELAAWAPHSIDEYAGSLDTRLNRRLVERSLGSAAYAQFQKTIEQPEKLQQFIRNLLMGSQRSRPGTVWLASVLTMDYYSENDRTPIVDQKLIDHMLFKLNADRKYSLLTAFLKFCSSSSAFRNTRNINVLWGRTIESAMKEMEDESTPISVIHEWLLFALSCPTAGNQALESLKRLMEKRGAVVGAYLVKLASSYTKSPVAPSPDSWRNPKKVDYPVQWAEKRGIKKEEIEMDTTN >PPA05951 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:738760:740573:1 gene:PPA05951 transcript:PPA05951 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLCCFLLLIIDTGIEITRCFSVSLCPKKYDLVRNGECYRQKEKGLEVDAGNGPSNAVYECGNDNAMPVIIRNQEDQDYWWSVAKKDKEKYGDLILGIVCDKRHELHWADGSDIDFRPEKYDADLDEPCHDNGYHFCMFNIDAKTGAWKAQCNIDVTINIYCTIVPPSPSLQPDDDCAEFEHDDDDNVMHLDDRRAQFYYKVGASPANWTEANSWCKSFGSSVASIHNDQENSFIRRLAASKVWDYNNFAPGASIYSPGFPFNSSAACDFILMADSGKLVEVEILLLEANSCCDHLILTEGTLGGAVLAE >PPA05869 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:439889:443912:-1 gene:PPA05869 transcript:PPA05869 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVKKKEVGDESSVLKISSALHFSSGLFGSKIDGTPIIPVVEYYQKDVFEKLLLDEKAAACDVFSPSLIKAAVVMSENPRAQLISSGVGVMNTTSSILSDGRPLLVLSHILSLQEVWNESPPPPCAAFIVEVMPTEPRFVVFFKRDIHSQFEELIKFTLTEWMNITSIHEDEIGSLLLESSSNITRLDDDLTISFIPEVVAKYSDGTKKSKIVRIPPIEEASEQILSINDGEVDLFSASAFNPIVTILHSILQMSRRFAHAFTLMCESTLDSGIVEKLRDEKFDVYFVEGMDICGLMLAHLIQSKSIIMASTTTMIHGEQHEDIGVPQILSCNPSPNVASHDIHSLWYSSDHPIVELDIVSNVTYAFTNSEPLIDFGIPTISRVVHVGRLGVKEPQPLDDHWSEVLSRRERAVLISFGSLAKSYLLRPEAKRAILKLIKTCLYSSLTVAWALYKNSHFVEFLDKSDIANDEKLTDFIMELLENDQYRQNAKRTAEMLSSKPFSSKELLLKHVDFTARFGPSRALRPLSIDMAWIEYYNVDAIIVAIISAIIIILGCKPFQCM >PPA05862 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:415370:416875:1 gene:PPA05862 transcript:PPA05862 gene_biotype:protein_coding transcript_biotype:protein_coding MRYYQDLIEDAMKKVRSEPCVPLVQAYPWMCSLPYVGWRGYGEMRSVALELIHYVEDEIRKHEIEMNSSPDDTASTLVGAYLEEMKRSRAHGSNDSFHMDNLVNTATDIWLAGMETAATSIRWGLLLLTAHPEIQERLFEEIEKTIGKSGRRLTMNDKPTMPYASAFIYEVHRVANVVTFNVFRRNFEDDIIAGHNVPAGTTILPQISTVMSSEEYFDNADRFDPERFLNRTNRGLELRKDVIDNVVAFSLGKRQCAGEALARTEMFLVLLSIVQRFRLEPTEVVDIEPVFGILQTPAPSKWRLVTRN >PPA05854 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:386283:388460:-1 gene:PPA05854 transcript:PPA05854 gene_biotype:protein_coding transcript_biotype:protein_coding MYFMNVNYKLSIDSSPNVCRFGFMHNFALNLWIWHRYSTAKQHEHQAIKERDERELENIDHGGPSSAESMLDSSDYSNITGSHGSGEEVLQRVFPSTTTISTTMVAVSNHYVDKITQGQHALRGNTSTEEYQNIIAVLEYFGDFAGFLDTCLIEYSVIGATIMFVYWRNLDSQTKSTERKQSFRMDFTHTFTGFHFGVILFVLGAVVCGVYSALVNDKNPDAYLLLGIYETLCYSCCIVAVVLAVFFMRTLVLAENGHAEDVDRILLYIAFVGELLWCSADLSRFIDGEAGKQGGFIFAATLLRLFHVFLQTWFILMAAKLELSPSTNVSAMKGRQCM >PPA06109 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1465074:1465853:-1 gene:PPA06109 transcript:PPA06109 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQEFDLLDYTAPIIVGILFAIGLFFSSLIINFTCIKKDDEITHFEKWGARRNIRLGPHSLSVVKKHLDKRYITDEDV >PPA05845 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:346172:347415:1 gene:PPA05845 transcript:PPA05845 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAYAVLVNRSSIDKFYPLFEIISVSERSLALITRILAYAFLLHYNLRELRKLHRKSADFDHYSLSRTYQFKENVVVMKMLCKIAVPFFTLLIPAFVFYALFIETPQLEEYELLRMVSAAMFDWWIGL >PPA05776 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:63163:64005:1 gene:PPA05776 transcript:PPA05776 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAMTYHKRSTCGMERKSHTCLGFVFLSLIEFAAVNAYMRKCEKFMGVARQLSRRNALRARVVTTDSKTAKIFKDKHRKASYLEEQELLRENGEDMTFLGYPRKPGLKENPTSKHFTRISLEASHAALRIDKISRIIFPFTFIVFNLSYCFLVYAVTVQLDSKSFKA >PPA06011 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1015363:1016529:1 gene:PPA06011 transcript:PPA06011 gene_biotype:protein_coding transcript_biotype:protein_coding MDFANQAKVYERLSEKFNGLCTIFFPFPVVHIKDYRLIREAFIDKGDDFVDRPQLRLVQLIRSFSEDGGVINSNGEIWREQRRTAISILRDFGMGKNVMEQLVNSSITDYLKNLKEIEDHQNVNIRWPAQVMIANIINELLFGFRYTYDNCDPLIRYVEDINAILSNFAKNKLVLLGVGFPFLNKLPWIGYHTFEKHRERARYINKYIVDSVDRAMKSYKEDEGPTCFVHAYKQRMAKNDRLDDQKQSSSDANLMTTCAEFFMAGQETTTTTLRWAMLILTKHPKIQAC >PPA05944 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:715424:716395:-1 gene:PPA05944 transcript:PPA05944 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSPKKKKEAAPTTQESIEKLRDLEDQLIKKQTYLERKIQEEVATAVKHGTANKREALRALNRKRAHEKTLEQTFGTLQTIEFQRQSLENASSNVEVLKVMGAASKSLKNALGNMNEEDASFIHDVHNMMEDIAEQKELADEIGNAISSPANFAAAYDEDELLQELEALELEDLDQKYHVHDPTPLPSLPDVPIRPMAGSSKRQEQKDDLDALADWASDSQIMKM >PPA05914 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:602899:604556:-1 gene:PPA05914 transcript:PPA05914 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKRSKKEREPCPSECVACDGQATGFHYEAPSCTSCKTFFRRTVLMERVYTTCLNDGVCNTNVNPCRACRFDRCVKGGMNPLFIISIKNPEANPVVQRIFQHYSRDRSEPSTSSESADSSPREMVIIPKRVSNPPLLECTIDRIIGGLLYLENAHQQLRTSKIGPLIVHGYRLDTVIMSHSLMNVTLQKALIRHVSVMCSHLTLAFFSYDNKSEVTLHPDGTRPHNGCVPTERAHEWQLHHGIIQILRHLELDKKEYVLLKALILSRIFRALTKTYSNLNG >PPA05871 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:450424:451042:1 gene:PPA05871 transcript:PPA05871 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFIAVLNQIETLLFVLTDVAYSCAAYILDEFCVCIYCTFFCAIILIVAVNFLYRLWAVKWYNYC >PPA05893 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:514389:514754:1 gene:PPA05893 transcript:PPA05893 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVPSTVKDKDEEDLPKTVFEIVRLLINISFGSYLLHVLHCFWGYLTKAEKMQQPFRIDEARLAEMAQKMREIEQKPKRGRKESTKLPSKD >PPA05917 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:612153:613556:-1 gene:PPA05917 transcript:PPA05917 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLYKRYILVYILSNLIDAIVYNVTYVNTFGWDNSLVLFSDGYFIGPRAIGIQMIACTTPAAILALLNFPIFLVIT >PPA05885 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:493470:493876:1 gene:PPA05885 transcript:PPA05885 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGCCFNNEDGVSGVENIDRKVFGIVTYEFTELKKKWTWTEWKRPFVAVVLTFLCNVESNRSLRDIVLLRNSSS >PPA05873 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:457731:459495:-1 gene:PPA05873 transcript:PPA05873 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNAFRSVCRLRNAAVSNTSYASLASLGQLEATPPYHLSAVEQLLLKPVVSCDSYSPFSIQLGSPLYSLGSPNENASDWSLDDEKSFDSKYGIYELDSLLCEDFCDEEELEETVQAHRRVKEERVVKIIDDLTNASFHTFIDDLIATVKEEIEREQALEKAVALERVAKAKADAATVAATAAAPLTKKERIEELDGSANNRKRICSEMENVPVLRKRKRIFTLEEMALRKRNQNRRAAQRYREKLVKVRGEQLEECTELEEKNDRLREKIVDIENEIERFKQLLLSKAKEAKATIK >PPA05783 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:114804:116311:1 gene:PPA05783 transcript:PPA05783 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGKSSDFAIVESEEMFLSADLVEMEKLEKEAEESRPPLKIVWRNIFTFSSAHIAALIGLYQFFFVAKWQTVFFAIFLHFAGAMGVTAGAHRLWSHRSFKATLPARIILCIMCTLAHQNDVIEWARDHRCHHKWTDTEADPHNSRRGFFYSHVGWLLTRKSEKLKEMGAKIDISDLWNDPVLAFQRRHYLPLATLVCFILPTFIPTYFWGETPLVAFYVAGVFRYIFTLNSTFLINSAAHMFGYKPYDSSITPVESVWTNIQAAGEGGHNFHHTFPQDYRASEFGYMTNWTRAMLDGMAYLGMVYDRKTVSIDVINRQKANRGDPDHAHHHRH >PPA06010 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1011797:1014822:-1 gene:PPA06010 transcript:PPA06010 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVCVDSMVLYLDKLDKLRKRLGFDGKDPVTMIDGPEKVFLMLISVLRCMIGQLITIFGPSTSNGEGVSTLRDALAEVKKEITTDDDNAEAVEVNESDILGALNALNGQRIRKVPYIPGTSKRNGRAVTSNDAALFLPGIPHYRPLVERGRMLSKNLDFSEAQKQREEVDVAWNNCPYCGYTVLKMQGTNVRSGLYTRHIKDVHKDKWISYAKITCAAKECDYRAINFHSMKCHCYQVHSPQYDSWIEKGRFKFARDSPCPFCISKSDEFIVKDLGQFKLHIDQFHLEEMHKYAPSLACSCKKEFGGTSELFIHWVKDQCDGHPVLLQCLPLDPQLFINLSVRTSKK >PPA05814 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:253127:253849:-1 gene:PPA05814 transcript:PPA05814 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKPVFKNVCRAEIYLGHSARKEYKKIHSSNINGCKKVSYASVNTFMKSEKKKWKNRKRPYRAPLHAKIGGQCDPEDTSTTLLLMISTIQEG >PPA06079 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1311635:1312695:-1 gene:PPA06079 transcript:PPA06079 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPIRILVVSFVLVGSSLCVISDIPSTPGPLTAEKAAKKSRWPCSTGCGMGYYGLTGTGIGGYSPYVGGLGGLGGLGAMGMNPLMTGGLGGAGACMDLSPQCATYAATGQCASNPFLIRRLCPISCGTGCAGYGIGMGGMGGLGGIGTGLGTYGAYNPMLTGGVYPGAYGGGLYGNGLGVGTGIGGLGTGLYGNGLYGGGLGGVGLGRSIYETGIYRKPNADVPVTSIKAISESRKANLPVASLPALPKRFN >PPA05824 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:282416:283711:-1 gene:PPA05824 transcript:PPA05824 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVVCPPAKIIFVYHGPCIMLDDPRPCTISSHIQSVANIYAAMALTYICTSSPISFSITFYLRWKTIQGMDERKGHMSESSRKMHKMFVKALNVQIALTTFLGIGCSTFALNLFRIFEATWEEYTVVGQKASNDVKVVTMTSDCSMAPPSAVSITPTRTAFSYT >PPA05876 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:465629:468295:1 gene:PPA05876 transcript:PPA05876 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDRFSLECALLLPSVPITLMIHPHYESHDSATTVTSPTEYAFEMIQSTLRFGRGVTAEVGYDIRNLGAKHTVVVTDVNVAKTRAFKQVADSLTRLGQKFTVFEDVQVEPSDKSMKRAIDFARSGRFDSFIAVGGGSVMDTAKVRYFSKIFRYSDYYAAALYTSNPQDDFLDFIVPPFGLAKIPDRPMLPLIAIPTTAGTGSETTGVSVMDLPEHNCKTAIRLRCLKPLLAIVDPLNVMSLPRNVAIYSGFDVLCHALESYTALPYNERTPRPATPQERPLYQGSNPISDVWSLEALRVMEKYFRRSIFDPSDEEARTHMLMASSFAGVGFGNAGVHLCHGLSYPIRLGKTKQLYSSQGKKYTDPDYPQQKALIPHGLSVVTTAVADFEFTISACPDRHANAAKALGADIPISSSNEYISRKLCDQIRGFMSDFKVPNGLGALGFSSSDLPKLTDAAVSAFTKHRSLLVRRTEMQ >PPA06032 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1101567:1101908:1 gene:PPA06032 transcript:PPA06032 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIPPSGFATSLKYKTKVIRRSQRPFGICREHGLFDDSRYTQEGFNVDCLQVLLSKACGCTHPVHKVFFKDEPAGCDRRNPDEC >PPA06003 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:993775:994402:1 gene:PPA06003 transcript:PPA06003 gene_biotype:protein_coding transcript_biotype:protein_coding MYYCCGLDYDPEENPSFDEEIHLQPVNCWNRTIRSGNRSRAPSRKTTEAPRTPEIRPLPSLPESMAEWEAEMSLIEKKDEELFPSTPLIEEDVQEVKVCIEMEDLPLPSIV >PPA05841 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:330186:332595:1 gene:PPA05841 transcript:PPA05841 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLIIACILLSIAESRIDFDYSSLFDMYDFKGNYDTHIDMCEEGCRVYLTYPNIPPANLGDEYNAKFEIQVDGTDIISFHDLNHLTLANGEKGFAQIPSGKSFNVHNPNGNAAAWPLALWVVKNSARSLDHGFNIAALLDAVVVYDAWEQKHIETASSRVVLMGVMPFTARANLIGILEVVNSPKFCLELQVKNFSIVQDHLLTVKTSPFDMLGSANCEYVAQILVDITPGFSLPFNSPIVSFGFDGNQVKLTLDIQQSYFYDRDMGAFGYMASSGYIGCNNRSIVFRSDSYNEDPFSYNQTFVIDGKSKQRVSFYGDLNIDSKAPVYLENLDTNENPMSVIGKQVDQTNHWEYTMYCSKFSISWEEEWFDRTGSYMIRYDVSSDTSSSLDITTTSLHGTSSMFCSVFIFVFHLFQQH >PPA05852 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:372831:378612:-1 gene:PPA05852 transcript:PPA05852 gene_biotype:protein_coding transcript_biotype:protein_coding MCWRGLAWIRTGKVKISFVGLAVAENIFGIVFTFSQMYFMNVNFKLLVQSSQNICRFGFMHCFATNLYIWYRFAAAKTVKSKKKQVTKEQKKLAAYSSAAYASAEKKEYVYSGEEILKMKKKKDSSSTSSSSDSSVGSSEEFLKSNSTSGNGVKQFKKLYFSRIDQSSTGFQVADTASDVLTRVVDYMTTTLSPVRNTSMTSSTYLITTTLASTNSTVAEEPLVKSTVASLEFFGDFAGFLNTCLIEYSLIGAAVMFVFWTHLDPSVPQHLHEKKRGVRVDFTASLTGLYLGVVIFVLGAVICGVYSALYADCNDYAYLLFGIYELVCFTCCIIAVMAAVIFMRSLVLAGHRHGEDVDFLLLYVAFCGEVIWCSAELSRFIDVGGDGFIFAVTLVRLTHVFSQTWFILMACKLELSSCTKIAAMRGRQCVTFMLVTNVTLFFFHIYESMTEGFGYVSASSSNHTYVKLLAGPIIAFYRFHCSVCLAEVWKKTFSRPKAHSHHSTEDIHSSPTSPISPVKESDVHALIMHNGNHHGLVPALVYIVPICCEILIFAYAVIVGYEGIVPSLVYIVPIASELLIYAYALIEGYDVCTDNAAGMIDII >PPA05828 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:291604:294604:-1 gene:PPA05828 transcript:PPA05828 gene_biotype:protein_coding transcript_biotype:protein_coding MSATRVHQSEVREVPNKKSIDSGYQPSDSIDMEEMIGNNGQFRKHCSLREKYAITKAENGFHNVDNLKDIREHHTIGGVEEIPIKMSTMSQAKAVHHMVNQKSLSIDNSLDVNEMEMIEEEPSLMVENFDNQTESVLSPGENEIKEEEMEDTKVNNNEKKKMEEAAEKLVAKMMDELRQPVRQRVNVETEETEKNIKPKDNEEKRKRMGENKLEHVAQQEDCYLAPELKEIEQMEEEAKKTVYKVEYVWVNVAIQIALHIGALYGLYLAITSAKWMTNAWMVLMTLYAGNSITAGAHRMWCHKAYKANFGVRLFYLIGTTMSIQNDVIEWSRDHRVHHKWADSDADPHNINRGFFFSHMGWLMVKEMGKKVDMSDLESDPLLAFQRRHYVPLIFLSLYFLSAVPVYCWGETWTNAYFVGAILRLAVQLHGTWFINSAAHTFGYKPFDTKITAVDTFLYACLTNGEAWHNYHHTFPQDYRASEYMWKGNMSAMMIDFFAYMGWVWDRKTMSKEAIARQKMKGDHSRPIAAQRND >PPA05773 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:53440:55583:1 gene:PPA05773 transcript:PPA05773 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCFLLFLVYNPLLGRSHVNFMGKLSEILVEAGQEVVILAPTIDGSIPNAGTEKARKVIIIPPCATSIEFTEIVHDTVSANFWRTTSIAGMLHQTKKFLKTWVDQCNATLSHPGLLDSLRKEKFDVAITEPMDLCGIGIFHHLGIEKVAATLSIAAFEGAFDMIGLPSFASYVPGSMMSVGEKMTFFERVSNTISLAIGKYFFPYLFGATQRVFHEKFGSDFPGLIDIASKTSLWFYNSEPLVEFPRPILHKTIDIGGISVSDGHKKLNKTWSDIMDIRPKTVLLSFGTVAKSFLMPEEYKQTIREVFKKFPDVTFIWKYEKPEHRISEGIPNLIETTWVPQNDMLCEHHHDPRLSLFITHCGQGSATEATTAGIPLIVIPILGDQGRNAAVINRIGTGIVLEKVALEDTQILENALREALGTEKYTLKQ >PPA05957 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:755530:757722:-1 gene:PPA05957 transcript:PPA05957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mag-1 MTEGTDSNDFYVRYYVGHKGKFGHEFLEFEFRPNGQLRYANNSNYKNDTLIRKEAFVSPSVLEELKRIVEDSEIMQEDDANWPEPDKVGRQELEILLNNEHISFTTGKIGSLADVNNCKDPDGLRSFYYLVQDIKCLVFSLIGLHFKIKPI >PPA05954 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:746119:748341:-1 gene:PPA05954 transcript:PPA05954 gene_biotype:protein_coding transcript_biotype:protein_coding MGVERRVEWTNNCLPRKQSYWSRRGIKGPQGSFLLGNLKETWFASIPRVHVLREWTKQYGKIYGFREGQRQILVTSDLEMTSEILMKKFDHFPTRMPFPLHRHNDNPKTPLVDATGARWKRLRTLGSFGFTNANLKQVRETVEDSALTVVNYLEQNRGKGEINIHTVFQEYTLDIIFKVALGKKEVEMFNNKYLDLLKDIFNSRINNYMQGFGAALPFMNKLVVGLLDFLGERSNLSFVVLMQELEKTVAARKKERDAGVESKSADFIDIYLDAEVDGCMADIEGSRTMVLDEVVSQCMIMLLAGFDTTSNSLAYASHFLANHPNVQEKARKEINRVCPGETVKYDSLAQLEYTNALIRETLRHYPLASFVVARECVKATEVCGMDFEEGDMIMTDTWTMHMDKEIWGEDAEEFRPERWLIPSFPRHAFQSFGEGPRMCIGMRLAIMEEKIMLVHLLKNFRVCKSENTNPIELVGDLTVSPTKVMVRLESL >PPA06075 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1294264:1295066:-1 gene:PPA06075 transcript:PPA06075 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSDDEMEMVAMIESVSRFTRAALIVPLELLVFPATIMFIGLLAENWISFDSTEIGVCY >PPA06083 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1319548:1320518:-1 gene:PPA06083 transcript:PPA06083 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSNPDQLPDYEQYAEDNNPGGGQLSNHSPMSQDPPIESPIEKTEETAPPPSNNVTRKTTGVKKLDTVKISKEISKSIVPVLTDTLTRSIVDSINETLIQSITSIMDDSMTVFTKNAVDIRASLHQLTTTVNNLASVVHSKPAPRSSIVPSPIPIVHHRNFSDASSSSSRTYPPRGTHTIRGRSRSRSPHSNIRNRSPSRPSTSKTTPSRPRVQCTFCQSTQHMSKDCPIVISVSTRNAIMAQESRCLRCFRPLNSSHRNTCEPDLCPLGCVTPLGVPIRHCEYFCPRNPKLSA >PPA06029 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1091565:1094659:-1 gene:PPA06029 transcript:PPA06029 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSVVDSDASLAEISKLLVQFESGEQNKNQSRTNGTVDSALLRLRRAQIMLRLLSNKLSNETRIKAGYTFSELVYYSNDFTSFLHPDYGICFTYNSDQEITRPGLGQGLRLLMTVNEDSPLVSRFDFLPTTDSAAIWAVIHNENEFPDFDKNGFRVGAASQALVSLTKTDHSRLSSPYGSCTNKATDTYEEFAYSVNGCQHKCLQHLAAERCGCVDPLFRKTIDKRYCESEKEADCLLSLSFSVSSPTVISSLFDCPPACTEELFEKVVSSSDFPSANYKVATGTQQQRDTLLGDQGGGRKGDGDDNSDDYDAPPTKTSTTATATRMSSGSSTMTVNGPVSMPSASTASTESTSTMTTSGMPQVCPPEDLSAVLKSVVDITAFACRAAYPDIYSNTSFTVIQGWPCLSTKRCNSCVMAADPSTPWPCSYSDYQVCTEKNNAGSTKYSCANFFDAFDFIPAGVPVPNITGWENGTNPSSPTSCYSFASGTPSFNNCWLTHECSTLPSSSNLSTLLDQTNPLIDKNFLKTIPAGVSTCDFSKAASTAAINKLSSGGRKKRQATSVDLPGFGSCEYANKNFKSAAECIAWYRRNALLLHVFYEQLQVNSYEQGASYTLVSLISDISGHAGLWLGISVVSMVEILSLFVMIISNFVCGRNIVIDRENVEREAEKRNERESREINSARV >PPA06044 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1175948:1176255:-1 gene:PPA06044 transcript:PPA06044 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQFRSGARKLVLTTGDKYFDDNETEYFLGSNSTIIWGGEFVDDCFKLVCEDSTRVGLFYEPDLYSFYSDQELNSKCTLDE >PPA05848 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:363876:365457:1 gene:PPA05848 transcript:PPA05848 gene_biotype:protein_coding transcript_biotype:protein_coding MILGLENKVVAVVGIGNSGGDVAVELSKMAKQRFPNWLVETAAQRQLNRRFDHDLFRLKPDFGVFGAHPTVNDELPNRIAAGTVRVKPQIRRFTERGLEFDDGTKVDEVDTVVLATGYSFEFPILERGELVKVKENEVDLFQNVFPLSCEHDTLGIIGLIQPLGCILPIAEMQARVVLDVLAGRSKLPTLVDYIPYMDELAKLSYLPFDPVMAVHTLLSPATANFYRLRGPHPWYGAREAILTIEDRIVKATNSEKTCGIFSARHAYVNELLIFFGIVAYCLYRAFWH >PPA05935 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:694409:696206:-1 gene:PPA05935 transcript:PPA05935 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLDGDSYADRASLSDFTAEFRGGVLGIIETSGNIWREQRRFALHTLRDFGMAKEEMQERILNETVDLLDILEKESSSVGTVSPMKHIDQSVASVINLVIFGYRFDEQTIHELERNREIQNQIIAMSKNPLLMIPLNFPSMAKCWPFKNLKDALMKVRDEQFAFFEKNIEIHRKKIDYSNDECDDFCDAYLKEMERRKDDPETSFHEKQFVNVCIDLWSAGLDTTSMTMGWGCIYLLHNPEVVQQKLHDEYDRVIGSDRLITMADKNDLPYTNAYLNELQRFANILPQNLLRQTIKEVTINGVTIPEGTAICPQISVLMCDPEIFPDPTTFNPSRFIDDNGKFITVKQLLPFSIGKRQCPGEGLARMELFLFFSNLVHRFKISPSDPSNLPSLEKKFANVGKPNPFEVLLERRFKMST >PPA05974 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:847213:850460:1 gene:PPA05974 transcript:PPA05974 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDTYIELLLYRYPIIQIGNIILVILALIPLSIVLARLYKIALHSNCKYAVRLTILSLHGFSHVMSSTQEFLFATDRAMASSYPRRYHDGSIAVKSLLFGEALSCLALTSIHIRNRVRSISSQSLNVKYQLCAMFLAWTAVYRIISVKYAFTIAGGGYLIVSTLISLHNNKEDERDFSRGTPPQSTEQSGISVRDMYFSDLRRAETIQYWDYPVETHDVVTVDGYVLYRIKHGRNPSRVSNITSCDSKRPMTLLVHGLGLGSAQFIMNPPELSPGMILADAGFDVFMMNHRGTTYSKRLLRFTPQDEHFWKFPLYEYSNYDATATVDKVLEISGYSSLYWIGHSQGTLVGFMMLAGNPEYNTKLCNDVLFLGGGPPSPHFNQVEFV >PPA05926 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:654311:661180:1 gene:PPA05926 transcript:PPA05926 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAPLLLCIVPLVASSRFPCGSAFVPCPPSTINGHRGRVKGPIEKSQFAFDDNSINDFDEGEADPLPVDKTVIFAAIDRANLEVEYLHNVTERTAWIDTSRDDFLAWSLLFGPDEDAKKATYGTMVHEKMMRQLVDAGHPMGELMNHAPVDPMKCAKEEVIECVAGKYRSYSGHCNNVMRPNWGAAKEPMRRLLPALYDDDVSAPRSLAIDGSSLPTPSSVSSLFISHSIAPLDSPVSLLFVQWSSFVYDDIAAPIPDHIANGRSAPLPCCRTGFDHPECMAIGKNDSTCLPYTRTMPAVVDRCMMGRREQNNVQSSYLDASHLYGRSQQLRAYKDGLLAMADLSEPLNLPWTRGDVRCKNDDCFDGGNRRMNALPSSAALHTIWMRHHNQLARKLKTVNPHWSDEILYQEARRIVIAQIQHITYSSFLPILLGREAMSKYDLTPRSFGFDSGYDMKLEPTTLNEFSAAAGLFFTTLVPQLLGTVSINGTVARERSIADTFGDPSLLNFADGLEGTIRYLLHKSSPATGLRMASPLLGRALGGVDAAAWIIQMGRDHGLPNYGEWRDVCGLPTANHYEDIEFRDGVDLTRLRFHFAHPADMDLFLAGLAEKPVAGALLGPTLSCIIARQFGNMKRGDRFWYENFVFPSAFSDEQLKEIRKSSLSSILCEATGGKIGWIQLDPFMAQDPYTNAPIPCNSSNLPKLNLDVWRDVEQDKKFPITKELLHKALKMGQEQYTRLQEAEGKRITENGGILNAGRSSAFSHSSLLAPKRESLDIAVTAGVLRETTKILVSGNFSLSDAGIPPNLEMSTLQMLLPEIDLAEVIGNFKPFLTFDKSENRTNCMPQELPCDHTNKFRSASGWCNNIRFPHYGFDSPRTRAKSGRQLPSARRVSNKIHHDLPHFHTKFTHLLMQYGQILDHDMMHSPIARGPEEKILNCSRCDSFETLSIHCFPIPIDQDDPHFPAYHSDGSKRCNYNLLVNQHTPLLRCMPFTRSLLGQVKLGYRNQLNQLSSFLDASTIYGSTDCEMRKLRLFKQGKLNFTDLGFNREALPQGQQERDCRTLPSKMCFMAGDERNNEQPGLTVLHTIFMREHNRIADKLTKINIFWDDERIFQLNFQESRRIMTAKLQHITYSEWLPIVLGWETMEKYELNPLRSGYFKGELIKNPERYDAHCDASISQEMSTSAFRFGHSLVRNVFPRMNSSMHNDTIGIDLKNAFNNPFGVYERQHGHVESILMGLVGSGGMAFDRHVVSALRNHLFQKSGGPLTGLDLPAVNIQRARDHGVTDYNHYRELCGLRRAKTFFDLGDTMDGDAIRALSETYESVDDIDLFPGIMSETPKKGALLGPTLGCLIGEQMQRLKKCDRFFYESDSENIRFTEAQLAEIRQTSMAKMLCANSYWASKIQPNVFLMADELTNAPVSCSDLPDVDVTEWIDRLFCTVDHSVIPIGSTKRVTPCMSCTCTAQGTECHAMTVENCDVLMQDFPEEQIKKDSVCVIQCAEQMKKKFVRRVRASQ >PPA06111 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1476950:1477402:1 gene:PPA06111 transcript:PPA06111 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMRQNMVEVKSEPDEEEFGYEKDTTPLPSTSRQMIASPIIRHRKDVEEHKP >PPA05880 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:480345:481095:1 gene:PPA05880 transcript:PPA05880 gene_biotype:protein_coding transcript_biotype:protein_coding MIERRRTKELAFMKTCQFAVLVPHPKQENLFKEYTIKFGIVVSYYLTMKLWGNIHHKLMSSVVTCYDTDIPVDFYYPEEHVNKDIFERCANL >PPA05790 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:148417:150135:1 gene:PPA05790 transcript:PPA05790 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWLIPGAHRQSPFNFKDRTVLITGASGGLGEGLAKELYKRGARLILVARSVVKLKELCDELMKSGVGHEPVYSYLDLSAPENVEDLVRLSHNGKIDCLINNAGISIRGSVIETDLSVQRRVMEVNYFGQVTLTRALLPFIPNDGSIVVISSMMGKVSLPYRSAYTASKHALQAFFDSLRTEERFGLHILVVSAGYIATDLGNNALDPSGKPTGITGPETASGYTVEEAAIKIADATERREADYMMAPFGLRIGVLLRYLWPNLYFYMVWKRQMWQMEAAKKL >PPA05938 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:701868:704279:1 gene:PPA05938 transcript:PPA05938 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRTRKLTSFSRGKPPVKPWPIVKEPKRTQRVLHFSDIHIDRGYTEGSEVDCKDSLCCRNYPSEDASPIKRPAGKWGSLGNCDLPFGTFEAAMRHISQTHKDIDYILITGDLEAHDEWEYSQEKTKADFANITQVLNNYFPTTPVYQSTGNHDTVPADAMAAHNMEEYDTRGPAWLYNIFADNWGQWISPEAVKTVHYRGSFADYPPLTPGLKLISINTIYCSHHNFYIYINQTDPDETLSWLVSELLQSEVKGEKVFYEDSNPNGRPTHFNFITPSMTTLSSKNPAYRIYTIDGGYEGASYTVLDAETYSTDINEANTNGHEPEWFLEYSAKDAYNLPDLSPASWSNLIDRLAVDDDLFQKFYNYFSRTSHNLDCVNDPVCRQGNICPLRVAKSYDENLFCP >PPA06094 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1365561:1367136:-1 gene:PPA06094 transcript:PPA06094 gene_biotype:protein_coding transcript_biotype:protein_coding MVELSTSLAAAIVFPRIIPLGLGAAAGVINGPIKLVTNNLSISWIFYTIELHGTLQYNVFMSVCYCYRYYVLHRKPPNVFETRAFAVTVFLISFALYVLFGMSRASSEELSMYINHYVNEYDIDIKETFGVVNVLGSLATPAIVWTVLTACFLSVVNIFVGRAIYRSLEYRSEHISHRTRAFHKQFFVTSELAIATSPVITLIFVKPYQRALLSLFRRKNKSIYCILTHWFVVVAQLDIDSPESTN >PPA05996 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:974292:976801:1 gene:PPA05996 transcript:PPA05996 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGASNTVRTINLDRRKDSKYRETTKSIGERFRLRKHSTGEMLEENASSSNAKQKKIYDLSKSLENALLTINKLNKRLSVLEHEKDELHEEVTWLRKFGCRPEQSTASRQSSVVSIEAGDDAIRLQLRQTIHELKLARLDTMKWKEERDTFANQCTQKEAKIAVLERDAQLARQRINSLEELVRRQLGELSLGDVVFNSDSASITSENKKSQEDVIEQSDTASSRVSLLSSTIDRPPSRGGPVRVVSAGRCRRDSTPKPDKITEDIDHLLGDLPSGLTLEPETATFDELKEKAILDESTKRYRQGSPRYYRSPSLTTDPPKHLPPLQKYQNKNVISLPRTNDKRPLTAAPMVERRTLLGRNASVPYMESRDESVEEYR >PPA06071 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1273584:1274331:1 gene:PPA06071 transcript:PPA06071 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPIFSIEVSVPPSSQSPPDTPREPVTARRSTTPYTRRSDWGEWFNRPRTMGMDLEVLAIVYTIMSIALSTAFLIARLKTFSELFSIDIALLFILLVTGLLAMIGIDRRMKTLILPFLISAALFTLFFVAFWFTQVISTFKNPVSDMFALLFILYIIGHGFRVIIAVRTQIVNEKKQDQYVKF >PPA06019 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1047859:1048310:1 gene:PPA06019 transcript:PPA06019 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKIAVPATVWTVPAFIIFSIYLAIPEGVCDFVKYFSVALFDLHISMYILGLMQRLCTCDKKMIRGMRRIPFVGKRLGRKTRPDRSKSTDSRNEQDDGEFYFAQLAGDLAGPKTVKGGVT >PPA06023 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1062655:1064723:1 gene:PPA06023 transcript:PPA06023 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSYRKKTLPRDILKRSKAAREEFDGKMLKLWMIHHCAIFPFTGEMLQHILESKEEINKMDVYDYYEAWLGRGLLLAGGDRWRGKRKMLTPSFHFTQLLGYIDTINVHAKMLADVLENHCNKEFDVYPYLKRFTLDVICDTAMGTHLDSLHQQDRPYVRAIAKLMWLGFEASFHPFLWNRFGSRESTKLRMGLAFRWVTGWQKEYDTNVKIAHDLTMEVIAERMEMITRGEVNANKKAFLDLLLLEKERNNLSMEDIRQEVDTFMFAG >PPA05770 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:38083:40568:1 gene:PPA05770 transcript:PPA05770 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGKDSFEAVRDMHYMWYVHSVKALLVQVAKGVVPQLDKDSERDFLLCLHRIPMKTDIKADHRRVKRSEYRLVERPGTKSDKRNFVKKLARMPNLHSAEKTPIQRITQAISKIVRSTSETDDKESGWSETYKNILKLKKQMDGQHKSVGARVYDLPMEELVFNKKLNDSSSSPMRSVNMPPLVEQAFSLADSIRSHSSKIKADPNYKMLSPRFAPVLPDKYEGRGLLSPSILSFYKASHDDSEDQIVPLPSLLEATGMQKKDRDSLLEMIMEVSGARRTVDEAMQTLKKMNLFGVEGAFLEATKKIQESFKDIEKSFTRRQKYQMKKRQFTFLDKNQLVEVHSKQGMKDGQMLDFNLDEYSSLSHKQREVALWKRIETFAANKTEEVSK >PPA06102 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1449670:1450273:1 gene:PPA06102 transcript:PPA06102 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLAVSFFIIFLMIPEMAFVHPTDEPSRYIEPSVALCLLVSFLIGTADACVMTARTVISAVAMPERRDQVFAVSKLYQSLSSAVMFFTAPAMTVSSFIFLLGGSCIVGTGAYFYVVSMTNCDKAPQVNSSAEITQPSKGRNED >PPA05995 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:971103:972513:-1 gene:PPA05995 transcript:PPA05995 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSAALALLLTSLVFSTVSARFFTLRLSLPSSYDHSSFSLCINQIGSSECSLFSSTQIPLDGSTIEMEFVSNTTRSSIQQHISFTSSIISSNFSNPLRHHSSHRLSINQVKILRIAPGLSVNASLHCQHNYFGAACSIRCVSTDRINCDRSGRPSCANGWTGEGCATRISSTVVSSIVPSTTVTPSATSSSEVVIVETRPLPDHTQLLIVLCMVLTVMTCVVVLYSMYQMSSVVSTRAAPDRLTTHLTTLDSTMENDDSSELFKAACNHVQSMQDLMVRSDSDSVLDSSFVSALDEKTYVEMYP >PPA05810 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:242144:242678:1 gene:PPA05810 transcript:PPA05810 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHLYIGTINARTLASRDKQTELELALDNIKCDVLAVQEARIVGCASFNFTSSGTLVFHSGGPTATHGVAFLLRPHLAGGAVFRGLSPRLATLLLPNQRLFLVCAYAPTSSYDDKEYDDFMDQVEAALRSAPRGHTPVLSRGNLAMKDLSVNPLLPPRTHVGGPSLKSA >PPA06115 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1497036:1497359:1 gene:PPA06115 transcript:PPA06115 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVLPNVAGFTVFVFGWSGSAVYPVILLILSTNSIALSMVVLGTTPIYRIIIRKAIFGGLRWKQTQTISMHASTDFDK >PPA06065 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1250253:1251315:1 gene:PPA06065 transcript:PPA06065 gene_biotype:protein_coding transcript_biotype:protein_coding MIESIIELFFIYAYVFGQMVFKDLIFGEEFALNTGYIYPTFAYYGTYYYILHVQVWGSVILSISRYVTVCRPLSKAENLFDRVRTPVLWMINTIVPLLLMGRMLFQGSVYYYCAPSGQVSQYTPLYIVKTNSAQGMIVSVIGSGVCAVCYFLVIRRLASTQKHIKGGLRDYRREKMLTIVGFAIFIALCISTLFYVLICINAANENAVAVNLIRTYYIYALMALTFVNPWMLIITNKNTRRRYNNALSRFKCEHADYGLYGAIV >PPA06078 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1309160:1310798:1 gene:PPA06078 transcript:PPA06078 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVFLLVAQMFGVAMIAVERFFGTFDILSFLHEASDMDDSVFSLLERLPRVIWIIIKCALPVACIYPLIEAESPFYYYDDTVEMIALYTMHHGKIQTHTIGPAIVMSCAVTALCYAVVIVRDLMVCYQAKRTHRHRMEDDERMTSLGFLLLFPQIAGSIFAIMGRRASDEGDLEQALWVKGHIIYVQYAMAALSPLLLLLSRRARFLLEPTETEKVFCSLADHQFLLRNLL >PPA05784 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:121892:123047:-1 gene:PPA05784 transcript:PPA05784 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKIRTFRARLAPLKESCTILKQLNSILLAKKIDYRVSQRDNLLKFVSQLHWCMGADRFKGFVSYGFYKGGFTTTKPAPFESPKDYMFGSGSMAACDNCSSLSCTKCPRCEKPHCFDCAR >PPA05928 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:665340:669148:1 gene:PPA05928 transcript:PPA05928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-bcc-1 MNEDDDPENGEKLQVDRKRLEAMITGQPVYGTDIVLPNAVDFFDKVESLSGATILWPNQLKIGAKTKKDPFVKILGSPEQVESARQLISATLQRRRDRVTLKILLPHESHSHIIGRGGRGSKRIMQETACHIHFPDSNRYNSLDPLEEATKSDQVSITGSPQQVERAREILRAECLIVVSFEIPWFSPAPPPMPTLLPSVTAAVIPISSMWLVSLRAPEKDVLAMQESVEIVVAALEYHVGQRWNPDLRYDMRLPWMKGPRTDIISRTHELSRFENVRAEFAHDCRSLFLLGTLRGIMRIRAFITSHTPVALSFDCRTDGVPTDEAAIEAAFDVEMTTKKKNGAQNVDHTVVILRTVEDYTESLYLARERVLGLEETKRREDAPTYSNNYFSPEDQLLKSIAEASSDAMALPKTSANLLVSSGNGFGASCNDAFRSPDPDDSPIAHSLLKSAKELNKNSELWKEDRIESVPEEEKQLTRQEMMLKANRDGLQRMNMSQSSSLAVLDASFHHVRYPTDLWSGYGFSASLPAELIKAALDQQELNRVADENVEDEEELFRNSFKDSDEYAKHAARKMFSPSSGGLASVLEDEELDSNAYNCSTLDFSRKQRIPSIKKKQPPPLSFAASTSLFEASPHSMSSDLPWDIDVFVDPAMVLAQIGCSEYLPQFRAQEMDMRALLLSDEQSLKEIGVTTVGARKKIAHAILKLRESARAKGYDI >PPA05807 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:233321:235090:1 gene:PPA05807 transcript:PPA05807 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKYKDYDEMRKGNRTIMKFFLAFIAISSVLICHATAQCSATDNAQCTNWVKNGFCQNSYYSSEQKKKFCGNACGLCSTGGSACVDANINCAKWSAAGKCSVAAVKSIYCCLSCKTAGPGTGAGGTTVTTTAATTTTTTKAP >PPA06035 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1142821:1145471:1 gene:PPA06035 transcript:PPA06035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dcap-1 MTTRKADPINEAKNLMIIQRIDICAEAILDKATHTALYKFNTSARAWEKTEIDGAMFIYKRADHPIYSLMIANRQSPEDLIEPILPKIKVKMDKPYLFFCKARITPPPKAVMDNTSTGSNGFLNMLMSGGATITSSTKHQPSPLSPSIPTLTKNSTQSAQVKPVKPSAQLPAQQTSYVKPQQQQQQQQQSAPVLPPPPAVPSMLQKLMSEQLPPSLGSASNVNGPIMSAADLERDLVRQSRVSELQLQGMNPSASAASLAAYSNQSVHGSDAGDIAELESMAGALSIGIDTGDGGTRGPLSPTGSNRTTHGLDKHQLAMGLVQLLQTDDEFLAKVHQAYVEALNRRIGA >PPA05892 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:510047:513237:1 gene:PPA05892 transcript:PPA05892 gene_biotype:protein_coding transcript_biotype:protein_coding MLGALLAGAVAAVLAAQTVEKEYSPLLDQYSAPDNPGIVLRVMPTGLAYMRELGIKVVNEQMLKMALPTIRERIDTGEVAIHNAYISKYWAPPEYSLELIEPNMFSWTMSKMHIRAAGNFEAQLNNPLLLPSVPIHGTFETLLGHVSLQISVRLSKTDQGAPRVQTAYCKASVGYVDLNVRNTGVITDLFINGFKSFLISQYKPMVEHKMCNMIEQMIDNDMNLMISSMPLKVGLNDDALDVLAATFQSPSRGRQRRSKLPSANNATLLNIVQNLRQQELIMDYALTADPFISYGSIAMAAKGEISWRGQGGTPFNPPTIKLPPPHGVHMIEFYATDYIANSMLYHAYRQRLLDLVIGPESTPALKDLLHTTCTSGFCLGEFLGGLGEQYPDRIVEIRFTSRRAPLIVFVEDRARFRLHGRMNMFLRPKQKGGQPEMIIRADTTMTSNVKLWLNDSHVVGNATIENLDFRLLESRVNDVDQSVFGDLGLFGAEFLEQLLTEILQLGITLPTMKGIVLKSPKITLHERFLKVSTYFKMDESFITSIVQGTIRQTLSSGSGQRRGGFRRRRETPLLELNPRPE >PPA06062 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1237935:1239646:-1 gene:PPA06062 transcript:PPA06062 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPGAGSSSANGTPSPTFCLFPSNLFSATPGLSASPLLQSDSSSSSMITPPPSYQAALLGSLKVPELDQLAELAALLNSAAATSAAASLLAQASLIPPPLPTTQPPRDDLMPLDLSIKQSHPSALLAPAHLLQRQTNGACSSSTSSSTIPSVRPSVILDGTNLRRSSSSITASSRPVPDVNEHFRRSLSGKWPRRVPSSLDDRRPISTPFRRPPSTQSSSAASRSSPAPSCNNNNTIVITTNSNETIEDYFRRALGGEEFEEWKRSREEIEDRKRREREGQRF >PPA06087 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1343937:1346338:-1 gene:PPA06087 transcript:PPA06087 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTTTVISPPQPKTKKELLEKRKEIIGSTCQIFYKDDPLLVSRASMQYLYDETGRKYLDCISNVQHVGHCHPTVVSSISNQLANSTCNVRFVSPVLTECAEQILATLPGMDTVIFVNSGSEANDLALRLSRDYTKHFDAIVVDHAYHGHLTTTMQMSPYKFDHGSSIVQPEWVHVAPCPDVYRGKHRLPDAKLKDEAALAEKSVQYSDEVKNIIQKAESSGRGIAMFISEALQSCGGQVLPPAGYFQDVARHVSAHGGLVVIDEVQTGYGRIGSKFWAHQMYEDGFIPDIVTMGKPMGNGFPVSAVVTRRAITDKLGGAVGYFNTYGGNPVACSAVLGVLQVIREENLLEHSQKMGEIFKVELAKIKADHSEVVGDVRGVGLFWGLDLVKNKETREPNVELAAQLILKARQECGVLLSADGPHSNIIKMKPPLCFNEENLHEASLSYHSLSSISE >PPA05949 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:730567:732414:1 gene:PPA05949 transcript:PPA05949 gene_biotype:protein_coding transcript_biotype:protein_coding MYHLSVLFSSILVLVNSALFKDYGIPGWACEPQVMKRSAQVPSSVHSLRFADIDIIGALGDSLTAGNGAGALNQNVQAVLQTENRGLVFSVGGDSDLNQHVSLANILKKFNPKLYGFSLGSGPVYDFGSAQFNAAVPGAKAINLTSQAIDLVDIAKSWKLVHIFIGGNDACDWCANPQDETADLFERRIIDAIKILKENLPRTIVVITGMMDITILRDLGDKNEMCSVMHDKLCPCVQNKNFTTEQLRGLCKDYIVNGSVDLSLFAPDCFHFSAYGHAVVGKNLWNNLLQTIPHKECPFIRTTKNSFNCGKYWTSVSQRR >PPA05967 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:805743:806257:-1 gene:PPA05967 transcript:PPA05967 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLEPGLLPSLKTSENIKVFDEGVTARGDVEYFRLDQDIIVREQPFRQLWTIKVRGHVRHDVRQDKYDLLGEIVIISNTLQECTTQRISDFCQLCTVHGSNLPLRKEESEYEGDQGLISAVTHA >PPA05983 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:878713:881222:1 gene:PPA05983 transcript:PPA05983 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQNFDVIVVGAGIIGSTTAYHLQKAGKKTLLIEQFTLGHSNGSSHGKSRITKHAHGDAVYVALVTDATAQIRELEKKRGEQLIVVSETGGIIYGSTEFMLKNKNTLAQFGKESELLTAYEARKRYPLYHYDEYSALVDPLAGVILANKWLAAFQDEYRKAGGAISEHTLVKNFTEENDSILLNTCKGDFRAPKAVFTLGSWINKLLPDLPVKGQTQILSVCYWAPKDPTDLPLVKPEKYPVHLVYQPDGRCFFSMGSVDVEGAVKFMLHGGQNHYDTDVPAGDIHEARTMFIQKFVEDVRAHIAKNVPFLDTVNGPVKVERCKYTMSPDEHYVIDYLPGSKNIQVAGCMSGAGFKNSPGVGRAVAQQANRKWMTVRKYCENIEKNL >PPA05906 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:577315:580490:-1 gene:PPA05906 transcript:PPA05906 gene_biotype:protein_coding transcript_biotype:protein_coding MITLNDINLDILFDPIPLFPACAGYCTGILCRIGLPMRYCLAVATLVLVDTGVSIIACFVHRHQTIVGEDSIFKFKEAFDSLPIHTMFSPKSVSAALATHFGNALVSPAPSPLTDEERRMFSRLKILLTEKEQGLIESEEEDEEALVQESGDSDSDYDAEEDEKPEQRSRKPWTKELCDKMLEFYRSKDLEDGRRGASQSFKRMQNRFRAHMKTEYDLTLLRKYEKTGVIPSERYSAMRQLASDVRAKLGEKMKKGVPIHDTDIRKIALDLNKLNAASGNFKIDELRIKFLAVVRKNPGIVIINADQTGQVKEMHSTRTLAEEGSKDVVVEIESKSATTHSVTVLPTIYLDGRQHPIVYVHLGEPTGSLPAKKAVYGNKNLVIGASKSHIMNREAAARYFKEGLVPKGHKVTILNIPKGGTSLAQPLDLCYNQQWKCVMRRLNDAILVHDIDFVLHTRDNLLRCISQVYWAFGAPMFKEYRKYGWYRGGFLTTHPAPFVTPPKYMFGEGSEADCPCSEPGLIRCPYCKKAHCFSCFVINSHRCA >PPA06056 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1204419:1205333:1 gene:PPA06056 transcript:PPA06056 gene_biotype:protein_coding transcript_biotype:protein_coding MIDCLKMFAGEYIRFASSVFPEYAALSLDDQEGIGLLNEEVQAYNVAGLLNADAPFLQIVMQIPL >PPA05889 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:500165:501648:1 gene:PPA05889 transcript:PPA05889 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTNERRKRVCVVGAGAAGLPSIRHALLYGFEVVCYEGQGDIGGLWRYKPEETDESSVMKSTVINTSKELTAYSDFPPKAEEANFMHNRHMCQYLVDYADNFKLTEYINLWHKVQNVERASDYSKTGRWNVTVNDLKSGTTFTENFDGVLMCTGHHTLPHWPQPWKGQKEFKGQIIHAHSYKDHKGLEDKVVAVIGVGNSGGDVAVELSKIAKQGSPNVSPENQNRHSLLVARLLV >PPA06068 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1254915:1259567:-1 gene:PPA06068 transcript:PPA06068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:H3E8M8] MNYSLNISLLIYIFLLFFDVVQGRNLTVGMLFAYNDTSLTLYVGYKKHAAAALVAYKRIEREQLLPDITNVDFVWTADECVDAASVRALMDMQGTVDVILGPACGSPAIYAGTAASYYDFPIILWGPPYDASLESNFDFPTVMSTSMSARPRAVTIVALLQKFGWKDISFLYTTDRNPLVGRCENVFNALSDYLNQIPDINIAYYRKIQNNSVANLQSRLNLAAAVSRVMIVCFESPVTRRNFFLAAHDNGYDSNEFACFTYIFIEEQSTGFQTFSSAAGINNMWVDYSTTPDGRDRDALSAARRALVSVSRVAQFHDAMYLYALARNKTVQARPSMAVNMSGSTLLTYSMGVSFAGQSGIVKINSNGTRDPSFVVYTLTSTDTNQIMLQIDTNLQQGQAYRTTKITELFTSESQMWVARGGVRPLNQPKCGFGNDQCPLSFQDQYLAFVIAAVALFVVIVVLLVLVFCWVIRSRQREETRQNLVWQITFGSLIRPSQKVTIENRKKSHTASRYSLQSSLTTSTRMTMDSKKETDLHAFFFLGNDAVVARKHQTRHYLSKKETLEMRQLHGIDHDNVNKFVGICEDGPQFMTIWRYCGRGSLRDVIEKGTLQMDWFFKFSIMRDISEYSVLKGLHYLHHSMLGAHGWLTSGTCLVDERWQLKITFHGCKYIKATEMKTVKNLLWTAPELIREVDPLGTKAGDIYSFAIICSEIVTRKSAWNVEESHLDIDELIYKLKRGGKNPPRPDLSSDADSEVNSSMLLLIKDCWAEDPTARPNSDQIRALVKSINHGRHVDSQKNISANLMDHVFNVLEQYASNLEEEVESRMKELVEEKKKSDILLYRMLPRQVAEKLKLGQAVEPEGFDCVTIFFSDVVSFTTLASRSTPIQVVNLLNDLYSTFDAIIDEHDVYKNPQHACQVETIGDGYLCVSGLPHRNGNEHAKEVAEMSKALLNAIRCFKIPHLPTDKIQIRIGMHTGPSVAGVVGITMPRYCLFGDSVNTAARMESNGKPMRIHISAETNHFLTEVIGGYRTELRGEVIIKGKGTIETYWLLEDDQEPGASY >PPA05987 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:892175:895018:-1 gene:PPA05987 transcript:PPA05987 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGVCDIARLSVDGLCPSLEMEKEQEQAWESPSDRPAPIRGMYRQSSAHAEPRQFAKRQSSVDVVKDWWRRRSSSIKYDMENGRKMTWVEAYTGRIAKPAHAYLRWNLVFTFIILLMLTSAFWLPFIPVVGSASLEICLAVLVILHLLWLAGMINAMRYTHRLIKHKKRRAQRDVEAPKKDHLRHLVGICLYKEPIQLMIDTVESIAVQPMAKEKISVVVGMEAGTPDRVEKELELMRVFAKRFDRFMVTTHPKGLPGDIAGKCSNINYAMRTAVKVLREDKNYPQFDKGEPTQLLITTGDCDSIFGEGYFEALEEDYEKTPEQRRDHTVWQSPLFYAINLHNSPFFVRVTGLLRAFFMMGYLIPWNINTMSIFSLTLELYEAGGYTHPGYQMEDIIALIRWSLAVRRQCTIKVIPVATLSGPTSGHSYVNEWYEWARQIRRWTIGAAEVFHYFAVKARSLPFFSALGFSAKFIFYYGFLLCIGQIYGILAPIVTSLMMPLSMNGSSDGLLVSSQLFTYITLGFLGLSYLEMAGVFIVNRVAQQTFPGGRRDDTPLWRSFFHWIGSVPTILMYCLVELFAFLEVTINLSLSPI >PPA05793 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:163587:168301:-1 gene:PPA05793 transcript:PPA05793 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAAAPTKKATKAKTDKKPASHPTYSAMIKAAIKADASRTGTSRQTIANYIAANYKLGGNKAATDSNRVDHVHKRIPRFSEV >PPA05868 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:438614:439296:1 gene:PPA05868 transcript:PPA05868 gene_biotype:protein_coding transcript_biotype:protein_coding MSCDRGIPRFVAGYIPAFAIMILFAYNYTDSVQLREDILRAIPQYDFTNYRIIGQFNNFESLGLLNYIFISFFPLIVLVAAIEFRIMTQNRLDHAPGSISSHKNMLNLLTYQLVHPLAMVIGNIFYFIAMIFDVHVPLLEYMTIGVRICYCYWMAFG >PPA05817 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:263544:264425:-1 gene:PPA05817 transcript:PPA05817 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQGALFAVFISLIHADDDTAFIKTVLTGMCRRIASVKRADIVIGTGLEKGSSESVAIPILASMTHVLFDLYDESKQSGESLNKFVAKCDHTVALAISSSQFSVVKKTEQDAHYDKYINQLQKVLMNYLTILIGILSFPICVETQKLKHGEVSKIASELTENFGASLQLKDDEMRVNTERMLHMMLIRYELAKGEKISDDSL >PPA05796 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:175577:176442:-1 gene:PPA05796 transcript:PPA05796 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKFVLPKLGSPFSNRHKALFSNLKPLVLGLIVSQSAVHLPSTYFFVIAQEIDPDFQLQLYTVAYALPAPLLLVMSDRLRAHLWLLIWRMVERKKEAVRKATASDGNNVLPNPGQQLGYSKLEMENSEIEERSLSK >PPA05988 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:936324:939570:-1 gene:PPA05988 transcript:PPA05988 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEGITTMGGVVNTISDEITTIKEDGDIIIIMFHAEEVVEEEDGEEEEGEEVVVEEDGEEDEAGEDGMEEWTITIIIMIHPLPIIVTIHRTDTTETDGIDGDGDGNSSAIGRTIGSGDNGDGIASGEEDLHYFREFRSGEKNAKMNSTELPQEVQEAIVIFHHISGVLFFLVNSIVIFLILIYVDSRGRAYRKYLLPLQASSTLLDLFGNGYSPIIQVVLLPGSRFCYRGWRRVLIFLSLQECTCVICTIKML >PPA05958 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:758008:760012:-1 gene:PPA05958 transcript:PPA05958 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQSAAEGILETHVTWEEAENKLQEALNTKAKFGKNKSVVHVGEGNGFLSRIGLITCDWDGAREDEKLPKQFALKMASCMAAKKMEEVTPEHMRLDEETTRQMWNFFEIFLKETHNAEVKAYNFLRKFEDSVAVPHCFYTVPFSEENKLAGSIALEYLDNTRISHVYQTLSVAQVKQVARELGVMQGLSVLHRVENEEWLSQRDVYTAFWKNFTTDVLVQMFGPLKDMDPSMVESVDAVIELVPEYYGSNFATTIHTQYGVKPVLVNGDLWSANVLIDKENDQIRALIDWQLVHHGTGVEDLLRIAFSGMTSTDRRAHMDELVELMYDAMEETLEGAPAPYTREQNRDLYELLLPHAGFFFAPVAMPLFLTTMADPTLSDEDKAQKKTVVMDKVRGICEDIVVFHKRNEHKKKFEWKSADFAPNIPNMTAAAPKSD >PPA05980 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:873475:874195:1 gene:PPA05980 transcript:PPA05980 gene_biotype:protein_coding transcript_biotype:protein_coding MCYAVGMKKEIILNNIERRKTSIAQKSVVKLEMKVEEDDMKQIVLYNPNLPEIVIDREMPGWPLEYTKGYTDADGSFEENIKKRIVAGLDTEKFTGNRKSCHSTSSIG >PPA05942 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:710634:711715:-1 gene:PPA05942 transcript:PPA05942 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQQPRANSRSGNWMPIAEGSSDIPRGLEYLTLIDHILVYYAFEESDDCARQCCGSERGFVIHITDNTGREVMRVTREYQECAGCCAGACAEPGGAYSHQVKVEAPPGNTVHAQKNLIGIVSQCQSTTMYSYEIADRNRKIYYTVDLRSNVSQPVLKIDSPGLMDMTFASSKAFKVCTKSGTQIGEIRKKWGGFLKEIFSDADTFGFLWISPFM >PPA06052 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1193133:1194630:1 gene:PPA06052 transcript:PPA06052 gene_biotype:protein_coding transcript_biotype:protein_coding MIIWNVLQLIGGGSSNEAELIAMLRDLLRSPSMMKDLVPFVIGLQSTENRTKMSWNVEDLFLWIAYEEKTLDLKTDLYKWNDVVLGNCFTFNHFNNTDRSYLMRSDGAQGGLKAALKLNSEEYVPWTETTAIMTFIHPNTETIFSESPRYNSEPSAMTTIQSRESRFSRMGGRYGKCVKSGKEVASYYYEGSYTTDPNKCNTYTSIQRINNTRCDDLDGEMDYVIINVQVPRIVINIFEETPAWTLNRIIGNIGGLGGVVCGLNLITFFEFGFFFFFQLPMTLLFNKFI >PPA05777 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:64157:68750:-1 gene:PPA05777 transcript:PPA05777 gene_biotype:protein_coding transcript_biotype:protein_coding MYLITVTLCLLPLGPLCVMIMGLMGKYSAGPMKRQMDFAASAAAIVEESIMNVRTVASCNGQSDMVKKYTSALSTGTSLGARVSLINGFFEGAFFFSIYAVALVEVIVGVIDAHSGGASKGSVVIAFACVTLASFYMGTVGPHMMSLHKSRIAAAVIYKTVDDSAPSLTVGRTGMNFRGDIVIDGVRFKYATRDGEVLKAIGVVNQEPYLFNGTIRENITLGRKWNGSESEEARLATVMNIEHADGFVSKFEKNQRIAIARAILMNPSILILDEATSALDVQSESLVQEALADAQSGRTTIVIAHRLSTLKNADVIYVLNEGIVAEEGSHDSLIEKGGIYARMVERQSLFSDVKTIQNSNSPEEKLPVQELQIGTQEYSVAETSTEKKVKWSSFARIYTHGFNGQMALAFLFSILRGLEMTCYVVIAAYIYAALGTGWPNGRFRVVLHSLFSCLAPSIVYLTLLIVLFYLMTRIMEREARDDHSSEIALEIFTQARTIQVMTVEEYFERKYDNAQQSIAVIQAIANALNMSAIFVFGAISFATGAKYVIGISIEFCGCCLSIVNPTFPDLVRANAAARILYSYFDLPGLADTGSEKCELNGSLSVQNLQFAYPTRPEHEVLRALSISASPGESIALVGPSGCGKSTLFALLKRFYEQRKGDILMDDLDHRQISLSHLRSEIALVGQEPVLFAGTIKENILLGFDGTIDDVRNACKIANISRYIEDLPEGYDTLISSMGRSFSGGQKQRIAIARTLVRNPKILLLDEATSALDGESERLVQDALANASSDRTSLSIAHRLSTIKGTREELSRVNGKYAAYEKAQSLE >PPA05839 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:323179:327810:-1 gene:PPA05839 transcript:PPA05839 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSQIYENPLKNIPEEWGNAFYMGAHGYVTGRVAVGWFASAHSHSFVVLVFHFLFRLLAVKGFSVLYFLFDADRFVIEYMEPILKEHTIGNSLAIDQYSIAVFWTNGTFIGPRWKPIFGLLVLASTMSCGYGFMVYAAYTIGWHMKRNSPGRSKKTSELQNELLRALMYQNSEYNSLLITALTYFLCSVEFSILQVGEWPYMHEIDENATSSFFGIASSLSEAAHGIGAILFAIMAHKMGDIKPPLLAGRIITLIGCILYLSIEFFPYNKRYVQLTVYILFGFGFSTSPLLRALIVTQSSVANRVVAFAFLGAAFRLSFLTGAIAQLSISGLEYPGYEILPNLKVHIYTVPIWLALFTNIIVILVILFKLDVRKKEVEVSSFSISWFRREFAKLRQQNLPWLLIGVIIIERCLSGSIILFNSVTTGPVMTAIYGKSGEETVVVKAIMQICQGIMATISTRAIFLCAAVFVVCTAIISFPYPNPDSPIALYNETTRTGCDPEEYDWCFTNTATPFWLFTIPIATTFGLALPCAFLSTDTIYSRLLGDIDQSIMQAVLMIIDDVSDVLIRLGAAAAFTSFGYPAISIAIGTIFIGAIIIWLFAWKQIRPYI >PPA05890 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:502937:505039:1 gene:PPA05890 transcript:PPA05890 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKYGRKSSVMFRLRKLVYLVTRRGTWVFNRIFDYGRPVDCALNTRFFEFFRTRLPLWFTNGVLQWQLNRRFDHELYRLKPAHGVFGAHPTVNDELPNRIAAGTIRIKPQITQFTEKGLEFEDGTKVDEVDTVIVATGYSFEFPILERGELVTVKDNQVDLFEYIFPLSCEHDTLGIIGLIQPYGSIMPIAEMQARVVLDVLAGRSKLPTKEERLALVEEKHNEMDGRYVKSRRHTIQVDYIPYMDELAKYIGCVPPYWFSYLPFDPLMAIHALIAPTTSYFYRIRGPHAWNGARKAILSIEDRVAKATNPDKKGTIFTLKHAGVTEILSKC >PPA05769 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:28225:30805:1 gene:PPA05769 transcript:PPA05769 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTPASQNAAFMQKWRSTLNDEFIKSPCTPIPIPEDTLIERILGNLNVHSSKFPEKTAVIEALDQNRSLTYKQIHDRTLSFAAFLTSRGFVLGDRVTAALPNSIEWPVLHLGTWAAGGTVIGSSAAFKLYETVYQLQDSASSVVVTTEQLLDTFLEAAKQCPTVKTIICVRSTNNPLPEGVIDFEETLKCKPLEKIAPVTPETVSIIYYSSGTTGQPKGVVLTHKAIHCQVEMLRSHWLHEIYPVLGMNEVDWYQESQIITSACYHILGFALVNLFLVTGSPMVLMKAFNGDVYLDVIQKIKPKNLFVAPPIFAYLVKEIKHKKGALDSVQLICCASAPLSKELSDEFLSCHPNVKYIVQGYGMTEVSSFSHVPLLVEEGVNASAGIPGSYFEQKIMDPDTHQSVKQGERGEVCVRGAPQTIGYLNKPEATRELIDADGWIHSGDIGYVDERGFVYIVDRLKDLIKVNYMTQSLQVPPAELEGILLSYHRIRDAAIVGIPDDLRGELVRAYVVKSDEKLTEKEVEQLISDLSAVPDKLAEFKRLTGGVVFVNEIPRSNIGKILRRVLREMH >PPA05925 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:653144:653364:1 gene:PPA05925 transcript:PPA05925 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVFTIVVFLTILALADSLQCYVGRVSLHRSGNREKDVTPVLSKCNPDAKCCKSEM >PPA05903 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:558120:561516:1 gene:PPA05903 transcript:PPA05903 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDGGLWKFYTEDSTGLKIGPVPAVDPSTVFEAHSIIPDLVTGKVAHDVTLELLKSIADEGTRWRLGASPQLLGIRKKRMGHDCSPVLYAAANGDFKQVCKLDVSSAQQSTTSSARQVFQSIDKRGTPCQSGHSIEMKTYSDDDLIALDLVREPLCGFPSLGVGPMSTMATAAAAKHAGGDYKPNADEEFKAIARASVKYVMALAMRTEDIELEKELLEWMKIALQNVKCTKIIDGIQFMEHTPRGLCAAAEYRNPSIIDPENTLALADLNLFFDLGFLMSIYGSEFHDTTVKFTLEAQLERNGRPVESPRFASIVQSHYTRLNSPPTPYAHLLEALTRGNTVEEERVGVHLLAM >PPA06064 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1247557:1248800:-1 gene:PPA06064 transcript:PPA06064 gene_biotype:protein_coding transcript_biotype:protein_coding MECAHVFEYQEHIQESFTKVFNAPLTPNGMSVFWSVTIAAQGLGALIGCGFVVPLSSWLGAKKVLTGVNNYLLLASSCCFIISYMLNLAPFLIIGRLFSGAYTGIGCAMLPLFVQEVAPKQIKGSLLCFMHIAVCLGSSASAVISLPFMLGGERTWWIHLALPALFGVAQLVTGGLIPDTPNHLIQNGKYTAALRAIEYDSHSSFFPNLLNFYYDINPENEDEAIRQYWERVPQKFPDE >PPA06049 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1186650:1187358:1 gene:PPA06049 transcript:PPA06049 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNDCQDCGKWANEQTAVFCASPVITTSMVVKTVSKTTSPLSATKTGATTTNKHGNSNVLAHHSNGPADIWIICV >PPA06114 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1491144:1492300:-1 gene:PPA06114 transcript:PPA06114 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSVLITGANRGIGLGFVHHYLSLKDVKHVFATARDPSTANDLNGISDPRLHVVKMDVQNDESIIAAEKEISSIVGDAGLTLLINNAGVLDRYEVESPIDRKRILDTFDINVAGPIIISQVFLPLLRKAASFNSSLPIGVNRAAIVNISSGAASIQDNKSGGVVIYRASKTALNSLTKTFGHHTIKDNVLTVAILPGFVVTQLTNNRGELTVEQSIALMVKVFDTWTKESNGLYYAKEGHLFPL >PPA05913 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:599982:600890:-1 gene:PPA05913 transcript:PPA05913 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLIGGLVHLEKAHRCLRESSFSPSLKDGYRLEKWLSIGFRYGMTSTPGATKFWPFADLFLSIEYMKTFEFFHFLSHEDKKELARPVSVMCSHLTLAYFSFENKSDVTYHPDGTIPHSGRVSGGLKHKALLHHGIIEILRTLDLDKKEYVLLKALIVCNPDRRSFMFTHN >PPA05921 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:646212:647699:-1 gene:PPA05921 transcript:PPA05921 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQLGDIYGFGMIMYEIIFRALPFLDSQDITQLIDQVKDGEKVIKPSIQDHKLIHMDLASLIQDCWHGTPEMRPSLRRIKLNVETYLKVKGSLVDQMMRMMENYANNLEKLVQERTGMLEEANVRTDKLLSQLLPS >PPA06082 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1318092:1318718:1 gene:PPA06082 transcript:PPA06082 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFIPALGGLQLMALTRPHWNPWRKFAVAFVHPLLCVAVLINGRHFLVALFGFIIFPYVTSYAEMVVLMIMIDFFLMQLLQV >PPA05844 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:344687:345101:1 gene:PPA05844 transcript:PPA05844 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPANSRAAAVALSRLFSGIITDAIRGDSELPYDRYHAYQMGLIFTSAVMIAVFFCNLVTIVYFPKDCKAAEEWEKEEEVTENEHFPLIRNEQ >PPA06057 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1216682:1222992:-1 gene:PPA06057 transcript:PPA06057 gene_biotype:protein_coding transcript_biotype:protein_coding MCAIERITSTTKKIKKKREELPLVEQGDKKAVQMRPEDEEYEYVKVWNPTVANLTLMGEGHESKLVGAYATTTRRKKKKRALGSSAPEILLSLIEIVGNDFKAGDLGPGTIVGSAAFNLFCISAICVVSVRSPMVKRIEQLSVFYITSVFSVFAYLWILIILVLFSPNVIEIWEAALTLFFFFLLVVVAYAFDVQLWKKRATKSTHGHQVEVAVDSGRKGMDDENGDNYQTSLTADLPVPDIATVARVYPSLSPEDHAKILAYRINQSMTPHDRMYYRIKAIRQMTSSWRESKTEQEVKKFLATTKTISPDGRMKPRIEWSARAYGVRKGDTKIALTIVRRGPVGYPITVSYHTVNGTAKKDQQFMDKKESIKFEMGQRFKVIDLQLIEDAHWKTDALFYVHLKIQDQEEDDRTKLGECNVARVRYVEDTNTASHHPSVEFAKPNYVVSENAGWVRVFIKLKNRPEKAERSYVFYDTEDVSAQADHDYMGVKNGRVTFEPEEVEKYVDIEIIDDKQDEKDETFLITVTRVDTQDVPITSKLRTTVTIISDDNALKNVTNVRKLMRNYLKDMKLERASWTEQIINASSVNGGDIHNATFCDAIKHGFAFPWKFIFAFVPPPEILGGWPCFFVSLALIGFVTAIIGDVASIFGCMMGIKDAVTAITLVALGTSLPDTFASKIAAEQASHPLIPDRTADNAVGNVTGSNSVNVFLGLGLPWLVASIYWASKGEQFKVEAGDLTYSVTLFTGLSLVCLFALIARRIIPFLGQGELGGPRTPKIITGLLFVLLWIIYIVLSIIRTESH >PPA05963 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:778933:779484:1 gene:PPA05963 transcript:PPA05963 gene_biotype:protein_coding transcript_biotype:protein_coding MTCAAPANNHTYFAKKEHLFPCLQEDSGIPTEPFLSAFQGLADFVGFMGTAFAPVKSDIAGNVTVFGVSAAVLPPAGRFFLPNVVLPVKQRIS >PPA06072 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1278677:1280230:-1 gene:PPA06072 transcript:PPA06072 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVVSKSYNKKATPIIQYTTELSTASFTPLQKELLDATLSKAHLPGMLGAPEVLEMGKSMINLNQSKKVLDIGTFTGASALAWAIELPKDGKVISMDVDHTQLEKVGLPVINKAPELKTKIDFRLGSAVDTLKSLIANGESGSFGFAFIDADKENYPNYYELCLQLLCPGGVIMVDNALWGGSVVEPVEESSKAIDKLNRIASADSRVHNTLLNVGDGVHLIVKKH >PPA06053 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1195535:1195796:1 gene:PPA06053 transcript:PPA06053 gene_biotype:protein_coding transcript_biotype:protein_coding MKFREPEMEVKHIHTIDELTRVVVSDSCPFYIHQNEEKVLRLFRFDENTKKSEGRKIEMEFSGLKDFFVYK >PPA05898 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:535534:535834:-1 gene:PPA05898 transcript:PPA05898 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGEIAKLSVGAKESAMKFRDLLVSAEQDPMKMKSSAEALVAGLSDDVNAELKIYREKIMTTLGINMPSP >PPA05932 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:679471:680750:-1 gene:PPA05932 transcript:PPA05932 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVLLKMAIFKDYVGKLTLFEGYHNSKQIWDGISRNYAKSTAAEQNDECKSLMKGFFTFYTTIFDVFMANHLIKEFFL >PPA05990 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:951291:953236:-1 gene:PPA05990 transcript:PPA05990 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMVVEEAIREEEDIMEEVAGIIMEEEVDGADTTEDGEDIIIIMEDGEEAGGGVEVHCGIEEDGSLVMAVVVVTTEEEEDTIMEVEAITTEEEGEDIIIIMEDGEEEDGEDTTTIITIIMEDGSSINCLIHSDNSTLQEAEEVHYGTEEEDGVIIMGTEVYSEEEDISALLITIGHGEYGDRIVIGEGDLHWCRDFVS >PPA06097 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1372621:1375492:-1 gene:PPA06097 transcript:PPA06097 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHLLILPLLCQLSFSQTPESESSTLPSHVLPTVEFDNLAIVKRGASVMGSGDVKIVSPEELAKENQALPKLQRFIDMQKRSVTFDKLADKDRSMTIDGGMNIDEERRRRESAIQMAIGDAPTMSLYGKKKRSAELDTLPGGSSSSSDSDDTLDSYDTIDPLEYNNITMDASIEDMENDIEGSGEVIVETTTEGNETTPTETTTPVEETTTWIPPVFSKKRRSHRDVDPEAEQKIESNGVFGDGMFTDDDQFEGSGSDYPRNRRQSTETVLGDETPVMENSLTAQKRPDDRHRSSTPRPDLLTCVAVECVRGTRCVIENGRPVCKPIIINEPQRSCRDIDCPRDSRCRMERDSRCRGRDCSEQPVCVREERGATCRNVQCPSGLRCNIVEDPNCRGFRCREIATCSNPCDGVRCPFGTSCRFDGFDALCAPIVFNPCLNARCPSGFECRNVQNQAQCFALSTPSTTTPAPSLCAGINEEFASCATFCEPNCAQKNPGFPQNVPSCGLNESFNECSSICEPKCGEPLQLACPAMCGPAKCQCKQGFWRHASGSCVQQNQCFFG >PPA05853 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:379797:381763:-1 gene:PPA05853 transcript:PPA05853 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQGPSKNFKQLRIDRPIIEEEIEEEKDSDSIEAIKAQNSENDTFEQEHGKKFSISGFGDEIDGKTSTGTLSPSNSIHPIQAVHPILMHTSPSAISFSTVMSASPPHTPNPYSKAKNRLRFNIEDDKDSVSTYDGPRRMKSILSDRDLLRLKSLKSTRRHNRDKKTIVLKEEEEEEIEEPPKPNEDMVAANAHHHEEKPPVDWVKSKEARGAFVQYLCFLYALLAIFFLVVEESTDEILTDFFVS >PPA05879 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:477641:477992:-1 gene:PPA05879 transcript:PPA05879 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSVRHQVTKAHREVGKAHLFLITTKVLEETGFFPIFTTYPITNFRQNNSD >PPA05894 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:519774:520454:-1 gene:PPA05894 transcript:PPA05894 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTLLFSLVVISISSACKCAQRPAKEAFCSADWVSRARISQSITVQISDGFDGTQFGVEHVEIFRSPNNETILPDIVHTSSHSAACGLSLDVGEEYLLSGSMVNETLHVNSCGQIKPEGLAKEVTGIVIEWSNVLKEFPEEMKKFECPPKEE >PPA05918 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:616382:617477:-1 gene:PPA05918 transcript:PPA05918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-glb-17 MLTLCSSKKKEPSMELTDEEVAAVRNVWIRAKTEDIGKKILQTLIEKRPKFAEYFGILCQSDKLDMNSLKESKEFHLQAHRIQNFLDTAVGSLGYCPVTSIYDMAHRIGQIHFYRGVNFGADNWLVFKRVTVDQVTKGVTSTQASQANLLEGTKEPEVVEQHPMADVQNPFSGENCLARLGWNKLMTVIVREMKRGFLEEAMRNCREEADPTYTGA >PPA06042 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1171711:1172203:1 gene:PPA06042 transcript:PPA06042 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTVMEYVTSTRIFDVRLQFSQELSTLWQEWIFESAEFFKYPSIHIIINSGHHRWIHLLEELGTIVLWIFMGALNLIMRNNTFCKIISVVIHYFAVMVAASFVCEGIFANS >PPA05999 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:982074:983552:-1 gene:PPA05999 transcript:PPA05999 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLVAVPSFVPSPEFHRIMEETTRELYNVDDDFPLVIFGSTINNIEINVFMKTSSTSQGFLPLAILSIPVVLFIAGAVLQLHLDLFTLLLTYTLWFCPVVKVREDFLPITRNALDDLSHKGERERLQAVVQLRFVRRAAKRGEESTKEIRQLKASGTRQTRTTQN >PPA05955 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:748529:750490:-1 gene:PPA05955 transcript:PPA05955 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRLLCLLALTGICLASPLGDLEKELKKEGVDLVKLHEELKHESEELEEEFSSKDTQNQLAKLRAAYHTCSNDTIQEKTELEVNGDLTKDLFEGDIVLTKEQWARALDRDPNVTLQRRQALTDAIKMWTPMGAPIIPYSYVDGFPEDKKPVIIASLKFWEGRTCVKFREATETDKNVVVFNHISPGCSSSVGMVGGKQTVNLGSGCFSVTVVAHEVSHAFGTLHVQSRSDRDNYIIVDTANIQQGKEHNFMKDPPYYGKIDNYGIPYEFGSMQHYHEKAFAIDTTKPTIYAKPAFTQYQGSMEAPRASFYDTLLINKLYKCTDKCQNKITCQNNGVQDGADCNKCFCPKGWAGTNCDMRPDSAIMIKLISNQNITVTVEAGTDEMKEILYIIEAPVGKKIQAVVTSIYRFMYSMCRTVGVEIVPSKDTRVNGFRFCGKPDATPIVSDSNQMLIWLYNEKANPLSADIQLTLV >PPA06121 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1544440:1550809:-1 gene:PPA06121 transcript:PPA06121 gene_biotype:protein_coding transcript_biotype:protein_coding IMKHDEERGEFRYKVHFSGWNDRHDEWVAESQLRINKNPINKSPGQYGPVRYSPEPENSKKKNGKRRKEDERPPEEKNERKSWKEKTDQKPVKRKIEILSDIPPPPPKRSKSARMKYSPPPKPSTPQVVRSRGRPPKNSSSTVTSTAGTSTSGEERERHTSSRHKSRYDKFEDENESIANTSNRVLSPSTVRRRKKANAIYGESEIDETREGRRTPIHDTHPSDMGSPTSDMISVALDVYLDYGGRIKSPDGRTMRHLSAENKKAVIDNMVENFKREEKKLAEVAAEERKRRWRLYLEFKKNMVKDFEKYLVPIDNNKSPTTCNVQQPSTSTAVVVPTKESINESIMERIRKKVKEEEEMLEMRVVKMEVEEGPREEDEAVKGILEEDEIKEEEEDEQRMEVVVKNENGSPAEATTASPTDTSPKPFSPTATSSTSTAMVVVKEEIKEEPPSDPLVPSPVPSSAPLPVIVPSTRPIQLTVSTSGSSRGGTPLQSPALPNSLKPNPNVPQAQSYAHIPFVGADTARDVVTAMKAEKERGQQMKSTFLHQQARNTQHQKAYMMSGNSVRAVGTMGNGNRITNGRPTFFVAPSIPSFGNGGAGKPPLLMQPKKSMHDSNMRYYEGSSTSYGGRGMNGGLKREWFNQRDTARNADFVDDFPGVVAEEVVIADEVEMDVNEPGPSTRQSPPQQHVASREYTPSMPGGATMAAGAGNGIRQRLIVPSRYGNTSTGGPMIGSPSFSGVRSIVSLPSTTGTSTTAFNGTPVYVGVRQQPQPQIVGTNGMVNRGVRFRAAPGAPPILHTGVGGAGNVRLVDSRRMRPVYLKNPNGVITANGNNSFGVAPTRVTPAGSGMNGGGNGISGNGMRRLAYISPPIRPPTTNRLIVPKVGGGVTMAAARGIDTPSNTESIPHSDPPVLIPETSVSNQS >PPA06099 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1445865:1446382:-1 gene:PPA06099 transcript:PPA06099 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFIFLSALFVSSLGISYAFPLHDISFNSPPFEKASADAKTEFSNIISDAVNAAQVKDQEFTRSLRGNITKAVNELPALITKLNSIEDDKVYNGTDKGKN >PPA05847 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:362643:362946:1 gene:PPA05847 transcript:PPA05847 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTVINTSKELSAYSDFPPKAEEANFMHNRQMYQYFVDYANHFKINEYIEFYHKVVNVERADDYSKTGRWNVTVNDLKY >PPA06070 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1272751:1273140:-1 gene:PPA06070 transcript:PPA06070 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLLPIVIHARLLNVGMLFSYENEALNPYVGYKKHAAAAMVAWKRIEKERILPDIDGLKLGYHSAFQSGRT >PPA06069 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1259799:1264408:-1 gene:PPA06069 transcript:PPA06069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate cyclase [Source:UniProtKB/TrEMBL;Acc:H3E8M9] MTHHMQSCMASLTWRFDECVDALSVRAIIEYEENRADVVLGPACSQPAIQAGTIAAYLDFPIILWGPPYQSTLEDSQAFPTMMSTSFSARPRARAVVTLAQKFEWTDLTFMFSAERDPLVGRCLPFNDALQTVIEPLPDYNLVYYRQFRNLTIVNMRSHLKKAKDVSRVFIVCFESPNTRRDFLLAAYEEGMANDEYVFIFLEEQGTEFKTLRDGEPSYLEYIWIDSVTNSDGRSQQALEVARRALVIDVQPYNDSTNFVKEVKDAFLQPPFNCPNCTEIETSVSRVAELHDALYFYALVRNRTLASNPTLTTKMGGRMMSQYAQMNFSGQSGSIVINSNGTRDPQFLLYSLDNADKAQLMMRLISQATANSYVISEVYTSESQLWISRGGQRPLNEPRCGFKNDQCPLSFQEQYLAFVIVAAVIFFFIIFVLLFSGVCVIRSKRRDEAARDKIWQVQFGSLMKPSSKGQSASKYSLTSTLTSSTRFTLDSKKETDLHAFFTLYNDPVVARKHTHRFFLGKKELMELRQLFILDHDNLNKFVGICEDGPQFMTLWRFCTRGSLRDVIEKGTLQMDWFFKFSIMRDISEGLHYIHHSPLFVHGWLTSGCCLVSDRWQLKITFNGCKFIKAEEKKTQKELLWTAPELIRNGDTVGTKAGDIYSFAIICSEIATKKSAWDLEEGSRDAEEVIYKVKRGGKNPLRPDIDVDEGEASSSMLLLIKDCWAEDPAARPNTDQVRALVKSINHGRSANLMDHVFNVLEQYASNLEEEVESRMNELIEEKKKSDILLYRMLPRQVAEKLKLGQSVEPEAFDCVTIFFSDVVSFTTLASKSTPIQVVNLLNDLYSTFDAIIDEHDVYKVETIGDGYLCVSGLPHRNGNDHAREVAEMSFALLVAIRLFKIPHLPNEKLQIRIGMHTGPSVAGVVGITMPRYCLFGDSVNTAARMESNGKPMRIHISSETNNFLTNIIGGYRTETRGEVIIKVVSRCYSPNSSFLSKGKGTIETYWLLEGNETLED >PPA05843 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:337310:338708:1 gene:PPA05843 transcript:PPA05843 gene_biotype:protein_coding transcript_biotype:protein_coding MECDPHCCKKKVTKTTRNSVYANKHLFDSSKSSTYIKAILFTFFLIDGKEFRITYGTGSEKGFQDKDTVRLGDVGTNPLPIPGCTFGQATHLAQFFSEQPIDGILGLSYQSLSVNNVKPPFIEAIDQRLVDKPLFTVWLEHEGFLENVNGGIFTYGDVISDTGTSLLAAPQDVVEKIATEAGGVLSIDANEQKSELQYKKEWQLYTIDCDANIPDLQLTIGSTTYSLNYINMIFPGDDNTCILAIQGFDSFGFGPSWILGGDPFILQYCQIYDVGNKRMGFARSLQA >PPA05779 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:70636:74090:1 gene:PPA05779 transcript:PPA05779 gene_biotype:protein_coding transcript_biotype:protein_coding MIVYIVVLAVLAIICYSFFINRLKGLPPGPPPLPLLGNVHQFDVDMDKNFFEWKKKYGKIFTIWMPHPVVVITDYKLLQEHIVKDGDKFSDRINPKMMMEYLVKGEYGLVFNGNAMWREQRRFALHALRNVGFNNTTIQNIAVDYSQEIISQWKKEGANKQPVDLTIGIMTGVANIIWHQTFGRTLPYGDPLFEQVNQLMRDVVVRMSHPVVLGLEVLPFIRHFDTLFGSPIKKLVESNDGLLDVIQKELEIVEKEFIDDETPKCYAEAFIAEMKRREAKGEDLEAQFKAQAEIDEKVGKRNIKMEDQKNLHYCNAIIQEVQRLANIVALNFTREVSAPVKIEGYDIPVGTGVIPEFSIVHLDENEFERPDYFCPERHINENGEFVKNPRITPFSIGKRSCLGEGLARMELFLYFTSFIQRLTFSSAYKVPPPLNVKIGFMRSPAPYENSASENSESCANSPETFTDDENVKLVDSPASTSSSNDEGEKADVLVKEQEDEEATKLLNNLKRLEEKRKKLVNEPKRIEEEFKKKYPDLPSALAIDNISASRYENEGRLRVAEAQSDKITMLRDLKDAVRKVKNIDAAIASAKFLISLESRKRKASEEEEVNVKRAHNE >PPA06022 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1055381:1057553:-1 gene:PPA06022 transcript:PPA06022 gene_biotype:protein_coding transcript_biotype:protein_coding MTASETKKFTWAEWKRPLVAVVLTFLCNVESSMLAMGEWPYMSEIDPDATAIFYGYATAANKAGHVVFAFIFAIWAHKISGIKIPMLVGRAITLVSCVMYIFVEFIPTNRRWWMLVCYLLFGVGFGTSPLLRSYIARVTTEENRASAYALQNGAVVLSVMVGPMAQIAFAGLPYPGVDIIPPNIKLNIYSAPIWFAVITNIIAILITACLLKDTEEVEELKNGEASKFSMVAIKEGFARLKTLNLPWILVALVVLQKMVSTLFNSTMGSVVGPMMTAMYALDGTQIVLVLGIAQVVVGLLAVAFSVTFFLCKLGNRIPCQVLFLFSNLIVIATYLISYPFPFMSNPLKPYNETTHLGCDPNEYSWCDSQLVVNIWPFLITMVISSSLAIPSAYLSLDTIYSKIIGDIDQTIQNIMQAIFVVSEDIMLIVGPIYGT >PPA05809 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:240134:241160:-1 gene:PPA05809 transcript:PPA05809 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHKPCCDKAEKCKCCSPCVDCDCCKSGKCGKSDEPCCSEKCKTEGCKCERTEACHKKSSHKPCCDKPEKCKCCSPCVDCDCCKSGKCGKSDEPCCSEKCKTEGCKCERTEACHKKSSHKPCCDKPEKCKCCSPCVDCDCCKSGKCGKSDEPCCSEKCKTEGCKCERTDACHKKSSHKPCCDKPEKCKCCSPCVDCDCCKSGKCGKSDEPCCSEKCKTEGCKCERTEACHKKSGGCCSGKKCCQ >PPA05787 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:128002:130611:-1 gene:PPA05787 transcript:PPA05787 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLVIIPLVLHLLYSAHNAVREQRAIEAQRLENSTVIHIATLNEGVSRCGQIEILIKSILLYHKGIIHIHAFADVRSREMLSVMFQTWALDRVRWSTYEMETVHESGRWLPSMHHSGTIGVFKFFPDDVLPLYVEKLIVIDSDTLLLDDIQILHDYFHLMEKQGAFWATTEDQYWRGADREIYPHKDRLGENNGILLLDLKKMREIGDWNKIWKNETFSLYQKVGPLMASDQDVYTSLAYWFPTWHYRLPCVYNFQMGEYALETQCVGEWRDFSVIKIAHWTEGIKWDGVNTNVKFFTQVYRCVQRMDGSVFGVSRVTEKSLTRRTLHYIKDAAETPERSDITLAAHVPFNRSFDMIDRSQSSTPTIQRKHLSLSVNRWPGPVSLVVFGNDDQKMRLNNYLHKNKNGTSGRLAVHFVHPSIGELEYPSSYLAKISVDTSRTERVLVAYELERLDVSRGLYSKFLKRINQDEDTSISIIRSRKGSEIIGAVMKKTLAQLVHAKEITAEEASVIPMRHFAIPKSHFEAP >PPA05857 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:395142:396711:1 gene:PPA05857 transcript:PPA05857 gene_biotype:protein_coding transcript_biotype:protein_coding MTQCTPQSRRRRATEATVGEEFVGEDEVAGAPRHHYPKEDSEEFKKEEEAWQEEEAEEREEKKEDEEEHRYLFNRINDSDADKLTTWTSGPRIPWDVDPNKRHSDGPNPQDMKMDSQGGFISSSGQRYMVNPSYTGYGGYGGYPRYGGYPGYGGFGGYPGYGGFGGREKRQFGLYYPVYGSSLGYGGYYPYGSSLYNPYGMTSPSMYYYGFRSRNRH >PPA05939 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:704409:705582:-1 gene:PPA05939 transcript:PPA05939 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVRVGFYDGLLCRLGLSGHIGLTFLFLTVGYLSASILYCFHAKHESIVKLASNQPIPAMIFRGFVFSVVTLPGVLFAFAYVGIEDGRVYVEHAMGVVVVSGLYFVGHTFHLLSRHVGDRSKTKDFTLILRLRISVSRRPKRRMTLTAQTGAFSTVIV >PPA06034 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1140079:1142441:1 gene:PPA06034 transcript:PPA06034 gene_biotype:protein_coding transcript_biotype:protein_coding MDGERLADWQLYTRVTEGLMYNAFNGIEFNSIVLLAPIFYPIIWENSSDTTMLGFAAVLAHEIFHSFIKNDMKYSREIFRKEADCIIDHFNASCAAWTTNACRSGKQTFEEDGPDVEGLSVAYDLLKNSYRQEQLKQLEYEEFGITREEAFFYAFGVRFCSAFEDDPTDEHSSDNVRLNAIVSMLPQFSTAFGCKLGDEEYSTDTNAVFDQIGIDPNDARTQGDMTDMLTGGVQRDRSLEVTVDNCGQTRHACSKAIYLSFQLI >PPA05800 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:198749:200521:-1 gene:PPA05800 transcript:PPA05800 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKEMSKEELLREIAFRDEVIDQLKKEIDKFRTQTSQKSRKIAISAESELSTDQRTYAKSQEAFDLIDESLLSNDFMRHLDAVQRERVAAAMHAIEARAGSILIRQGDAGNLMYVIEEGSVNVYVDGEQIRQMERGALFGELAILHHCERTATVQAASNCRVWVIERGVFHSIMVQSAQETRELHRRALRRSHRFSSLPEDALVRLADVCVDVRYENEGDDVDVEPNFVYIVLSGVDDLCIGWL >PPA05969 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:816088:816970:-1 gene:PPA05969 transcript:PPA05969 gene_biotype:protein_coding transcript_biotype:protein_coding MVKREKEDPRHKLPFFANCTSDDIREFFSITRNKSLLKKEIMEKTMEWAEARGNTTLDLYTEYIAQLHNRKDSSFNVLKKIFAELPEVLEKFHEAREDMTITGEEEEKKLLRILDNYSLATRNAFLYLITQHYPRGVNDGKRKPFIPSPRKNGENRNKGPYGQKVKIPVEEEAL >PPA05902 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:555337:556115:-1 gene:PPA05902 transcript:PPA05902 gene_biotype:protein_coding transcript_biotype:protein_coding MELEKKITVMRSCNPPPNITWNEYFSRAVFKSGYYEGKEKMAPERRKRQNDRPIDNLNKSKEKKNGK >PPA06028 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1088411:1091233:-1 gene:PPA06028 transcript:PPA06028 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQPLVLVTGATGFVGTHCVRELLAQNYRVRGTVRDKKAFRKITPLLRLPKGRENLELFEIDLHDPKEKWIEALDGVTFVLHVASPVPVEPTEETIRTALAGTMAVLEAAAVVHSVRKVVMTSSCTAVNDGHSNRNRVFDETVWTKLSSPTVDCYARSKTLAEQAAWKFYKSERSNKFELTVFNPTLIIGPLLSDADSGSAMIVGRMMSFTTFLAAPPAYIGIVDVRDVAYAHVKAMTTPSTNGERILLTNAPTIRFRQMTKWLQIEFGPHGYPVSNVEAKLWMIKLYAKLGLDKQAESTISRCDGPLCFDNSKSIRLLGMNYRDPRESLYTQVYSMLDLGMIRKTRKMKAMEKRQVTIVSDAPVNA >PPA06085 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1332049:1332418:1 gene:PPA06085 transcript:PPA06085 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEEVGEEGEVDGDGEEDTVGAVGTTDSVAGVCLSGSDEEAMSHEIIL >PPA06017 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1030033:1036996:-1 gene:PPA06017 transcript:PPA06017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-31 MEVKGLKSIPSNKIVYCMMEVDGQKLQTDHAEASKPSWDTQGDFTTKNILPTVKVKLYTEVNSIVAFEDKELGKVVIQPTPNCSRNPEWYPMTVAKNSQDKDLQIRIAIRVEKPPNLKYCGYCYIMGRTVWKKWKRRFVCLVQIRIAIRVEKPPNLKYCGYCYIMGRTVWKKWKRRFVCLVQVSQYAFAVCTYRQNKSDPTDFFQLEGFTIDYMPEPDIDLVSQGGKHFFTAIKEGDELKFSTDDENERHLWVQVSQYAFAVCTYRQKKSDPTDFFQLEGFTIDYMPEPDIDLVSQGGKHFFTAIKEGDELKFATDDENERHLWVQALYRATGQAYKPVPPKASLAAPSKQQGFQDKASKHGMDEIIQADMINFNQDHLFEELQKATLTFRLTESICSLGWFSPGQMFVLDEYCARYMVRGCHRHVSLLSNLLDKMDEGHLIDPTLVHFSFAFCASHVHGNRPDGVGTVTLEEKERFQEIKERLKVILEKQITNFRYCFPFGRPEGALKGTLSLLERVLMKDVVSPVPPEEVRAVIRKCLEDAALVNYTRICNEAKIEQRMGQDVSPAQRIDDMIRITELCVDLLKENEEHHGEQLRGAFAWFSDLLSDHSEIFWSLYSVDLDAALEVQPGDSWDSFPLFQMLNDFLLNEPTLKHGSFHKKLTEQFQPNVNRYADVMEHSISQSIDKGFSKEKWEPRKDGCTTSEDIYWKLDALMNFVIDLNWPEEEFRRYLTGRMKNLTSEMITKVANCTFQAFDQWMQRSRKSTDYVLPIEVCVMVNVIFSSKARALRLKMDAGEYKYQSKLDETLETMLKDMETTIQEKLIAVLEFVLGKLSRYDEGNPIGAILSIAPSSMKPNSIFNKMKNLVDTPASTAASPAKQAVAAPAQSGHIGNNYVTFMRGCSEQLRQVVIDEVWVNRVFEKWYSTQMKMINDWLTDRLQQSLSNYQFTCLSFIVKKVYSDFELQGIDEERLNFKTYQTIQRRMQMEETTCALTETSNGSNGGVSDYPSVTPILSLSSIQSLFPPHLFPLQGLAAGLGNATAAVSNMSSMVEGAGARVFSLFK >PPA05948 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:727623:729858:1 gene:PPA05948 transcript:PPA05948 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGRLEWTPQMQASLLTATFYDRFGPKYIFSAACCVYVIVTMATPFLAELSFRAYFAARLIMGLAEGFIVPCIGSMAGRWFPPLERSTMAGIYTSGNQLGASSSSVISAALCGSPLGWPSIFYLFGAIGIIWIIAFMTLSSNSPSSNRFITEGEAKFLMAEITRKKAFLPTYFRDELMIPLSMNGLYTTIPFVVQIFMKTFCSIVADALKRKVSVFKDKNENDSWMNTQGILAPTTAAKVFQTMCALGIATSLISLAFLPSCDRPWIAALCLASYGISYSFGIPGYFTALMSIAPQYTGTLTSMTMLAATFANISSPMLTSLMMTLKTEHMWKVVFTITGLLNLTGGIIFLIFGDADIQEWARVEKKSSSVVEEKKKHKIDDEKMERIQVEFSCDNTAILSSE >PPA05884 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:489026:490247:-1 gene:PPA05884 transcript:PPA05884 gene_biotype:protein_coding transcript_biotype:protein_coding MFMNESIDHLASQLNDKERVLIVFSFFVVLIITGGVIILRPMADNRVGQTDHYFEWLNRDLR >PPA05780 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:76915:78571:1 gene:PPA05780 transcript:PPA05780 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNVTMVIQWLPIWNWPFMYEYNCSRVIPIGEEWTKTRGVSHPIFGVWSMCWGILCEIFYIPCIYALYKERRQSCYRIMLWLAIVDVIAILCNSVGFGFFLIEGTVFCSRPWSVWIVGCVGLGMDDWMMVYFTAQTNILIIIATSYALYFAFLTPPILTNTEFNAMFYDPFIGDVPTEVYVNWPHTVNNLLVVMSSATLYIFLIFVLRTKQGAMPSEAGRTKMAVNGPIFIQASLICVFNVAASLEELLGRKKQNTSKISIHNSSSRGRNVLSRGNDLI >PPA05849 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:365600:366950:-1 gene:PPA05849 transcript:PPA05849 gene_biotype:protein_coding transcript_biotype:protein_coding MGELGGGVIEWQKDGIALTAEQKRFYEHNGYYLCNAEPSEFPFMTVMRDITLAKAKETKDVSKVTKIQNWQDDPVLFDYCRYPTVVDVVKDLIGTPKSNLCAMHTMLINKPPDTGSLTSRHPLHQDLQYFPFRPADFITCAWTAMQTVNRANGCLVVVPGTHKGPLHPHVYPDWKVRNQAYHGIQDFDPSMPRTYVEMEAGDTVFFHPLIIHGSGANKTDGYRRAISCHYANDDLCRYHEDKTTSQEETDKDVMKILDAKIKKLGLDLNPDELDYSFIWRIRSRAVNGEKCNL >PPA05947 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:725735:726896:1 gene:PPA05947 transcript:PPA05947 gene_biotype:protein_coding transcript_biotype:protein_coding MLILGGCLGAVFIGITVVASVKRSVYRNSYENSTEPLIHHHFLSRYNIWGVEIVIGIWMALCTVLAIASYIFMHIAAKEECTKFKEDLDEAAKAEKLVSRLDQFSHRHIAILKLVSLITERTNTFVSFSTICVFIKESTIQAHINVFYVISAVGAPNAFGTASCVLWGISTIALLPFILSPPGTIQIMLEKSMATLANHEQLIGHPEKLAMAQLMEDRNRETPTRMAIMKAIKVNAQTPHLIGLLVPLIVAVLSYARQFH >PPA06002 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:992832:993166:-1 gene:PPA06002 transcript:PPA06002 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVGIATCLFFCVTALFILYCCYMERETAIDSSREEVPQLRVNRIVPLTDFNSVVVMQEYEDEETSFGSSDDGGIL >PPA05887 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:495729:496762:-1 gene:PPA05887 transcript:PPA05887 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYKLNYFDARGLGEVSRQIFILSGTPYEDNRIPRDQWPALKDKTPFGTLPVLEVDGKQIPQSLAIARYLAKEFGFYGKTPFEAAWVDALADQVKDFLAEMRPYFAVAMGMVEGDKEKLKAEVALPAIEKHFGLLEKAAKNNGNNGHFVGSSLTFVDLLIADFINSVEGLVPGFTAPYPAVSAVKTKIDNLPKIKEWIEKRPKTVT >PPA05994 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:966696:967279:1 gene:PPA05994 transcript:PPA05994 gene_biotype:protein_coding transcript_biotype:protein_coding MCWFPMWSLRGSIYYGMAFHFFVLSLYFLAHYALNEESRVWAIQENIGVEFPSHWNYAKITTLALALIRLLQALCDKFLNNTHVGVSPNVTLFDFSRNINYTNCPAPESARNTGTMKRIDHVEKIRDDE >PPA06031 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1097678:1098964:-1 gene:PPA06031 transcript:PPA06031 gene_biotype:protein_coding transcript_biotype:protein_coding MRAASVTAFGGSDNIKIYNDVPTPLITESNQVLIDVRAAGVNPVDTVILEGIFDLNKPLPYVPGIDGAGVIGSSVFHLQVSDRVWFYSHDGAVSEVAVATYVFTLPMGLSFSEGACLGLPYSVAYRAIFTKGRIKPGNRVHGASGGVGLTSCQLSSFAGAFLIVGTAGSHEGLDVVQRYTVSSRFCLILNGAHHVVNHREEGYIEKLKEIVPEGFDLIIELAGAVNLSADMNLLSKGGRVGIIGGKGEASIQLGALNNKEISVYGVVMHGATRGDHFACAEVIERMFIETKYRPVIKKMYPLEETALAHKDLRDPSLPNAGNRVIVIIE >PPA05836 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:309702:311180:-1 gene:PPA05836 transcript:PPA05836 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVVLVPKRELILRLLHEEGKKPSDDVDFSFSRIGEGNGVASLLYKKEIKLPKFYGGQHCVGDEEGILILEDFSDRMKVEVDFMSGFSIELFPASDKKVFYDALTQLRFRKLHNFAENIEQLTEHYPEFASNAPVALIHCDLWPMNMLYKEEEGKTRLLAFIDWQCVTLGNALFDIAELCTISLSPEMRRRHEKELSVKLYRRYFKWCVLMLTLMMTFQKTADVPDQNSNDGPITSRLRVIFEDIDDDY >PPA06061 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1232063:1233491:-1 gene:PPA06061 transcript:PPA06061 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPSKIHPQMCGTDDAAIGLGSASWVSGVATGSSGQLDLAAAAAASWDIARPAAKRNRRTKHPVWELFRRTSSGQAQCQLCNAFVRSPCSSNFMGHLNRHHAEHYQDVYHRWLNGRRSCSISESHVTSTTTPSGQGYSPQSNSGGAPSTPSAPPSGTLPIPSTSVYNESFSTFDYGAGVPSYGTSTGPLFNMPPPSLNCGIDNSHGVMNQPYNGLDLFHYAPPQQHQLNIPDQSLVRPPIFVDHTIHSMHSQPHHEVHPQIPHQDQFGYVPPHSGMGQPHDQ >PPA05867 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:432829:435403:1 gene:PPA05867 transcript:PPA05867 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCCGRKRHVAEKEYKSRKKKVETRDAVNGLCVLGVDQLENRGNCQCCCSSGDKNRVIELKISMDELRRQLGMTRNEMIPAILIDSSSTCKIKNDCEKPHSRFVSIPLREISVAMSTSALYKPAMPWPWPFSTSDNIFIWYHSAVGVIGVGLNSFALASIYHSPPLGLRLCLKKFETDSHRDDDCQSPREYCKFLNDVMAVQMHFAILQCSLLKLAFINRFNIMRSREMMSDNLRIACFIAGYIPAFAIMILFAYNYTNPVLLRPAIMRAIPQYDFTNYRIIGEIRTSQLNNFNNLGLLNYIFISFYPLLVLIAVIKNRLRKDKPSLNKTMLSMLTYLIVHPLAMVIGNIFYFIAMIFDVHVPLLEYMTIGILQKNSEIDDSPSDYPD >PPA06116 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1530030:1530795:1 gene:PPA06116 transcript:PPA06116 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFLLELEVEEIKERKGREVRLGHLLNWNVKNIAVGVLPMTRRFDRQELEYAIECILNGKEWRMGRKEREGEQESTKRKIEEDGNGEEKKLRRDDKGRRDGQCYNCQGFGHVRAECWLRPAPAESGVVNRGRGGMISRGRGGMISGGRGGMISGGRGGMISGGRGGMISGGRGGINGAYRGITGMNGVIGRGNGMNGVRGGGNGWNVRGAGHGWRGGM >PPA05858 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:397574:398124:1 gene:PPA05858 transcript:PPA05858 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLALLSFALLASLALALPLEPEQIREKRQMGMYGSQFGSPYGSFGAFPSMGYGSMMYPRSVPMMPMAYAPSLYSPMSMGSLGGMGYGATNPSFMNMGFRAPSPLISISDTHALESPLIKSFRCANYDLAQ >PPA05764 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:9061:12756:1 gene:PPA05764 transcript:PPA05764 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSFLLGAALVAAAAGNTASTETKSSAPPVKTASATPSTPVSSTPEDVVKIVTEQKTEEKQKLKIAIFAPYLSNSQVIWNKRVGEELIKAGHDVTIYTMYMFDIKFSKVDIDPRIKLVSVNGSTGVDGAQLMKDQAELSFNDLPFWDPRMRKTMGQFIEMMPKSCEMFIKNKEFLSHIEDSKYDIAFTHMYNTCPIGIIHKTKIPTWVWLLSGALMENVADLMGVPVPPSYSVPAMMDAGEQMTFFERVKSFVGHTLMKGLWRKMTSDKETAVFRAEFGDEFPDISELAAQAPLVMVNSNELYDFARPTLAKIVNIGGIGIKTKNAKPLKPEFATRVEKAKAVVVMSFGSIAPMYLMPELWKEAYFNAFAQFPDVQFFLRYENPEEITDILPSNAYAAKWLPQTDLLLHPKTLGLISHGGYNSVQDALNAGVPIMATGLFAEQARNAHLVERLGMGINVHKTTISKETVLNGLRKLVEDKSLKANAQRIKSMIATKPVSAETLLVRWTEFLAQHKRCINQLFHHFFFFLIYFFSFHWNFSSDSLD >PPA05897 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:534916:535903:1 gene:PPA05897 transcript:PPA05897 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLLILLVISFIAWESLLFYRRRASLPPGPFPVPLLGNLINEVKPPFLHVAFKRLSSKFGPVFTVHLPYPVVNISDYETIKETFRGNDVTGRMHNVMIEATRMCENGGIVSSDGADWLEQRRFAIATLRDFGMGKNLMEEKEE >PPA05864 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:419938:420731:-1 gene:PPA05864 transcript:PPA05864 gene_biotype:protein_coding transcript_biotype:protein_coding MDADRKSAALRFKVDDKWVELSESQIFKHPGGPVINQYAGSDATHIFHAFHAGSTKAYKQLSGIKKSQTLTEIESKELEASIAKRSDEADINIAVYDISIEQSRPASIDRAGSRSLSSKRPGA >PPA05891 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:505609:508022:1 gene:PPA05891 transcript:PPA05891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dimethylaniline monooxygenase [N-oxide-forming] [Source:UniProtKB/TrEMBL;Acc:H3E851] MAKTLERRKRVCVVGAGGSGLPSIRHALLYGFEVVCYEGQGDIGGLWRYKPEETDESSVMNSTVINTSKELSAYSDFPPNAEEANFMHNRRMCQYLVDYANNFKLNESIKLWHKVQNIERAADYSKTGRWNVTVNDLTSGTTFTENFDGVLMCTGHHTLPHWPQPWKGQKEFKGQIIHAHSYKDHKGLEEKVVAVVGVGNSGGDVYLVTRRGTWIFNRIFDYGRPFDVALNTRLFTFFRQRLPTWLLEGAVQRQLNQRFDHDLYRLQPKHGVFGAHPTINDELPNRIAAGTVRIKPQIRQFTERGLEFEDGTKVDEVDTVILATGYSFEFPILERGELVKVKENMVDLFLNIFPLLCEHDTLGIIGLIQPLGCIMPIAEMQARVILDVLAGRSKLPTIEERLSIVQKKHDDIDARYVKSRRHTIQVDYVPYMDELAKYIGCEPPYWFSYLPFDPIMAVHALMSPTTAYFYRIRGPHPWSGARTAILTIEDRVVKATHPQKTGSIFTVRHAAAKEMIVIGHNMYIT >PPA05874 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:461282:463022:1 gene:PPA05874 transcript:PPA05874 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acdh-7 MLARPALTFSKSATEAASCSFDISPAHKRLREEIKQFARDEIIPVAIEHDRSMEFPWKILRNAHERHFLNTSIPHRNGSAPRDKLAQAIISEEIGYACTGVGLAMFINELSMTPLLLAGDDHQKEAFLRPMVEEPLMAAYCVTESSAGSDVAAIRTRAVRHGDEYILNGTKAWISNGGKASWYFVLARTDSDPSAPAGRAFSAFAVPANTEGIVLGKKEHNMGQRCSDTRMITFEDVRVREERRIGEEGDAFKIVMRTFDATRPLVSSMATGLLARCLDESINFLRDHTQSNGVVDQITQFKLAEMAMNAELSRMMCYKSAAMIDNGSLSSYHSSLAKTFAAEKANKAAANAVEIFGHAGCSEGVCVEKLMRDAKIFQIYGGTTQIQKLIISRLLLR >PPA05774 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:56445:57861:1 gene:PPA05774 transcript:PPA05774 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPIIEATITDIGADKVQKWIRYSKRKNSSKPATINHPGLIETLKNEKFDAAITEPMDMCGLGIFRHIGIEKVAATLSIAAMEGSFDMTGLPSFPSYVPGSMMTFSEKMTFSQRVGNTISLGIGKCFFPYLSKGVVDVFRSNFGQDFPDLDVLTRETSLWFYNAEPLIEFPRPILHKTIDIGGISVSAGHKPLNKTWSDIMNLRPKTVLLSFGTVAKSFLMPDNYKQTIRDTFKKFPNVTFIWKYEKPEHKISEGVPNIIESTWIPQNDMLYDARLSLFITHCGQGSTTEATTAGIPLIVIPILGDQGRNAAV >PPA06107 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1461771:1463667:1 gene:PPA06107 transcript:PPA06107 gene_biotype:protein_coding transcript_biotype:protein_coding MTESINFHEQNIYDFDDYDIYGALSFGDYFCKWISAQCKGVLDEPGLMDRLIEEKYDVIIHYIGGIGTREPKQLDEELDRLFTLRKKTVLMSFGSVTMANRIPLEVKHNIVKSALTSTPNLHMLSWTPQNDLLADDRLTAFITHSGMASTMETALRGKPENAKRMAAMMKKKPFSAKETMIKYVEFADEFGPSPSLRPSSYDMTWIAYYNADILLAAIVILLFFTFVTFKMSRCLLNAVIPVLKRKLD >PPA05910 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:591391:592399:-1 gene:PPA05910 transcript:PPA05910 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVALETFACFFMVAVNVAVICSGVGPIAFSTAKLSVHETAMRIEANPEMDWLAKKPGFVVYSIYLRPQLLYVFGFIVTLSIFCVILMVHTSLVVHSTTTHSMLSKIRAAKAMSNLFMQFLAYVLVIFIPVFVILLRAYVAINSPTLFLVALTIFCIHGIVCSSVALLMNNSFRMLLLAPFRQNNKRHSITLVGIPP >PPA05907 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:582357:586540:1 gene:PPA05907 transcript:PPA05907 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGADEKSGRRDGTDADSLLLAQAEDRKVEDELEAAGFIKAPDGGYGWAIVAASFFANLCVDGVIFTVGTILTPLWKTKYGCGDTEVSIAFSLLAGSYLLIGPVASAFANTYGCRPVTIVGALIAFFGFVLSTASPSIYLTWIAFGLIGGIGFGLVYLPSLVIVSHYFDSKRAMATGIAVCGSGIGTIIFAWLNTVVIDIIKSMVSIETDISSIFMCFVAVVALLCVIPGVLYKPLELQKEQLDQVTKIVQDYEANQSRKNSLLSPEDGLRADGMRFDAHRPFLSTLELNAQKKGQGQQMWSQRDLAAAVAKESLAELNRPLSRADIFYPGSTAALNERSRATSNPNQHAINPIEGIGASNIFLSKIAIDQVEEYYETTKTSWHANLIHTLSSMMDVSLLKRPSFLILAFSGFLTLSCFYVPYLYLGNEMDRVGTYSDKDKSTPIKVLGIINIVARIGCGYIADRPQADALLVSSVALTLAGVSTIVVPFLTAYWQFIAYCLPFALGAACFAALRTVVCAELFGLEKLSSAFGVLLMFMGVGATVGSPIAAAISDLTGSFDFSFYVMGALMALSGIILFPLRRLAVRENEKEEKEMAALENNDL >PPA05794 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:170715:171244:1 gene:PPA05794 transcript:PPA05794 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFDVIMTISFSIAIILGSLTFYHIKNADKISSVAHNLQWKLFIAVCAQTFVPTLFVYIPYFFIINFPFFGIPLYYVDDAWMRMTACFPAWDAVIIILLISDYRNGLVSLFRKKKTVQTEVTWKAVSSIAPSTINSSAVVEDLPRPH >PPA05823 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:280351:281992:-1 gene:PPA05823 transcript:PPA05823 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTGFQHVEDQNDNYLAPSVDEINEMNEEAKKKQYEWDIVWPNVFIQVALHIGVLIGLYQSIYEADWRTNANFGVRVFFMIGTTMAVQNDVIEWSRDHRVHHKWSDSDADPHNINRGFFFAHMGWLLVRKHPKVKEMGKKIDMSDLEADPVLAFQRRYYVLLVPLAFLFLTFVPVYFWKENVAVSFYVGAVLRLALQLHFTWLINSAAHTFGYKPFDTKITAVDEIVLAFLTNGEAWHNYHHTFPQDYRASEYMWKSNMSGMLIDFFAYMGWVWDRKTMSKEVCKIRRSLVRS >PPA06110 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1471816:1473447:-1 gene:PPA06110 transcript:PPA06110 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPFKLSMASIKLGIIMKDSVASKTRRVDFDPFSYHFQKWVATYLSAAKDPILNLYIITVRFHEFGKAMRLLNE >PPA06016 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1026616:1029027:-1 gene:PPA06016 transcript:PPA06016 gene_biotype:protein_coding transcript_biotype:protein_coding MALNRSLLLLALLVGASLAMGDETNSTSFKRHLRRQCGCFGQSGCGCSSSPSSCQSTCMQACQPSTSSCSCQSNCQQMCNCSPASFKAPHPVYMVQQQQSCGQCQQQCQQSCPTNNCQPQCNQQCAPQCSAPAPQVIMVQQPQQQSCGQCQQQCAQSCPTNNCQSQCNQQCAPSCGVPAPQPVYMVQQQQSCGQCQQQCQQSCPTNNCQPQCNQQCAPQCSAPAPQMIMVQQQQSCGQCQQQCQQSCPSNNCQSQCNNQCAPQCSAPAVTQVIMVQQPQQQSCGQCQQQCTQSCSAPAPMCQSQCNSQCAPSCAPAPFQQSCGQCQQQCQQSCPTNNCQPQCNQQCAPQCSAPAPQMIVMQQPQQQSCGQCHQQCTQSCSAPAPSCQSQCNSQCTPQCSALQQITIQSPSQSMCAPSCASQCSSVCATPTCVSSCIPQCTSSCQNKCQSENECQTITLAIQVQQQPQQQSCQSSCTNSCMNQCQTAAPSNICHPVCQSQCSSACTRK >PPA06045 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1179066:1179457:1 gene:PPA06045 transcript:PPA06045 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNLLDLKKQIWTIEPEANKILRQEISFVDPSHQRKGIATQLLHYGLDFDKLKKEGFHGVQAEATSYANQMLLTKNGYKVVARTKP >PPA05962 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:771990:775716:1 gene:PPA05962 transcript:PPA05962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpt-3 MAAAAVQLPVPMDTTPAKANPSDSAISHIEDTDEYAKYKKLEKQLEHLDVMEDYIKLETRNLEKELLHAQEEVKRIQSVPLVIGQFLEAIDQDHAIVGSTTGSNYFVRVLSILDRELLKPGCSVALHKYSNSLVDVLPPEADSSIQMLRPDEKPDTSYADIGGLDMQKQEVREAVELPLTHGELYKQIGIDPPRGVLMFGPPGCGKTMLAKAVAAHTSASFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENSPSIIFIDEIDAIATKRFDAQTGADREVQRILLELLNQMDGFDQTTNVKVIMATNRQDTLDPALLRPGRLDRKIEFPLPDRRQKRLVFTTITGKMNLSDDVDLEDYVARPDKVSGADINSICQEAGMHAVRENRYVVLAKDFEKAYKNVIKKDQNDFEFYK >PPA05929 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:670052:671913:-1 gene:PPA05929 transcript:PPA05929 gene_biotype:protein_coding transcript_biotype:protein_coding MESTRTKFVVALVGGSFLGYSVYRFLQTRDTREWIPVGTVGALWIYPVKSCYRKEVEWVKCTANGPSQGELSDRQFVIVDEETELMCTARQMPKLAVLKDVERKLKWRNAILRKGESSKGLDCGDEIAELLNQYCQGNHGVRMLYYPPSKKSEVQRVTFKVSFVEQSPFYVTTESSLISLNERLDRPVTSANFRPNIVIEGTEAWEEDRWDMIRFGDETVLNCDKLCTRCTVTTVDPESGVKDAAMEPVRTMKQFRPVREGKMVNGRTGPVFGVNSSLIAPGVIRIGQAVYVKNN >PPA05778 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:69903:70241:1 gene:PPA05778 transcript:PPA05778 gene_biotype:protein_coding transcript_biotype:protein_coding MPDMTTQQRAQYDSIKAQTVSRLSSSGQQAQMRMDQMEQQIDSYYQSLPQSTQNELNSFHDQMKMNTRSIFGNGNNMGGFFRK >PPA06093 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1363893:1364848:1 gene:PPA06093 transcript:PPA06093 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAGESCRLSLLFLITFSLLEYEVEARGGRGGGGRGGGGRGGGSRGSSRGSSGSRGGPSSGWGGGGGGGGGRSGGGWGNRAKVHSYRGGGGAFSARSNTPLITRSSISRSFFAPRASTLLFMTAGGMTGMHMGRMMHGGGGVYRGRQQGTNYDETATLLDVPDNSTVAMENTDELIPQDQSLVIVHPELPVL >PPA06048 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1184731:1185826:-1 gene:PPA06048 transcript:PPA06048 gene_biotype:protein_coding transcript_biotype:protein_coding MISFLLFLSVTIVTETVATAGNPTLVPNCTDDTGKFLETAVNCEDTLPATYCGAGAAKHFYLADATYLPAAKGGDAKNRIIQCYSGADVTAGDATVDQAYITAAVANCAKTCGFCCMTPKYNCKNADDPAVKCETVTQAMCLDSKWRDTLAVSCPNKCGFCLDGGCVDSAVDCDKDPTICTKAAMATFAKANCKRTHYIDDRYWHLWRYSSQLQELGRQWIL >PPA05981 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:875054:875651:-1 gene:PPA05981 transcript:PPA05981 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHNLNGNVGVYGSGSGDVYAGKLGDGLYGMGTRFGGVAGITGSVEYAGGQRGKRAIVISRLTGSATGDATQTDDMIIAMGAVKGAANIGFGDESAEESAETSTQTATTSTTSKQEEETTKIMKKTVCSPRKLRAGR >PPA05803 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:215752:216509:-1 gene:PPA05803 transcript:PPA05803 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCALTVIMEISMRELFEIPDSQFVQTYGISITYEEINSVLPLAILSIPVGIVTYGTIANADLGLATLPLTAFVWLCPVAQSAVQLRYVRQSSTSTPASSQAFGTSKVSTTREHVAVVSVVAR >PPA05797 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:180652:181031:-1 gene:PPA05797 transcript:PPA05797 gene_biotype:protein_coding transcript_biotype:protein_coding MPYVLRVADRPFKKEAIVPSDLGVDNDDIAYIDEMDRLLISPIRIYELVPMIPPIAVLELWQHY >PPA05831 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:300809:302125:-1 gene:PPA05831 transcript:PPA05831 gene_biotype:protein_coding transcript_biotype:protein_coding MISSDKLYEFKFNASELVSILTEEKFNYHRAAVWMDDHVALSIQAIIVYLVIWNFFIANLSGLSALAMSYEYFETLYYNGVNATICKTQDEYYTGRIGYAVFVLLLARLPEFIDTFFIVFRKQPLLFIHWYHHTVTLFVGWATYSAAFPAAVHLIFVNSLIHLAMYTYYFLTALNFRPPPIVAKCITIGQIAQFFMSLYGLVYVVYAHFMMEMPCLIETESFLIHWLMIISYTYLFVDFFLSKYTGKKAESKKIN >PPA05933 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:686648:687895:-1 gene:PPA05933 transcript:PPA05933 gene_biotype:protein_coding transcript_biotype:protein_coding MHCDWDGMGWTNENKKEKGAYPETDQMGIENGGHKRQAHPTDECKAQLKIFFRFYFTMFDVFQAENLIKNIYL >PPA06074 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1291165:1291870:1 gene:PPA06074 transcript:PPA06074 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFTLVLNKKTDAKKDNKDKHRAPPLAFGMITSTRRPTTKKVTVTKKDSTTSADSGKQ >PPA05829 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:296515:297924:1 gene:PPA05829 transcript:PPA05829 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMERLQTIKFNSTELVDLLTEENFNYRRAGDWMDEHTIFAFQMVGIYMVLIFALKHWMRYREPFNLKMPLAAWNAFIASLSLASVLAMSWEYWTTLFERGPNDTLCFTQEEYFGGKYIGKAVFVLIFARLPELIDTIFIVLRKQPLIFLHWYHHTVTLTVAWYTYSSRFSGSVHLVLVNALIHTVMYSYYFLTAVGIKPHPLVAQSITIGQITQFIYAMYGLVYITVFHYGLGEPCNIVTGPFVIHWIMVTSYTYLFVDFYLNKYQGVKAARKVEKEVLAQKKVE >PPA05815 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:257735:259302:-1 gene:PPA05815 transcript:PPA05815 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRGPKTIILGDVTDESFAAKLVTATVEKFGKLDVLVNNAGGSSFANIGKGILDIPIAEFDQMMDLNVKQVLRISQLAVPHLEKTKGAIVNVSSIAARHNLLPFPYYGAAKSAPDQITIQMAGSLIKNGIRVNSVNPGPVLTNGVVAAGATKEEQDKMFEGAGQIMPLGRVGVPEDIGKIILFLANRSQSEILIGHIVTADGGIMIKSAMFPDS >PPA05766 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:19703:22171:-1 gene:PPA05766 transcript:PPA05766 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKSKLGYFIDIYNGIPFAAPPIGELRFKKPQPHPSWNGVRETKAFSARPIQAKKYPQDYDMNGVPSEDCLYLNVFSPCWEPPETGFPVMIFIPGGGFECGEAKTYGDDNICENIVTRDIVFITIQYRVGYLGFFTTGDSVCPGNLGLWDQTEALKWVQANIEAFGGNKNNVTVVGQSAGGASADFLHISPHSTGLFHKIIAELRKVPAEQLVVNITRREKEDDAFMETVVYNDEDFFPASFDELRARAKPKPMITGVTKEEGLLMMLSMKLNKKTALYFTTLASHSAKDNKLLEKDFSRRFDGLVEYSDQFGVTLANFVSDYFFNAGTLELCRKSVEIQKEPVYLYTFEHWNPEVMGFMVDMLPYKDVTHTCDLYYLFKIGTLGDFRPEISENEQRLIDEFTTAFTNFAKFGNPNGSNIVATELSSSWEPVTRENHSRNYVFKSENCAMNEQFFGGRTEEFIRIVNTHNANQPRSSL >PPA05805 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:225845:230134:1 gene:PPA05805 transcript:PPA05805 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTLKKYIKRLASYPDWTLVVVGDEKTSDDWNLPDVHYLSLETQAAMGFGSVQRLPTKSYTRKNAGYLYAIANGAEWIYDTDDDNKPFGKGLEQFEYSMNSSRGLRFVADGETNSTIQDTLFNPYRHFGRPDIWPRGFPLEHIKNHDHRDGSYRLCHEHRPPAVQQGIVQKDPDVDAIYRLLHAEPTSGLDESFNQFAPPVILAPGTYAPWNSQNTLFSRSAFFGLFLPTTVAFRVTDIWRSYFTQALLHAAGETVGFVPVNAIQRRNAHIYDKSGEIVKFIDKWKCHAQTLDRCTIELAEEFAARGFWGNKDAQLVVHWVLDLQQIGYVFPAMRNNARSDNSIGNDAELLRNCRRAHVSFHDDLSLNTPRESRAAAKINNFGDLKEWCADSPSVKSKEWYFPTPRELTISTMKNKTLTDNHNTWKMGMGLLQRMYEANFAMLIFCGHYPKQDRNAHLNNYPDNMDKGDVKFPKLKRPISYIDLSNEEVHEGYFAYYCLAKVEEMKLQNIGRERRFDTDNKEVSDPFQYLMVGDGWANGDWIYIPTSNISFAASFAQGSRASGLVFFPYHSRNGWRKSPVPLVPDRNVGGVSRRLNPTVGRKRSLACAGVFRVFESSKLESQSLSERYEPGF >PPA06026 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1083782:1085674:1 gene:PPA06026 transcript:PPA06026 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRSTAEFLRKYLLAGQKTSEDLAGNSAPPSAFDEFCAKYRKWIALIAPFLIVQIVYWLLAAKNDLYHLFPEYWAIGLIMAFGAFVGGATAEGGGAIAFPILTLAFHVKPAIARDFSAMIQTVGLNSAAASIRIMDVLIESHTLFFCTLGGTIGTITCLELISAHLSPTVKKVAFVSVFFSFAIALFILNREKKRVTYDKIPNFGIKEALVLFVTGLIGGIFSGIAGTGLEVFAFSVITLLYRINEKVATPTTVSMAGLNSAIVFFWRHFMQQQIEPLAWHYFAVCVPIVVIFAPIGSLISSYLHRQMLASFIYILETVALISAFIVLQPGLDMYLLSIIIILVALIFFSVLSHIGKKRMNKFETKERKRTVKRNSIADSATELNAIVTGPRFSLA >PPA06013 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1017808:1018936:1 gene:PPA06013 transcript:PPA06013 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRATIAVSLSIFISFSAVLFAGITSLYIINDVSDFYDDAIREMNSFQKLTDDAWVEVLPMAKAGPALKESRRVANPSIASLFRTKRQMELPSQCNCNIHQSSCPPGPQGDRGFDGAPGANGEPGAAGPNGVDGPAGAQTYSTPRDCIVCPAGPVGPQGNPGYPGAPGLPGMPGPKGESTYAGRGQPGPPGPPGDVGALGTPGRPGSMGQPGRNGIKYLPGPRGLPGMPGRAGPAGPPGPRGVAEEGPDGLPGPAGPAGMDGFPGADGQPGIPGLPGLLGIDAAYCSCPKRTAAVETASPAYEPPEAEQEHGYYRII >PPA06113 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:1485467:1488515:-1 gene:PPA06113 transcript:PPA06113 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQFEGLEEKTITSDDYGISTSNMTMQRLNRARVMLRLMMNKLSDSDRVRAGYSFTDIVTECTFAGKTCTSSDFVSFLHPDYGICYSFVSDREITRPGAEQGLRMLMTVNQDSPHFTEFDFLPTTDSSTIRAIIHMPEEYPDFSKNGFKIGASTQAMIAFSKTGKGRLDKPYSECTSPGEEGENYYKNYTYTFNLCQHSCLQRLAWEHCKCVDPLYRKGDEHTYCSTPTESQYTLRIRSIIVHLVLCLVNLTSHTPPPDTEEGRTVCDCKSPCTESALQKTVTYGVYPSAKVRIQLIRCLTSSLQYKVAAGTQEQRGVLLDILGGGRQGDGDEDSDDYDVRLRKRVGEDESLQGTTVISTKSTKSTVGTTPTTKDPLCLPEWLTDNEVLKATDVNALACRASYKSIYNNPNITVIQGYPCLSTKRCKSCVMFTDPLHPIWDWPCSYSDYPSVCKKFNDNSTSSISCSEFFDAFDFIPTGVNVPNITNWNTGALPSATNCDSASASTSTDTCWSQTVCVRNESSHDLTKLKQNPLIDKEFLDYINVDDSMDPCFVQEQALTAARWRLDQNGGNGRRRRSISMSSNSTTDPAVNLTVDKPGFGSCEYANSNFKSAADCIKWYRRNGLMFEMYYETLLVQSYTQGPSYNLVTMLSDVAGHAGLWLGLSVISIVEFIALFFMGEIANREEQSRKKTLQRSPYSIDAN >PPA05973 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:841394:842237:1 gene:PPA05973 transcript:PPA05973 gene_biotype:protein_coding transcript_biotype:protein_coding MFAFHGFAHAMSSTQELMLSIERAVSCAWPEEYHNTGLAMRILIAAEGMSIVPAMAYLWQISNDNIALACCITNSIDLVSLICLSSTTYYVVNKQKFIVNSSLNEKYQFSSTVAAWVYALDVIDSQYMFTITGSAYFIVGGLLNRVSELHDLLNSCTEKT >PPA05912 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:595658:598616:-1 gene:PPA05912 transcript:PPA05912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:H3E872] MVQFKWDSVEKIYVVALWLFAATVFKLGYFMPNRAFFRNWDSILVFAVVGTIFNTLTIGGSLLALSYSGLFSIPFSACEILLFSSLISAVDPVAVIAVFEEIHVNDFIFVNVFGEALFNDGVTVVLYELFKQFSAVDRILPIDVAAGTGSFFMVAVGGLVIGLLFAVFVALLTKHSHDAVVLAPVFIFLVPYLGYLTAEMLSLSPIIAIAVCGMAMKQYVKGNVTATAANSVKYFTKILAQSSETAIFMFLGLSTIAFGHKWDTLFVVATISFCILFRTVGVVAQCFLLNKFRGKKFTKVDQFILSYGGLRGAIAYGLAVSMPDSIPAKQMFVTTTIAVIFFTVFLQGSTIRPLVNFLEIELKKETQMTMAESVYSKYSDYIISGIEDIAGQKGHSSLANDFERFNNKVLCPLLMRDHARTPNFDATKIVRAYAKIILAEAMVETTAPSRRSVNMDLLYAMFGKMLDEKMDALRQELKHGGASSDSGEVDIFDDYMEQLKHAPSVKNLHHPSLSGTRSDAAMRHATTILRSKGRETTRRCRTEGGLDDLAAPSCLHLA >PPA05924 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:651086:652402:-1 gene:PPA05924 transcript:PPA05924 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIVLFLSLLLHGAASITHPQTTTPQTEAQEDFISGVGLQSTNLKDIIKDGKLVVKLGHIGAMGMMRIISQNGCGDSYEGVAVAADMYHLQKVKAFIGPYCNAEIDAVARIAAYWNLPIIGYMAASNALADKRAYKTLTRISTRSTNSIAEATCALLKHYRWNKVAIVTNVGAVAYDRTVAFEEVIFDEYADGAMMRASGLIQEVRNTARAQGEGMLASEFVFILPWLQWLNRPLQFISRHWGRQNTVK >PPA05991 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:955742:956531:-1 gene:PPA05991 transcript:PPA05991 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSIGLVAVACLLAIALANDHHSHSSSDTGSSSFHHHDSGSSHHHHDHGGSGGFDHHHNHGGHHHHYDGGSSSIWDTSSSSSSSSSGGGGGGWGFGRGQRRPTLLERLFG >PPA05946 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:721368:722383:-1 gene:PPA05946 transcript:PPA05946 gene_biotype:protein_coding transcript_biotype:protein_coding MVHIGFNIINTVGFTIFGRDADRSAELAKGCELKWLGDRGGRLMIYGPFGDPQYFKSEVYLLGVTLLFTTPIPVVLTIDSVRKLMEYRKASVSVKTQQMTNKLLSTFLWQIHTGPVLAKVSTNSSEIQIMCFEYSSYAYRVMFTFLCKTYATNYSIATEITVLKTIVYK >PPA05978 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:865312:866401:1 gene:PPA05978 transcript:PPA05978 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLGIVTDADENNVLPTKISTKRLVSIEDMTESSLENLLYSENKLLLLRKSVHNFRPDCGFTIEYLLNQFTPMGSSFPLIDGEYALLKILLLCNPSKGI >PPA05936 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:698213:699017:1 gene:PPA05936 transcript:PPA05936 gene_biotype:protein_coding transcript_biotype:protein_coding MRKACITLDIDQPYICDGMIDAFAREAYFVLDRVIFTPDEIGGIFVDNCGISVNPVKVLWNLTNPGRKPAVKPWPVVKNPKKTQRVLHLSDIHIDREYAEGSEADCEVIITTYFAWHGRSGLREIRSLLQKYPYGNGARK >PPA06090 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:1354249:1355926:-1 gene:PPA06090 transcript:PPA06090 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTVNKAWRELNRMDEHAKYDIPVVIDYVLNIRALFQLAAPGTAHYAKGVWRLLYLVDELFKPLIDICKNVMYFIVGEPTSTFNFTRWPSYITFIPASTSTWNMLHWGQMAVRNKLEHFDHNPIENMKKYGQTTAPPYNLTKVDVPVYIFWSSSDWLISGRDIEENLLPSLKNGIVKGIYEVPDYNHLDFVTATDNAENVFHRIISVVRKQEEEMCGQ >PPA05908 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:587647:588237:1 gene:PPA05908 transcript:PPA05908 gene_biotype:protein_coding transcript_biotype:protein_coding MADKLTPEMIEKIKKARVYLKENPAVLDDSIAKLSVDAQGPAKKMRDLFLSDSVDAAKMKAAGDQIRANCSPAVVKELEDHRKIMSEKLGAFL >PPA05959 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:760434:762563:-1 gene:PPA05959 transcript:PPA05959 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQTAAEGILETHVTWEEVEKNLQEALNTTARLGKNKSVVHVGEGNGFLSRIGLVTCDWEGTNNNEKLPKQFALKMASCMAAKKMEEVTPEQMRLDAEVMKQMWEFMEIFIKDAHNAEVKAYNFLRKFEDSVAVPHCYFTVPFSEENKLAGSIALDYLDNTRISHVYQTLSVAQVKQVGIFLVFLQIARWSPSQIAHELGKMQALSILNEVEKEEWLGERDVYTAFWKNFTPEVFTQMFGPLKDMDASTAEVQLVQSVDAVIELTPTYFGSNLAITLHKQYGVRPVLVNGDLWSANVLVDKETEQIRALIDWQLVHHGTGVEDLLRIAFSGMSSVDRRGHMDELLETLYDSMEETLQGAPAPYTREQMRDLYELVLPHAGFFFAPVAIPLFMSTLSDTNLTEEEKERRKTIVLDKVRGICEDIVIFHKKNESKRKFEWKAPDFVPNEIKVAPKVE >PPA05806 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:230568:232504:-1 gene:PPA05806 transcript:PPA05806 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEIMQTICTVEGSVELTGVHFEILLQFTIPMIMPSRKLALLMEKSMKELFKIPDEQFVQTYGISITHADINDGKSMLIFILMFAVIPYLLSYTIIVITIRKSILPLVILSIPVSIATYGTVLNADLGLATLPLTAFVWLCPVAQDYITKHRS >PPA05986 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig14:890093:891077:-1 gene:PPA05986 transcript:PPA05986 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKIRKSGEFGTYTGVGCNDAVFFPSTYSRTIPNARIFYSAIIEFGDAAFEDYRNLDHEIKAFIANTTNNLFAALDSAYRAARYFPDEDTIFTSYTATLNIDSVESFFDDCSHEINLEEAAKEMRKNILRSEIPNKALFMKFRPTDKEFLALIGLSLWNNVTKNREAILKESHVMYRNQGIIDYATRLGELLCLLVNIERKSFYSKEEIEVYRLMNLFNEAFDDIDQ >PPA05941 pep:known supercontig:P_pacificus-5.0:Ppa_Contig14:708149:709694:-1 gene:PPA05941 transcript:PPA05941 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQYQPGVPIPPGVPVPDGGNWMPRPAMGNDVPPGLEYLALVDHIFVKQKKELMEIALGWESNNKYVIMNGVGQQIYYAFEENDFCSRQFCGKNRGFIIHIVDNFQREVMRVSREFRCCSGCCWYEWHHAQCAIPNSCCAHQITVEVPPGNVIGTVTQRTSACVPSYQIGDPNGTPVLKVDSPDCCVMSFGCGDKEFKLKTMSNEEIGGIRKKWAGFLQESFTDADNFGINFPMDLSVCMKATLIGATFLIDFVHFESAPHNNRRRRY >PPA06128 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:77417:81329:-1 gene:PPA06128 transcript:PPA06128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lst-2 MLHFPEGALNIEKPDNLSQMFRPNLSLLTKIRNLLRVLTKRELAKVECVLCSGATSTSVDEEEVLTMKEFRNKRSEDTKEAPSPSHEREEDSNKDEGNESTSEEMNQEEHCDCATSGEDEEEEERGCNSPAQEEEDEEEEANGSSGSSIATDEDSEYETAPEVHRLPNDCEPFPADPYDLRSRFRSSEDLVHRLFVCIAGVADQLQTTHSSDIRKAICATIASRKRLGTKVLKLILQPTEVIPVYEVKAQPARTEVGEEEVGVEVQESLPLPSFIGVRWVPDSDCTQCTACTSPFTVVRRRHHCRNCGRIFCNRCSAHSICIPELGYERKVRVCNLCYLHRMNPFGNCAPTNSATVSPIQPSATPPSGEEEEDILPAADNEQPTTLAIVEP >PPA06149 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig140:236763:237283:-1 gene:PPA06149 transcript:PPA06149 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSEDLNLYQTKADDSKEKVHEKKHDAINGAAVDTPMMPANANYTFSEHSQSLLR >PPA06139 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:177412:178840:1 gene:PPA06139 transcript:PPA06139 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSANVPLGSVVVVEVARMAVQRAALHRPSHRRRNPESLESLACRTIVKNIKSIHLIEKLRLPPMLHHQDC >PPA06174 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig140:357701:360535:1 gene:PPA06174 transcript:PPA06174 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSLSDTVDNTISSQSLFPIHKLSNEIVINIIEKMDMKDRKALAQMNDRMFGLEKMARYRQFDSVNFATANGDAFLSTTIGWSYEFFYISTPAQEISWHFRHAKTKSLVILDRMDPDTERMLQNATKTLNFKELEIHIWDELCTPLKE >PPA06167 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig140:310819:312483:1 gene:PPA06167 transcript:PPA06167 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRHICSQKECIASGDLLISMEKKRSDILKRHIEITERGIPRLPQYPDETEFNSDLSNQLYSFNFLAREVGALRKLKRAQKMSKDSAHLDKEIVLRKREAMKLMIFNIGLENELLKLYIKTAVELDTLNENEAEINDVM >PPA06160 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig140:286824:289217:1 gene:PPA06160 transcript:PPA06160 gene_biotype:protein_coding transcript_biotype:protein_coding MSNENYSALCSLTFLVDLTYRDGKYAFEIYWQLQQRIDHFGSTNFEYAHRCSLLQGEILGRHKGELGQQIVRQRWLLNELEGIQNRLKDIDDREKLQVLRLHLGRLDADLLQSPVALPILPSFSCCGINVSECGIFNSNAKPLRLVFKGINDTYSVIHKSGDDMRQDALVLHIVNLMNDIWKEKNLDLRMILFHAMPVGYRKGMAELVSDCATLCDIQKTHGMTGVFKNSDLSSSEH >PPA06173 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:341374:344404:-1 gene:PPA06173 transcript:PPA06173 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRCCCGIFLIKTGSVIIAAASLIFCIYGLFDQYSLVPNQFRIEFSKNDEAKEYVHLKLDHISLFFPFFLVLCLLIGLTSGWNYPRGYDSHPWNIRNVWVKIIRDIFRGASSTANLVHPQEK >PPA06147 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:230662:232271:-1 gene:PPA06147 transcript:PPA06147 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFLLSSIFFTLSFSQGIVPNSWLCDWMGIEGASSALKTKYKTLITNLNKDKTLKAQHTRIAAWIKANSADMPELKKRVETILSNKVMIPNLMVPESGPVAVERLSSGESDPRDVSAAYKTPETANKQVVENAIYNSKYWLAMRARDLNFINDIFDRIAPELSDDKLNEVGHPHTPSL >PPA06169 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig140:316304:319133:1 gene:PPA06169 transcript:PPA06169 gene_biotype:protein_coding transcript_biotype:protein_coding MVGATLKMTSAPFPLLILISIAVIAQGSIHERAEQHRRREFNDDKEVIAIDVLVKNPNQPSNDLDSFLDVAMIGVLFMAVTLLMQAIRYSYRKTRTALTPSSPEILPNKEVDYLTNHSNPVFLPYSAP >PPA06155 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig140:258667:259679:1 gene:PPA06155 transcript:PPA06155 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVLLGKNMTNRSPNMEGCYRPTGPGRWINHCIVVFLAACVVREIYVPYCSIGTPRMVNGDLIDLDDMDREASTTNEDVNLAGVRSTTWSLLHRILLRPFLPLNSIPPHPHPPSTPLHSERRASSSPGISVSQSINLSSGSDC >PPA06142 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:197711:203651:1 gene:PPA06142 transcript:PPA06142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-53 MYVFFGFNPVIRFVTRVQIWDFESRQWSSADVTDIDAGRYRHTSVYYEPQHAVLVYGGILQQPASGDSSNNANVTTDKLIRFSIARDGSGYNWESLEPSGTPMYMHSAAIINGMMITAGGNAYMKNGQLTDCFQGIVLSYDILCNKWTTPLPSPLLRRYGHSMVSDGEDYAWVIGGFNGTMLNDVIRFIPAECVAGSKSPSECAKITQGVQCVFTNGKCTKYSTSESFPADFLSVISGLPAKKSIQSAEPKCQNTADDRSSACEDSTDCLSCASHSGCGWCVGSTQCLSVEQECVAGQDMIRSYTSCQSDLAVREQHRSCSSVSDCFSCKLMTHCNWFGYEGKKSCVSLREQGRRVSHEGLRLSAQVASEMAAREAERLASSKVPVRLTTSLASFPSGLPSGQNFTLCPTPCPEYTNCTQCVENKCMWCGSQKRCVYTDSYLISFPYGQCYQWTTNIDSSYCEIESGECSEHKNCSMCQLDPNCGWWDDGGNTGQGACLEGNNEGPRDPAPSVRGSWHFVGCPLCQCNGHSECYKSMESGIDVQKCKECGNNTTGSHCETCAEGFYGDTRNGGICKPCECVGNADGCHPHSGECYCTTKGVVGKLCDRCDTKYIGNPENGRPCYYELTVDFIFTFKLKNEPPDDHVSEIYLFSVPYKPRPQSPSISHRISSTGDRAIRR >PPA06131 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig140:95145:95994:1 gene:PPA06131 transcript:PPA06131 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLPVWLSYTEFILTSIAILWVIFMLFIIATSQLHNVCRALFCTNVTAVLIGGVGQISIESTSFQLCSFIRPESLNARFEVENGSV >PPA06168 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig140:312680:314478:-1 gene:PPA06168 transcript:PPA06168 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRCCCGCFSITTGAQILAALEVINVLGGAFYVDDSLIAGKNIIRYSGLGLGGVITLIAAILVFVACSKKKAGLMLPMIILAAVLLFFISIICGFCVYILCDRKAMTEFTKNFNKTGNEEYSETATSISKNSEASPSVLQN >PPA06136 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:137098:141337:1 gene:PPA06136 transcript:PPA06136 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLQALLLLVSCIGVTSAAKPLCAPPRVSPENPNVIILMVDDLGRLPIRLGVTGGARVFIPQDIGGLPKDETTMAEMFEERGYATGMIGKWHLGINEETSTDGAHLPSRRGFQYVGVNLPFTNVWECDTTKTMFPDGPNGTKCFLYDGDELVQQPMIFDDMTQNLVDDFKFFIHQRKAEPTRPFFFYFSFPHVHSAQFANNQFLGSSMRGLYGDNINEMAWAVGEVLDTLRASGMAENTLVILMSDHGPHAELCLNGGSTAGLKGGKSNSYEGGFRIPFVAWQPGTVPAGRVSHEVISSMDIFRTFKEKLPCPEADEDKEDVVLDGANIWAELKGTRPKGTFDLMSKRPIIYYCNTHLMAIRLGKFKVHYKTSPIFRNGTYEGTKQMCPGGKPLDDWYVSQKCPEEHLISHDPPLVYNVAIDPYESYPLVDDDRVTQIRAQASRKILEHRMSITPVKQQLGHFNASVMPCCNPPSCICNKLKKRPFTLLNSPTTSPRSDRFQRALRRAMLEKEELNWSDQEYDQLE >PPA06175 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:363760:364881:1 gene:PPA06175 transcript:PPA06175 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSLSVLLIAAALLPASEAVKCDDKLGWECGPQVRLCMLLLEQGASLPKDCIDHGLCRKEGVFFDDEGNYCSCKYNCKTR >PPA06170 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:322178:324239:-1 gene:PPA06170 transcript:PPA06170 gene_biotype:protein_coding transcript_biotype:protein_coding MNYARQGNHKLPHVFDGTNHVLFNGSFFFQRAGTPRIGKYELQTGAYSEVEIEGAAHRLDKYLFNRSFNYFDLAIDENALWVLYHFEDETFLSAAKVDINNLTIYETFNLTLVNHTEVANGFVICGILYLVDSSFDQRTHISTSFDFYRGIYNTPNYHWLNLYRHSNMISYNPYDKRIYVYDHGYLLTVPAHLQWLANVYPSETIIFRTIFLLFA >PPA06178 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig140:372953:374505:-1 gene:PPA06178 transcript:PPA06178 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYKQSGDIVKSKRKSRSRKPSAVVQREDDDYDRCYLGVRSKRGVESNLKNPGQFFLYYERPREGEVPTAVQLKIAYLSADSESKVNGNTIFLCSFSGDRFIHYEATNDTA >PPA06146 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:229504:229972:-1 gene:PPA06146 transcript:PPA06146 gene_biotype:protein_coding transcript_biotype:protein_coding MWSEDRMYMNFFRSHYDVIKQVVLTRITNNARREIIRKHMEEAESADDDNEPENAIMNLMEPMAAEFFDGCDI >PPA06157 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:263165:266726:1 gene:PPA06157 transcript:PPA06157 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARESRDEELEFVLAFSQKTFLEEEQRRIANDNDLIHLEGGDLQERERQETIRKIKRLYSHPSNLNTLDQHTPPHHQQLYPTPGSIETCHVDRVVSAADDAAASAAAAAAATSTDHTPARTLPAHSRVSSRRPVLVLPDRWPVRSPSEHLGAPSAVPADQANQRIGHSSNTTELVRTEHILLASAHRIVGYAPATAPDEEKESE >PPA06134 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:118931:124990:1 gene:PPA06134 transcript:PPA06134 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGIILLLLIVPIHTAPVDYYGPEYDNVPEIELMDDPTLNIRTPGASIDAVSNVLGRLPKWVSAEFDAIVITGSNERLSEGSARLIGKATGVQIEATSPVDALYAIHAYLREFCGTMIAWEGENVPKNGDCMRPKEFERSFNSPKVRYFGNPCTFSYSFAWWDWSHWERFIDWLALSGFNMVLAPVGQEAIWTELWSELGISQKGLNEFFPGPAYLAWHRMGNVQALGGSMTPEYLESQLELNKKIVKRLTDLGIVPVLPTFAGFVPNEFESKFDQLSYLHNSCWKKLNETYSCTTSLHPQEPEFKTIAKAFIDKDDDVTRMANAIFDGCTMGNSRCIWVLQSWTFGYDGWKKSTVKSFLTQVPKGRMLILDLQAENRPLYKEYEGFFGHYFIWCLLQNFGGSTLMRGNLGKLHETYRDALTSESSMAGMGLTMEGINQNYVVYQYMIDLAWTEKELFPHEWIIGYAGARYGTRSPVQARAWLMLLATFYTQVGHEYDPYKFLDNAEMTEEEQRREVFLYLRPKFSQRIRYWFPITILDKLGNAFSLLNRTLNGNELFRLDYADVMREVLQNKLSLRIEFMTNGYALADEKMMKKGCAQVEEAFDLLDKNEVHNLSDWIIMAREAARPKTEADAFERQALNQVTLWGPTGENNDYARKEWSGLIRKMNTLTMPSSFISTTRNVGPFSVTLFNRALDTIRRKWKRDC >PPA06153 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig140:246202:248304:1 gene:PPA06153 transcript:PPA06153 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIHHPVLFVHGNSDSALYFSKDSTGWTNSVRYFRAEKMDIIAHSMGISLARKAVQGGTVHMTEDQCDLGPSLAHRVDSLVAIAGANYGMCLCLNEVAVNMPACGPEGFGPGTCGRTEDGTEENCRVKENSCERDDYSSVLQQINKGPKEAAFVASLWSNSDHVLGINNVAWGRTTSLVKDLTFADQFTLVTTHSRHGGRPRRIY >PPA06150 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:238670:239785:1 gene:PPA06150 transcript:PPA06150 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIFLLVSLFPLASAILSDCEKEFLKNCRSCTLPKVPSDSCPLVGYNCEVDEEELGKFEVSNGKFGRDCDSIRCKEPGATLHVDGVPYESIACSENLWRFGKHYSLNTTTAACFKRCDNCRTTLEGPKTKRAEIIAPVVGTTCASAKCPDGYQLVGKPVIGQEHVAFGESVVSCFGDRLWDDGTGAKYTSVYCKLIEATK >PPA06143 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:206603:209443:1 gene:PPA06143 transcript:PPA06143 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSYLLYFVIAVLLCFIILLVVAGLLWMVKLRIEAYRARQNHINELVHSGLLIPLSVITCSTLTSSPDRPLLVSHINPRSRATREWVIRTSQREEMDPLRPDGPFTLPIAGDRSPSPTLPDLYPVLGRRPDGTLILDYSYSDSRDPELGLPPSPVPSERFASFIDHFYREVPDGATASPYLIEKCVEPAGTVPSAIETVDTEGLPDIPSYARMPGPVPSSKEDELPLRRAAEQVAPFLLHSREASPPLTRRPPPYIVLAELRGDDGLWHSRGWHAPEAPPPANAPAYCGASRVRLGTDAEQAGRVFTDARPFAWDGDWMNKLIVSIGVVVHASWLIKRKTRFAKRRAARAWRVLSGHSRIVPEDYLDPALEQDEDFGFLVKEDAMNSRPSRSIKLDLSAPGSNLAPTPIAIEPRANYKVGLVTVAMRLPTGGKPHTPNGTSVFPSTDQ >PPA06179 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:377901:402941:1 gene:PPA06179 transcript:PPA06179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-npp-3 MWIKTQGVSQVILSFDGFENADDVKRVAELIRNEQWRLANVLKNPNDPSAESRYEFIFQRSSTVETQKLKGPSPADKAKMVPGGTVQLGDDGPIQLDATIASMSLKISEAFALNEMQALDLVLTAEIKKMSFDGLGLGLVAVVLYYDAHRLLAHTLRALLEWTRREELPAALSSVIKSSFVNRGVFRHLLDLTASFTVHNEFQTLATKHQGLGNLLHQNMLRSMIEETQRVLLDCVYLIVGAPDFAQAAVTDLCPLLKKLQPGDRFGHAHMVAWTALVSTISPKALQMAPNESSAILSTLLEEVRNETAWGDQFLCGSVQLAVAVGIRRLQLSPVDHAAAAAFDADMDVLAGRAIVNHAFEIIRRCIIQNDGFHGNETNIQVADALLKSFILLFPPKLMEMERHSEDELAGLDECAANGSTPSHAPAMHFTHFLESMREIYQKKQPTEQLFPHIEALLDELSAQFGLDGSQQLVQFCEMATRPQHAMHSVAFLDLLYSICRTQSTARSLFEIFYQIGPNDDGWVGWEQFMTALRSYEKLFRDGHPTMASTMGMMGVMGIGKKENINLEKSMHKYELAGLVSWCNLATKIVELDEKASMIVCDERGWTVIELAASLVAAPIPLTLKGPLLRLLGALGQRKAAAVRVWTALASFRVCALDENGQLGGIQRELEEKECSMRDYPSTLGAAHMLRSLLAHPLPASGHSFLLFLSNWYELEAAVPHIRSIVAPLAQRSYNNVHQMWELATVSLEALHNLVHQTHADTFAVQKRLPQVKILLELLNDSPLFRSVFSIISEDVLVSLHSHTLHRPSEAAAAAALRLLLAAVHRLQPLRSAIRAADSDALLATLESLFFAPFGQPERGCLLTHIAHYISKSLEQPLHALYAARLLRELSAVRPSMHTRIVHMLKMSDQLHHSLVRSVRRLLNVHEGGIVRYGVEERRVLPDDRCSVWVHEVSAMSSVEEVDIGMVQGETARLLLEVMAEGAEVDVSRENLASFLLGFEQVAGEAEKLFENDTMLTGLHALIDLVESFIESDSPLNLQYSALFEPAFRLLLRLVSIDAPRRMAILRHLRSTKSIYRLVRSPFIQKQARNLLDETRFEVAPTGASVSSMITGDILHLAAIELSFLLSSGQIEQPRAFYEALLETNAELDPHEQSTVNGAEASTLEGCSTLNTYDETTMCQPPQQPLLFCLLRNGRTVSMDLPVVPTFACFDSVKIQKLLDSCRGAAVFDVEQYDVAHVHWLLEREITSLAIEDPTQPIQEMEWILSFVASLNACLLASGASSVLLAVFYVLSCVTLLNVFAVHSPVAFFTFEPQLAALLDSSFVLIESASTHANDDVSELIGETLQRVVKSACHLTRYCTQHDVELRRQILARLLHPLLEVMVEPAERTIPVKLSIYGAASACLREAVAATGEEEKKKDAEQGDGLDWLLAPVGEMKRDPVSWGTKKASSNEEERVREAVASLSSELVNYITRDILDLPIENVVTPVRVLQSLLEEDSKGSRRLCDALALHGLPRALLDLVATLPMEGEEREKRGEKTARAVNAMLCLFARMALSCDSLWRSLADLAVPEMLMQLDWIAQPPKQLFLDPTSIKKSGSPSFDYAHTLSLVLRTCTALMANPSWKVLSLQVVALIASQTDLLNQLMRAEVECEVLSTSATLINFIYQHDDMVRGPIDASRTLSTLRTRAESVTKPEASGLKADTKPSFAAPSHL >PPA06137 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:142259:147337:-1 gene:PPA06137 transcript:PPA06137 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLKMLHCKFGLRVPQTNQYSISEEFSSFEITSESREITEGSAQLIGTMEGVRVVATSPVDALYAIHAYLREFCGTMITWEGENVSKNGECKRPEEFERSFRSPKVRYFGNPCTFSYSFAWWDWSHWERFIDWLALSGFNMMLAPVGQETIWAELWTELGISQKGLNEFFPGPAYLSWHRMGNVQALGGSMTPEYLESQLELNKKIVKRMVQLGIVPVLPTFAGFVPKEFERKFDQLRYLHNACWNRLNETYSCTTSLHPQEPEFKTIAKAFIDKQRSIYGDVTDVYSADPFNESPPPHLKDGDFSNMADSIYQGCVMGNSRCVWLLQSWTFVYDAWAKSSVRAFLGQVPKGRMIILDLQAEKRPLYKEFEGFYGHHFVWCLLQNFGGNTQMRGNLGKLHENYRSALASESSMAGMGLTMEGINQNYIVYQYLIDLAWTEEELDPQPWVASYASARYGTRSKLQTDAWNMLLATYYTQVDIKSMNEFGVVEISQLNEREERREIYLYYRPRFSQTIRYWFPSELIEKLGKSFALLNRTLGGNELFRQDFADVMREVIQNKLDKRIQYATTGYALQDRKIMKKACMDMEVLFEQLDRNEVRDLSDWILQAREAARPETEADSFERQARNQITLWGPRGEIVDYARKEWKGLIRKFYSKRWGIFCEVIQSGARFSEKKLDERLLREVELPFGHL >PPA06176 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:367442:369290:1 gene:PPA06176 transcript:PPA06176 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTADESSHLKQLPGATTGRAALSGGRGSVRALDGTPERVKLRKSNVTDLTPVRFEGRQISYAEYYQKKTVRAHKLRPLCVDTISCNSEKVKSQVYAKDRDSADAIHEALTPLQARTLGRHVRNFDARKWDEIAPDVMALAQYHKFC >PPA06152 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:243997:245498:1 gene:PPA06152 transcript:PPA06152 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIEVVIKHADMSEDMINIAIDSATQALQKHCIVGRNFGSYVTHETNYFVYFYLGQGIEYLIQSALSFYTMLKHNPI >PPA06126 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:53921:57852:-1 gene:PPA06126 transcript:PPA06126 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAATEAIYPARRKVSTRVLLFALLLSTLMVMLYFRHIESTPSLEYVQHQVKFEGRLPILPEEDPHLLYGDRIEAQLAQEPEGSLHSYLIFQQFDDNTEQTMWLLDQCPIKCMMTNMDAHIASADAMIFTPDFIDRIPLNRRQHQLWFLQLLESPVNTPNLIDFNGKVNYTVSYRWDSDFVAPYGRYVPFAKPKAVLDKAMSRKKKNVAWVVSHCLTANRRMQYAEKLAKYIDVDIYGECGKQTLSQEEMGKVLQNDYKFYLAFENSNCNNYITEKFFENALRNDVIPIVMGAPKEDYLKAAPPNSFIHVDDYESEEQLATYLKFLTTNVYAYNEYFAWKRLGRIEDSNLPCRVCLFLQQVSPKVYEDMEEWWHGKKECMYNIEPPAPFIQ >PPA06151 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:242272:243597:1 gene:PPA06151 transcript:PPA06151 gene_biotype:protein_coding transcript_biotype:protein_coding MWMDHECDDLTLSSQSAYFMSVKYADCELGPIPESSKSKWTFTDCISFWKVPKWGGIETTLEGDKIIHNTCALDSFLATLISQHRLDPRLFEKIGTASLFEKYLRSMLMDGKIDQVKDELIKKIFSNKIDKKGRYDMWASECEILDRLFEYSSKLLFNLKCRTCSERKKLTRCHFETQKKGDSMKQVVYDSILGQSDCQSCQGSRQILNVTSTAWFIPVDISLQKESPSRCDEILKEIKIGEYKFELGGITLFGGGHYVALIPQNFLTMGNTMDCT >PPA06164 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:300059:303619:1 gene:PPA06164 transcript:PPA06164 gene_biotype:protein_coding transcript_biotype:protein_coding MFAQRFAILLFPMKQMMQQGCIGFLLDARRLLAVALLFSITTQSWALFMMTDRVFEDEKGNPMVTCETDPTRIDPTTATWLSILEMASTYLLPFICTLIVDLGVLIWSKHFTTTFNETIISRNTDVSSTGRATSRILFRGLSSASTKSPRTRSNSDQESGMKIQSAEHIRLCNKKRGKAIKRCLMMATAQVLINLPYHTLQVFDEIYDFTNDQDWFAFYFYADALMYLIYLLQYPAVLVHIEFLISDTARNNKKRYRGPVMVEASVCLPNEQLFVHALESTL >PPA06132 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:108387:112287:1 gene:PPA06132 transcript:PPA06132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dpy-23 MARTSFLHIKKGNLWICAATRQNVNAAMVFEFLTRFADTMQSYFGKLNEENVKNNFVLIYELLDEILDFGYPQNTDPGVLKSFITQQGVRSAEQQTPIGGGGSKEDQSQITSQVTGQIGWRREGIKYRRNELFLDVIEYVNLLMSQQGQVLSAHVAGKVAMKSYLSGMPECKFGINDKLTLEGKGKSSEDPAKQGRAAVAIDDCQFHQCVKLTKFESEHAISFIPPDGEYELMRYRTTKDIQLPFRVIPLVREASRNKMEVKVVVKSNFKPSLLAQKIEVRIPTPPNTSGVQLICMKGKAKYKAGENAIVWK >PPA06123 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:19704:29965:1 gene:PPA06123 transcript:PPA06123 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPGGQQGAPQQPQQQQQQQLQQLQQLQQLQHQQQQLQLQQQLQQRILGNAAGPQRAPQTMPLAQHHAQQRHIEMMLQAQMARGAPPPNPNPPPPIHVVLPRNATPEQARAHQQAIMHLLTTGQPMPQAPEQDAAAAAVQQQQQQQALRALAAPAPAASSLTRPMAIGIAQPPQLQPQLPPAAPAPSPVLQQQTRAAAPLLQLPLTLAAEAVAAVTPGTPAPVAPGNQITQEMIDHHVAQTYDYHQQRLSVLMHMLDVKIDASYVRRKDPAVGNTNKAGEQHEEFNAIVQRATTNVDDVHISERIIMNELNRKSNALMEFCQRFFPRMTIQAVLNAWGVALPKDVTVDMVKILAATIEYKKKLTEVKINDWFDLFKHTKKPEGMHVLFKQFYESTGRPKCNEMASKVIEKAIENVSLEWAEARMRLCDFFSRTLFRKEKLQLVPNCAKSVMTEVDKVTAIDSLNAHIPSIAIGRFEFVPGRPPRWNTFTIDDIELLVIPADTTQHLDNRIHRGAPVLTFGRIADAPRDAAETSPPTKRRREMEHSVIATTGAAHPPDQPSTSGTQNQEAQAALLASLQQQHLLLRTQQALMQQPLQQPDQRQQQLVTLQHEQQQQRLQELRLQQAAAETAGCPQHLPPGQKQALLNVLDAARAAQAARTAEAAQPQTAPAPPSDEAIEAPSSSNSSSSPTSALPFQSAPTSDNKRATNSQSSGETVKKTSTSNEQVNVRALLMMLEEHGVRNVMADRQYYAILEGTLNSYVANKYNTLNMIEISAPNGVAPEIIWNRMQAEIKKVNRAYQELERKFANSLNQLLLNMEKSGSPLDGSISEEYFEVSMRTRRTFEDVLSLELDVSTSIGRMTICILKKMHGSAEAGKTVGDMCDLREQLDGQRIQMRVHTFNQNALNMISPTAEMLSRTAINMELANSGFELAYNCTTLSVVYAKAPTEIFGKCHILYDIIESMKKEERFKKLSFSRLIHISLGMLYLQGHVKHDPTKYTV >PPA06148 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:235595:236206:-1 gene:PPA06148 transcript:PPA06148 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYPIIDTYWFDMNYGDPSPTCDPTMEYSKMLESGPLCNCYLYELAVMGKKSMVKDGRVSCCLRTCYIGEKPLVSHVLKDEAEHCCA >PPA06133 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:113706:114996:1 gene:PPA06133 transcript:PPA06133 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMKESQISAEIDLLATGNEKKKWNKPPISMNFEVPFAPSGLKVRYLKVFEAKLNYSDHDVIKWVRYIGRSGLGCVHKEANQA >PPA06129 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:82201:84092:-1 gene:PPA06129 transcript:PPA06129 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALYPRDSDRACRDFRVKFPDEIIHDSLPGQLWFGAECLAAGSNIIDHEYESDLIRPLAKDLTRHLDSLRDMLKDQSLRDPSIYTDHIKDSLLKFDHLFSEFEFRYVSAMVPVKTVLEHDAQQDVAVLFSEVLEMAVRRGLLTRDQVDYCDPIVMIVIPRLAIVWSVLCL >PPA06122 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:13484:17485:1 gene:PPA06122 transcript:PPA06122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sma-9 MDGTGGGPPQSSSASNPAASSAASLTSISTAAAAVLEGIMQPSQQQQQQQQLLLQLQQAQLAAQQQQAQQLQQLQQLQQQQAQQLAAQQQMQPANDVLPEWPDVRAAGGTLPRDIWQLAKALSKCPYLLISHGLHSLGYAYTLVQGLLQLQQQQHQQHHKHQRQQQQQQAVQQQQAAVQRERQAQMDLAALHQQNIQRNALLAAFRPQAQAQQQQQQQQLQHLQQQQQQQQQQQPPTQRPPVIQPAHPFAMPNELQMMEQAQAQAAAANFAGMSHAERALALRLQHEESVRAKAAHEKENANLLHALQHQAREHQEKARQEERERQATAAAQAAAIAAPAPVIPALSPEELQRFIVQLPPGLLTVLQLAQLQEMAAAQQQQQAAIVLRATVAAGGGAPETTRAMLAAIDAQMATNNQQLQQNQLATALLNHQITVNLSSSSKRKQHYLAISKHNW >PPA06154 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:255179:258615:1 gene:PPA06154 transcript:PPA06154 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARESRDEELEFVLAFSQWERERQETIRKIKRLYSHPSNLNTLDQHTPPHHQQLYPTPGSIETCHVDRVVSAADDAAASAAAAAAATPTDHTPARTLPAHSRVSSRRSVLVLPDRRPVRSPSEHLGSPSAVPADQANQRIGHSSNTTELVRTEHILLASAHRIVGYAPATAPDEEKDHPPSTPPPHTTATPLPVRLSSVVPVHDECPS >PPA06138 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:153981:159831:-1 gene:PPA06138 transcript:PPA06138 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGVIVSTTHIPLTSDDSFLHSATCLGISGLFTIAALLLTIHQIYEHLRYYSCPTEQRWIVRILFIVPIYSFDSWLSLIFSQNNVYIYFNSIRDCYEAFVIYSFLSLCYEYLGGESNIMAEIRGKAIRPTNYYTCTCCLAGKQYTIEFLRFCKQATLQFCFIKPIMSLLTLILTPMGLYEEGNWSLNEGYIWITLTYNVSISLSLYGLFLFYTATKDLLSPYRPVLKFLTVKSVIFLSFWQGFFLAVLAAFDVIDQVEKEGQVIASRATIAAGWQNFLICIEMFFAAIALRFAFSVSAYADAHVASNTNEGRPVTLQSISSSLKETMNPKDIMQDAIHNFHPQYQQYTQTWER >PPA06171 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:325544:328643:-1 gene:PPA06171 transcript:PPA06171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cof-2 MATQAEVSAHLSLTLLTLIAFAHVNWRLNQLDEEFDAEEIIKVPIVQEGESVILTEQDVYEYERVKRAINMSNGNDLWLTSLSKIKVSALIDRCMDLHQFCTEKSAADRGPPGPTGAPGRVGKPGSPGPVGRPGLMGVPGHPGPIGPPGEAGLDGHCEGCGVDEKFLMEREYQCPKVEVQEVRCATEGGSVDGGLHSQKVINAPPPDFIQRYLNTSIDDQDECFKLCLANRTEIEATTEAADTTETAYIEGATAHCKLQSIGKPIFHSHATTYYGSWMRDAYPRTGDDMQKRYLVNHFQGDEISEFATEADLRRQIIKEM >PPA06163 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:297746:298667:1 gene:PPA06163 transcript:PPA06163 gene_biotype:protein_coding transcript_biotype:protein_coding MSLANSSGPSSNSLATWMFVSQLQYWVVLVVVLLTLPLIIIGLLRLRRESALPISSPCPRFSSTLSARASSPT >PPA06127 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:62537:64089:-1 gene:PPA06127 transcript:PPA06127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gst-11 MVMGVEDLLVKLQPWFNEKSEAKKITNIEIFRTLVDEEIVPFLTRYERFLDASGTGFFVGNSITLADISLFHIFWFFNKLLPGALRKYAGLTGFQARMNGQERLKEWIDSRPKTEA >PPA06144 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:222741:223198:-1 gene:PPA06144 transcript:PPA06144 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPRRSFTISLAPGSPLIPKYSTFRSVGPSFTFPLFSSPGTWKPETFGRGKKQDPTY >PPA06124 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:41256:43419:-1 gene:PPA06124 transcript:PPA06124 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRSLFPLLLLSLASSLEVIEFVPDPSGTIEKRQISIKGPVRLICKGAGSSLTWQFEEGLTIPKSAKESVEVRVDLSVLIRAEFVTRSNFEFSGEARILDFEVFDESMDGLYTCLRYETSEKKRMRLFFFDDVMPAGFHVCAADQKAQCVHAKSCRASDESNDVSCVCMKDWEGPRCDAAVLRGGENTAIPSVGLEVFQLP >PPA06145 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:227272:227930:-1 gene:PPA06145 transcript:PPA06145 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYQFVNWRGEHGQYHTNGLYYWNYPEQRRDVKTDPFPVKTEEQSKPNSPAEQPPRPESVPLRDEEKPPTNNTWH >PPA06140 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig140:179447:180240:1 gene:PPA06140 transcript:PPA06140 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNIVAVGVDKFAHSLKAHRSLPQSFLSRSEHRRAQWRSLLVSCDAPTIRVAKRSDAGSTTWNDCSHQSK >PPA06135 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:126836:129576:-1 gene:PPA06135 transcript:PPA06135 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPSRAGFITGRLPIRLGVTGGARVFVPQDIGGLPKDETTMAEMFEERGYATGMIGKWHLGINEETSTDGVHLPSRRGFQYVGVNLPFTNVWECDTTKTMFPDGPNGTKCFLYDGDELVQQPMIFDDMTQNLVDDFKFFIHQRKAEPTRPFFFYFSFPHVHSAQFANNQFLGSSMRGLYGDNINEMAWAVGEVLDTLRASGMAENTLVILMSDHGPHAELCLNGGSTAGLKGGKSNSYEGGFRIPFIAWQPGTVPAGRVSHEVISSMDIYKTFLDKLPCPEAEEGKEAVPHDGTNIWEELKGIRPKNAGSLISKRPIFYYCNAHLMAIRLGRYKIHYKTSPIFRNGTYEGTKQMCPGGKPLDDWYVSQKCPEEHLTKHDPPLVYDVVFDPYESYPLVDDELLAKIRAQASRKILEHRMSITPVKQQLGHFNASIMPCCNPPSCICNKLKKRPYAQLVEASELVKKRRNTRSLEKEVFEELYPDF >PPA06177 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:369327:370128:1 gene:PPA06177 transcript:PPA06177 gene_biotype:protein_coding transcript_biotype:protein_coding MQNRVLREALLATGDSAIVYCDRFDTKWGCGLTIGQNPTDVTTWKGENIIGKILTLLREHIKSADLMFGDHESALTIRSATSSTVAKQLGRRVKNFDHVQWELVSTEVMTRAVYHKVSK >PPA06158 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig140:267678:270523:1 gene:PPA06158 transcript:PPA06158 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSVLLGKNMTNRSPNMEGCYRPTGPGRWINHCIVLFLAACVVREIYVPYCSIGTPRMVNGDLIDLEDMDREASTMNEDIWREFDPLYRDDVVTPPPHPPPSLPPPQLHPSSSASAIDTTPQRAKSVVVARDIVRNAQQRKSLPIRPTADKKETETQQLELDCLNDFRARTPSLFEQLDALHTDSQSPTPPLRFHSALAPVPTTADSVKIVVFKDHSWKQSSARRDIPLTVDTSVSVDFILSSVVLQFLDEEGGEDERGIDDYCLKVSDTTPTND >PPA06180 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:403758:404415:1 gene:PPA06180 transcript:PPA06180 gene_biotype:protein_coding transcript_biotype:protein_coding MWIKRSGLDDRGALSAMNMNMRSMENLASFLLGFEQVAGEAEKLFENGENTLFPAEN >PPA06161 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig140:289648:291288:1 gene:PPA06161 transcript:PPA06161 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSDGSEFSFKQSLDNFRRSCAGWCVATYVLGIGDRHNDNILVTRRGHVFHIDFGKYMGDWQRAGGFKRDRVPFVFTQEMCYVVNQGPRQTAEYQVFIDYCTKAFNALRAKHPTLMNLLKLMACSGIPGINQESITFVENNLMLSMSENEATVQFTEMLQQSLKSAFPRINFAIHTVAQIVSGGSLGGQDNNKLSFVPQLYKDG >PPA06130 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:90504:91669:1 gene:PPA06130 transcript:PPA06130 gene_biotype:protein_coding transcript_biotype:protein_coding MHYQVDGGIILTASHNPGGPKGDFGIKFNCENGGPAPDHVTDAIHKITTEISSYSICRDINVDTGIIGHHEFDVDGMGKYIVDVIDSVKDYVELMKTIFDFPTMREYMKGLGGNQLRILIDSMHGATGPYVSTIFNDLLGCVGTDLLRTVPKPDFGGCYPDPNLTYAKDLVDRMRVGEVDMGAAFDGDGDRNMILGKSAFFVCPSDSLAVIANHIDCIPYFKNINVAGFARSMPTAAAVDLVAKKKRIEGI >PPA06172 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:332397:339698:-1 gene:PPA06172 transcript:PPA06172 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDKRHMWTLEDWAMLLDHHLTEATSKHCMFYMGESILKLEEKELQEQEKKFKISETNENALKDWAPMENAALTKLSSEPKHGQAAVYEKKKGDAYKIIVTLPDPKFEIVVERRIIYLEAKGKELPEAKSNGEKEHLLYIWELAKLMDCVITYFIKRKSRSVMKERLTHAMELLSTVNSIGISSIRKACSTENLAFRRLINFMCVGSKSPFISAHNERRSADSWEGNAIVHEKKQMIAAIRAVAMGRKAHPLHAAIECGDLDSIVLLLANGVDPNAKNRQGHRPLYDALLNGDVNVVKALLLFGADPKKELAKGKSFKDVARANPDFAEIVNIADENFEYKALEMDEFERRRFEKAFIEIERSLNEGAEIHNLLSLDGGGIRGLVLIEIMDALEKKYGPALLDRFKWVAGTSTGAIMALALGQGKTIAEVRKLYFRLKDLVFYRKTKFNPYPVKPLESFLKREFEEGSDMRSLDNGASQNPEKRRKNVAVTTADYSETTAKLRLFRNYPVPGLKEEDNKKSMEFKVWEAARSSSAAPMYFPPCDQKYVDGGLIANNPVLPLIGDFVTCQEASALGLGPAPNSKPAKLGVVLSLGTGWKPLANAKQLQQPELQLSACQGFGIDIFGLPTYVQTFYKTYSQLLKGMKNQILRSESSLQNARDWAFSMNGAFFRFNLNMDDSFALDETNNVKLIDLMWRTRVHMRKQKTLVDLFVKLLSKTTMESDGKKPDEVSVDTAIDQGEDKVSEFAWSDNDAAPPPKIEPSNETYVASAKAELENDPRARAQFFENDKLHLAISPDGENYLRMARKELEVDEKRVRARARFVEHENMPLAIAKEEYVKYIEYAREELEFDPNAPSRARFVAHSNMPLAIEKEEYVKYVEYAREEIENDPNIRPRAQFVAHSNKPLAIEKEEYVKYIEYAREELESDPNIRWRAQFVKNWNKPSTDIDDLPTPPPLPPSFDDIPDIDTADDSFTPTMYISPDYANELGVPQKPLRRF >PPA06162 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig140:291746:295311:1 gene:PPA06162 transcript:PPA06162 gene_biotype:protein_coding transcript_biotype:protein_coding MYRMEVIRANSVITSVVSRSFSELNEFYIKLRRRFPSIPFPQLTRGSNMRTNVLADLKMDDEGIDDPWNDVESSELLERRGLAYERGEQRTLGTTWTRVAERRLVDVETFIKFLFTLKGEVAHCDLVYTFFHSLLRDNGGDVITETAQAGSAPSNSRVCLVNLKLSYNQSKALLTVFVGHGKNFAEASPGSPPDSYIKTYLRPDQRKDTKMKTAVVKNSCNPTFNSEMRYEHMESTSSIERRLLEVSVWYSGSLENSKQYAFFFPLKKLAEAPMDRKAIRFLDEWIELRRSSSGADDYAYG >PPA06125 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:46290:48506:-1 gene:PPA06125 transcript:PPA06125 gene_biotype:protein_coding transcript_biotype:protein_coding MMHYRRTATYWIAYGEMMWITSQFAFWMAQLVVGCTHWALLAAGFLSVIVQSVLGVKFFRLRSIRIYQLGLAIRMAACVLFTAIAAYFLQLDAPKKESEELPQIFTFVHNPHSLIRLKAAFAILQFVLLAYAFWIARRCYSYIKFTADTLEVTQCCDPEKTITIGMPTNVRE >PPA06166 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig140:308475:310537:-1 gene:PPA06166 transcript:PPA06166 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHQFQFMPTMIQGAAYNCSAVMPPGPEWAEKYGVRRIPFGIYSFVFGVITEVSVNSTHQSMFFSPYIPGHSVEEYVNWAHAAHNITVAIFSCLLYISLSAVLLIKKIFVQVVIICGSNLIGTLMLSTDDLSNTKQKYQELYTSVAWPEYQGHVRIFTQYNTCLLRSNRLYLAELQCVM >PPA06159 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:277550:284722:1 gene:PPA06159 transcript:PPA06159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-piki-1 MRRVPLQKYAVNHWADSPNAATFNRVPDHYDTHSQISATCVIDPEDIHQVSLHLKPELMLFEEAVRENQPLKVAHHQLKIKQLVKLLCTRCGNIQPVGLHNRLNKYLNAGSAQLPSARNDMIGSLHGLIYSFCESSLSMHCLAPLRKPSDIVRFVDNSEDRLHVMANSLHNIPDEWSSNYREFLVRVHFYHGVTAIETAGEARKKIKTDALFPYIDLSLYATFDRMLCTTPREGKFFFSVWGIPQAVQTGDSAPADEAPEHLGSATLPLFDHNRILRRGQFFLPLRADMDGITEPWGGMPIIGTGQDAVLAVTLPVGDDVIKFPEVEADQEENRARHESSKYAFSTLLQSEQELLMDLLENQHIELSQDDKELLWQRRHYLTDYPEALALILESVGDWSVAFLPSIYECLDAWAELPPIMALTLLLPNTGGFGQATYEQ >PPA06156 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig140:261120:262324:1 gene:PPA06156 transcript:PPA06156 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYKGRPEEPHFLCSWVEDPTPWWTPCRHASPKNPENRAGVLLMLRKLFKANPSLDLDPAIIEYFQSKNLDWRMARSFGRQGITDLNQKKPVVKKPVVEDKDSEEGSEEDVARGRAVERKAAVWNDKAPQRCSNVRQLHRNLTPIAAFSTLFECTSTPSESQPNCRLLNAVRMYVNSLGI >PPA06141 pep:known supercontig:P_pacificus-5.0:Ppa_Contig140:192205:197016:1 gene:PPA06141 transcript:PPA06141 gene_biotype:protein_coding transcript_biotype:protein_coding MVRASRRIHDLSTPITDSPPTVNYPSTANCGWLVDVANSTNLKLTVSNFSTECGWDYLYVYDGEGTDGEQLAALCGQINEPFELNLPSAKSFAFFFSDLAQNHDGFTVTVEHNNCPYNCSGVGRCLPSGECSDCPPGRTGRYCEQQFCSDDLDGEKGPCENSSKCKKGENGQHAYCDCNQPHHGDQCQVVKSSSLWERDIIRSGPQPRGRASHASVLITRNNGNKEIWTIFGDRFNNVPNFGEIVVYDTEKQTWNEIITNGNRPEWRYDHSLVYYKSKIYLFGGMIERQRTSAELWSLDLTSLVWTKEHGDNKDLIKFEDVLKCREIQKCLLKEE >PPA06165 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig140:305002:306191:-1 gene:PPA06165 transcript:PPA06165 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPGTKLDLPTILSQVPQDNTVYGNASAASIVCVLVLSWYDNGRQSVSEIAYAENLLNLSIAGVYPICFLFMSGEMRSVLVAKIRPRTPTRVGSVSIAHPTSPVYKHDILFS >PPA06181 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1401:69:2162:1 gene:PPA06181 transcript:PPA06181 gene_biotype:protein_coding transcript_biotype:protein_coding SPLFECCTVLRCEVHMRKNCKDALSDEGDSVASFVLGFTSRGCRESGLMDCCTVGAANRALLSVKERKVFSPGAIEWIEDRLERYYKTNGLPNRLRVCQLMGRRPLGVKNLNEHIGKLRQTLIAECRQAPFGGASLIIGGSPLIYRSIWMKFTQSARDAHLSKVGIDPAGVVTLPKLPEVLCMGRTQGEFAALITSTRDLNVEKRPDNSFLIIHRETDDSLAVFLNAEFGRDEAVKHSSYLLNMDAYRLKQIKNQLRSFDDAKGGKKGGIRRWGGESTKSSHGRVCLAVEQGDMKPQTRRPLALPPPSRTRQTDGMDEDYTQSDQLDMDVTYPATDDTISFTEAPSENKESMFTRLKTAGRIYNEEKKREAEKRKMMFDEEDDETTVNVVVESSVKPANLGNEMVEAASSTE >PPA06183 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1405:41:863:1 gene:PPA06183 transcript:PPA06183 gene_biotype:protein_coding transcript_biotype:protein_coding MVEENSVDDGAVLPSVLTFVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEFDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVE >PPA06184 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1406:842:1223:1 gene:PPA06184 transcript:PPA06184 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEEFENYIETVFIALRVEAQKEKKTPVLQMDNAKYHSRVRGKMPCQNDRKEVMSKWLKDHNMACPDSWKKKEMIEALKQLDRRDYNVYIVDEMA >PPA06217 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:211899:214930:1 gene:PPA06217 transcript:PPA06217 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVKEKILQQQSAAGATGCKKCRFDRFAEVLSKASARDNETEKANAGSSTLQYHSLAGQDATELQDGMKMRSNLAPSSLFCMTRKSGETATKPIAYHLSQGEFDGSKIRFVPATYSVIVPNMRILASAMCDFASMTFPDFGKLSALNRGFCDGWCIENSFRQVAVIDATYRSEHYFPNELHTYFASYTTIVSEATIGSFLDDCPMETNFEEAMESFKSGMERARSMNREFFHRVKPDDFEFLALLGLAFWNTEVAIVNEELSDVVERNREVILNELQECVTLDQHDIEIYRLQNMFNEFFPHGPATVMDECGKHCCAVSHKHT >PPA06226 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:253759:258898:-1 gene:PPA06226 transcript:PPA06226 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFHADRAAYVNSTVTKTNQIIIRLDKLINECPPDLSNRKAFERSIVPWTADSSVSVCAACAGKFSLTRRRHHCRLCGVVMCATCSRFLSYLSARKLTNPALAAQMLAALSSTAREESTPLVSLEPPPLLPGQTGAKAAAEAMVGLAKKSSGQLMSLLKTAKEVVLTERTPSAASGIIWVTIHRLALILKMKLCNNGRFL >PPA06227 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:261121:264824:-1 gene:PPA06227 transcript:PPA06227 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDDPSSTTSAVRQGFICPFCLLDLGDGVTLTAHVTMKHPEGGPVEDAVDQIKRGFLSHARKLQSKASILLEGIPTTSGAEHIRKWRNVTAMGVYKLQSKASILLEGITTTSGALDGRSASSSSALLPSASGTTGERRSPPQAERRVQQLAPKPVGRLAGFLTF >PPA06250 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:360929:363441:1 gene:PPA06250 transcript:PPA06250 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLIFCIAVVTWLIHGIIRRRHQMRIREKIGLTGPPASFLFGNMMYLFEVIKTKGVEATPYIFPLLEKVYGATYGFYYGSNLEIVTTDPEIIKEVFISQFGNFVARKKIAINMVYPFLDGLLQVDHEGTKGAGWKEMHSVISAIFTSGKMKKMHLMFHDQLEHLVDELRSKSKLNGGKMDIYGEYQAITMDMIARCALGQNISCIKDRSNEYYNRALFFPIFKYLRRYSIFGREEHILIQNLSEIILERTKDRAAGKFRPLPDLIDLILAENEKRVENGEKPLHHDIVVSNAWALFFAGYETTSTALAFASYLLAKHPEVQQTLYEEITSTFEDNESIDYERVMKLPYLHAVFSETLRVYPPVITFTGRRCIKETIIGGNIRVPVGVSVVAPVHAVMWNENTYERPREFIPERFLGDNNKAVWSATHLPFGIGPRNCVGARFAEMEFKTVLAEEELKTITANVLHGPKDGQLFVKLIERT >PPA06228 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig141:268878:270583:-1 gene:PPA06228 transcript:PPA06228 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRSRDDAAQRVGETLLLIPAIQSTAELSKENFHLIRVFQIFEYDNLLDDVFNVSSSPDNQKVPENAETDADAAKIIEEKRRAMNPCHIYYLKHELTFR >PPA06240 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:332714:333165:-1 gene:PPA06240 transcript:PPA06240 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLLVKGQGNNIADTSVFANSDVNEIKKQLQIPEHSDNSAQYGFQLFKTPLVVLNELEKIGWKVINVAGPEAGSGIYMWTLHRES >PPA06232 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:315165:316117:1 gene:PPA06232 transcript:PPA06232 gene_biotype:protein_coding transcript_biotype:protein_coding MFVAILCMLQGVTHPQIKWNDRGLNWFLFDDQLDIAFYRAQKRIESISHNKVMHIQQARTIIIQCFVLLGFFLFPFIAPALSTMRNNVYAHTQTLIVISEMVFVCSTLCNSIVIVARNRVYRAAVMEMAGQMPFLIRKQTSRADLQTDSSTRA >PPA06220 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:236155:238138:1 gene:PPA06220 transcript:PPA06220 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLLVLLAIAASTVAYKDEMLSSNRIPELPEQTKWFGNTCDECKTVIKAIVGALDDPAKLEELKVLLKALCTMSSFEAQCMLFANSIEVFLKRLEPYLRDEEKVCRTMHLCSNPKLTTFNRIGQLYLKKIENEAERKDAANDFFCDECQMAVIELKKVVDNEQERAAIKKFFSEKVCKRYAPKYADECNLLLEEFLPKFWDALDAALANPKVLCVGVGFCPKESAASNKLAQFFKAVKAL >PPA06215 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:198946:208951:-1 gene:PPA06215 transcript:PPA06215 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGELLIVDHVKYRTSDAGKSPIGSLHLFTEYVEWRDSSSPVRHKIAFGDIKGQKVSPPHKPKVQLQIVMQDEGQSTFVFLRPNTTREQLVAERDTFKETLQQALVAHRQRVQQMTKSAESGSRDAEIVEKRRILQQSKQLEELYKHLVTSKLLTPQDFWTDYYKTTGKSEERTGMTGAFLANIAQSDTNGLKLNLNTNIIQNIFATYPMVERKHLELVPHEMSEEEFWVKFATSHYFHREREVLPNPDDPFADCVKADEEEISKLVSAGAKRKRLNFDYLSDNVVSEINGAQRSESSTTLSGKDKQRTTLIRRCNYLSERILQTQQVASANAAAAASSSSTTSAPGAANGTTNGVNGEGKSNGSGYAVLHRWMGDSAEDAMESAELAEEEQEMQLQPIKVADGTSLVVPEFTADEVARFRTIIHDFIDDVTQENIINTHDDWLLAPSTSNGASSNGRGRDSHDDEDDDDDDAAAIEQAVVAPARPRPLDPATQWGALTPYVGEIRVVHDAT >PPA06202 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:142955:147320:-1 gene:PPA06202 transcript:PPA06202 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPERERRSWFPFFRSRRAKKPPEPPAKPPVERAREAKVDKPRRHAPAVGIDLGTTFTALAYFQRGEAKVMQNDEGHDITPSVVHLGRTVQVGEEAVKSRRDATRSRGDEETIYNIKRIMGRAHDDPDLRGINWPFEIVEGENGRAAVKVDEFMHTPEQISSLILKYMRGIKREAMSEGTLPPANGEPIQGTNWTEEGIVSIIKRETGCMMDLANENSRRIERVEQRINYQYVRMINVCNKVLPANSDWMIGLRKAFVSMGLVPEGDADNQVLSIDLCSSRPKNKVFVVQVHEQLRKKVLSPSMEARKKIEDYCLGIGKEKKDTIRFHSNLTKGEELTRDKSKYFLGILIRYNESVGRNSYVVKLPTSGQLDRIILENKDKTKDWKRKFIDYAEVARMVGKPLESLLAGYEAEKNKRIESLKRAPKRPNSNSISNEAKRLR >PPA06247 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig141:354806:355713:-1 gene:PPA06247 transcript:PPA06247 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLLLATQFICTGEGLAGALLNVYLFCLVAVSKKSSMSDRVYKVAFLSSQYTAHIFREGTFITAVVGPLAHFLPRPLSMIIIRITMVLATMIWTLIPAMSTLQLITLTRNLHWSAGKRLVISFLFPCVCIAIVATTVEELIPSYEFENIMIRISQEVYDMNGTLITFGSTMRYPELNV >PPA06190 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig141:66153:67076:1 gene:PPA06190 transcript:PPA06190 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSLVPGRKIIIMSIASDPHHKPRKAALLILLLSRVDFTGLVRCDLRAMLLRLSGSLWPEWAYAFVYYYLYAQTFGILLISLHRMLTVAKPFSAVTKFLESLPRPLLHTVHLAVPLPATAVIFWAQPPIPNIVLHHET >PPA06231 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig141:309763:311924:-1 gene:PPA06231 transcript:PPA06231 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDHPPPAAGSLLFRISNQVLSLRSKATTIAAGATPAAVGSFVASQALRPLALVASLTRKLNTPANADGLLSKLQLEARGSYVNVSINMQLGRLPDQEKRDAATREAEVERIEAEMAALVARLEELRRMGA >PPA06235 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig141:318617:319266:-1 gene:PPA06235 transcript:PPA06235 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPLGWRVVAMAPLQPGQYDQSKPHPDCVNVVEPSVNEDRMVWTLHKEPIINYVNPSRHSGSSGGEEIL >PPA06218 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig141:214980:215240:-1 gene:PPA06218 transcript:PPA06218 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPMVYRILLLLCTLAAANDTVLACDRSLITGVQQAEITLSCYSNVAH >PPA06192 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig141:75748:78669:-1 gene:PPA06192 transcript:PPA06192 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGAVLQLRSGRVRNATSKSYVNVGIKSLNKAKKTLKISRIIPHPFFDFNTVQNDIALLEVAKSIPLGPDIAPVCIVKKDDKLLASTDVGVVTGFGVSLNQLTPSIFVEEPSDILLETEVTLQSGAKCSSKWRSLSGGTVKVTPSKQLCAGSYFHGTGAGDSGGPLHVQDPAGNLVQVGITSFGANSPEGLIDQATYPGEEFL >PPA06242 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:335586:336159:-1 gene:PPA06242 transcript:PPA06242 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLFVKGHVAHVGPTHVIANGREKDVRKQLQIADNVAQTEHGFTLSKTPLIVLDALEMKGWKVVNVTGPEAGSGLYVWTLHAADEENTMVYVFN >PPA06248 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:356342:357058:1 gene:PPA06248 transcript:PPA06248 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAWFVDPYSHGDPRLPHHIFPPKRLTPDQLQKQCEALVWKLNSADPIAISTRIANIKHERYFVREDIVEIGDKITLNFHDKLAELYVETYLKEEIGRLILDGEAYFDVKGHDNEWIRILAEPGDLISIPMEVATRFTTTPTNYVKMKRFFKKEE >PPA06245 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:342513:344329:-1 gene:PPA06245 transcript:PPA06245 gene_biotype:protein_coding transcript_biotype:protein_coding MSTERPVDVPAVLHIQVLDGSEPPPLPPADEPPAQVVDSIGDDVEAALRIHEAHDRSTVIMIVAVVVSIVCFSLYYLFSVALIVVGIVNFDKCPAQPNIPIYLIVAGATALGSSMLSCCCGSSCTSVVGTGADRREEPMCGRLINSLASLFGVAWLIGGMVWTIGASPTFDDSSLTSYCDYSTYVMAYGESVSPCCSSQQSSAAAVCALSPL >PPA06207 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig141:159187:160613:-1 gene:PPA06207 transcript:PPA06207 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPLLLLLLLSSSTSIITASKDASKEETRDAKDEIDHVLHVDTGPTQLVVVTSKDGPVDALSVRIELTDILDGRTNPPFVASGLWMLVNRGRYTIPFLRPDRWYGVHFTSERGFGDNHVAHSEYRLVKTPPKTGALLIENTQHEEETREEQRIYLRLRLRSFHP >PPA06214 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:190740:194152:-1 gene:PPA06214 transcript:PPA06214 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLRRYEQTALAEAEQRFGPEAFVHTRDMIVRAHEKYTAFQSRKKKIRGAAVKRPEFDFDYLLEPENLEKIRDEIKARKGVGDIDALHATWSEIQSIMGGERRVEEREYERLWDALYSEAARIPNRTYEGVPRGGEAQNGGKGSRRGK >PPA06199 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:131163:133111:1 gene:PPA06199 transcript:PPA06199 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQPEVSSHAIKDVKKSKEKSTKKQNVLSTPFGSDKSSKNFRLTKCTFEKNTPFPKTTGSGRGRPKGTTKAVLLVRAEANTDSQTEESKKRNKTKKGNESKKKEETKKSDMKEENSSKKKNAVHTLNALKNVTDSQKKKESKKEKESKKEVEPKKLKMKLSSLRRKSQPVVEHTVSQYPHGKVAALGHTDDFDVAEAPQWAGKEAKRFIAVFAPHHSIFDEEVVVGKRRRTEKVDYGAKRGKKNDATGDRLATMGAEGVNDGTVDMREQERDVVQHTERIQKRHRGAVNDGRGRLPEDATVINQIRLQEDVFATRGPQIVSRVDKKGQKEVQRRLKRRDIVMRPQDDVNDDVEVVRLQEHPILKGTEEVVMRQPEAINDDAEDPERSLVEICNFLADDFPTRYRRKKRRIEVMTID >PPA06239 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:328961:331516:1 gene:PPA06239 transcript:PPA06239 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLNTVLSLTHILHIPTMDEVRQELAFMTYEAEQEILKNAHAKFNADQLLTESSSYNFKIGAHLDHVENLNEQSESVDVHGAKWTSPHYVWNGTKYDQVNQISRMVGEYLPYKPWTPKPNFEPFATWLGREKFEQPDEFDTFVYEEASQLTINSDGSMILSVPFSLRLPCNFDFSSFPNDEHLCVLVVQTMHALELTFKQDESITAVWSAAPNKRTGDFVYRMKHTILDQWKQFSFVGDAPLLGFLPNLRSARLAVQSDDSLSQTDSGTLTI >PPA06241 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:333907:334511:-1 gene:PPA06241 transcript:PPA06241 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLIVATTHDRDGAITTCVSGADDPATRRRILEADREALHVEGDIAVNLDGVAVLNLLESLGWRVVTMTGNREMLAWTLRNELILH >PPA06186 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:3449:7368:-1 gene:PPA06186 transcript:PPA06186 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFLLLSLAISTLAVFAAAGKKLEDLSPAAREFLKKRENFMASLAAELQQLLNRYPAGKEYAEYIKQVEAVNESALSEDILSCSKVLGGLFDIPYAAAEKKPKKTVKNVQLSDFNGSNPNAFNELFQNGYCPDAGHGG >PPA06189 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig141:54935:59608:1 gene:PPA06189 transcript:PPA06189 gene_biotype:protein_coding transcript_biotype:protein_coding MMINSIIAVVQTSVITAICIGCYTVIFRRKSSLSRRSRSDAVLLCVSFVLFLCLCVITAYFVVLFTVSRAKRVEQAQEQTCASCGYPAAKKYVYQWSIKAIRRSTTGTGRMRHLKKIQHRFKRTTGTGRMRHLKKIPHRFKNGFRVGTVAVSQKKRIQSAASN >PPA06249 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:357714:359768:1 gene:PPA06249 transcript:PPA06249 gene_biotype:protein_coding transcript_biotype:protein_coding MIWAIFVLGVFTWIVLELLQRRRHFQLREELGLTGPPASFISGNIFYIADVIKKRGLETTPLVHLELEKEYGSTYGFYFGCNLEIVTSDPAIIKEVFISQFGNFVARKKLAMHTVYPIRDGLLQVDHVGSLGAGWKEMRTVITSIFTSGKMKKMHLMFHDQLDNLVDELRSKSKLNGGKMDIYAEYQAMTMDMISRCALGQNISCLKYCYKNFPFSDRSNEFYTRARKFVANLSFSASLVFKLTLFFPFFKYLRPFSNFGREEKVLTRLLSENILERQKERSSGKDQPLHHDVIVSNAWALFVAGYETTSTTLAYASYLLAKHPEKQQALYEEITSTFNDNDAIDYERVMKLPYLHAVFSETLRVYPPVLTFTGRRCIKDTIIGGNIRVPAGVSVVVPVHTVMWNENNFEQPREFSPERYWGNN >PPA06244 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig141:340532:340920:-1 gene:PPA06244 transcript:PPA06244 gene_biotype:protein_coding transcript_biotype:protein_coding MPYILLRGAFPHGGTYVSMDACEIAAKQALGIDLNLVGDSFSVQNSARWVLDTLEGLGWRMVGVAGPINEKILVWTLHKNPVQRSIH >PPA06219 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig141:226146:226577:-1 gene:PPA06219 transcript:PPA06219 gene_biotype:protein_coding transcript_biotype:protein_coding MEADYDKLMREIAEQDAEKEAVRERKEDADTKQRELDYIDEQMERLKHLNEMEIKRDAILEGRKASSLTLIVFLTVDWTIRM >PPA06197 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:107792:109239:1 gene:PPA06197 transcript:PPA06197 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFAVRALLWLGDSNVIEELNKMASLPFDQLESPIRAVVILYNSKHLKKGEDIKRDHWKSVLADPKDPAKDKFGTIRQHLLFGLAAYKDEKGKKQDEQFKLLKEIIKFIYDFKDIPRSVTPADTFWAYRGACEYSPKPTLEWAPAATRNFDVDETFQAMFLAKEGTEYEDPKMYAVRVLWEVGSRTFVRSEEFRLFIPLGSLIS >PPA06187 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:42509:46533:-1 gene:PPA06187 transcript:PPA06187 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMPKDNSLFIPLETENFLFLVQRVFFGFSVCLHIPALFCLIKETPAHQAQIKPNLILVQIAVIVIDLFDTIFFEIVPIAEAFLGYCKGLLCRVLQPTVVSNKTSTIEEQACVYASLVLQDDDAKFFSHFAEQKIKAAGGTRVLVAKGIITCVGLYVYTITALGAATLTCILARHQALMPEESRWKLGQNKIMN >PPA06238 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:326629:327916:1 gene:PPA06238 transcript:PPA06238 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLILLSVLLSPALAIPPIKCYSCILPPNVADFAAVCNQTNTCTGNWCTKGPDARSSGILYGCMDTAPVDVAKSTCKMVKTERGTYANCYCNNIEYCNGGGALIIK >PPA06195 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:95712:98379:1 gene:PPA06195 transcript:PPA06195 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKVSFYFLVLSCLITLNVCVFYRHQAVLPYDHWLKLGKKQRIFLYSQYAIITQLMTVFTYFAEHESTGRSEYLEKNHPAMGWLTSKDGWITYDPEMCTRYVIYYVIITSPIQCNNRTSGRTSGTRG >PPA06225 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:245787:251621:-1 gene:PPA06225 transcript:PPA06225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rabs-5 MEGCTPPSFVSLYDQLRVLLTEVTNLFPSYARTAESLRNGETLYTLKDAENLQRKLVLKQKEIDVLSKKIADDFDENEVGREDGKLRKRIRGAALMELQPIVALPPLPSKEEYERLKEIRKAEVARQIAETRSRMEEAQRDARSGKPLSASPSMPSMGAASSGAANAATRREERRKEAKDGLARSSSMLEGWTPEQRVATNPFIDEEEQDHPLVVQRMQVKSFLQQAADAGKVDDARILEENLRMIDEELMRLGIDTPRD >PPA06236 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:319699:322308:-1 gene:PPA06236 transcript:PPA06236 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAQKQEPRECSVYVDEQNENVLRPNEKLTCVPGEFVYTSDGEYSCPFGDKKGSLFVHAKGTVASVTRDVQPTMSTRSYSFKLDRTTLEEREEISTGYDGSNNELKTSFFARNDVLMITADLRIPREKRPDYRAVANCTECLLTQKCTFSPDIDSYECSCNEESLSFYPFPNPKKIAQRYRLITDNSEASCKHTYFRGYASYTNDEFGRHPAYQGIYTCDFGSGKGYLFIRTQKEDGTHCAHDRSADSSTGVKSYILYKEKTARMAEQEMPHFYVKDDLLILDDCHVRELYCLKKI >PPA06206 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig141:158217:158828:-1 gene:PPA06206 transcript:PPA06206 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAGPEHTANVEGVYVTVRWTSKERVNGSELAVELRVKCDSSIANEDVVLPYNQEGVTVEIACCKESDAAD >PPA06185 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:1270:2721:-1 gene:PPA06185 transcript:PPA06185 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFLLLSLAVSTLAVIAAAGKKLEELSPAAREFLKKRDFFNWRKKHNEIYNKNRKLSKNAQLPLPPAPTGPSASAARRAYGKIAREKELQKRLSDFNGSNPTAFNELFENGYTEQMREETINKFWGY >PPA06222 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig141:240092:240875:-1 gene:PPA06222 transcript:PPA06222 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYEIQVETEYQRKGLGSIIMNVLEKLAVKLGMDKMMATVFKYNIRSLNFFRKIGFEEDETSPMEEAERDYLILSKEVSVL >PPA06208 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:167753:170216:-1 gene:PPA06208 transcript:PPA06208 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWSCVIQAAVADLSRKVERIRAAARDHPHAYRSMKTAAVHERAVGSFMRKENGGITQILISLHRTMRFTIAFLDGIRRADPSTESSTIAREAYAASVARHHNWATRKMSSALMGSVPGRREIMGSLLKQDGNGVGEAEFLDGYLQRAEILRERSDSILGKE >PPA06251 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:405597:408807:1 gene:PPA06251 transcript:PPA06251 gene_biotype:protein_coding transcript_biotype:protein_coding MANTNCAAFGAAVICGNIDTTTGFGCCQVTTTTTTTTVATTTVNATCVDLLNPLTGVSDCPFKSYLCTNTNYTTVMTQQCPRTCGFCGTTTNTTTTCVDLTNAATGISECSSLRVELTRSTQVALTVAE >PPA06194 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:93701:94674:-1 gene:PPA06194 transcript:PPA06194 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNFTVDPTHELLLLWGIRVNCAVSFCIDVLAIHLLWTKAPAKTGAYKYLLFVMQTCSALINLHMGGIFVSIPLFPLIALYCDGFVCKSNPHACVVSFYFLVLSCLITLNVCVFYRHQAVLPYDHWLKLGKYAIITQLMTVFTYFAEHESTGRSEYLEKRDGETTVGEESSDDFT >PPA06212 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig141:183403:184374:-1 gene:PPA06212 transcript:PPA06212 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSELVGSIDINSEMNGGKGDYFDLLGLPDEMIGLAFSFLDVNDRLGMRLNKRLNKIVEESKYYLQIMKLKEYWRPDDNKCVTVNNRRLYPIDFVERIAHNISIGELEIRFPDSVELNHKFCKIMKDFRFIRTLVISFESEEKAKEIMTDSFLLDLSRRCEDLNIFYFFSPEVFYNLYKRPPQSNLEDGVVLDRQQ >PPA06203 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig141:148025:149102:-1 gene:PPA06203 transcript:PPA06203 gene_biotype:protein_coding transcript_biotype:protein_coding MALIKSGLEIAVDDNFLTKFTSENTCNEDLSLTIEAQDGTQLNLFHVSHSISISRFANFEVPSLCLGTEFIVEQFTLLLARPFFGTWSWKFHVTRQFTQQDFEHLDPSYELRAFTTDGESQKDYKRSRTKSQARTKNFPMFSISFESSLRALSIKLKKFLGPKLQ >PPA06230 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:284559:290950:-1 gene:PPA06230 transcript:PPA06230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nhr-76 MLDEYSNGGSDSDLLMRLQDATRQDSHDGARVIRVEKATTPSGTARPVLKRDRGAIGITQMCSVCEVMTNGFHFGAVSCAACSAFFRRTVAENRSYMCRKEGDEHLKCPINQSARCYCRACRMQKCLNAGMDPTAWQID >PPA06243 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:337007:339950:-1 gene:PPA06243 transcript:PPA06243 gene_biotype:protein_coding transcript_biotype:protein_coding MADDKTSKEGKAKRKAAKGSDDKGDASEGKKKGTSRKKQSMSKRAGRSSLKKTASAGTPVPDSPVKAPPTADGAGPKQTTVRREDRRKGSTHRGGSSTTKTASKGSDEKAKKGSGGSGGGGGTARDSKRLDLTKKMTAAEYDKFCEAECEMWVPCGRYKTMTAPRKFVIPAGRLFKAETRTEDVPILPSTPILNELTERTKKYTNRSAKGNKSKQKKFGIRNVDRQIDLWETVAQNVIVRLQYLGLE >PPA06209 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:170458:171224:-1 gene:PPA06209 transcript:PPA06209 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGREETSSHTTSQRSSSASRSRSIQALRSNPPPPPSSLFRALFDAALAEPDDVLLLPLADIYEAISKSVLEETPPTFDKPRRQNGAIDLQME >PPA06191 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:68253:69088:-1 gene:PPA06191 transcript:PPA06191 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLLALVFLLLALSTLHSSAATARLKIIEWKECMTKCLGVSPYADNVNITMEEECVDGKCGKRPPNHQ >PPA06246 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig141:347466:348027:1 gene:PPA06246 transcript:PPA06246 gene_biotype:protein_coding transcript_biotype:protein_coding MTFALACTAHIIKIINDYDKLRSSGNFTAKIATFATVLALPIALFMSLAVFEFDDDTKKVSTAAAPAFNTLR >PPA06205 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:153270:157091:-1 gene:PPA06205 transcript:PPA06205 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKYELEEVNATSHQMMAKITPLRCHQICWRPWLRTTADSKIFSKDFGEQCHDVGGTTSTTFLRNFKYYTLHDGVLVVETEHHKSGEVDEGAVILTAVPIGARGKGMNGSEGVVEEFSTEQTDGKFAVHLDDPGYYAVKYQYTKLKPFHYSTEERFLVESEGRNSSDPLRPLVELRYEPHSLVELPKPHTTDPPSLIFYRPPEYEGTDVHVYIEPFCGAKREKITLTDTYQHHEIEPMLLKKALCRQQPGFYFCDATWRNETIVGAVSSAAAKVNEKVSNVAEKAGVALNESLSSAEETIHDVEQLGHTVEEREIYRERFKCSAETLQQPQAPSLGPASCPLAAPTGHREGYGSGQGCRTPEGFRNFALLIG >PPA06200 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:134659:135810:1 gene:PPA06200 transcript:PPA06200 gene_biotype:protein_coding transcript_biotype:protein_coding MYMIFNINQLVSGIIHNTLPSLILLGSTIMLTLELGKARKGHNAVTTKSSKGNDDAVKAARMLTVVAILTLLSELPQGCNSNVLQSL >PPA06198 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:118168:119751:1 gene:PPA06198 transcript:PPA06198 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPLIDVIVLLLCFISASMSTPVTVKDLSKPLWAQQIDRSQQSALNTDKEKLGFFAQRTTPKCFPGNLKQCVTTPFYYFECCADDCCMRLQPVTFVVFVTIILSILICIVIGFVRECRASPKYKYHYAVKN >PPA06211 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:179783:182406:1 gene:PPA06211 transcript:PPA06211 gene_biotype:protein_coding transcript_biotype:protein_coding MFCGKYDSFREAALARGSCMPPSMGRHFYIGTINARTLGPKDKQTEMELALDKIKWDVIAVQEARIVGCASFNLTSSGTVVYHSGGPTASHGVAFLLRPHLARGAMFRGLSPRLATLHLPDQRLFLVNAYAPTSSYDDDAYDAFIDQVETALRSAPRGTMPVLVGDFNCRVAREPGNERFVGNSASQSPNSRGRTFTEAFVRNKLRAWNTFPKRRHGLTWTWRSNDGVTYHQIDFLAAPPSARVVNCGVVGRFEFNSDHRLVRMCLSLSGKERQKRCREKLDFDRASFTVNASLLASLPLASPTSATDAYCNIKAFTDAAATNCWRKRHTPPWISRATRNLLALRHQLQANSQGHVAYAEEKGIAGQTSSTDGKKHREPLRPCELSEAVVSLLFKKGDPTNIANFRPISLLTVTLKVTTRCILKRFEAVLEETESATQTGFRRGFSTLDNLHAIKQIAERTSEYGIPIYLAFVDFKKAFDCVEWSACWNSLWKYGAHPTLIHLLRRIYESSTTLIRVNEELVPVIVKRGVRQGDTLSPRLFNVALRSAMDTIDWEEDGIRIDGRNLSHLEYADDVALIAKTRPELERMLRKLMDACSRVGLEINATKTNLLTPCKTTRAPITIQNLAFNFVDSTTYLGGRISLTLDHTDEIEHRIRLELHHFYRTLGREVWALRSSDKERLSITQRKMERKMLGVTLRDRWRNERVREITKLRDWNREALRRKARWALKVRSMQMEQWTRATTFWTPYNRKRPPGKPRARWRDDLDRAIGNWWNTPHEDFAPILI >PPA06237 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:324092:324869:-1 gene:PPA06237 transcript:PPA06237 gene_biotype:protein_coding transcript_biotype:protein_coding MPYIVVESDFSCAHLCYILADEDTFTFLDATTTSKTLSGHHKYAVQDSAFSLLNTLEDAGWRVISMTKVDSPSSDTSGSSIRDFAWTLVKDNGKRSYEEARLGPEPLSPMFGLNRKF >PPA06216 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig141:210033:211747:-1 gene:PPA06216 transcript:PPA06216 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGQSGQKEQQRIYTLTLRRFHYTSTLEPVMKSKLLTEVPFEQLEMSGSNLTDGVFALLVKAIEAHGVNRLSLNVGNVKNRDPITALFRLSTLVRSLHITQHHYNTYGAHEFFGRYSLDWALVFLKMFSNKLDKLRVENRSFKDYLSIEQANVLVERLPLLEKHVWFEATCNKYDNGLSVERDGYWIEADRSPIGIHLST >PPA06204 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig141:151419:151664:-1 gene:PPA06204 transcript:PPA06204 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSFAEELYDLIVLQAVQWLYVFTNVHHISRTRATHTARCYVHRTLFEDVTKV >PPA06223 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig141:241122:242304:-1 gene:PPA06223 transcript:PPA06223 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAATKKKIVKKAVRTKDLLESNNCIPEAMITLDGVYYTRNRIPSLAPRTHLKYCDLEITGKNIVAATEPEGSANVLHVVGDESPVGDIRVGVDAVREEHETHVREVAVGLGPGEQEERAAGDDREARRFLILKNAAGDDVAYCHYRIDMDHDYAVIYW >PPA06210 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:172824:174110:-1 gene:PPA06210 transcript:PPA06210 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFYEELDDTESYCVYIFDGLAQIDFVVEVNDYDDEDNYGNNYYWGFTITLHSNLEMVNRVKLNKMQYNDRMESAERAKEIMTDSFLPDISRVCESLAYSGYIDSISQESLHNLYKSMRDGTVYKDECDDGDNERDNERRQYVLNIFDGILQIEFNGKNDGENCTCFDFTINLHSNLEVLNRVKGKACLEQFKRTRMNVYPE >PPA06234 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:317624:318226:-1 gene:PPA06234 transcript:PPA06234 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVYLVVIGAGSVTEFEESDICRTHITGTDIDPKIVKCFQKHGYNPGQRRRKRTSEREAIEQLSVNIPGPFVLNYLAELGWRVVAMSRAPIEHDEVGKQPNKFAWTIFKD >PPA06201 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig141:137676:139234:-1 gene:PPA06201 transcript:PPA06201 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAIKRWRKCVDLRSPRTNCDEEEGIMNKHLDEYYPDNKSRNVLATNFTLKISKNAMSLNTTFQEIEAIDQRAEEYLNVLKKFRTCESIKGKYDPNCTQIMIKADSIDPINFDDSDEERATQKEIDKTRKKDLFKDQWKTFGRGGLLKLHKEYTSNIENDLSGIHGRLVSFNNREIIDESGMSWTIENQEHFRETKKEFQSIKERLETQYDVLERFAILEKCAQEEEFSCSYLARQIAKILDNN >PPA06213 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:185019:189159:-1 gene:PPA06213 transcript:PPA06213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sars-2 MPPVHNFFNSHATAVVVDVLNPDAKRVDPSLKTAEQIVHTWRSLLHPTEASGQRSYTFIGPLARLERAVLEYAWARVQALGFKPVLVSDLVPRDIGEACGMMHEGMQYTLDRDPSTVLSGTAEMGIAAMLRGTTFPAEELPLRFVALSRCFRPEISKSAAEAKLYRVHEFTKVEMFTVCAPSQSAAELSFLVDVQKDTLRNLGLHARVLEMPSEELGASAHRKYDIEAWMPGRKLFGEVSSASNCTDFQSRRLGIRYTDSSGARHFAHTCNGTAIAVPRALIAILETFQDEKRKGSMREFPESIRHRIERSDASGKPIRDEKIKFQTGPSFF >PPA06193 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:79377:87604:1 gene:PPA06193 transcript:PPA06193 gene_biotype:protein_coding transcript_biotype:protein_coding MALNRISKLFTPAKLAAQSNRLASTMTVRDALNQALAEEIARDDKVFIMGEEVAQYDGAYKVSKGLWKKYGDQRVVDTPITEMGFAGIAVGAAFSGLRPVCEFMTFNFSMQAIDHIINSAAKTYYMSAGRVPVPIVFRGPNGAAAGVAAQHSQDFSAWFAHCPGLKVLTPYSSEDAKGLLKAAIRDDNPVVFLENELLYGQSFPVSEEVLKDDFVLPIGKAKIERSGDHITLVGYSKSVELCLQAADELKASYGVDAEVINLRTLRPLDFDTIRASVMKTHRIVTVENGWPFAGIGAEIGAQLMEADAFDYLDAPVLRVTGVDIPMPFTQTLETAALPTAAHVVKTAKRSLNIQNFVH >PPA06188 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig141:50864:51790:1 gene:PPA06188 transcript:PPA06188 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNTLSIVLLCVGVPSTILYILIANRAKPLYSSFFFRVSISQALFDLTFFPWYFVCQISLTYPQVHELLLSTNGSLWPEWAYAFFYYYLHGQTFGVLLISLHRMLTVAKPFSAVTKVSANTIRGTEWN >PPA06233 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig141:316435:316896:-1 gene:PPA06233 transcript:PPA06233 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTYLTVVGMRSFYEFSRTSYRDARSTHISGDKIDQAIINYFVQRKIQVTFYDEEKQEIYVECPGSRVLNYLATLGWRVVTMTALPLEEDKPAQFAWTLFKD >PPA06221 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:238610:239191:1 gene:PPA06221 transcript:PPA06221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3E930] MAQSVPPGDIATQPGTKIVFNAPYDDKHTYHIKVTNSSARRIGWAFKTTNMKRLGVDPAAGVLDPKENALIAVSCDAFAYGQEDTNNDRVTIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA06224 pep:known supercontig:P_pacificus-5.0:Ppa_Contig141:242588:245272:-1 gene:PPA06224 transcript:PPA06224 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLAATARVVKGGATRLRSLRSALTLTPNAIGRIKILMEKKPDYKALKIGVKQKGCNGLTYTLDYAKDKAKLDEEVVQDGIRIWIDNKAALSILGSEMDFVADKLSSEFVFRNPNIKGTCGYFFGRANSCSRVKLSPRAIEE >PPA06229 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig141:271870:272908:-1 gene:PPA06229 transcript:PPA06229 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDMLVSLFINPLKHSLHILAGSMEKMQMTDVEFCGLVAVLLFDSSHAVEYLDDSSEAHHFPVVIQAWYRW >PPA06196 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig141:102993:107740:1 gene:PPA06196 transcript:PPA06196 gene_biotype:protein_coding transcript_biotype:protein_coding MMQVISTEAITDSGVSFTQDASQFKCTKDGPEVNTQYFEIVAKHPHKYENNIVFCSFILKTKNDGDKTSPIDIYAYPEISCESNKSSLIVSYQAKEQVSLGTEGKLECNNDKNWFHEGLQLTNKEEQIFEVACAMKFCSLCTPLESLTCPGCSPTSNTSSVDGNCATETCPTNEWLLESGESGEIYSGEILCKKEKTEDNPTSKKAFWYSATGANIKKAACLKSKEICWKESNLQMGCPAGKECTTVVKGTNSAELSCPDGLKISHSSSGKAVVAVSLKCDFFSGKYKDENKNVVEQGANVYCDKASPKKDGNSTGGADPNDPSSAQTSLIIGGGVVLLIIIVVIIVCVVKKRRAAEKGRKNRQPRTIPLGSAENPSRLGEADSNKDSVVQSVVSLKAPPPPPPINQLPPLPEAPKGPWLQRDRTKPVVEFDEENFRKTFSEEMLYTEIFPNIAQYNAVDRYQIWKRCAQYEYYEDRLEDKRPYGHIRQAFDFMAFSVATQMDDVTMWERFFRFIRTYDKIVSIKWPNDTKNLSFRLKSCLAKLVRPFYKELGMKMMPNEGKDHGSDS >PPA06252 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1410:221:491:-1 gene:PPA06252 transcript:PPA06252 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLKNEYGRKLRTALKHMGVTSIEALPKVPGPVSPMELKLAKEVSQLKRKLRQKRAPLSSPVAPKLFLAKTSDGYRSEVKYASLRLTTL >PPA06253 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1414:285:1032:-1 gene:PPA06253 transcript:PPA06253 gene_biotype:protein_coding transcript_biotype:protein_coding VAASVRTPRCSLEISLQLQQPQQQSSYYHGTCPTAIRMARNGLTQLHQHFVVSSRSPPRPPPIPIPYNNFLDDDFGSLRPFLISY >PPA06255 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1418:138:1256:-1 gene:PPA06255 transcript:PPA06255 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTEIPERIPESRGLCAPNSGFVKKSIKSALHYTTGAWRGSRPSDWVVEPLIPRMNAPVQPAILRNSSTNQAGAPRPTVIHHHPPTQTTVTAPQPARVTTQATSLPIRSTNGAVQPFVQPLYPVHTHNSVEGGSMAARPPVLVPIKNPCVTETPHNHTGTITRPCRAAAKVLSNRAWALMDTPPSHREEQENRPGTSTGDEAIRQALRPSAAVNTPTQVKKEEETSTPSVACGTPPVQRGQNARNSPFAPRAAKKETDDT >PPA06256 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1419:382:730:1 gene:PPA06256 transcript:PPA06256 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSLPKHEIGMDESSSSSISSDQDDIPPQRLTRSRRALIGPFSILLTLTGFDLQKSLCGNRTYQCRSITCTIIFIIILILFLIRALFVMSSNAP >PPA06296 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:324366:324732:1 gene:PPA06296 transcript:PPA06296 gene_biotype:protein_coding transcript_biotype:protein_coding MENLQATSLTSLSTVVEAPRSEMKRPEVMKDLCAQLFRSCYVHVEMRHLTLKDHLTSSVSDISRHNAIENVVTLEETDLD >PPA06270 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:73100:74363:-1 gene:PPA06270 transcript:PPA06270 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLVLFALVGASLATDCLKCICNKESGCKPVGCHMDVGSLSCGYYQIKSPYYQDCGQPGKKAGEDTDTAWKRCADDYNCSSGCVQAYVNRYKSKCPNKGSCEQMSRLHNGGPNGCNNSNTVGYWNSIKSCCGCS >PPA06298 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:327803:328796:-1 gene:PPA06298 transcript:PPA06298 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEYEVDAILCLNVASNKILADLQQLPTTLREDQQHRKKEYEEIASILASHVKMQNVILALWKIDLFFESHKSVKDEQILEDAAQMHDQYIGDMVRRFCQFRLSIRSVLNKLAFHTMQKEGTTPQ >PPA06283 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:225767:231779:1 gene:PPA06283 transcript:PPA06283 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTPLDVVKIRLQNQRHPVNTGECFIYSNGLMDHLCRSCKTPAMTKKIPCEWYERPGNFTGTLDALIRIPQQEGVRSLWSGLSPTLLMAVPLTAFYFSAYDCLLARIRVLAERRRRGGAAAASDASAQPGALEALAPPMIAGTVARSASVTLVAPLEVVRTKMQSEHMSLTEIGAAVRATAGTHGWRGLYLGLVPTLWRDVPFSALYWAGYEIAKARILAARGAQDMDFVTAFVAGAISGTIASIATNPFDVVKTSRQIELGREVADAKEAKRIRNEKMLTVMRRIVSKHGLLGLWSGIVPRVAKVAPACAIMIGSYEYFKWSVSSGI >PPA06305 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:381660:382308:-1 gene:PPA06305 transcript:PPA06305 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKNRIESNRVASAPKTDKAVLDTARDSGSKAKRATGERAEPSRQTSEVDREPAAISSKKATEEASTRNAAHVGSIFRKALRTVLALLQ >PPA06293 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig142:300918:302140:-1 gene:PPA06293 transcript:PPA06293 gene_biotype:protein_coding transcript_biotype:protein_coding MGILTMVGFAFLVIHYSHFMYGAIARRASYLLVYKAVHTSKMTNDDKTEYDEHWKQGCFGMYITNWTPWRLALLPRKPEDDCPVCHKVVKIRTRTLIAREPPDKGLLCPATIEWAELEDENLRDAVFSAEDIKDRYGMDTYLP >PPA06269 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:70704:72015:1 gene:PPA06269 transcript:PPA06269 gene_biotype:protein_coding transcript_biotype:protein_coding MHCVLALLALATGVAAQCTGNDHPSCGSWKNNGYCNGAQPMETRKKYCGVTCGFCNLDGSQTALGGGSNVAACTDANANCAAWVASNNFCARTDYSNSMKLLYCCKTCRPIIFAPTTTTAAGNTDVTTQATASST >PPA06273 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:103827:116393:-1 gene:PPA06273 transcript:PPA06273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acs-5 MWSFGSATVAAAIIGACYLLLNRRRKAIAPPRGCSLDKQSVPVEGEKGVYKCGFLQGKDSTLTYLYPEVTTLYETFLRGLQKSENGPCLGERTDSNSPYKFLSYAQVNRQSSDFASALVKVLGVKPGNETNMGIYAKNSPKWYISSLGAISQSIVVVPLYDTLGADAATFIVNQAEIAVIVVDNVDKARKLIGAKSSIPTLNHVVVLEEADVNEALVEEGKQVSVAIHRFSTLLEQGAKNKVEAVKPKTDDTYIICYTSGTTGTPKGVMLSHKNVVANLTAFEYTLKAFLKDGALDKDDVLISYLPLSHMMEQMSHWVMIMNGASIGYFQGSIKTLTDDIKELRPTIMPVVPRLLNRMFDALMGKIETSGTVVKTLFNVAYSAKLSMLQKGVITNSTIWDKLVFGKIQHAMGGRLKIMATGSAPISDKVLETCRIAFGCTIIEAYGQTEATALAVATWPGDAEGGHCGGPAPCAVIKLADVPELNYFAAEGKGEVCIKGPSVTKGYYKDEEKTAELFDEEGFLHTGDIGCIRENGSLKIIDRKKHIFKLAQGEYVAPEKIEQIYTRAHPVQQVYVDGDSLERWLIAVVVPETEVLQEWDEREHGNKRSIEEICRDDKAADFVLSTLVKIGKENKLNSIEQVKKVILEVDPFTVENGLLTPTLKVKKVILEVDPFTVENGLLTPTLKAKRPQLRLKYINAMAAVYKANPTLNYSPN >PPA06304 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:379501:380280:-1 gene:PPA06304 transcript:PPA06304 gene_biotype:protein_coding transcript_biotype:protein_coding MPWAVRLELLQARAVSSAVGGVANVGTQAVSAATGAFSALISAFTSLLLAPFQAVAGIG >PPA06289 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:263960:265063:1 gene:PPA06289 transcript:PPA06289 gene_biotype:protein_coding transcript_biotype:protein_coding MQLICSLHSLDNQTVDRRGSPTHSDEGLFIDRSELFVGEGRSQTIRVSWRFDASTVNLMDWIGLFDESEPASTKFIDYKLVGSASGSTLLWNLTTVHLEMSSGPNFVFR >PPA06301 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:364933:366919:-1 gene:PPA06301 transcript:PPA06301 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLDETLQVSSGKYIVHELESLSIQHPNMGTASLQWIYAEQELQNENNEARYPHELRFNTLGKIRKPSKDNVHTVYANFRKLIQRPERVVLTDIHSTLVNELLEEDERPPESGEMTGVSRAVSEHDLRTSKYRYEEVRVPSDRLSVIHLRRFNPAFQGSKRSYSIPKREFRPFEGTSRAMNANFVARISNDIIRDYNTGDWQVALANTMRTLEECRYFPFNYKQTIGKGACQAVANCEPLISDLHVFTKAEPIWKDVAHRGKLIEYVKH >PPA06294 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:316736:318403:-1 gene:PPA06294 transcript:PPA06294 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKCDALPYRTAFVESQCYFTLEFDEGASKCASLFPGARYQVATKIPDSIFNAMMLDKSKFHSDRDSSGAKRLVCIYESGFACQEGSEQSPFFPGKCIVSDSTKRKYLEAEKFCASRAMKVPTVANNLENRMISGAIDKEPVWIRNECQAGKWNRSSTFSKQSTCTKSNTGTWIASQIGAACRKPLSSVVDKSGYWAAAGQEYQFGTVCVKDAENDFNRGQAQVVKNPRSQLEPQKRYRASHYMHSTRTLDCANGPAQTMRAHK >PPA06268 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:69126:69976:-1 gene:PPA06268 transcript:PPA06268 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHVAVGSVYANASAFGITLNVLAVWSIITHGLKQPFSPVYILYLQPLITDIVFELIYLCYLAPSIIIQANLIAPPVGHYLSEIVDLAQSYCWFNNSLSQVALAVNRFIAIVLLRSHWFTRSLSIGVSLLQHLAALFLAAALQFILPCCRHVRVI >PPA06309 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:386604:388507:-1 gene:PPA06309 transcript:PPA06309 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVPSGGVNDVEDLLTARVHLEQLEVRENVQAQLDSIRVPLTLVVFTMLAYLLAGATIFSIWEQWTFLDSFYFCYISLTTIGFGDKFPGNAVGNDKDAQLKLVITSIYLLFGMALLAMCFNLAQEEVQNKTRWIARQFKSQQDEDD >PPA06257 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:4893:7091:-1 gene:PPA06257 transcript:PPA06257 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSVDYGPKQAVIIRGAERRHSETSNGRIIARSASSDSGCPLPLSSSPVLASDGASLPSSHGSTTVHGNQSRTMRRALTKHEASIKSAKQQVNVNLIRYKQTSEGAAATAETVKSKFNDMRNSSLFKSFESKLGIAYTSAKMTASTSIDAGVSMSGPCKHLKNELYHFRNGINV >PPA06306 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig142:382899:383660:1 gene:PPA06306 transcript:PPA06306 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCFFLLVVLITVAAVAAIPREKRQLDATLGGTVGGLTNGLGDTLEGLKSGLGGLTGLTGGLVSALKSALVGGYTGLVGLLSPLLGIIKGTLGGGTQGLLGGLRSLG >PPA06272 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:92417:101361:1 gene:PPA06272 transcript:PPA06272 gene_biotype:protein_coding transcript_biotype:protein_coding MSANESEESSTSGKKNTKDFILQRLIQLISGGKQQDTTSAKPVSYAELFRYAERREIFLIVIGVTCAILNGLIMPFLLTINGNVSSLYITTKDPAGNADFMRTALYYATTLLVMGIGTFLIAFIEFAHKLESIRDNHGNMPNIERIRDGLSDKVGMLTRSASLFIFSVAFAFAMNWQISLIMVWTGPLCVILTALTPVLTAASISHSLKSSEDANGVAEEAILNLKTVAACNGETTMIKKYAEILRGAMRPAVRVATISGILEGTFIMFLYTFFMAGQWWGTVAYHDGLIDDPGTVLTVANLINFSAWFLGLLGPHILSLVKARSAAAVVYRMIDRVPEIGSSDAGASLELSQSEKCTIVFDGVEFSYKSRSTPVLRGLSWTVNAGETVALVGQSGCGKSTSIGLITRTIQASSGSIRLNGVPIEKYNVRGLRKMIGVVSQEPSLFHGSIRENIRLGRAVSDEEIERAARSANAHEFIIGLEKGYDTVVGSSGVALSGGQKQRIAIARAIVTDPPILLLDEATSALDSKSERIVQARNYSHSTEKSQKINSNPDIIKITSSERLQDMIAWSKILLDFNSKILSQSALQSACAGRTTVVIAHRLSTIRDVNRVYVIGEGRVVEEGGYDELESAEDEKEFPRIEGGLLTLLMRYKSLIARQMLAAFLLGTRMIVNIFISNLVYRTLADDDYYSEMMLANGLQFGVGVLICVATVGSRSTAAWVSEKILTDLRVSCFSSIIHRPMKYFDRSQSSPATCSVMLSQQIPLSSAPVDYRASITYEHAFGIVGMIVTCFYYSWAIGLISVFIAAAFIGSFIIFERFSQSANDELDAVDDSAERVVEMFENIKTIQILAAEDYFIARIANILEARRKPLFKYCIVSVGYAVMHMSGAFNDLINALKLPTTDSRQATRKNLEGSVELKNVSFAYPSRPKHQVASDLSFKVKKGESLALVGPSGGGKSTVIALLERFYEPTEGRIKLDSNDLSRMSYQHLRSNIALVGQEPVLFRGTIRENVTMGMEGETSLDDVIAACRLANAANFIEQFPLAYDTVVGAKGSSLSGGQKQRIAIARALIRKPKLILLDEATSALDTQSEQAVRKASDLLH >PPA06261 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig142:40492:40727:1 gene:PPA06261 transcript:PPA06261 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVSIGFIPSDNARAAFESTLLESYNIDLSASNKPGYLGIVYKLNKLH >PPA06307 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig142:384123:384581:-1 gene:PPA06307 transcript:PPA06307 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASISLLLLIFATAATSASPIREKRDNEFMLLVGDVIDGVFGTYLNVFNAMTQALVVPLVGTGIFNYIG >PPA06280 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig142:189048:192111:-1 gene:PPA06280 transcript:PPA06280 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVIDTSPTIGSNVEEITFKNLKFVMWDIGGQETLRASWSSYYTATNFVVLVVDSSDVQRIPIIKKQLHEMLAHEELARSSLLVLANKQGHGRDVRGALSAAEISTQLGLLSIRERKWQSLIGWNWQMLDHFAFMII >PPA06266 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig142:55367:55537:1 gene:PPA06266 transcript:PPA06266 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNKAWLQRCCTGRVVCIGNEVYNGGQRDRVTPFHKFSKNVCKNVLNVDS >PPA06260 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:38967:39901:1 gene:PPA06260 transcript:PPA06260 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPEAVVHNFTYPVTCISIIANAALIFIILTTPNTHVGNYRYLLMAFSIVDICISIVHFVVVPALTMTEFGFIFIGFRFIGQSTAICCLWLSSIRLPKVFDGSGQIIRGEIG >PPA06258 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:8417:14216:-1 gene:PPA06258 transcript:PPA06258 gene_biotype:protein_coding transcript_biotype:protein_coding MKLADFNKGYIQHDSIWDRIVFGKIRAAVGGRVKLIMTGSAPIAPEVMQTMRMSLGCALAELYGLTECSAVGFVTWPADPRAGHCGGPAACTSIKLEDVPEMGYFAADGKGEVLLKGPAVTKGYYKEPQKTAELFDATGYMRTGDIGWLLPDGTLRIIDRKKHIFKLAQGEYVAPEKIEALYTRVDSVQQVYVDGDSLERWLIAVVVPDPDVIGAWDEKQQKGEKRSMEAICDDPETTDYILAQLAACGKANRLNSLEMVKKVILEPVPFTIDNGLLTPTLKSKRPALRMKYKEAMARIYKSNRHL >PPA06281 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig142:192168:192767:-1 gene:PPA06281 transcript:PPA06281 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAITKLWRLLWSNQEHKVIIVGLDNAGKTTILYQFSMGDEIDTPPTIELIVMLA >PPA06308 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:385145:386173:-1 gene:PPA06308 transcript:PPA06308 gene_biotype:protein_coding transcript_biotype:protein_coding MISSADLVNNAVKGLPEGGLSQQLTNGLVDQLVRVPVNGVVNFFASLYAKSISDFLEGLIGGSMKALFSFTNALGSMGGGGVLNGMIPH >PPA06284 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:233467:234838:1 gene:PPA06284 transcript:PPA06284 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTGSPTSSELPPPPPLYDPILDGVTPDPWDLEQLLNEDDQIFKELANKTIRASRTAVDKYRKVYSTMHESFYSTIL >PPA06279 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:180735:182032:-1 gene:PPA06279 transcript:PPA06279 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEDMAKEFEKDGGPDHFGGAKRNKNERVENKREHPEGDTRKIINNAHNGEQKRKEGNKQLEGSRTEYF >PPA06265 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:52424:56120:-1 gene:PPA06265 transcript:PPA06265 gene_biotype:protein_coding transcript_biotype:protein_coding MWILCTILALGHLSPPAAGDSITTWKGDDTGAYCAGASACDHDMNCSAEQMDDCVCKDGTLGKPGRDTTSTEYGSFKGYCNAGHIDGRAITTDERNRCLSCTRRVCDGHEGPTCKNTRCGKDVCAISQFGQSNTKVMDSCPTNHQKNVAKCCLWDDCHCVTGRNLDVSGHAMAELGCGGTCTQGVSWHDGTCSGKPASTNTTSNGCSNTNVDVKPPIGSDGIDHPCSDQADWGKCSEPWMKGYCCKSCANPPKPQSNTCTNTQSDTPPPSSPQYKCSDQAVWGKCSEPWMKGYCCKSCANPPKPQSNTCTNTQFDTPPPSSPQYKCSDQAVWGKCSEPWMKGYCCKSCANPPKPQSNTCTNTQSDTPPPSSPQYKCSDQAVWGKCSEPWMKGYCCKSCANPPKPQSNTCTNTQSDTPPPSSPQYKCSDQADWGKCSEPWMKGYCCKSCAKH >PPA06286 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig142:242304:244247:1 gene:PPA06286 transcript:PPA06286 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKKRELYIRMCGGTMFSLVIISVERCIATLFYKNYEQCPKWLGAWFGLAEIMIPFMCASIISSYYNFSERFAYCSIVSESNFEVVMQIGYIFLVLEFLALITFHVVLFVNWRRRKNRATMDPTGRYQITENFRTIAMITPLIWCHFLIILGSAAVFFLYNSFNPTFDPRIYPILEMQ >PPA06271 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig142:78106:78615:-1 gene:PPA06271 transcript:PPA06271 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTIGQPLFPMFKFVAPPDETVSIDSFENLGSQPIDELCCRIAACGRNPRPTAPSETCQVVKERQIIKKTVYPNCAVKPKKDTDFVFLVDRANLKHQDRIEKLVLSFGSLINPLNTQNRSICYFR >PPA06262 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig142:40938:42897:1 gene:PPA06262 transcript:PPA06262 gene_biotype:protein_coding transcript_biotype:protein_coding MWKEMKRTNSIVANRTRLMQMQLFRALLIQTIVPTVTSYVPLGLVFVVPLFGISIGGWATVMFWKIGMSEGLNDEHRTFSSHRPIPCCFPHFGVPCSFTQVLAQIDDVNDQRVAADHFHTALKIVNT >PPA06302 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:367977:370437:1 gene:PPA06302 transcript:PPA06302 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTYPAIHKQPHDRVDFSFLNVYVFLPVPVLEDGRSSSCCGDTPSIRSLQGTGLGRGGGTIRLTKQKMDELSHEEEHTVVLTDENGRPIEEEETLDVVDEEMEEEGREKMLSTDPLGLNTDLEQVKMAQKGTSVKLERNYEEERRKVTAMTEASEKAVEGTDFTELEELRTHYDELREEVRVRNEREAAMRERLGAGSVEQMEKMVEKRERENHSLETAREILKIFDDFFLRVGCGTVVEVEEKWFHMIEEKEKQIKLLSEVMNEAEKSAGLLDEIMDIVQVDKYDSIIELIQRSVTDRDHLIARLAAVEEALRDMTSLKDKRELERNELAAEVEKLTKEAFMHEKKTRLAAKRRAIAREAIVAASKGDDPTAAREKRIEWDQGDIRRGRRSL >PPA06267 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:62654:66463:-1 gene:PPA06267 transcript:PPA06267 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGVGSVYAVASALGIALNVLAVCSIISHRKLKKTNQFSPLYILYLQPLITDIIFGLIYLCYLAPSIIIQAHLIGSPAGPYLAVAIDAIESYGWFSNSLSQVSLAVNRFVVIVVRRSDLFTRGLSVVVSLLQHVLAIVLAAAVQFLLPCCRIEFAYASYGYDEVVLPGVANYAEYVNLPVKVVCSAVPFLIYCSIAVFVHTMNRQLQSPERRRRRRKELKFAAQFAGISLGYIFASFSFTFLKHSFPEDSRWLKTITVVLALLNSMSNAIFYLLNEANETSSSILPILLRKKQPNKVHVYTSAVSPDWQLAVSMLRMKAKLMSGRARAAATDATH >PPA06263 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:43821:46913:-1 gene:PPA06263 transcript:PPA06263 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSDVPIIIFAVYIPPLIVLYILELIAIVKYRKQAFSSSFFKIFAVLAVVNIASCLLGSFVFRLNLYPLVNGFYANLMAHSQWLTAAYVGAYYLNCVSEALGALLATNRFTALFFPLHHNQIWHWRTLGASVLLCCLVSLAPVWFTFDNTTAFVPHAERGIDYFVVRSTTPTRQLMFYINLTIGIDNGTFDPDLLSFFTFQLPWLTDLKPNYALYASSNFA >PPA06259 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:15821:20882:-1 gene:PPA06259 transcript:PPA06259 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGFPFDWLYWFLDGFLWLCRGKTAYQTKVPPHLSLQMQAIRVKGETNVFRCGYVPEEEGMYYEQYPHKDVYTLYDVFCRSVKVNPTKDCLGERKDDGPYEFEDYATIHRKARAFGSALVGKLGVKAANNSNLGIYSKNCAGWFISALGAISQSLATVPLYDTLGADTAEFIINQCDIQVVVVDNADKTSKLVEIARRMPTLKHIVVIMEDEATEELVERGRKAGITVHRFSSVLAEGERRPMPETRPQEEDVYIIRQVLCTYTSGTTGMPKGVMLTHRNILMSCIVAFQYTCEIFAPGYFGKDEVLLSFLPLSHVMEQGCHWLIMHFGGSIGYFRGNILKLGEDMQALRPTLFPVVPRLLNRMYDGVMAKVNAASIVARALFKLACWEDKIKISNFL >PPA06285 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:238514:241724:-1 gene:PPA06285 transcript:PPA06285 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEGAKDSAGAEESSTDDKEFKFARLIDGFVYDAGQKAVKRIAHFPKQTGKVKVPEWSDLVKLGVTKDMAPESTQSEDGLGRILSKQGRKDLNRIAADLRSTTAPAELYIVMLWTGGRQRFCRGPCVPENADLN >PPA06278 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:178265:180392:1 gene:PPA06278 transcript:PPA06278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-npr-15 MVQSGSSRQLATRRNVVKMLVACACVYFLCYAPIQALFLSRSIFKIRFQPPYAAVLFLNALAVTCSASNPLLYALFSTRFRKRLKALLSPLSPTPDCYSSIPASRNSFPPQPVVVASSTSCCGKSCVINRQQSQSPAGSPPPPSSNDPCECPCSRGEKYLSPATYPALAPNNNFPGTHRCLYNI >PPA06264 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:48563:48867:-1 gene:PPA06264 transcript:PPA06264 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLPLGRIGAPEDIGKIILFLADRSQSEILVGHIVTADGGITLKSTLLPNA >PPA06287 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:246006:251185:1 gene:PPA06287 transcript:PPA06287 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLALLIAALALLLLAVSAARIRCPANANNVPGYARPTLASIGRQAAPRSAQVNLRHATILRAEEAAKKREADRKRKERAEKRRRTAEERAREEQLRQAAAAAQLNVPARPALPAPPQLQNQQPPLPPANPQPDNQQNVQQEEEQEEEEMENVRGDDQANDNDADDRDDLISGMGEPEGFVSGELNAVVGIFVLVRDVSPAAAARFVRDSLQIQYVHRARMVLDHEEQTATVVLRIDSAAHSHNLVSDRNLRIDRNPARYVFPHSVDDVDVRAIRRPSFRLLILNDELSEFIPALLRTMRATSVVITTEFTAAFGREDAARIAAVFLNGLLLQGAEFQVVPMF >PPA06282 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:193450:225204:1 gene:PPA06282 transcript:PPA06282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lars-1 MASNAAKERKKVAALLEKEAEIQKRWEDAKIFEAVAKPEENRPKYLVTFPYPYMNGRLHLGHTFTVSKCEFAVGYQRMRGRNCLFPFGLHCTGMPIKANADKIKREMEDYGYPPQFPEHEEAKPVEEKSVLDEITKDKSKSKKVGRGVRVEEKSELDEITKDKGKSKKSKVVAKTGPGKYQWQIMQSLGLEDEEIKKFADANYWLEYFPQHCIDDLKLMGIKYFPQHCIDDLKLMGIKVDWRRSFITTDVNPYYDSFVRWQFQHLRAAKKIDFGKRYTIYSPKDGQPCMDHDRASGEGVGPQEYTLIKLKCRFLCADQDGVSEGRSSFKIPETMYGQTNCYLHPDIVYSAFYAGPEEDRVYIATARAARNMSYQGMTRENGVVRFVPGLEKITGDKILGAALSAPLAKYERVYALPMLTIKDDKGTGVVTSVPSDSPDDFAALSDLKKKKPLREKYGITDEMVLPFEPNEKYGIIDEMVLPFEPVPIIEIEGLGSLAAPEMCARLKIESQNEKDKLEDAKKEVYLKGFYDGVMLVGKYAGQKAGDAKKTVQTDLITEGLADKYVEPEKKIISRSGDECVVALCDQCTPLDSHQGKLFFEVNSGDAERSYLNYGDEEWKAQTKTAVEQLETYSEETNTNLLRTIDWLHEHACSRSYGLGTRLPWDPQYLIESLSDSTIYNAYYTVAHLLQEGSLEGTVTGPLGVKAEQMTHEVWDYVFKGAEYDAATMPVEEAKLRELRKEFTYWYPIDMRVSGKDLVPNHLTYLLFNHVAIWPDQKELWPRSIRANGHLLLNNEKMSKNTGNFLTLTEGIEKFSADGMRLSLADAGDAVEDANFVFSMADAAILRLYNLLDWVREMVAARDAGALRSADAPRIFADRVFQNDMNRQIEATSANYEATLFKEALKTGFFEYTAIRDRYRELCGGEQGMAADLVFQWVETQALIVSPIAPHVAEQVWELLGKEGFIVNARWPETSPVDELTSKQAEFLEETIKECRSRLKNYMNPKKKTTTPIVTPTEATIWVAKEYPGWQRTVLMILAQQAKENAGILPDNKAISQLIGKEDSLKKFAKKTMPFVQMVKEAYEAKGATALAVACEFDQAAVLEENRDYLMNGLELDGLKIRHTDEAGVDAAIVEAVCPGVPSIVYEAPRAIRIKERGVTIDGVTVTARNVHVANGLFDMEVTILEGDTVSGLVRKMRRTNRAIKPRFEVALLRYSDPVGGPRKLISIEDPTSINEKMAEDGVFSVDVAAQTVKVTVGGVSHVIGDTVFDDKAYVPVARGSSGDDVTLLPFLLLNVIIFLLLLVADDPAADAVGGHGSDLHGADE >PPA06276 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:138204:142560:-1 gene:PPA06276 transcript:PPA06276 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRLVALAAAFAVVSADLFTSIADMQLLMDSEKYIPTVLDKYIKQEQQRLKELKDLVAEYTVRNEKQMVNGIKDLTNPINAFLMIKRKIFDWRDIEHHMKANGAQGFLQRLTDANYGVRQPTEEDLTGAAIGLLRLQDTYRLDTKELSDGKIFGVQSNYTFNAFDCYEIARAAYNQEDYYHVIPWMEEAMERLEKEDPPTANKNDVLEYLAFAMYKQGNLKRALKLTEELYKSESNAEHDVIKLATF >PPA06303 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:373036:376765:1 gene:PPA06303 transcript:PPA06303 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRAQCIKCTYISVQGRDHKTTCPYLECVCGKVLLGERKEITDKLSRRAEPSKAAESDKGDECSNYTCTKCRIHGIAAPKRFHEPCPYSLCECDKCESNVRKKTVEQELADLKRAEREEEGSSRASTIRLRIITEVLRSILSSPIQPSTSIFNSIAQVTSVITHLVSPVNQSITLDNVKNLKGTHSIEPLSQRRTITSEAKTFSNQLLLPPSPLLPGANLITLPHSGNFTAPFIQSEMALTPEAQAFQSLLNHLVQTSKPVVSLTRQGKVHRSPEVLHGNFTGSFIQAGLQFPPSAQIISDQLSSTQGEVQHSQRKRAAQDHSRSPKKSFKQSNHSDDVQILYEKRFLPIAAKTTVPRRPEKPSLIRSSRIPIFQPKTIYSNEVQLVQPVKPVMPEAKQSTVSLNQTLSNQLVEPASQDVPVPNNNNIVQPIEDEQPILPVASLIHTSVNNIPLPPEAQLMSDLIALNETSKDFSSIDLDAVRNFLAMPSFEIPTHWDSCFGAITELVRNALKKLPIFNELQFVPVVERD >PPA06295 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:319494:321809:-1 gene:PPA06295 transcript:PPA06295 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFVRKAITEVIVRQHCWMTLKLWPGEGIQNGNPRVHKSLETAGYGKHQVKSYYNGLFTSRRDSQPRKRKTRCAADHALNEETGTGPKDACMQMIDYTGVADFVRMPKLPQQTAMKFRLVGTRWNEVVCKVVVRQGCMYSGFGGNANTFDRIVLGKNNLGYGEHWNGETDSPSSNVSAIRLKPLSMIMNLLLFITEVIVRQHCFATMLNARYPQDTQYLLLEFAGYDRQEVRSYYNGKLNSPEQGTKFVMCECLR >PPA06274 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:123660:125659:-1 gene:PPA06274 transcript:PPA06274 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAAAAAAGGGRSNLSDDYAGREEEMEARYFDNDALFWYNLHRNGEGDARTRHAACPVLVGIKWVSNKWIHEVGQEFRRPCGLTMHEHERYVGDLGGPEPRDAPNFSAYPKKK >PPA06275 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:127581:132141:-1 gene:PPA06275 transcript:PPA06275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dpy-18 MSEVITTAGRKEGRYTHPSHPRAKGNVKWYEDLLEQEGVKRSEMRKNIPRVSNDRPASALENSERNMYEALCRNEVPVSPKALSQLYCYYKRDRPFLILAPIKVEIVRYKPLAVLFRDVVSDEEIETIQELATPKLARATVQDSETGKLVTATYRISKSAWLKDYDHEVVARVNRRLDMMTNLEMETAEELQIANYGIGGHYDPHFDHARKEEVKAFADLGTGNRIATVLFYMSQPQIGGGTVFTEVKSSIFPSKVAATGGGRQSGAAAGVRGAARTGVPGADAITTGATAAGCAGIGAHLMARGAGDGATGVCSCGAMRSASSDTSVCAVLGTGAPSSTSTIGRAATGLAGAAAAAGGTRRAACGVDWSLASAAAGVPTGDGCKGTAVYWDTVANRIPDTWACGREARATRYDDVSHLSNMHTQ >PPA06277 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig142:173876:176196:1 gene:PPA06277 transcript:PPA06277 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLVTLSRVRVPLHLHLHAVDRLYIATIHPMRARAWCCRKTALKAVAIAWALAGIFAIPYLLHHEVVYRGKEGPDGFCTNVSSTQSWWLIFKFVEFIQYFVCPCSIIFIVYSRIAAVLWATNPVGIKSENSR >PPA06290 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:280522:282784:1 gene:PPA06290 transcript:PPA06290 gene_biotype:protein_coding transcript_biotype:protein_coding MCFKRPLGRDPDASRTEEIVCQSADLAAGALPDTARESDPVPSAPTFLVTTAVSSGRLLSRESSSRGTVPSHFLILILVFLPVLDAFLHCLLLIVIGLGQLERNFQWEQSISSLAHSCTSRCATAGRVGVQRIDVIVEDAFRIGIRRLDEAPGRHVDAVEDVR >PPA06299 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:331414:332357:-1 gene:PPA06299 transcript:PPA06299 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDIIIADALAQLDLAAQAPVRVVLYHKPEGRSYTEEEERDGDLALGLFIYLGYDTLDSIDIPVLVELSWDNHACRTQIRPGVRKD >PPA06291 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig142:287240:287989:1 gene:PPA06291 transcript:PPA06291 gene_biotype:protein_coding transcript_biotype:protein_coding MFRWGDAGLARLVNDVDVATALSLLETGDDSGKGPSTSSSSNMNSPEQEEIDEKVAHFYQSLQRSGYGAGPSKLRLRFSRESLLHDAYDQRCLMGVKIGST >PPA06300 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:333090:333665:-1 gene:PPA06300 transcript:PPA06300 gene_biotype:protein_coding transcript_biotype:protein_coding MADFRPLKPSVPINVILYEKPTTRAPTDEELRDAQLAIALVIWLDSDQTDAIDIAALANLFLTRTILVPSEFEATVPGIENRIHQAIEKLFRVYRDV >PPA06292 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:296969:300054:-1 gene:PPA06292 transcript:PPA06292 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFHSIVVTRDRVTLLATPSWLGGRVCEKGGGTYSTIHPLSATQFVLIVGIVTVIAFVFLLGHFSYDRHSSMSRRASYLVVYKAIHSSKMTHTDKDEYDEIWKPECRGVYITNWTPWRLALLPRPPSEDCTVCHKVVKIRTRMLVAREPPRNGDGCPATIEYSEVSSKSRTSKSRLISRSRLSSSSKRRSEPSSTSKSDAKISSPTNCDDAGWKIASRNRAALLAVMKRTTFPEQLAVEPVNAQKTLQLLSILGIFTVVAFVALVVEYNMFMRNSLARRTAHLLVYQAKHSSEMTKYDRLALEEFWYTCPGMYMRNWTAWRLALRPVPTKCPTCHKVVTMRTRVLVATEPPQRGKLCPATIEWSELDPDKFGLMIFDSKDIKTIEL >PPA06310 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:389402:392605:-1 gene:PPA06310 transcript:PPA06310 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDLRRSIRGYDGKELGVSAQWTFTGAFLYSLTVITTIGYGNTSAKTYFGKTLTILYAIVGIPLMLLFLTNIGDVMAKIFRFLYAQSIRLKFRIILWHKKRKAAKIRRANSLVSRLTRGRIKADSSQDSLEGVARITKVGSHHGKPPLKMDPRRLFEGNALLRRLVRIGVFVEDRMKPDYVLGLRTEDYLERRLLSQVFKLGLAKSIHHARVLIRQKDIRYCPSPFGGGRPGRVKRRNAKRGGGDDAGSDADE >PPA06288 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:258394:259112:1 gene:PPA06288 transcript:PPA06288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-twk-40 MCIDLVGIQYIRKIHYFGRAIKDARFALVNVGGRMVHVPDLMRYASVLQQKYARGHDREGSVCAQGHCQDSIH >PPA06297 pep:known supercontig:P_pacificus-5.0:Ppa_Contig142:325798:326623:-1 gene:PPA06297 transcript:PPA06297 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEQRNNGQAQNGGADLPANNDVANDASDPPVPAPDADVLPISNDSGAPPGDDDNVEALRNLAQLRVSDQYDAEATTDYQMILGMLLLILDGTFHTVNRTALRRLMTQPHRVDPAHAALHECNRLGDG >PPA06313 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1424:501:1144:1 gene:PPA06313 transcript:PPA06313 gene_biotype:protein_coding transcript_biotype:protein_coding MRALYALLLAVFTTETQAFVYTCNEISNTLLPKNLKIPSKFACVVLQDLLTPDTPWLSSIFVKDEAIWPPSPPSLPNPVRRETAHGE >PPA06314 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1426:204:1493:1 gene:PPA06314 transcript:PPA06314 gene_biotype:protein_coding transcript_biotype:protein_coding MRYHLPINPSAIDCYTYMGETRMVCQQLAVRAEKEKREREERERRAREISEQMKRDQEATAMREAQKALDEHQKPGPSKTEEEDD >PPA06315 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1429:602:911:1 gene:PPA06315 transcript:PPA06315 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEQLESCFEMITLSVRNIPLLAVGGDLAQITHNNTNGMDALIGELNRMEAIQAAKE >PPA06334 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:100970:103122:-1 gene:PPA06334 transcript:PPA06334 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYKLYYFNMRGVAEVPRQLLALAGVPFEDIRIAKDDWPEFKNKMPFGHIPVLSVDGKMLPQSFAISRYIAREHGLAGSSTFEAAWVDALADQGKDFQKEFSSYWRLKLGYAEGDVEAAKIENGIPARDKFFPLIVKQLQEAGSGFLVGSSVTWIDVLLANHVASIEQEEPGFLEDYPEVIQHQQRIHAIPALKKWIENRPVTKV >PPA06369 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:280039:280481:-1 gene:PPA06369 transcript:PPA06369 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDEIRLRDQLFHERKDRVFEVLAKCNETKLSVKKCYKLAANYQDKINHSSKISTKNSRIFVISLLITTILT >PPA06340 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:121731:123111:-1 gene:PPA06340 transcript:PPA06340 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSRVENKHIFVDIQAPISELWHAELPFYGSQKEGGGAIRNEHLHENLDKKSQISVASVTGPWERRLKNGETHTFKVCNSPTDQTPNCGKWVDKVHILSIARNGKSHGSGVTVTDKGRMIILTHNKSVVADSGEYIVSLFIVLVRYPSTNNRSFSVNIEVSKKR >PPA06376 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig143:300625:301607:1 gene:PPA06376 transcript:PPA06376 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKDAKRQRRDKTMFAGIPAYSDVVLSPDLYYNIYKFINHACCPNVTMTVVTTDRVLPDWGELTYHARQTIYPGEEIESDYGREYKMFECRCGYRQCRKRLGFE >PPA06335 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig143:104798:105653:-1 gene:PPA06335 transcript:PPA06335 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLSTLPADVIRIIVLMEKPESIDHMRLISPGWNAMVLGTLSNRKQLPEISHLIWSRTQSGLDPIKTVDMKRRSQYWNEESFYEDRGCTENDPKFAAKLRRCSHIDTLELNKGTLLAMIRLHQVQHLEITAHLFKKRNLRKP >PPA06366 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:269259:270043:1 gene:PPA06366 transcript:PPA06366 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGIGTIGIEFFSLLYLSFSSLHASAAPTANNQYNNCRWGRFAGYSSEPDWNDVKTSPSPSLKRSVVSYRSTLITEWNLLVRTLLLGTRAVKRETPASRRHAA >PPA06363 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:253924:257745:-1 gene:PPA06363 transcript:PPA06363 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEKEYEKNIEKYTEGKKKCGIRAPNRLEVELEIRVSSFRVLSRHSDRFAGPVEPKNGSMTIRYVQQSPTYRGFKATFDLDCHNIMFAPSGTIKAPEFHDGDPTTRKCSWRISFFDGARVKATFPQELVEISETRNTNVSACYGYTVDFLHRANGQARVRNANGDVAAAPSDKPICSSHTELIDAQNSTYCRWWITAPLGQRIVANITMFMSNASKCEKQYEDGNYIVVHGHHARRGDADFHGLDSRILIRYSAINEPLIVKSPGHEMLIETKIANEELANIEKFFKADISFTTDLSCGGVSQKLTAKCCSRIATLFPTLHILHHTEDYSIPPGNCSGTIDYEQFVFKALTRPTYKFCDARGWERPMCGSRDFYYEFCDDFGFSSNMFETFADTVQLAFRVGPRIDQVVGMKFFHMRVKPMCGGEEQLIELYDIDDSRYAYCYIFVRLPTDAVNISQISMTVTQMRTNPGFAARNITIIPSTSL >PPA06365 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:267463:268363:1 gene:PPA06365 transcript:PPA06365 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEEILIREQLYHERKDRVFEVLSKCNETMLSAKRYYILSAEYIDKIDRLDNDEESTTFKSAFEDLKEKFEGLRFLIAQHNYPFLEKVLTAEEFASYMTEAERNIKLVDSVLEEQMEMREKEYADLVKELKNQRSTSRMPEGAATFLESLANLSIQNHPQEYIDH >PPA06344 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:140036:143165:1 gene:PPA06344 transcript:PPA06344 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPYPERDEKENEPNPDAYLTVQSLTSFRDKNKVTGAAFAFPSTLRTIPEFEISRRGSIRSDFLKQDSLSEEATASTETVPKRSRIRKVVGAVLSKHRLIGLRHILLALVLALYAIAGMFIFYAIEGTYERQNVPETRDALNEAMETLAKDFEGSAPDANLSFLLKKAYITLIKIDGKYAGSTFYKLEERDYPLWTWTYGTSFFFAFTLYSTVGYGSIAPSTDGGRVAVIFYTAIGFPLALVIIRDVGAVTMVHLTRLYMFLAVKIRNTSRCRRSSSNDDVITIPILLASLISLLCILITAVFVMVYDGALGPEPGLDYFHALYFTFLSHTAVGLGDVMPVNYPHAPLVAIVLLSCMPLMRVINRVLYVGMERRVYGAVAQFDLHKR >PPA06349 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:174177:178369:-1 gene:PPA06349 transcript:PPA06349 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDAFSAALRDHHAKTASLQSRPRPTTPTQSCALETFAFVLPEEETKRQEEERRSREPQRIRVTIPARRPRTASQSYADFVDNMQAQIPAQIRSTIDYRSDAEEEDEDILEKQPAYKKKAPAVPVKHRRSSVEWENFEERMDQMQSFTSPEPPETCAAFFPDQSDVTKNFDIGHKSFENGQKCVKERSDCRTTSTSYICQAMDTADAFSPSRPAKSPSSERDQPNQTQKIQKEQIGQNGRKSSERQQSERQESVEKRSFDRMQSQEQRRTSSKAESIEQRSFDRVASQICFTFTPKTPFFAIF >PPA06378 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:307460:313351:-1 gene:PPA06378 transcript:PPA06378 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIIDSEMNTNIPGDGNALQVVEYCKEFNPGNGASKEWTNVKACLTPQKTRIAIGKSATENGLEYSCVKNGHKAKLEKKENKAIPLRGKRSTENLLCKGQYKNGERIDSGNFIKECKSTPTSWSIVIVACVTPGKKEIAIGSSAVEEGFEYKCIKTENGARIETTPCPTEKQENTGENQLCDGKYKNGERFNSGNFIKECKSTPTSWSLVIVSCVTPGKKEIAIGSSAIEEGFEYKCIKTENGARIETTPCPTENENTTGESQLCDGKYKNGERFNFGNFIKECKSTPTSWSLVIVSCVTPGKKEIAIGSSAIEEGFEYKCIKTGNGARIETTRCATSTNENTGVNMLCDGQYKNGEKFTSGNFMKQCTSTPTSWSIEIVACLTPERNEIMLGESAVEGGEIRYSCIKTNAGASMKREHASPKNRGVIDSEIWKLVRAAL >PPA06371 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig143:286645:288313:-1 gene:PPA06371 transcript:PPA06371 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLTVPSLLLILLHASAVISNYDCRWVQHGDSSYYGDGESADEMDTRGIVKLNGTLPFPPAIVEPVLVEFLLELSNKIKRRVYTVECEGFAEIYAKLGELQNKTKGRANAYAAPEYQTAFQTVLERNTPKRWLSTKIWLVAGILAAIFVATCCCCPCCKYCCVNKKPIEDTEAKIRYGECHEQPEQEYKNMYPSLSVVD >PPA06368 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:272823:278128:1 gene:PPA06368 transcript:PPA06368 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSPAVLRNAARPNAHFVESKCFATFLQMTSLTCFCPSRLYRPKQCTPSASHCRCVSAFGRAAPNLSPHAACSDKRRKGASAPGADAARLHAELAAMLAAICADAAPKAGGCPRQTRGRAVGAACACDAECAHAQKCCDRQCIAPEKEEEKETHLTVTFTCEPSCSDMSALPCPSLNCMGGCQCRPGFVRRDTSPGVPCVPRQLCPAFDASSNCADPRRQYLTCGSACPISCDSRNSPRCIERCVSGCFCRIPYILENETDPLHSRCILPAECPLSPPPPLSPLPPGPVTIIHTTPMIPMPTPMAGNRCSDPLKNFLTCGSACPRSCPNLQPTCESACTQGCFCRSPYVLLSGPDSTCVLPQLCPPSEGGKRSCADPRMEWSECGANECAPSCRQPHGGCLSGKCQSGCVCREPYVLSDEPNPRCILPAECAHCEDPKKEFLRCGSSCPVGCDNPSPRSCTPCRSGCFCKNGEDIF >PPA06356 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig143:221215:222982:-1 gene:PPA06356 transcript:PPA06356 gene_biotype:protein_coding transcript_biotype:protein_coding MCNRELPEVRYSYAYEVVRTGNGRFEETRQTNRVLPSSHTLIPHSWPIPDKFYTALHELTRLHELKSLSLMMPFSSINFAKLDAPPNILSVRSFFYSDTSDEPIRDDTRRSFAHFAKHLQCVNLRPFEVLTSILNEEFIQIVAQSTRFKHFLCTTSDDQAHRFHPDESILTSLLGFKTLEAATMVLNVNWIAKLCMMFMDKIAHPSWCDGSWRIGVDHPLTSESIRRHLREFEFEYFARKDNHYIVRRRDGFTAKLCPHEWYGGEMLVIEMVFYGSREIRKQCNQEARYNQNRASFIFRKEAAAVPILKVMESGLQWQR >PPA06360 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:237943:238136:-1 gene:PPA06360 transcript:PPA06360 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTRPVAQADGRGFSKLEMEESGGESGPPVASARMENITSITKILNKYNQY >PPA06341 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:129515:132144:1 gene:PPA06341 transcript:PPA06341 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKELTLATLPSDVIRTIIRLELPSRRFDNLRLISPQWNQLVVEERKELPVIGRLLVHYSKRERPKLDLISMDHILVNGEQPTVVHIVGDRADNDLHPAVVSPIRDSARIDLLHIAVDNTFTDTFDVLERYLGDIPVRRMFLSCLNNFGMQTRSVNVPEGGINKSMLLHETGPSLESIKIHEKTNEPSAILGQSTAYWEDAIGKLNEDGRFVPCSL >PPA06336 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:108144:109669:1 gene:PPA06336 transcript:PPA06336 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLCLFAIVTLAASTAIRVEPQQPPVQPVVQTGEAGVKDGLLCNACKDIVDDVENHEEDTIEARVDASIKEHCNNLGFLAGRCIKDMTEVEDIIVQNINENYSADQICQMADIC >PPA06328 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:81324:82239:1 gene:PPA06328 transcript:PPA06328 gene_biotype:protein_coding transcript_biotype:protein_coding MKQWLRHASLRIFSMQFLFCVITYFRSRRKYRESFTHLFESQELTFALLPVCLMAVILKSVSLTSIWMFTINRASIPLPCVLLVFYSVNTINVFLSQALLVRWHRLLWKRFKEIIGCKSETQTAPSEKDQAIIIRCLLRNDGQTVEIT >PPA06350 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:181495:183044:-1 gene:PPA06350 transcript:PPA06350 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGRAAFKEASYEENNESSLRAMRSFTQSIECDLPPVPGLPASLDIDQLGYSDDNSSMKRENTNDTIVEVLMDTGEDRTMGETQKYKMTVPGPGHVEQETELHRSLLREEVSVDVEQVSPEELCEYFNN >PPA06333 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:97752:99310:-1 gene:PPA06333 transcript:PPA06333 gene_biotype:protein_coding transcript_biotype:protein_coding MNISYKGTAKNDSCDQVLAISQASTLFDKESIVVRKRRMFHYRARTVLQIRSFSTYQVARLLVARKTQILLGRESMELVNGMDSEDVEKRWTDLESQFNAGLLQEGETRAMAEKLIGARDAVLGCYYS >PPA06323 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:46489:48628:1 gene:PPA06323 transcript:PPA06323 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVPLPLRMPSDTLRSTKGKKLYSDDNEYIYRVSHMVGADTKLRCVKAGCGAKATIGASGEALINANTTHTHAPSDYPLEVYGARKAVKFLRSQDVAPKAALTAVTAQLNPAVVPFLPSAAALKKGAQRLVPALTSSNYPYAPQTLLITRDGRAGHLFVPTVFALLKSKRVEAYQEIFDSVISMGVTNSPSVILMDFERAISTAAKRAFPTSNGLVGDYKIPTVKTTIRCLAALAFLDPADVVYYGSLAAYSAISTPQCQPVIDSFGRNYVGLDTTGNVHIPMYHLEEWTVRDRILRSFHASNSAQESFNASLKGIPAKCAVSHLEGALLEVANYWEDEQTKATQSNLYLWQYRKQGNKRRSDEENRRMALANGAMGQSNLDHLKSLSMYLPVLVDCCCYG >PPA06359 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:231632:233616:1 gene:PPA06359 transcript:PPA06359 gene_biotype:protein_coding transcript_biotype:protein_coding MRFEYFLLLPLSFFLIFCSLWILHLEMSPFGLKDTLNMMRRWKEAEVIWSTTASSGGTSTASSTTASTTTTMKQRGRNRCPPGGVWSEWVTTGPCPTTCGGCSQAPRVRTCTKQCGECPCEGPSRDIGPCGLALCPFPSQLGTCCLPLKKSLNYEARQFLCGAGNVQANQCAP >PPA06348 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig143:166986:170028:-1 gene:PPA06348 transcript:PPA06348 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDEPPQFSEDMQEQRAKVLEARRATRDDSRDADEEQKESSEEEENPMFPKSEIEARPVGKDREKMEENPMFPDAAKIGIFQKMPLHQKKSLAKQASIAQKRLSGQASLSKQMSLGQRQEPARASIGSLPKQQSMIRQGSTTSQGSGPTHPPPGYKSPPSRQASVVSGNGKIPSMGSRQNSLAKAPSLGSRQGSLSGAPPISSQKRGSLGGRQASVTSQGGGAGAAAAATKTGEPEPIPEEGSHQESVGPNLFFGPTLHKSG >PPA06322 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:21645:22605:-1 gene:PPA06322 transcript:PPA06322 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVTRTTRMRDYSYAIMEQNSQESKVSCNLSRGDNCSEKLMEEQQLRSEKV >PPA06329 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig143:84496:85970:-1 gene:PPA06329 transcript:PPA06329 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFRMVEPSSGSIRIDGKRIDSVELKDLRAGLAIIPQDPVLFSGSLRSNLDPFGVCSDEELWKALEQCQMKILILDEATSSIDNRSADLIHTVVRDRFKQATVISIAHRLESIEGYDRVLVMHDGEVAEIDTPENLMNNPESNLNRREDFISELDSKMTVAVRA >PPA06372 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig143:289006:289917:1 gene:PPA06372 transcript:PPA06372 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPFVLFGALSIASAGIVADWSVINPKDFKFFPVAEMLKVLKAQKDVGQSCAIDCGKNVCDKECASLCAHHFSFENRMQYEAEFYDMIGRLRKTIDLYRFTE >PPA06351 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:185943:186624:1 gene:PPA06351 transcript:PPA06351 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYTYKRLVTCIEIFHFDGKTSRCHPFVCVIASRYSLMGILFDSPRVMLHLLLMIVTALSTSATGIEGAPGYKPTETSTPYEILERAMGTQRDCETLCDAKATCTGFAYKSTALTPCVLLSAVIPNKMCAAKTTIFLKQTTGSGGNYW >PPA06332 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:96081:97077:-1 gene:PPA06332 transcript:PPA06332 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPTQAPGMCMSTTNQITFLIKPKHRETRNRVRAWFQNARSSAKINNQDNIVRMRNSTAFVRE >PPA06354 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:214051:215732:-1 gene:PPA06354 transcript:PPA06354 gene_biotype:protein_coding transcript_biotype:protein_coding MQASFLLPLLLIAVVEQTSAAPYCPMPQIIKMEQYRNKNQDECLDLQEPEWYGFPTPISDDTFFDLCCKKKFCEAPHVMRSRNDTTRYHGAP >PPA06345 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:145537:153159:-1 gene:PPA06345 transcript:PPA06345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-41 MGEANCMLLAWSHQPTAREDWRSNWWNRSQVRAALAQQGGKLIFNSKNDPRPVQETLLQSSCSLSDSTLQAYDIYGKLHTVKLQHIQYKERVGIRQGQIARLMEGHVTKYGMPLEHAAQCTVLAKFGSVDAAAMQSFISVVEDILFRAPAKRDKAPVHKQDEVQVHCYDEYACYVDKDGIVSDQKARVRMFCLAFVTGKPFLEIGLNDRRRQGKEIVRRKDILPMYTERWIRFENLEFHSIVDLKTFEEEQVIKIPPPDGCFFELMRFRVRPPQNREKNLTVKAMMKIAGSKVEIRIEAMAAANASRSRGTAESRRTIPCEDICIRFPIPEAWIYIFREERHWGVGSVHAKKMKPGKVKNLKERLLGAVQTVENNLIQVGTGEAKYEHVYRSLVWRIPRLPKEQQEAYKSYMLKCRFELSSFDLMPDEFLPNAEVDFTMPLATISNTVVRSISVEQHEDPDRVEKFVRYVAKSHYKLEIDYVQCMDLDAETSLASKPNMEDFTMPDVHQPAMNPGEIGAIHESYRIDLPEEAQYNRREKDSSSDEEDEKDTRKMPMVKIDICFVDKLSRV >PPA06358 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:227552:228233:1 gene:PPA06358 transcript:PPA06358 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNAIDPKHWRDCGSMAFGFAVDRPITISYLDNLAQHLAPEEYEYEDLRDPSYSFIGPIKLTRKRDRISATIYYIEENIGSEIRHNIDIGFKPSN >PPA06373 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig143:290166:290917:-1 gene:PPA06373 transcript:PPA06373 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDEWDSINPGNYKYFPTVELVKAGKAEKTQKPAASSRLLFCQYSYCHGQTDKECNELCKVMINKGPGSELRIEMIVLDPQPVHDVDACFRGIDGDVIASSSLLAMIPNTLRCLVDCGSSLCDIECTSLCAHHFSFKNRKEYEAEFNDFIRRINTFKP >PPA06327 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:75359:79169:1 gene:PPA06327 transcript:PPA06327 gene_biotype:protein_coding transcript_biotype:protein_coding MFTIFDNLFIVEILIPPHSTLDISLSPCPSAPFQTFRLIHDVGFYFSTTAMILLIYDRFLFTIFYRAEKRQKHFRQVTIILVPLGLFIACFWAYRADVDAAIAEACLIAHSCDAVSCVLFESQELTYALLPEIIGCKPEEREVIPEKQQYAAYFEMIDKHAMLSIFDYLFVFEILVYDRFLFTLYYQLEMRQKFFRVVMLVLVPLGLCIACYAAYRATVG >PPA06324 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:55929:56601:-1 gene:PPA06324 transcript:PPA06324 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNTLDEIQELAKDDLKAFSDFLGEKPYFGGDKPTSLLTKHVNETYPNLRAFVERMKERSCEQRDFFQSV >PPA06379 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:314438:318149:-1 gene:PPA06379 transcript:PPA06379 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLPYAALLFAFPLLVTAIHPDLSKRCNEYKPGADQKFTGRIHNVCKFYTYDSACITPQGTRIAVGETLPENGTVYYCASVDGIEVRLDKKEGEIFTPFLQVIRPTWAPAPGDILCEGQYKNGERFTSGNIIKECKSTPNSWSIPIVACLTPQKKEISVGGSASEDGIEYSCVSVDGIKAVREQKEIKTVRIRPKRNSAPEDLLCKGQYKNGERFISGNFIKQCTSTPSSWKIAIVACLTPGEKKEIAVGSTAAHGGVEWACLQTDEGARLVASQSDPRGGSNIDNMICDNKYQNGDTFTTGNFIKQCKSTPTSWEITLVACLTPKKKVIAVGESATEEGFKFTCIKTENGARIHAS >PPA06364 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig143:260299:260871:1 gene:PPA06364 transcript:PPA06364 gene_biotype:protein_coding transcript_biotype:protein_coding MMVIKRTIYLLVIILFCFAHVASKSVEETTENQDSEYLTEDTGLYAIAVRIETYPVVFFLHLESYLDELSTVLNRTVSVLRSDGIDQIFAVVNGVYTPITKESVFNNASKIEMLIGVNPAIYHPDIKA >PPA06342 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig143:132205:132656:-1 gene:PPA06342 transcript:PPA06342 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVASVAESAPLRLQVFSVTRDASNDAQWNTATKISVQVLASGCTITVTDSTRPHRFSDCTPTTVYPVGIKQVDRQTLRRHHRKIQDIIS >PPA06353 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:188148:189045:1 gene:PPA06353 transcript:PPA06353 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVLIVGVGITVGVEVVTTTLARIPLNSCYISNTTSTPYDVLERVNREAAAQKDCELLCDSQSSCSGIAFKEGSDISCVLLSTAKLDKVCSAPTTILHKQTEGCAGPSEPSTSIAPPASCLSECDAGKIWIDDPYVSELEHVFCPGKDLSDSPQFQWDEIQVLSLGIFND >PPA06367 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:271264:271916:1 gene:PPA06367 transcript:PPA06367 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLFVLLLPICLLSITEGIRVTTRDCEISGKCRNCGDGRYSYYRCASQADCFPGENCGGSGFCCPNSATFPIVQSSFQSEQIDL >PPA06339 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig143:119477:121589:1 gene:PPA06339 transcript:PPA06339 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPPPPPKSVYYSQPQYHRSSSCTRGTTIVMAAILLFGHACMWLSATYFLFALFGPHTVFLFNYQTAAVILTTVGILGAARRNTGCLIAFIIHLVFALIGCVITFIGAILAVQWNPNWFVPAMIILMILLFFSFVYNAALLFFAISTRNEIVDELRGPRQPPVSRSRRPSTSRLQYPIAPPSTQPPRAQAPRAPKSPKSLRPDPKSPEPAPTAVASSARSISDEEAD >PPA06338 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:118262:119044:1 gene:PPA06338 transcript:PPA06338 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGLLRRSTGLIMAYIIVLILAVAALVIDIFGCIIMAMFPKEVKKAFRESEGYEDWPKDQKEVIENQLGTLIGLAIFIVLCAIAYKAAHLAFTIQARKEIVLLRRASAEQQQPFLQLWQPPPGTMTSSKTHESA >PPA06362 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:251991:252723:-1 gene:PPA06362 transcript:PPA06362 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHYMDYLLQTRQQYVNYSSLKPSRTLTTLPPDVIQIIVKLCAETASEPVDKLRLISRTWNEIVLEYLANRRNHPMIETVEFWSGAPLSPNSINEIGTFTVRMELKNPNHICRLRRVCRGWKT >PPA06316 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:1831:6194:1 gene:PPA06316 transcript:PPA06316 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAQHTLKITNDTLRKVTVFNDRAELRREFTVELVEGPNEAISKHTNDDSIRVTGRGAAVIEEVQVAQRRVAKGSVDSERAAEIRKEKEELEVAREKVDTDSAIVQKQSTALDAMVGQIGAAPKDGITSLAVDAKTLENITSLFGFHRTQVAQLREEHRALRKEFDRLTSLITAKDVELKAITHGEMSKNVIILLEASEAGPVSLEVTYQVWKASWSPLYDIRVETKDSKTEMQLSYYANVQQSTGEEWEGAQLTLSTARPCIGGSLPELKTLDVSIYRPQQSLFNSMGPPAPSGGLFGTTRTTGVLFGAAAPASTTTSATTFSFGAAPGGGGDASPPPPPPPLVERVSEGNYRSRTI >PPA06352 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig143:187116:187995:1 gene:PPA06352 transcript:PPA06352 gene_biotype:protein_coding transcript_biotype:protein_coding MIGYVEVVDPVPLTLYVFNEKLNVSEDPQWNTATFIKIYVLSTGGCTITVTDEQESVTEFLSSFSNPKTAVVSKIREVVDPWKKCDERFSVQKDVKAKTERKCLHFDRRTHHSANS >PPA06318 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:10115:11545:1 gene:PPA06318 transcript:PPA06318 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLLVSGVMFQATQARTCYPLTNTSKPYHVLDKTSVQTPRRCEVFCDANAKCVGFSYQEAGLNSSCALLSPNNANEICTKPTTIFLKQTERCPDRTDLAAEYGNDPCIDTFVPAKVATVGYACTQDLRSKKYILRVVTADGLRKSMTSADYTFMNQSGDMWAYNNTMFFTKYSEDVVAAVCATAGATKCPCAPLPLLPIEGKQTYAAVINQMNPCPMAKTMLEVTNAHKGSSTSVQEKQNEMIVCKAGMWYLWNSGTYKELNAITAAGCRNTAHSYLYFFAFQIHSTAYIPVEG >PPA06337 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:110452:111368:1 gene:PPA06337 transcript:PPA06337 gene_biotype:protein_coding transcript_biotype:protein_coding MIVIFFILLPSNCIVNDSQAVPVTDKGYRQPPHNNKVCPEGEHLEPNMWQPIDDGKPREYVCIPDGAVQNFLRIRTSSNPEQRCNLSRGTHNG >PPA06330 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig143:87183:89216:-1 gene:PPA06330 transcript:PPA06330 gene_biotype:protein_coding transcript_biotype:protein_coding MTRARWARESGALRYLALLKAACADCALNIHRPLLRDVLTLPMRFFDTHPIGDALSRFSSDLDTVDQALPMAVRSFLKAILENASIFFVISYASPLFVMVLIPLMIIYVKIMNLHIATSKQLRAMEQNTRSPYYSFIKECCNGRETIRAFGKEDHTSTLLGTRLDRFARAKMTVQWSTRFLCHNIDIMANLIILFAALFASISCRYFGVAPAMAGLALSYAFNLDLPLFTHALSYIEHYKAGAERVRDYAGLAKEQLSIDKAEAKDWIEEPKIEMDDLSARYAWVTSRISEHPISYYVYHNKIFQ >PPA06319 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig143:11563:13562:-1 gene:PPA06319 transcript:PPA06319 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSFSYDQYKWPQYAPIYFDQPQSDTALVKCKPACTCYGENPITTFSMDLLVDTDLTLATLPADVIRTIIQMEVPESIDNLRLANMREGESAIFLS >PPA06317 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig143:7630:9553:1 gene:PPA06317 transcript:PPA06317 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVTIGIIFLTPQLVHESVPSINASAFLTASALNSSQLPLLAGNASVYLDGAFVAKTAIKSVSPGERFTASLGVDPAVKIEYKPAHKYHEQTGLISKWSSTVREQKIVVKNTRADAILLTIREQIPRSTDEKIKVKIASPENVEKVTDVSEEQRHKVGARLLPSNNLEWTMKMDKGATQNLLVKYTLEHPSNEKLEYNVRFG >PPA06343 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:137217:138977:-1 gene:PPA06343 transcript:PPA06343 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVARFQLQMVASSATTTDTLKLTSCYTLIDTTTPYDILEKAVGSLRECEILCDGKFMCSGFSYRPTSLIDPCVLLSSAIPNKMCAARTSIYVKGCGNGGPITLNPSDEPNAPTTSAMSPSTTTITETTTEPMTTTHLTTTESVPSTEDRATTTTTFSGGARRIIGYVEVTDPSPLKLQVYTGTLDVSNDAQWNTAKNLSSARVERPC >PPA06361 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:239966:242592:-1 gene:PPA06361 transcript:PPA06361 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAYFLLVPLSFVLVYVTVRLIYLEMDPFGMNEVLRRWKETDVWWTTTTSTSTTSTTAASTTTKTVKNPRNRCPPGGVWSEWVTTGACPTTCGGCADAERVRTCTTLCGDCPCEGPSSDIGPCGLSLCPFPSKVGTCCKPFKKSLNYQSRQFFCGPGNVQAYQCAP >PPA06374 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:292516:293237:1 gene:PPA06374 transcript:PPA06374 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRNINCFSKSKSEDSGDEIILSNLPCDIMRMITTMAESPYPLRGISPAWNAVARNLIHGKHLRINELDLTIDPYDGYLSINALLPKASHPFFCRNEWTRLAMDDEWLPVGTFDVSPNGRSSPSSHGCPTSSTPVLRASMSFC >PPA06347 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:156760:165952:-1 gene:PPA06347 transcript:PPA06347 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSNCGLRNMDGILNIAHTRFGIGLQFPENTTPNTRRRASRMELVFQIVIPSLESGEPIEAGVKGGGIFAMSLDQLTVGFRRRSTQEKIMKQNGAQAYDAAAYDAYNYENYQYPEGVYEYEGQYYNADGTLYQWEEQTEAAYEYDPSAYPGYTWNYELQQWEATGEDTTATDTTTAAAAAATGDATQAADPNAQYDYSAYQQGEQDAAATDYSAYYQGYEQAGYDPTAYADAYTSAAAAAAAAAGEAPTGEQYDYSQYPGYDQAAHEAYYGTQAQYNAGYDQPGDATYQYDAAAAAAAAAAAAAAAASAEATATDAATAGYYQGYDQQQQYDYSQYYVQQGYGQEAYAAQQTYDQKAYVPAEYQDQSLSVATGGYDPYQGAYEGYGGQPVGSVSPYPASDASPYPEPSPSGYQAPSSEDREWDTTGYEAPPAAAASVPMETPVTIQTSSSFCEDLDRTREAEDSGGARAAPPPRPPPASPSPGRPPPPARPAAPAASPNAVSAPPPIPAPPSPRPPPPVPRPPVVQKKKEEEPEEDAWTRFNKMTAKVSEAVKKTEETLKDLGENTAANDIKDESYLASVGGEQGYFNSEVHRSIMAKQDEKAKEKAIKKKMKARGKKTPSPELDPNHDDDMDRKAMELAAKLAQNRPEFDDWRPDIKAVAAIKKESGAAIPPKKRSSLQDSQRDSGSMDLPGGGDGQSIDGVQPIGDLPSDDPSLNKPAWSNNFDAMELPASDSGFFLKGESEAADPFVITTAKDDPFAPKSQNELIDENFDPFNVKPVEEIVAAAKAKAEVIAAARARDDDDDVYDHDRRSEVSTPTEPGSPVNGERPSAFDDEFKVDPSHLSTPTPLYDEDDSQPLAPFCEPFIGEGWELMLRHPLKKKLMAERFWKPCFVRVQGNMLQRSSVALSPHIKSTCVNPDTCWNN >PPA06325 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:57212:58910:-1 gene:PPA06325 transcript:PPA06325 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNTLIKRDWQKNHVYLIQYPRCRTIPNLSPWSLKTETWLRMAGIPFTNISNEFTNFSSKGQVPFVEINGRQIADSNLIIDFLREEFGKADMDPTDPKQLSLARAYSALAEEHLTWLSTGRWIRCMIAIRGKTVFPFLLTDDGWGRFEFSGLGTALMKLHLLIRYASDM >PPA06355 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:218710:219431:-1 gene:PPA06355 transcript:PPA06355 gene_biotype:protein_coding transcript_biotype:protein_coding MSNENSKCDQIPAYGAFEEFEKARQGLHEHFHNARVEAAERLKEEAEKEEEEKVNCMLGNAKDAVKHAGSSMADIDGSVCTGAMEAEQGFAETKMDQSTKEPMRNAGEAISK >PPA06370 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig143:281031:286212:1 gene:PPA06370 transcript:PPA06370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] [Source:UniProtKB/TrEMBL;Acc:H3E9H6] MTGPILVRFVFANSSDWRLSACVPHEECEKMTSTLRATTVRTTVAPTTMTSTASTTTKDENKPVVRTASTPTTSATTAQPLQQLQPTAADAGPSVTTASSVVRLNAARDAPAKLVADQDLMLSAIMSMCPSSTADVSGRPCNFNTDCPSDQLCCRSLVLPLAISPQRCVCPDANAAWSACGSLCPDYCGSHSTPVCSSTCNPGCTCLPGFIRARNDIQAPCVRRDQCPGVVPEPQPVFASANNDPFLSHQIAVAHLYSPSTPVRGRISFSKLSPTTLRVHGLIDNLPNGPHAVVVHQFGDISMNCTRLGPMLLPRSSTSGLLGDVHGKQDGSELLRLLEWTAEDVVGRSVAVYEESTMEWSLRQREKPPLACGTIGVTKFKRKAKLLPLHSSSSDESKSSRNRPYRAPLACETIGVTKFKRK >PPA06357 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:224379:225743:1 gene:PPA06357 transcript:PPA06357 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGHKRSGSSSPHDTPTKKKKSAIQHEKIRPDGFGVNVLTTCRATPGQTLWGNVAGFRGPSWHRPSRKARGAEGEQKKDGMGPRSSARDSQSRTELASSLSKGSWGRGRAEKKMGWGHAVPPGTRSRGPSWHRPSRKARGAEGEPKKRWDGATQFRQGLAGPALTSPHRLPARSLQVSFKANF >PPA06320 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:14348:15802:-1 gene:PPA06320 transcript:PPA06320 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAIILLFVIGVIIALRPTCYPSKTTKNPYELLGRETVETKRGCEVLCDADAKCAGFAFKDLAFNSSCVLLKNRTKENQVCSAPSTMFLKKFNCDDRTNLTEEFGVETCIDNRVDEAFRGLDQYPPCIQNRSIQNRSIQNRSIQNRSIQNRSIQNRSIQNRSLQYFIRAIDSNGNRITLDNENLATITAVKDGGMFAYYWRWEGNTFIRYIVAATCVQAGAEKCPCAPLNHITYIGYYPN >PPA06346 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:152534:156889:1 gene:PPA06346 transcript:PPA06346 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAIILLALFALASCEKVDDPELLMTATEMIRYWGYPAEDHNATTKDGYVLGLQRIPQGIKGPTPACRPVVLMQHGLESDSTSWIANLPEQSAAFLFADAGFDVWLGNARGNTYSKNHVNLSSKDENWDEMAQYDIPGMIDYILQTTGQESLYYIGHSQGTLTMFSMLSQDPEHAAKVKSFFALAPVGSVAHIEGALKTFCDQLGADIELFDELFGSKEFLPNSELMDLIAQWVCGTTEKGEDRCDNILEKIVGPETDDQFNKSRIEVYISHNPAGTSTQNIAHWAQMVEQGTVNRFDWGNTKTNYQHYGQNTPPTYDFSTITTDMYLFWSDADYLADETDIKEYLIPALNPNYVKLFQYVAGFTHLDFIWGLRATNDLYNPIIEIIKTDLGGSSRIEVYISHHPAGTSTQNVVHCAQMQGSVNRFDWGSTKKSYDHYVTMITRSLFQQVSGFTHVDFMWGLRATEDLYNPIIEVVKAEKGGTVQR >PPA06321 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:16439:18405:-1 gene:PPA06321 transcript:PPA06321 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQSCARFERYADDGSKVECGDNCAEKLVNEQQLRSETRHKAQARLDETMVGWDQGKGRIGSAHFPCRLACPSSPTVHFARTSRAVAQLADKISTNGQKLLACWEEQAIKRARTLMSSTRKHCNNREKLGGLGGDKANIHLEQTRK >PPA06375 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:297686:299664:1 gene:PPA06375 transcript:PPA06375 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIKRIVKKRKEENEQKGLEKMDDQQLRLALQGVQEKLKEGFTGDKLDRAAAPMHEYFRRMNRENHPVTPSVAQSIFRMPQEVVNCDMEGNTYTHDQLRLRAYQLYVKEIERVEPQVREIVESGLRKRYGSAAFEHHFPEWNRSEHLYNKDFREKLVVYRMALARHYFFLKQIPAFYYDWRGTQDVDTIPGGKRMIGRNMYEDVMLWEGTKGAQELIADYVKRRKYKKEPLPTTGTDDRSEQ >PPA06377 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig143:303817:305066:-1 gene:PPA06377 transcript:PPA06377 gene_biotype:protein_coding transcript_biotype:protein_coding MERIKQRLYSITRDKRCVKPIDEIILFKSNGLFTIYANPIDKNDACLVYEISMDGYGAKRKIEALEEGYLPNSPEIPHNSEIPPNFFTALETLHSKCKAKKMFIYELFVDDSFLQRIGNAFNWHFPSNLSLMNVATDEESKCTSVPSTKRQTLLAGLLH >PPA06331 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:89574:94725:-1 gene:PPA06331 transcript:PPA06331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mrp-8 MQGAMYYIDRYFLVWMLPLLRKGERGELTEDDIPELEDNQRNEHLKQQWRREWTDTFEEFNSRRRHGKTSPNEKPSIILPVFRIFKTRLIFSISMRVAEDFAHFAKPLFLSLLIEYLSSDDASLLYCGIIIAGCYFMAQLRSSLYNIFTREQNEMATLVHGMLNSAVYEKSLRLSPSARASTTDGDVMNLVMGDVESMFDAFRLMHNFISAPLQFLFAFGLLWRTLGPATVAALTVTAIMIPLNHWVWTQSKGLIGERGRVRGERIKTCTEMLSGMKVIKLYAWEAAFEEKIEKLRKEEVNLNRRGNLILRASDLINMAAPFVMALLCFSIYLLTDAHGVLTPQIALFSLTIFHQIQFPVELCRSLIYVCSFCGGAHDRLRAFFALEESDEIGSRIGDSDVVVDIEDASFGWKEEVAVLKDINLTLKISEITAVMGSVGSGKSSLLSSIAGSMQLQSGSFNRRGSVALVSQQTWLMNTTIVKSCELEHDFAVLAEGDQTIVGENGSLLSGGQKARVSLARAVYQNADIYLLDDPLSAIDVHIGESIYKNVIGQSGFLKDKARLLITHNHKYTEGTRVMIMKDGMLTSDMKELAKLAAISQQEDEIVEETSEGAKTPDGNGPELRKRKQSEKNAEDNKIVDEDENKKALTI >PPA06326 pep:known supercontig:P_pacificus-5.0:Ppa_Contig143:64673:72815:-1 gene:PPA06326 transcript:PPA06326 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLPPDGPPLIPLPAPSAARTSSNTPILKWSVADIHTILSRPNTHLLPLHLLTTGGTLSALVDRKAFSSGDATAHRFKANAGNGTANGGKKKKRTDDVSDEKRAPTVSPFFSYLSQHSLLGGWTAGRCDAAAAGVAQGGVLYLMMPLSQAWLKEERAPLSPLGLQLLQQPEAAAGVQPQLLLRPLQAARRDLAHDLRDRYDDADVVEYVEDEQQRQWRHFTPVLPASPATAAGAWLTGVVKEEGGVIRRGDVMHDDVIIGHDDDDRMTDDIDVVGYDEEEEEAQWRAYSPVLPPSPPLASSLLTGVVKGEVEQADEVVGKEKAMNQAARRPVRKRPSVEKPEVIYCKQYLGLAWLREQRRDRLVLTPQQRRLCSAIMARVSYLLPAEREMLAGAIGITQRQCGARLPAGRFAFALRLSFPLPSRLVTVVEYDHIHGSSLSLATLNCLTLSKDARIIELENALDKIKYDIVGLSEVRRKSAGEMDLSWSNGQLYHSARLPNHVGFIVSGSVKQKVVRFCVLTARICFLDVAISDGILIRVFQIYAPASINMLEYSTFIHEVEQAFH >PPA06380 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1433:280:1215:-1 gene:PPA06380 transcript:PPA06380 gene_biotype:protein_coding transcript_biotype:protein_coding DPRRLFEGNALLRRLVRIGVFVEDRMKPDYVLGLRTEDYLERRLQSQVFKLGLAKSIHHARVLIRQKDIRYCPSPFGGGRPGRVKRRNAKRGGGDDAGSDADE >PPA06381 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1434:132:583:1 gene:PPA06381 transcript:PPA06381 gene_biotype:protein_coding transcript_biotype:protein_coding AKRFRKAPCPIGERLAWSLMMPGRSNAHECPHRQALFRDHPPPYWRSYAAAAFRNIKIAECLADELINAAKESPNSYAIKKKDELERIAKFNGYA >PPA06382 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1437:450:938:1 gene:PPA06382 transcript:PPA06382 gene_biotype:protein_coding transcript_biotype:protein_coding SAEAKKARLQARAEARAAGKKEEVTKRPNMVRFGIQNVTRAIETREAQLVLIAHDVDPLEIPYAIVKGKAALGTVVRRKVNGPRMVGPRQARRHQ >PPA06383 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1439:643:1230:-1 gene:PPA06383 transcript:PPA06383 gene_biotype:protein_coding transcript_biotype:protein_coding MYRIGCFRDDVIFLIYLYQYWAYRVDHSRVNEYGISGEELKKVEEKKEEGEARKEEKKEKSEQKAIKQ >PPA06415 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:234573:235243:1 gene:PPA06415 transcript:PPA06415 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGAVVSKGSLDMLIIGGILIPPFIFLLEFKSEEEIQRLPHSAADHESDSDSDDSSLSSDDSFSEESEDSDADEKTTKETKRSSLNMSNFVSPNSSFRKNGPQQPHSIEALVANTLPGFLQNPSIPPSQRTSSFNNGVNGGRERSGTFRAKKQKKKKESETGNRY >PPA06416 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig144:236118:237814:1 gene:PPA06416 transcript:PPA06416 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYGLVRQGITYPDEDWHPILIRNIFLKPYYMLYGEVYADEIDTCSDGIWDTHIELGIPISEMNTTDPSGTCVPGHWVSPLYMTVFMLLANVLLMNSMVACCTVVYEGRIDKSREIWLLERFKHVMEFESTPSLPPPFTIITHIYQLIQYYSTKNEEDRARLMDDSLKTFMSPDGLKSLHRFENECLEEMERKKEWKKYHSQQELLSRTSNKTEQIVNKVSSISNVEESLRELIKDVDSRMNCVESSKKEELHQLRLITSHLDHLSGRTHKKPVKRLSIPRVVVKNTEEHDDSETEKDRIRQSSGGRKHRQHNEYTTIADGIQGPTDEPRATDFLLTDGEKEETISLYKQFQ >PPA06435 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:338535:340158:-1 gene:PPA06435 transcript:PPA06435 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVNELSQTAKANPFGLPCVRSADCAAPKGASDDGLVPYGSGKYYALCGIGGMLSCGITHTAIVPLDLVKCRIQVNPEKYKGIVSGFRTTVAEEGFRALAKGWAPTAIGYSMQGLGKFGFYELFKVVYADAIGEELAYEWRTTLYLAASASAEFFADMLLAPMEATKVRIQTSPGAPPTLRGCAPMIMKNEGLSGFFKGLPPLWMRQIPYTMMKFACFERTVELLYKYAVPKPRSECSKSEQLMVTFVAGYIAGVFCAVVSHPADTIVSKLNQDSSASAGDIVKKLGFGGLWKGLTPRIIMIGTLTALQWFIYDSVKVAFKLPRPPPPEMPASLKAKLEAQGKAH >PPA06399 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:115710:117090:-1 gene:PPA06399 transcript:PPA06399 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTKLIFIGGGNMAGALIKGAVNSSVVFICVKPQLFGSMVDQLEEGILYSSPLVVSIMAGLSTDTLAQKLSLVTSLAACAGTCTILDEKQFHAASAVAACAPAYVFLLIEALADGGVLAGLPRKDALNLATQTFQGAAKLVAETGKHPGQLKDEVCSAGGSTIAGVRVLEKNGVRSALIEAVKASTERSEEMSRMK >PPA06412 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:226150:227180:-1 gene:PPA06412 transcript:PPA06412 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLLLFSSLPLLNLSETCGYNRIPFGFEVHKNGHLTLLCSRPNCFDKRYAECSERAEEKECTGNDTWVGGLERTVDGQLFLQCCKFEPLANYGEKLFNDVKVRRGEYFEGEEKTEENDDFVT >PPA06450 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig144:446039:446724:-1 gene:PPA06450 transcript:PPA06450 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKNTKDADGFVPDLSGTTKTAFAHYQLKLGTTPGRAVYEVTVLYYTSEKRVEIDLTAISHTNKYGDDPHCIVDRNYFLATYCVCYDRIGTTD >PPA06389 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:59151:63109:-1 gene:PPA06389 transcript:PPA06389 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNSCSSSEEDYKVVASRVAQVSLNSHADSATTRPRRRRARKPRDSRMEVDPSAPSGSIQRPTSSKMEVDKNEEDDDFSSFESDSTISSKSSNGKEADDEQSDWVGDAEAVLLRSPREVPETSGSDRRLRHLKRPHQSSIHRIVERYARDPRATAPLTIESKDSPNSVSFGRLLQMHKLEVVKRGKGTVVIAKKPKISGDYDPSTGKGSVVVENRKDLNLVWAALSVFGTHARSRVSIHLSQKKHALVTGGSKGIGKEIAKSLLKRGSNVSIFARKESDLRKACEELQTYADQLGCDQQVRWYSVDMMSKYEEIEETIRVSETELGPVDVLINNAGHSVQDAFEKIPIEDFEKQVFATRAVVSGMQSRRCGHISFVSSAAGQCAIYGYTAYSPTKFALRGFADSLHMELLPYKIGVSVLYPPNTETEGFKCLFAGLLRYVMLIYVGYFNRVVNACWKRREIQKEEEKKKNEQRKEQ >PPA06391 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:73942:76317:1 gene:PPA06391 transcript:PPA06391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sqd-1 MNGTEDKHNVSDGLKGNEDRKIFVGGIAYDVTNEDLQTHFSQYGEVAQAQVKFDRMTGRSRGFAFVEFVNGESCKLALASREQAIKGKNVEVKPAKSRENKKVFVGGLPSDFSEEELKKHFEQYGRVEDIEWPFDKITKARRNFAFIVFEEEDSADKASAQMKQTFGSRECDVKKAVPQAKRFGPGGTRGGRMGFGGRGGANANWYHWQMGGMPYGGAGWSGDWYNAAANYYGQNGNANGYGGYSQQNGSASYDSYNQQSPAQRNGANTRSYGQAQTAQHKLRTGSLIQLPQHVVSVITGDGRNIVGQLKGFDQLVNLVMVDSHERVFSEKRGVELVPLGVYIIRGDNV >PPA06405 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig144:172382:172926:1 gene:PPA06405 transcript:PPA06405 gene_biotype:protein_coding transcript_biotype:protein_coding MFYTRNIVNVFLPFLLLLLFNLAAVHNLSRQRRPDVLIEEDVAEYKVEASNPAGKASSVANLVLAREFAHFCQ >PPA06411 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:221678:222950:1 gene:PPA06411 transcript:PPA06411 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPYNQYPPPQYNQGYPPQQGYPPQQGYPPQQGYPQQGYPPQQGYYNQQPQVVYVDRQQPQSSGGADRCCLYALLGCCAGCCIADCFHDEF >PPA06457 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig144:497168:498214:1 gene:PPA06457 transcript:PPA06457 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQEDITVTAEDQQMINKFARLHQNFVSLTDEIKSLLNDVQNLNEAADEIMLVGDDDSIPLKLGTSFVHFTPESAGERLESMKKESEENSTEKTKEKEVIEVEMNRLKSTLYSKFGDRINLEDGDD >PPA06401 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:140735:158976:1 gene:PPA06401 transcript:PPA06401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-44 MVVNRYVNVRLVLFNLPSPEAEKLSVREKIARFEQLGDVEGVEGRKSETESEASTDSRVVYRSTEQVAPSHEEEDEKRRSVLEMAGDYAKKAGMVATAAVVATAVGIATRGEEDEPQMIQEETSEDIYVAEIRSVEHPIPARRISRDTLPRGHVEEKFEQRSASPKENVYDEPKMEQRTSSDEHFLESTEYHLRQERSTVAHEEGSMPSGQDSGDEESVASKLAGFAKTAGKIAGGVVLAPAALVAVGAAAAYDALKKDDVPEYEKLESSHVTEADEEYPQEQRQSSEHEPEHVIESHEEFLHEEHRLSSPKDFAHEEEHFEERRPSGDQYSRPESAEEKHEPLTEAHVTEAHEEFLHEQRRPSGDQFSRPESAEEKHEPLSEAHVTEAHEEFLHEQRRPSEHEPEHVIESHEEFLHEERRLSSPKDFAHEEEHFEERRPSGDQYSRPESAEEKHEPLTEAHVTEAHEEFLHEQRRPSEHEPEHVIESHEEFLHEERRLSSPKDFAHEEEHFEQRSASPKENVYDEPKMEQRTPSDEHFLESTEYHLRQERSTVAHEEGSMPSGQDSGDEESVASKLAGFAKTAGKIAGGVVLAPAALVAVGAAAAYDALKKDDVPEYEKLESSHVTEAHEEFLHEQRRPSEHEPEHVIESHEEFLHEEHRLSSPKDFAHEEEHFEERRPSGDQYSRPESAEEKHEPLTEAHVTEAHEEFLHEQRRPSEHELEHVIESHEKFLHEERRLSSPKDFAHEEEHFEERRPSGDQYRRPESAEEKHEPLTEAHVTETHEEFLHKQRRPSGDQFSRPESAEEKHEPLTEAHEELLHEPEHVIESHEEFLHEERRLSSPKDFAHEEEHFEERRPSGDQYGRPESAEEKHEPLTEAHVTEAHEEFLHEQRRPSEHEPEHVIESHGEFFHEERRLSSPKDFAHEEEHFEERRPSGDQYSRPESAEEKHEPLTEAHVTETHEEFLHEQRRLSGDQFSRPESAEEKHEPLTEAHVTEAHEELLHEPEHVIESHEEFLHEERRLSSPKDFAHEEEHFEQRLASPKENVYDEPKMEQRTPSDEHFLESTEYHLRQERSTVAHEEGSMPSGQDSGDEESVASKLAGFAKTAGKIAGGVVLAPAALVAVGAAAAYDALKKDDVPEYEKLESSHVTEAHEEFLHEQRRPSAHEPEHVIESHEEFLHEERRLSSPKDFAHEEEHFEERRPSGDQYSRPESAEEKHEPLTEAHVTEAHEELLHEPEHVIESHEEFLHEERRLSSPKDFAHEEEHFEERRPSGDQYSRPESAEEKHEPLTEAHVTEAHEEFLHEQRRPSEHEPEHVIESHEEFLHEERRLSSPKDFAHEEEHFEERRPSGDQYSRPESAEEKHEPLTEAHVTEAHEEFLHEQRRPSEHEPEHVIESHEEFLHEERRLSXXXGGVVLAPAALVAVGAAAAYDALKKDDVPEYEKLESSHVTEAHEEFLHEQRRPSEHEPEHVIESHEEFLHEEHRLSSPKDFAHEEEHFEERRPSGDQYSRPESAEEKHEPLTEAHVTEAHEEFLHEQRRPSEHEPEHVIESHEKFLNEERRLSSPKDFAHEEEHFEERRPSGDQYRRPESAEEKHEPLTEAHVTETHEEFLHKQRRPSGDQFSRPESAEEKHEPLTEAHVTEAHEELLHEPEHVIESHEEFLHEERRLSSPKDFAHEEEHFEERRPSGDQYSRPESAEEKHEPLTEAHVTEAHEEFLHEQRRPSEHEPEHVIESHEEFLHEERRLSSPKDFAHEEEHFEERRPSGDQYSRPESAEEKHEPLTEAHVTEAHEEFLHEQRRPSEHEPEHVIESHEEFLYEERRLSSPKDFAHEEEHFEQRSASPKENVYDEPKMEQRTPSDEHFLESTEYHLRQERSTVAHEEGSMPSGQDSGDEESVASKLAGFAKTAGKIAGGVVLAPAALVAVGAAAAYDALKKDDVPEYEKLESSHVTEADEEYPQEQRQPSEYGPEHVIESHEEFLHEERRLSSPKDFAHEEEHFEERRPSGDQYSRPESAEEKHEPLTEAHVTEAHEEFLHEQRRPSGDQFSRPESAEEKHEPLTEAHVTEAHEEFLHEQRRPSEHEPEHVIESHEEFLHEERRLSSPKDFAHEEEHFEERRPSGDQYSRPESAEEKHEPLTEAHATEAHEDRPESAEEKHEPLTEAHATEAHEEFLHEQRRPSEHEPEHVIESHEEFLHEERRLSSPKDFAHEEEHFEQRSASPKENVYDEPKMEQRTPSDEHFLESTEYHLRQERSTVAHEEGSMPSGQDSGDEESVASKLAGFAKTAGKIAGGVVLAPAALVAVGAAAAYDALKKDDVPEYEKLESSHVTEAHEEFLHEQRRPSEHEPEHVIESHEEFLHEERRLSSPKDFAHEKEHFEERRPSGDQYSRPESAEEKHESLTEAHVTETHEEFLHEQRRPSGDQFSRPESAEEKHEPLTEAHVTEAHEELLHEPEHVIESHEEFLHEERRLSSPKDFAHEEEHFEERRPSGDQYSRPESAEEKHEPLTEAHVTEAHEEFLHEQRRPSEHEPEHVIESHEEFLHEERRLSSPKDFAHEEEHFEERRPSGDQYSRPESAEEKHEPLTEAHVTEAHEEPSEHEPEHVIESHEEFLHEERRLSSPKDFAHEEEHFEERRPSGDQYSRPESAEEKHEPLTEAHEEFLHEQRRPSEHEPEHVIESQEEFLHEERRLSSPKDFAHEEEHFEERRPSGDQYSRPESAEEKHEPLTEAHEEFLHEQRRPSENEPEHVIESHEEFLHEERRLSSPKHFAHEEEHFEERRPSGDQYSRPESAEEKHEPLTEAHVTEAHEEFLHEPEHVIESHEEFLHEERRLSSPKDFAHEEEHFEQRSASPKENVYDEPKMERRTPSDEHFLESTEYHFRQERSTVAHEEGSMPSGQDSGDEESVASKLAGFAKTAGKIAGGVVLAPAALVAVGAAAAYDALKKDDVPEYEKLESSHVTEADEKYPQEQRQPSEYEPEHVIESQEEFLHEERRLSSPKDFAHEEEHFEERRPSGDQYSRPESAEEKHEPLTEAHVTEAHEEFLHEQRRPSENEPEHVIESQEEFLHEERRLSSPKDFAHEEEHFEERRPSGDQYSRPESAEEKHEPLTEAHVTEAHEEFLHEQRRPSEHEPEHVIESQEEFLHEERRLSSPKDFAHEEEHFEKRSPSGDQYSRPESAEEKHEPLTEAHEEFLHEQRRPSENEPEHVIESHEEFLHEERRLSSPKDFAHEEEHFEERRPSGDQYSRPESAEEKHEPLTEAHVTETHEEFLHEQRRPSGDQFSRPESAEEKHEPLTEAHVTETHEEFLHEQRRPSGDQFSRPESAEEKHEPLTEAHVTETHEEFLHEQRRPSGDQFSRPESAEEKHEPLTEAHVTETHEEFLHEQRRPSGDQFSRPESAEEKHEPLTEAHVTEAHEEFLHEQRRPSEHEPEHVIESHEEFLHEERRLSSPKDFAHEEEHIEERRPSGDQFSRPESAEEKHELLTEAHVTEAHEEFLHEQRRPSENEPEHVIESHEEFLHEERRLSSPKDFAHEEEHFEERRPSGDQYSRPESAEEKHEPLTEAHVTEAHEEFLHEQRRPSEHEPEHVIESHEEFLHEERRLSSPKDFAHEEEHFEERRPSGDQYSRPESAEEKHEPLTEAHVTEAHEEFLHEQRRPSEHEPEHVIESHEEFLHEERRLSSPKDFAHEEEHFEERRPSGDQYSRPESAEEKHEPLTEAHAHVTEAHEEFLHEQRRPSEHEPEHVIESHEEFLHEERRLSSPKDFAHEEEHFEERRPSGDQYSRPESAEEKHEPLTEAHVTEAHEEFLHEQRRPSEHEPEHVIESHEEFLHEERRLSSLKDFAHEEEHFEERRPSGDQYSRPESAEEKHEPLTEAHVTEAHEEFLHEQRRPSENEPEHVIESHEEFLHEERRLSSPKDFAHEEEHFEERRPSGDQYSRPESAEEKHEPLTEAHVTEMVSEYRDMFVDHAMSQLNVEDSLVRERSLEEEAIENVGRLVMHEDEFGEEDDRDDTIERHQQPDSSVRDEPHSITDDSEHTIEEEIDEFSDELARNVVEDASASLIRPRRTLAHQESCQEVTMEPEVDYSADLAEKLQILASERRPEVPIQRDDDVLESLAEEEEGEEIERDERLEDVAIGLVSDVLGGVRIDEDIRSQSTPYYSATEHSASRADTNYDTCVTSQDDTFESAQGWTSQESEYQSAPSGVESRASDIPSRAESVTPQGAMTPLAAVSPVASDRMAQASWDDESDSPIHRRFTDIAPDDSVRSTPDVMLSMGIAEENEDDSFSPPPGQLLVAPSMDPGRPVSPVPPRPEQRLIEEDDVPLSRESSQAPFNLNVEDDFEMIEREEVMEGLTETTPMSESFHESAMRSDDSPLRPYQRQSSDISSSSHADTVIPVDESRRETIERELEDRGSADSLDRVSVVSEGSGKRYSTSRKSSSSLKDEEKSDEKLTPEMEERPIVEEAMDEDLDEDRPEMIESLELETVQEEAEDAESVNESGSSSNGGDTLQRLGKYKHASSDNVSLTSLQEFERLEAKIAGEGSLSGSDMELFAQGARRSMEGSHDSLAEFERLEEEVKKMSPQGEEVMMLSDIREESECEDMSTKGDDEEDVQSTSEMRVRPIDEEDRVGTPIASPDSIEGDFDKITDADIAAAEAAAYMEQSVDSLEMGETQRHMQMSMDESSLAIHPEGRGVPSVEGGLRDSLENVALSHDKDSLLEGGTSQEMFTSQDTQGISGDTFHDDDVDSLSGEMTSAGGYPITLTTFETRRVSPDGSVETLSRRVQTRVTDPVSSHVQFTGTETEDQIKELEQVGVKETRDEEGNVTRTTYSKSHHH >PPA06443 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:403520:406581:-1 gene:PPA06443 transcript:PPA06443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-haf-6 MTALLNIQIPLYLGELIDAMAEIVKEHSQVGRASLAPISPVVVKLVASYAAQAGLTFLYITFLSILGERMAGDLRLRLFHRLLHLDMSFFDAEKTTELSSRLNVDVQEFKSSFKITISQGLRTLTQVVGCMWSLCRISPRMTLFTMAIIPMVITIGAFCGLLLRSLSRRAQCQSTIASTVSDEALSNIRTVRAFAMEQQELRLFSREVEKATVLHEALGAGVGLFQGGTNLLLNGIVVSVLYGGSGLIAAGELSAGDLMSFLVTAQTIQKSLAQLSITFGTALKGYTAGARVIQFANIAPSESYKGGIKIPYHSLWGEICFEGVHFTYPSRPEHTVLNGLNLRIPAGQVVALCGPSGEGKSTMVSLLERFYEPNAGRITLDGKDLTSLDVQWLRGQTIGLISQEPVLFATSIAENIRYGAPGASDEEVERAAKLANADSFIQSFPNGYNTIVGERGAQLSGGQRQRIAIARALVKDPPILILDEATSALDTESERLVKSALDTAMKGRTVLVIAHRLSTIQSANKICVIRGGKVVEEGTHEELMKKKTAYATLIASTNNIAYY >PPA06436 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:360744:361847:1 gene:PPA06436 transcript:PPA06436 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGLLEGRERGREGEDTSLHAVKRVDAGEKVGVICMNDGKPTVVEYSELGDLAKRSLADGRLEYRAGNIANHLFTLDFLKSFITPDFHLPYHRAEKKIPYVNENGEVMKPTSPNGIKLEQFIFDVFEKSKNFYIMEVEREEEFSPLKNPDSAGTDCFSTCRGDTYNLHSGWLSALGAKIEEGIKIRIKPSRSYDGENLDEFKDREIKNDQLIS >PPA06444 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig144:408941:410386:1 gene:PPA06444 transcript:PPA06444 gene_biotype:protein_coding transcript_biotype:protein_coding MLISSEIENAVQQWHQLMKNNPITSILKLGSKIRREICCAGRGIAASLFITGNIYSGLTILKEVVEVSDHDPHSAVILLRWLVRLCEWEAMEKYLEMLVYSALALVSKVAGIGSRNMMDPLYCIDNAYQRADAVVKSRWASFSFDKPLDGLKTKSDNTDVV >PPA06439 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:377721:380544:-1 gene:PPA06439 transcript:PPA06439 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGRGGGGGGRGGGGFRGGRGGDRGGRGGRGGFGGGGRFDQGPPEEVIEVGIFTHTCEDDIVCNTTCGKIPYFNAPIYFDNKEQLGKVDEIFGGPKNNGFSVKLSDGIKASSFKENQKMYIDPAKLLPVERFLPQAPGSRGRGRGRGGDRGGRGGRGGFGDRGGRGAFGGGRGGGFGGDRGRGGGRGGFGDRGGRGGGFRGGDRGGFRGGDRGGFRGGDRGGFRGGDRGGFKRSFDGGSGV >PPA06422 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:275472:276783:-1 gene:PPA06422 transcript:PPA06422 gene_biotype:protein_coding transcript_biotype:protein_coding MSIATKLRRIRKTPPEGWDLIEPTLEEFEAKMREAETDPHEGKRKTETLWPVFRIHHQRSRYVYDLYYKKEAISKELYQFCLDAKLIDGQLIAKWKKQGFENLCCLRCIQTRDTNFGTNCICRVPKSKLDAVSLNE >PPA06390 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig144:63893:69020:1 gene:PPA06390 transcript:PPA06390 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHPFGAGGNSSGKGSQHNSRSNSPPPDSPSPPMQCVTTPQQKNAVVARVQGSTPIGVSPAAATQNTQSVAPQIATITPADGNDTSNEVLSVFECPVCLEYMLPPYLQCQAGHLVCGNCRPKLQCCPTCRGPTPSVRNLGLEKIANTVKFPCKFAQSGCTLSFHHYEKVEHEDACEFRPYLCPCPGASCKWQGNLNEVMHHLMKIHKSITTLQGEDIVFLATDINLPGAVDWVMMQSCFNFHFMLVLEKQEKFEHGQQIFYAVVQLIGTKKEAEMFNWSSRLIVEDSVGKLLRDQFMKSSLECKY >PPA06426 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:293666:295883:-1 gene:PPA06426 transcript:PPA06426 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEKAEYDQCTLKSIYPKYFLPIQWVFNILYEARVSGKIAADLQLNEIMKCVLDFRKGLAQLCNFDWVPIPLVYPQVVFLAVRSYFFLALIARQSVLIDGSPPNPNHPIFPLVPFIMTALQFIFYVGWMKVAESLMNPLGEDDDDFECNFLIDRNLQLGFTIVDDTYNNLPPQHKDAFWSEKFVEPLYSSDTANKPMCPQIGSAAQYEPNIDEILMVPHESNEPEVSPPLPFPIPSLSSPPSQMELDGDEDRLLPRVVSVVSVNRETGGSRMSLNSRKATKKGLLGSLTKKFTRESRVSRPSRLGMRNGESLSSLTTPTVEYAGSSFDLVDEGERGQSQTPDTSLNRDCVQSPRRVSPSPLGDGGPLMSVPEEDEEVQGFY >PPA06395 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:98456:102608:1 gene:PPA06395 transcript:PPA06395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gon-1 MTSKGTLEEILRKERSRRAANSWDYHVEVLVVADAEMYRYHGASLENYLLTLFSTVASIYRHHSLRAAVNIVVVKILILKHEHAGPRVTSNAQETLRNFCQWQSLYNGRQEDSFRHHDVAILLTRGDICRSPGKCDTLGLAELGTMCDGQKSCAIIEDNGLSAAFTIAHELGHIFNIPHDDERKCSQFMSIGKSNFHIMAPTLEYNTHPWSWSPCSAALIERFLDTNKGQIQCLFNKPQERRYYDALFEGDLPGMKYNVDQQCKFVFGEEASLCPYMPVVNYAEPVCRRLWCAVTLGSQQGCRTQHMPWADGTPCAKESSRDDVCYRGECVGMQTGGSSSRKDGQWGEWGHYERCSRTCGGGIQKSVRDCNSPSPQNGGKYCVGMRERYRSCATDECPWDTPPFRDVQCAEYNSKDTHIYGLTPPVHWVPKYEVADNERCKLYCRVNGSAAFHLMKEKVIDGTPCNEHGDDLCVDGTCLPAGCDRFLHSTTRRDECGVCGGNGSSCEMVKGRYNERGTFGYNQVMKIPAGSANILVTQHAYVDGKTEDDNYLALRSSDGTFLLNGNFQVSMYRMQITMQDTIIEYSGSDHAVERINATGPIRSDVYVQVLSVGNLYAPDIRYEYMVAKGGSSRVISPSRSPHYRWRAEEGRYTECDRPCQGRQSQIVEVTIEIVRPINQPPIPECVM >PPA06425 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:285138:288633:1 gene:PPA06425 transcript:PPA06425 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRLQAGLESEDEKGRDQKIIHGGVRIIESCFFDYEVVEEEHSFKLNEKELEAILLDKRVADKKVAVIGVAGAYRKGKSFLLNFFLRYLQWREREDLSGMDWLDPDAPLTGFSWKGGSERDTNGILLWERPFIVKDKNGEEIAVILMDTQGAFDSQSTVKDCATIFALSTMVSSLQIYNISMNIQEDDLQHLQLFTEYGRLALQEGDSKPFQSLLFLVRDWSFPYEAEFGFQGGQRLLEKRLQVSEKQHAELQQLRTHIRSCFEHIDAFLMPHPGLKVATNPNFDGKVIDIEPEFQQQLRTLVPRLLDPGNLLVKEVNGRKTTCRELVEYFKAYLKVFQGQDLPEPKNMLIATAEANNLAAVSSARAVYQRGMEEICGGEKPYMNTADLENHHERCRTDAIREFKNARKMGGADFSLPFLERLEADIVEAFDSYAKMNSGKNLFKSMRTPAILVSFLIVNYIIQELFQVIGLNGIAGIFSSMVVIAVTALGIWCYSRYSGKKKKE >PPA06385 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:30737:32586:1 gene:PPA06385 transcript:PPA06385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-zag-1 MEMDETKSVSSSILPSSTPSTPLQQSNGEWKPLRSRSFLNESQLAILQTHYRRNAFPSKYELSSVAEQIGVNKRVVQVWFQNTRAKERRSSRSSSIHSSSIPVLPRWNPLDNSSISAAWLAGLTKNEGMTEDEEKEEVKNEIPLDLSTAKEDDRAECESGKDNETTEWASPEGLLAFITQSQDVLQQLLKLPTESPLPSSTASIQPVEMKKEISESPSEETSEMATPVKTPSVSDETSVG >PPA06394 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig144:83314:86418:-1 gene:PPA06394 transcript:PPA06394 gene_biotype:protein_coding transcript_biotype:protein_coding MIETHFQSYHTLSLLQITLISLSFLLIRRFIQLEGTKETSDCVEYFGVDTKIPN >PPA06402 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:162934:164809:-1 gene:PPA06402 transcript:PPA06402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-atg-3 description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:H3E9K8] MDQLINNMKSTALSVGELLTPVLKESKFRETGVLTPAEFVAAGDHLVHHCPTWSWAKADPNRVRNYLPDDKQFLITRNVPCHKRCSQMEYDPTQEKVLSGNEVGAEDGFAGDEDSGWVDTHHFASAVLVKTLEEVKDLDKDNENEDDDEGEALDLDDLVDSGALEDDDPGRFVVKNVKTMESEVEKPRTYDLHITYDKYYQVPRLWLTGYNERRQPLSVDEMNEDFSADHANKTITMETHPHLDVPPIASVHPCRHAETMKRLMDQLAENGKELSVEQYLLIFLKFVQAIIPTIEYDYTRSIQL >PPA06400 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:134167:139737:1 gene:PPA06400 transcript:PPA06400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-44 MDGAPLGVHHITQREQLGGMAPITGGGETDLEQLIRRAQVQPAMTAKHDPSLFESTIPDNVPIGQNVAQPNFLISFVVDARGGAMRGCRHSGVRIIVPPRKAAAPIRVTCRYLRKDKLAHPPPLSEGEDLASRILEMAPAGAKFLGPIILEVPHFASLRDREREIVILRSDDGQHWKEHQLEATEDAVQEVLNESFDAEELSALEDLNTNRITRVLTNDLPMYFAVVTRVRQEVHCVGPEGGVITSSVVSRVQAIFPDGSLTKTIKVSVQAQPVPQDIVSRLHGNRVAVSPIVTVEPRRRKFHKPITLCIPLPQSGNKQMLTQYSGQSGQEPPTLRLLCSITGGSAPAQWEDITGTTQLTFTGDDVSFTTTVSARFWLMDCQTPRDAARMAQEVYNEAISIPYMAKFTVFARRTFPVEGQLRVFCMTDDKEDKTLEKQEHFKEIARSRDVEVLKGKHQFLEFAGNLQPVTKSGEQLSLYFLPFQENRLAFMVKTRNKDDSEAATEGRIAMMREPRIRAESLPPQAPVCTLAITLPEYTGEHRVAVKKETKPFEPLEKRYGAALDSPLPDSIHPNVLKGVGGDWQRLARALELPHKDISQIRAKYPGQEVHHVLRIWIHLKKEEATNDRLDEALRQIGRDDIVRSIQFNELGQLHSDSTHSLNGLPSKDLSALMPSKDYSKTTPSPRRDDTPSRDSSLAEPSLPLHSTMPSHDEVLIEERHSTPVGGASFTSEPSPVRASHTQSPEVHESHHYVEPIGEEPLEEEAEIEVRTVHRTERHIHDTDDGPIVEETTVTITYENDEAVKEETHKRTDDLTEEEYEKWNKMHREAERVLNSEEGEDIPEGMHREIREEVEEDGTIVRTTVVTSTYAEEREGDHPVRSVEPVEEENEDEESDADSQKRVVSGLTSSGDLLLGSIIDGVSLIRVTSDHSLLPSSPTALFEAESWSGEHEEVPHLSEERRQSLLIDQPHLPSADTPIASSINFERKRTNSLAAALQHIDPSGVPSLSFVRHSGVLLEIDEQGRSPSPNPSISSQGAESIISSLLILLPQVAMSSPSKHSSALERLRQLEDELRESGAVCPPDAAVSDAVARALAAAPPSTDLQIRVNQSRHTTTTKTVYETETPGMANLDWEKIRELQRQMIHALCGGGEEGQGTKQKVESMEEGETNEDGSIVVSKKMTRVVTTTRTTAPG >PPA06384 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:6529:8516:-1 gene:PPA06384 transcript:PPA06384 gene_biotype:protein_coding transcript_biotype:protein_coding MSWVVNGIMQSVGASRKVFEYMNRIPKIPNDGECTNNVQGEIKVEAVSFTYPSRPKNPVLKGLNLHIKAGETVALVGPSGGGKSSIVSLVEHFYEPDEGEITLDGIPIRDYDHLYYHQKVALVGQEPVLYDGTIRHNIAYGCDWATEDNIIEAAKTANVHNFVMELEKGYDTTCGEKGVQMSGGQKQRIAIARALIRKPSVLILDEATSALDAESENVVQEALNSAAAGHTVLIIAHRLSTIEGADRIVVINKGQVVQMGAHSELMEDMEGLYYTLIS >PPA06424 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:281392:281957:1 gene:PPA06424 transcript:PPA06424 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSLCDGLEDEFQKGTTPLRRNGEPPIKRALEEMNRVSGRARIFTEEDTKIGNGARRYIVTTVDRLWREYCNWNDKHLYELIADTEKCRLFFDLEFSRDVNKDIDEIGLAN >PPA06421 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:264504:270580:-1 gene:PPA06421 transcript:PPA06421 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNQFVAADLLLLSTSEPHGIAYIETKELDGETNLKTKAALGETESMGDDLDSIAKFNGEIVCEGPNNKLDKFQGQLQWKQKTSPITNDNIVLRGCILKNTRWCYGVVIFAGRETKLMMNSGKSKFKRTSLDRFLNILILGIVVFLIAMCLICSILCGVWEWSIGRFFTDYLPWETWVPKPESGGPAASISIISFLNFFSYIILLNTVVPISLYVSVEILRFVHSLWINYDRQMYFENGENSVGAQARTTTLNEELGQVQYIFSDKTGTLTQNIMTFNKATINGISYGDLRDPRGNIVEINDRTPTVDFSFNEFYEKSFKFFDTALLDATRNNIPEVREFWLILSLCHTVMPERDKGNLVYQAQSPDEAALTSAARNFGFVFVSRTPTSITIRDNGREATYEVLCILDFNNDRKRMSVILRTPDGKIKLYCKGADMMVMARLSARTSSILRDSTVSHLDEFARIGLRTLCLAYKDIDETYFNDWIRRHQEAAIDMYNREKKMDEVFEEMEKDLILVGATAIEDKLQDGVPEAIARLAQANIKIWVLTGDKTETAINIAYSCNLLSDDMKHIVVIEGSSEAEVEVQLKDTKRLFAEVERRLGENGSGEGKGNIDIAYIHSDETTNGGGAHVNYGMINDFGMIEEGSPSPLDNEGEGVAIVINGDSLGFALTTRLERTFLELACKCKAVICCRVTPLQKAEVVDLVKRNKKVKKECKLFCRTVFDAMFIATYNLIFTSLPVLAMGVLDQDVDDEYSLKYPQLYTPGQFNRFFNMRIFIYSVLHGMFSSLVIFFVPYGAFYTEMAANGKDMGDLAVLEFTAFTALIMVVSGQMAFDTAYWTVINHIFLWGSIAVYFIFCFVIYEGDPFPSLPLPSSSLLPALPASILYKMGSAISQGVVLRSMQTPHFWFSILLVCIVLLLPVVLNRFFWLDTRPSFADRLRCNRKTGGKTTSKGETLPKSEMKRTGQTRRSQRGSIRSGYAFSHSQGFGDLILKGKLFKNVEDMRGSNNGPPTSGAHLSPIEELSPISQPVKIHTLIN >PPA06392 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:79648:80707:1 gene:PPA06392 transcript:PPA06392 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEEEIIYSDEESDLLNSNGEDDGMTWEIDWDNMVCVDEYGDDGDIPTAKMSSDSSSDDEFNDIELDAVDELDSVSDGTKQWKEKEKRDRSDDEKKESEKEEGDPWSRWVGMKNEEEEEEKMNKEKKKEGMNVKREERRRDEKTKKEMKREDNRRNERNEKRLTLAEKISSCSIEKIKN >PPA06427 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig144:299340:299804:-1 gene:PPA06427 transcript:PPA06427 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPSHSLPIASQFDTEIIPDVIKRLKADLSTPFTANNSSDHTSPLPSTASLPSVTTSNETLMNESPVKSESGGTIAAAMAAASDISRSFGEKRILIRVVVLILVRKKKRRKETR >PPA06451 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:455257:456402:-1 gene:PPA06451 transcript:PPA06451 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTEGCTKNLLSQIRKGFKLKNSRSDNIDTLQQDLNSCFEEKAGRFVCILLEAHALNAFTSSFLKAFFDVSRKTLNGRFSFVTVSHLPWIGFQREHILFGSGDYKPFLLSTRPLLLCKGEIVICRNHKQIF >PPA06432 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig144:326696:330750:-1 gene:PPA06432 transcript:PPA06432 gene_biotype:protein_coding transcript_biotype:protein_coding MVYQMDPGQAKLAEKLMILNDRAKGMLTRIYNIKKACSEQKSKPSFLSDKSLESAIKHIVKKFPIVDTRSNMDHIMQLLTLMDACQCHLDITINHELTASYLNLVATLVSLMWKAVLGLFNAAYDLNHGQSEPSFPRLGQMIIDYDNPFKKLQEDLGPLNRLMLSALQSLSSVYTRRNVTGDMWRKHQILSLTSSPAQMMFAAQTETVMMIHPTAQSILDNIKGLSKRAAELKEMASLAMNEWYDILLLSRFIVIVDDFFVLPFYVNGYDCHVISEFMSSLSGHMGENEGIIMTDLLRDLSSICAETDLAAMRLDWFRLQAAWSMANSSFSLASNRKLTVGINASMFHLKMIDHLEETFLFSSDGNSMENCRFALSFARLCSHFRDSLHDLCPEEKNHITEKSLALCNSVIDEMARATITVVDRLAEYELRLAEQTTPSHVASNIAQQMARVKLDESGGKKKGGGGGNEMRMAGEESVRVDRVNMGLPDKLQNNLVELCSGLSSVKSLIVSDHIFCPREYLSQQLECLLFDLISRAINPSTECTNSSLPSTPRRPSQLLLIIHAYMAVFQNIDSAMTIDMTRIFNRTLLQQTQPQDVSFLPEQYTDPHELRALVLLIGPYGVKFLSERLAWHVASQIGELNKVFIPSCEEEKTNGY >PPA06403 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:165259:166340:1 gene:PPA06403 transcript:PPA06403 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRPCARLISTSAPSSSRYDAKVFREPISDREQLRKPLSADDERNFLFIKALKSDETPTFYRNHVVDKLVRVCMTDGRKEMSRKNVLAALEIIKRKQYQAWNKASDDEKPKIELSAFKIAEVGIDNCKPLMKLQGVTRGGTTYQVPFPIEDSEAEFRAMKMMRDICRQKAKHGETFFKDALAAELMLASQNEGATIQAKQELHKTCEANRAYAHYRG >PPA06393 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:81354:83534:1 gene:PPA06393 transcript:PPA06393 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKDQDKPKEIIVKDGRYEKKKEMMTAMKMKMPTTSSKETIEEEKKEERKRHVPIVWNDKETDAKKMHRSIGHMEGIETIERRRKEEEMKEEAMDRKMRLEKVGDAVIPASMAARVAKFSLDEKDKVTPVVFPPLSGVEQKKSLDPPLPRFDPSNVVRTIVNKGVVTRQPVKAIGKKSVQMEEEKEEGACVGTVEDEDEGGWLSTKLQHGGNGIHKESSTRVDRMKNDPSSAAFRLLCRATASAVSSSNMKDVPKKEMHSPINGPDLFGENKGEKNKKNMVENRLAERIEKRRLEDSIGKKKRKNKPSNEGILE >PPA06441 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:386517:388730:1 gene:PPA06441 transcript:PPA06441 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKEDKKSTGEGTNEGHPDRNSIANPFTLVKPPGMDEHCPESSLKLIYGTSERKPPREDIEKMMIHAHTHNMVCDSYNERRLSVQQEGTPIEKSPSGRPFAAGPNCIMKKEDEHVTVFSVLEMDKACKNNELDPGFKMIVVTRCLSDKESDVRLADKFLEVTREKQAERDEKKREKVEARQRRLQSGGGRGSDEGADTVCASNKEFGDPRQDDPFLKK >PPA06388 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig144:43007:48164:-1 gene:PPA06388 transcript:PPA06388 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRLVTRRLVGLSPSERPSPSHAPSPLLMSPIDPLSPSSIGPPLVWVPRASVPYPHNGYGDRRDGVPPPPPPPALVGGLCRGYGERNERHHTPPIHSNSLSRMDKRDRRERHEEIQRQVSDVERKRQYRVALNFFNKNPNRGVQLLNQWGFVDESAESLAKLMFGRRGLSKQMIGEYLGQHEPFHTYVLDLFISQIEVHGLEVDDALRKVLSFFRLPGESQKIERILETFSKHYTRSNPERSTTFTGGADTIHVLVYAMIMLQTSLHNPAVQSSSRMTKNQFVKLLDGADNGRSMDKEMLCGIFDRIRQEEFKPGEDHVTQVQKVEADIIGKDKPRLSECHRRLVCYCRLHSIVDPGKKQSDSAHERDVYLFNDMILVTKSVAKKKARNGYQYMLKYVHFIHSTLSIPSYRSSSTLLGAKYGIDIDCRPSGPQMLFAAKNHDDRIRFVNDVHEAILECAQMEAVRLEMEFGKQHPGCKSGMNGGMIAVNVPKVDKNNEDDSSRMDSQRDSGVSDVLVDELAHTSSPSDTSSASSGVSSSSLIPPETSSGLGASSSSSHPRSSNPLTRHSETVLIKEESNEDKMKRMNALLNRKRKKAVEISK >PPA06445 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig144:411776:415687:1 gene:PPA06445 transcript:PPA06445 gene_biotype:protein_coding transcript_biotype:protein_coding MCCAIKLGFPLWITETMTLLLFTRLRSEAEKDEVISVKKLIHSTFISQDEVNKTTKEGEGGSCLTPIISKLSGYSKEAVKSLVSRYNDIRSDFAPLQQKLMYMSADKPTSFGDLSIGSEGQRNTTPSRSPFLRKLNTPIRPKRKSVGDESMEKEEEEERNEFTNYSHLLYQLARRLIDGNIKPFGFKSVDEFKGAVSKMPQECPTAPYADPWEEEGVNGKEMEVITDMTVNELVVTMSGDLYLIKVNSMYTPIVIPLARENKWTTIMEGFTRAMTKSDETAQAGKELTDAKKYWEGRRKAEEMMRKAMVDMESDLLGAFAPLLLPSRKLTKDVISLDKKLSPLVECMGVLEKWSTLQMNALLEMKKNKNDQGCGKLILQTKNLPFVFFVLSTELSRSPWEAVSIIHEKAHSSRLISIHQLFSLLNSSNNVPYSANPLSAFYILDPADNLAATKNRLTPIVEKVSSWKV >PPA06386 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig144:34046:34461:1 gene:PPA06386 transcript:PPA06386 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLKRERERRRRRQEEREGMEPDGRMKVDTIQPDGILLPEGQRPYKCEVCDKAFKHKHHLTEHRRLHSGEKPFQIVPGDQ >PPA06397 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig144:106635:109381:1 gene:PPA06397 transcript:PPA06397 gene_biotype:protein_coding transcript_biotype:protein_coding MERCHVDLVVLDGKLNHGENVLSLVEREFILDQFFVKGEEPDLLPSLNVFIFLNQLNHLNVMVLRAPHTNGRLHRGVSVRIRVLVSLNIVEFTWKESSCENVHFHETSIVKCSATCGNGTQTRSFDCRLKGNMAVDVSLSESSVPREKCSALDEPEKTRKCSLPSCTAKFNWETGPWQTCSATCGKGVRRRRVKCVQSSTGDRVDKKECLAIVSRPPRTQECFLKNCVASSCEEIRQQNLGNSSALKDGNYTLLLDGYPIHVFCTRMNETIPRAYLNVDPSSNYAEIYGKRLTQPLTCPYDGNRNDSCACNDDGDANAGFTQFTKIRVDLHNRKININDFSFATTISGVPVSYATAGDCYSMRDCPQGRFSVDLRGIGVRIVDDLLWEDKGTRTQSRIDRKMNNTLIEGVCGGYCGRCAPDKYKGQ >PPA06409 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:217610:218091:1 gene:PPA06409 transcript:PPA06409 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKLVGEAPPVYPPIGSGAAPSVPSAPYPTSYLPPQPPSQQQQQGFPSTAVPPPYSQYSEIPLNSTDARYYEHPTTNVQHPTYYVNGQNPVV >PPA06433 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:334530:336266:-1 gene:PPA06433 transcript:PPA06433 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLELLIRVVFLAGGRTAFLGSPQQSIRFFESAGHPCPHDYNPADMIIHTLAVIPNEEVVCRERIDAICAHFTAGEAGKILETELKSIEVGEVPTGREAVPIITQMGALFHRAALDNWRNPSLYKAKLIQKIIMGLFIGLLYLNTPLSSIGISNINGALFYIVAELTYSTLFGIITFLPQDYPLVVRYGFEALAINQWSAVNGLNSTQWGDQARDEVLSSYSFSASNLWIDQILMFSFILLFYLIGFLGLAFRVKRSR >PPA06438 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:365279:366408:-1 gene:PPA06438 transcript:PPA06438 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGMLDYVLDLSLMNEVFTEHRSASLMARFGATHYPEPSTSTSESPVRKQYDVICPECKRSIAAVRFAPHLDKCIGMGRNSSRVARQKMQQGNQEDVPSDSHSEDEVDARNIGCSALLAMIVVNDCCFK >PPA06454 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:472930:474165:1 gene:PPA06454 transcript:PPA06454 gene_biotype:protein_coding transcript_biotype:protein_coding MADEWAVDEVNEAVDVAPAFELKSDLPEVKLFGKWNHQEAKRFRKAPCPIGERLAWSLMMPGRSNAHECPHRQALFRDHPPPYWRGRRLAEREKPLMPALTH >PPA06437 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig144:363988:364406:1 gene:PPA06437 transcript:PPA06437 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNGGEGRDIIDTLDSLRPFHLDITETRILKLIALYRDRPMMKDGLTLQLNVYQTKCCVSLLPINNSE >PPA06387 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:36325:38831:-1 gene:PPA06387 transcript:PPA06387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-moc-3 MVVLTKTMHAPHSLSKEQVGRYSRQLLVADFGVTGQERLSSSSVLIVGAGGLGCPCATYLAAAGVGTIGIVDFDTVSLDNLHRQVGHKEKNVGKKKTESLRDSLHELNSSIHINCHSVVLDRHSALEIFKGYDVIVDCSDNPSTRYLINDASILLNKPLVSGSALRWEGQLTVYNYGERCPCYRCVFPVPPSPHLVTNCAEGGVLGPIVGTMGSLQALEVIKIISQSGATYAGRLLLFDGQSGKMTTVNLRERSPKCAVCGPHPTVTELIDYDVFCGSGVHDKTPDLKVLSPSERVGVAEYAMLRKSRGVCSSIAQEIEGRKDENEGKSVDSSIDLSLSQSRIRLFDTRPPCEFAIASLPEATNVPLDRLKNMSIDELVKTLKLPMKKDEDKDVSSLMETSLPPEKRVPQNESASSHVLAS >PPA06420 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:260450:262085:1 gene:PPA06420 transcript:PPA06420 gene_biotype:protein_coding transcript_biotype:protein_coding MYAISTPGTPGHNGADNTTPGHTRTCLPQAECVRCPAGSPGLPGPPGVPGGIGAPGLPGRQGNYNLFSGPPGPPGPAGDAGQPGRPGFPGLSGLPGSDGISRRGRPGPPGSTGPIGAPGGPGIPGGAGLPGANGIQGPPGPAGNNGQPGEPGHPGVSGGQGVPGFDAQYCPCPNRSSVYIGSGR >PPA06407 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:197644:200445:-1 gene:PPA06407 transcript:PPA06407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acs-13 MDCHSETDRQGVLSRSDNVGIAFRELGLPAGEETFIGVYSKNRIEWIVTELATYSYSNVIVPLYETLGPDACAFIINQSGMKIVVCDANSKAIGLLQQRVHCPSLSVIVVMEIPSDELKAAAEKEGVKLLTFTELEALGKNAQNRPEHVKPSPETLATICYTSGTTGTPKGVMLTHANVIADATTLLYFKKTAIVDTDVMISFLPLAHMLERLIECVCFMVGARVGFYRGDIRLLPDDIKELQPTVVPVVPRVLNRLYDKVMSEVNKSRVKRALFDVALAFKAREMRNFVVRNNSFFDQIVFKKIRDGQSPPPLSLSLMILVSTGMGGRVKLMITGSAPLAENVLTFVRAAMGCIVVEGYGQTECVAACTVSMEGDSLPGHVGMPSPCVAIKLVDVPELGYFAKDEAGEVCVRGHTIFKGYYKNEEQTREVLDDEGWLHTGDIGRWTKEGCLKIVDRKKHIFKLAQGEYVAPEKIENIYSRCKYVAQSYVYGESLKTCLIAVVVPDAEVLIPAMEKTLGVKGTMAELCARDDVKKAVLEDIIATGKTAGLFSFEQVRDIYLQAEPFSVENNLLTPTLKSKRPQLKTHFGKELAAMYSKLD >PPA06404 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:166533:167744:1 gene:PPA06404 transcript:PPA06404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vti-1 MSISTSQLEEFVNQFSVQTSEITSKIGALKTLDASARIPAIKSVQKLLAEVNETLEQMEIAVRDLPQNSSERNKYDLLVKSFRGDNKRSEEALNDEIKGLRERADRDELISMDESFSMDQQEEQLIASTERMERTSRKLQDAYRIAIETEECIVEPISITIK >PPA06429 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig144:312355:313771:1 gene:PPA06429 transcript:PPA06429 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEASPFQVAPISALASIADYASESELEESKDEEDVIDNNPNDQSIIVEEECSDIIHEQPKPDFEIPVDNVEEENNDVENETAVSSLPIKEEFVGATISNPFAIRQQMDIEDSGTDDELPTLNLSGDDSDAEFDRILAYTAKQRKKDQDRSMGITPSKRTRPEVKTKDLEREYEKLPPIENLSINVQENIPMKRLGSVKHIVDCLVVVEADSGLAIDYDSVIFKEDRSALGQVFDIFGAVRCPSYSIRFNSEKEARKNASEGINVFVAETDEYTKKILTDKMKNGKN >PPA06449 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:437127:439131:1 gene:PPA06449 transcript:PPA06449 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNKTLNAYTKEAYLHKISFLHFSKKNMVDFPNPDAPDSTKVDDCLSLVTRYFPFLLRDIEKNTTDRDFIHWVEKATTAVKTTLYKQIDDSDWLEPFDKIGHHLTDHKQFIPTGFLQALIQFNLNVLNTIDCLLNLRISNEPSIVLSRNPSVPMFSENN >PPA06430 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig144:316685:321472:1 gene:PPA06430 transcript:PPA06430 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLDQIRLQKLERLRKEELAKQRREDERRAQFQIPKKVDSAVDPDRIKAFKAKQEEERRKKEKEEAKKKEELIKLRLAANGGKANKKIAKHFGADVIDLQVKFGQDRDHIETLHKYKERDEEEAQKLAEHYRNGVVKAFANKKTVEEKVKSDGFEKGKQIRPPKSDFVAGRKRAAEEALDFNSLMKKAANISNGKEKMGREKEKEETIPTSSYRAMKERYETKKDVQSSSSSTRPSSSFKIPKPTLSHVKAPYVPNGLLKKREEEPLPSGIVLPKPQTCIRSKPKGENSISKEDVSRMTTSSSSSSSSYSAPAPKTLAYPTDDRGKRILPGDIRYKTWLEEQKRIMEERNKNVPPPPQPMTTKDKEREKAKRMEKIEKSKSKSLSIPSSSSSSSSHRQMGSSKDSSSLKSRPHSSSYSSTSTSHSSSKISKYEEEKKKIMMREQRMKEAKRKMEEERREMERSRERNGGRGYGFTKGYDSDEDEDEEESDLDDFIDDSGDFDDLSRRDFEETLRSINKNYDTKKWKMNERLIDERSMHSNWRQVEKEERASARAGLKDDLREALKHKKT >PPA06455 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:478907:484552:-1 gene:PPA06455 transcript:PPA06455 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKVGSSEDDDVIALPPVKKAKRESNDSLKENGMKKEGKKEEKEKKPRKRKEDNEEKKEKVEKKKPKQESKVVGHLWISS >PPA06459 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:504620:505400:1 gene:PPA06459 transcript:PPA06459 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQPNEAVYLKMMTKKPGMGFGVEETELDLSYSSRYKVWLKVEGIRIFTPLLEKLEKVSPTKYKFGSRGPPEADDLMRKNGFVFTGTYKWNPPKL >PPA06452 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:463169:466413:-1 gene:PPA06452 transcript:PPA06452 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGDAILSAQEKANICYLAFPDSNNAHSRDTKYHFRIRRSQSDKIALGYFEMGEPAIEAACHDMDQWGPPIAGQSFQLPIMGTVIQCRLPSACDIPFTQFDDISARVILGVTNPFFVKALDHWPHILKVSDVSEIDSNDKQSDKTRKTKHRKHWDGRILDAKDGLFTQYKPFLQRDEGLLKKLSKDGPSEMHSSVLQRHLLELTQSFMIPLERYLSSLMPLRKEMSPFKSIPSVRPFVIQNFLMTLEEAGPSLTCGIKGDWTGLYRRFIVCPSFAEWLSSRSSSMSQQIKSVYVDSLCDTMIDKEVLAQRHHVEIVDLVLRIRQRVVEMESSSVKRNQLISQIRNILNCVDDDLNNAPLILFIII >PPA06431 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:322000:324378:-1 gene:PPA06431 transcript:PPA06431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lgc-9 MRWLHMIIIMIESSSISSFHSPKHLPHYIDSSILNSVLDGYDKRVGPTPPDGPVIIHMTIVLGILTELRWIDPGLSWDPDKFNGTRQIIMPVSSIWVPKMFIYNSMETKSMLPDEKFDARINYRGEVKVNNPEYVTFCAIAFASPLLNVREMDVNVTDPPKDSYFTGNAEWEVVNVSTRQMTFLEDGEYRVEVHYIMSLKRRPIYYVTVIVVPTFLISALSILGIFSPGTNDGPRNEKVSLGLGSLLAMTVLLDIVASAMPKSNSIPLLGYFIVAEILVCALAVAVSMGLLNTIKVHPDLEFKKKECPSILS >PPA06448 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig144:434571:435954:1 gene:PPA06448 transcript:PPA06448 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEDVSCMNFNGSLFEDISLNMDQMIKQMEPEHVSGFMTRGIPIYFKTKFVLGRKGANPILHFGNHVSGFMTRGIPIYFKTKFVLGRKGANPILHFGNVRFVVSSFIVDYKRIKGGPCSIWMNKISISSFKTLFLDG >PPA06410 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig144:219371:219987:1 gene:PPA06410 transcript:PPA06410 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNASMRAASARSIYEVDTPIKGGPSNGLYVKPLPPSANRGYGLPPSPTANTESFKRSEIFTVQPNNYDYEFKHEQKVHPFTFFTTGRRLTGLVIICGLIILVLIAVGVALIAAFS >PPA06396 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig144:104413:105499:1 gene:PPA06396 transcript:PPA06396 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCDRECSIPSLDLPPLPPSVYWASAPWSQCTASCGEGIQRRQCPVSCGSAEESRSVSCVSTTNSSLILPDTTCDVEKRPESTRPCSISPCPSGKESPPGLWKSQPWTRCSVSCGGGWRRREVICERSHCIGTKPKQFEACNKESCPKEEHAWQISPWTTCSVSCGGGEQRRTVWCEHSTSGKKVGDSECKFLHSEFETIGTTRLSSSFMPFYNSISN >PPA06460 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig144:510973:511602:-1 gene:PPA06460 transcript:PPA06460 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPPKYKFPDSHIPLFSPFPFNSSINGNRKIQGNTKKTSTISKKTLEKLRRERMNEWIRRLKDLILRHLNEKERLIRSKKNQLWALHHKWEKADILRRMKNVRV >PPA06419 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:247749:248862:1 gene:PPA06419 transcript:PPA06419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-eif-1.A MPKNKGKGGKNRRRGKNENDVMKRELQFKEPGQEYAQVVKMLGNGRLMAFCFDGKQRLCHIRGKLRKKVWINTGDIILVGLRDYQDEKADVILKYNPDEARLLKQYNELPDNAKLNEGGEEQEEGEVEFTDMGAVSGEEESDSEDDNMPTSGSDDDSEEGSDKEESSSEEEDMRWDEEDLARGKGQQSGRGGKNKYGKPDHRRGK >PPA06423 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig144:277557:279072:1 gene:PPA06423 transcript:PPA06423 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFNNLCLKYVGVSFYYVGRSLTTVFNVICSYLILGKTTSYRACACCLLIIGGFFLGVDQEDASGSLSVIGVVYGVLASLMVALNAIYTQRSLGVVGDSIWRLTMYNNLNACVLFLPLMLFNKELGEVMYFPHLFSPWFWTLMTISGVFGFMMGYVTGWQIQATSPLTHNISGTAKAAAQTVMAVIWWTEIKTAMWWVSNFVVLFGSALYTYVKGQELKASLPTVTSLPSDRRPLMSTKTSELDDDDDSNSIRVRY >PPA06453 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig144:470133:471785:-1 gene:PPA06453 transcript:PPA06453 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGENGSKNEKKLAKGMEKNKEKFEKGLEWREKVKENREKEKENELMNEEDKEELSYMELLGKEMSSLLEKAENGELLKVKDISLLNRKAQQADTIHKWKELLLEFEDKLRTRAIENKEFLKVVKMSDDTQCKFTLIIYRKRVEEARPSEILMLMRVLDYRIVWNIRDIEREKEAKKKEAEEEKKRNEERMNDDEDEWNDYGVHTRSNMETKDKKEGRELLKKVMKLASGRLASTLNTLESEDERLMNMKDIEEQCHRYSVERLKTILRKNLDRWRNEIECRFIHRLEQFDEFSLSVMKLLK >PPA06418 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig144:243963:246247:-1 gene:PPA06418 transcript:PPA06418 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLKNFMKNKKVDRHFKRSGEGAKLSDGSSSSSKPSVVPGSMQGPRDVDRVHASDVAAQAALKRMYAGEPTVSSSQKKIQMMAQRELEQERRERESSSAKFADDIKNLRVQDTVVHELDHSNAISAVKFTCELLGDDIALPKNELRSYLETYLREEMAEEGVVPATLMITSLNNTEPRKVAMETIRKYLQNILENQNEPKFRKIRMSNKAFQERVLSVKGGLEFLEAVGFEEKEEENGGSIEKYLVMNEGAALNDAKLIQALELLDGATPLALKVARDTRTFTLKEGEKVSSPKLPADFYNLSGEELRKEQERMTVQVERLTTMRTREMREKDAKSADYRYKYSLVRVRTPDRCLVQGVFSVNESLLSVRQWLHSLLASPDSEFNLKDAATGTFKAMTVSFIKIDEDKSLAELGLVPAVTIHMDFKGDVDRSILSEEVMNEAIPFSIQ >PPA06458 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:501644:504569:1 gene:PPA06458 transcript:PPA06458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:H3E9R4] MNGVVEEDPPYVFVVFGASGDLAKKKIYPTLWWLFRDSLLPNNVNFVGYARSALSMEQLKASFEKNCKQMSIKEFVPRASSPSSFGEEGLEASGLLPSEKKGKYDDSEGFKCLQKLIDGMQSAANNIAVNRLYYLALPPSIFGDVTTQLKENWTRGHEDQLYRIDHYLGKEMVQNLMVLRFGNRIFNPSWNRDNIGSVLITFKEDFGTEGRAGYFDQSGIIRDVMQNHLMQILTLVAMEKPAMLKAIEEIKLDDVVVGQYIGDMESKDESRRFGYKDDKEVPSDSKTPTYAMAVLHIKNERWEGVPFILRCGKALNEKKAEVRVQYKSVNGDIYPSGDLKRQY >PPA06434 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig144:336683:337528:-1 gene:PPA06434 transcript:PPA06434 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGETQSLLLTEPSDYGGTCSLNESILESVDPITLSWKNLQVNVTKSNRQLLNNVSGIARPGELMALMGARWVVVSQLYLDMLNKRNYSWELSL >PPA06447 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:431561:432954:-1 gene:PPA06447 transcript:PPA06447 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPSMGTTLLLFNLLIHFSISSSDLHLTTNAQGYLTVIQGFATQLQCVLNSCSRNDSGRYRCQVGKESELLEFQVEVLESGLRGGFHHNISYDHSECCENKGISPLCRAMCKPSEMDKFHFDPTSCKTEDYKNFLSCATEGGNRSHVHCCKTQLVPSFCYDLCSNEFTMLRRSHRLCLYYLPEIFDCYNRAYRMSHPLFNQPSH >PPA06456 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig144:487897:489078:1 gene:PPA06456 transcript:PPA06456 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLAKWKLRPNSVELNEPYDFRSIMHYDGNAFGRFDTRNGRRLATMIPLKKGIILADNLSLSETDLRKLKSLGKCNKSVKEVIRFSMTSPYLALIHIITSMYAID >PPA06440 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:382852:386274:1 gene:PPA06440 transcript:PPA06440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-daf-18 MGYPADTKEKIYRNSMESTVKFLEYYHKGHYKVHEYLNADPRNIVAVHCKAGKGVTIPSQRRYVYYFSHLREKGLNYIPLRCELVGIFLERPPKQSSFPVKKGLEVRVVNGDVTVFEGKPICLSKEEWEEEEKVWEKGVSKGEDSYDENSGRGMCLSRRAYGWEVPTDKRVFLEGDVRLEIHSVKPLKNKIGHCWFNTMFTCKTFCGGAYLHGDEAFPYPPDIFNRFKESIENSSTE >PPA06414 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig144:234045:234302:1 gene:PPA06414 transcript:PPA06414 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTFENPFHELLIWAVLTKRHSIAMTIWRYGEEGIAKALIATKLYKSLASNAADQYLDLHFCQELKKSEE >PPA06408 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:212087:214093:1 gene:PPA06408 transcript:PPA06408 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-glf-1 MKIVCIGAAPTGLGAAFRLNELIGEGNEEAKNTEIVFLERESLAGGLSCTITDKEGFLWDMGGHITFHHNQPYYEKATKWAIEDWNDITRNCQVDMNYAYKEEGIHLVPYPAQFAVPLFPKKIKENCLSELKDRYDNPPAADNIPANFDEWIEMHFGPTINKTFFHPYTRKVWTVETKEMSHLWVGTRVAKLPQEKLEELCAMTPEELEIADFGWGPNADFCFPKRGGTGNVWKSMAEKLPQQWFRYNSNVIRVDHEEKKVVYEDKEGVKHEEKYDALLSTSPIDILIRETQLTDPLNIKYNKVFVVGVGLHKPMTPFLEPITWLYFPDKNVPFFRVTILSRYGEMTPDGDKYWSVMCECARVIEDTDSEEEVTRQAVEGLIYKSMITREAIASVWSITLPYGYPIPTVERDTELTRAHKELEKHSIYSRGRFGGWKYEVSNQDHCFMQGKEFIDRMLFNEPEKMYKTGIIDSKQG >PPA06442 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig144:399944:401485:1 gene:PPA06442 transcript:PPA06442 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKSRKDNASRDGSDTKKAPSNAKKPAFGAPKRGKKGSSGEKAVGVLKQTETSDETNTEKPVSSGDLVDEVKGAERMKSQSDNVNDKRLEVYEKMDEDVESVTMSNCQAFDSICRMV >PPA06406 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:195820:196477:1 gene:PPA06406 transcript:PPA06406 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTSIPIIADAFVHLFHEMSELSKKRIGSTPIALKSRRVIWKHVQMAYSELLRVVSKMFDSRDEGRVDIIDDLSFICRIYADIADQASLTLCMIEAARARSSGADGERLKIIDDNLIECRRQQKLNALRETRRRGLISLFTHCLKGKDDDA >PPA06417 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:238114:243700:-1 gene:PPA06417 transcript:PPA06417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dpf-5 MESVSTNTEKTPKPLSAEELSVVGKSSKPNFSALPRSSLLGKLKSFIPQLEQANATIDDNAVDGAGIDIERVIESSSESDSDSSDESDSSEDEDMEVKEEDKEKKSTPAIEMKLSLFKEGSRMEEDEEKALPQAFRDEREEVEKTESPKKKLIEELTMSTAVQYDVKGVEKLKDLYCDLAQVPIALSGRITGDKDGIFSVTSTWANRALGGLNKMATTQRVSVLSKDEDGIIKLINTQTAPLTNLDAQSIAYSQSDSRIVQLITIPEGKDKKQYMRVVDTVNHIELLSVDVNGQSKHGTIYAMGAAPFGCLSFSYGEGHVLYCAEKKSKTAGYFDVDLEWDNEEKIVESNVGDKFKLTESWGEATFDVKNPVLCVMDVSTGQVTVCDGLPSGVSPSYAVWAPDDKGFVFFGLEDKPFRLGKIHCNNRPGKLYYYDLKSAELTVIGEEAAYEQPTFTPDGNTLVFRRREADGPHNANVQLCSLSWPHDGSSPKIIVPIIGNPPDAKSFPGLSFVQQSSRPWSADGKHLILSSVWREQLELLSINIDSGEVSRLTNHGQVHGSWTILDVRGDSILASVSAPNRPPKILIGRMPITGEQVVWTNLEANEMNKHVTNFSWTALGFQRGEYSQYGGFLVEPSRSGTDSIPLVVVPHGGPHGTSLIMWQRRDISLLLNSGFAVLFVNYHGSLGYGDDHVRSLPGKCGDLDVKDVQHAVETVLSGYPHLDGSKVCLFGGSHGGFLVSHLIGQYPSFYRSCVALNPVLNVLAMHEITDIPEWTIVEGTGVMPDWKKTLNEKERKMMFDASPIAHVEKVVTPYLLLIGGKDLRVVPHYRSFIRNLQARGIENK >PPA06398 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:109639:115657:1 gene:PPA06398 transcript:PPA06398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3E9K4] MRILLYSILLLHSISVFGELDSCGISDKSSKENFLEKILRKFSISRILGGNEVHLGKWPWQVMFKLDSGKNTGKSLCGGSIISARWILTAAHCFDVNKQVAYIMFGSVDASENGSNMTTYARNVTIHKEYISELSKVEHYTTMPNDIALIELEEPLTFDDNVSPVCLPSISSTIPEDGQVIITGFGYANDRSDSEYVLPSRCLLESVIPLVPYNVCSKRWKELEVGGDNITESQLCAGSYGHGTGPGDSGGPLIINSKSGNWFQLKVKVDTIIASILHGNNTAIKYEDLVEICLRSRELFLSEHVLLKLNPPFYVFGDIHGQLDDLKFMLNKIGAPPRQRLLFLGDYVDRGQHSIPTIILLISLKLRYPDQIYLLRGNHETRLVNRRYGFFDECIAKYGVDQGLQAWTHLQHVFNCLSLAALVGKRIFCAHGGISDSLVTFKQESNPMPIIISQFDRIVRPADVADVGLMVDLLWSDPSLKTEWYAPSHRGASSIFGARAISTFCQHLGVDLIVRGHQCVDEGYEMFGTHTLTIFSAPGYTENNAAAVLRIDADLTCQLLTYRKTEGEMKIPAATPAATSPLPTTKHNQTKFDVVVVKDEDYAGYDRNCYTDSNKEKMHRGYIRSCLQKALAKNSIVICDAMNYIKGFRYELFLVGKTVKTTYAVLCVKCDEETSRWMNEQKKEEERYSSSVISELFSRYESPDSRQRWDSPLFLVETGRLEKEKVSEEEEEDVHFDLQHASPRFVSLPLTEIRDWLCEGIQLSENASTATAPLAPPDLLHLLDRVTQQVVQHIICEQKMGIAGCDIIVPCADEGSNTIV >PPA06446 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:423881:425862:-1 gene:PPA06446 transcript:PPA06446 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSSIRAFDDLSAEISCIVDGFPIPNVHFEYNGVRVNGQIRVRALAVHSYSHTLVIHNVTGRAGLYTCKAERDEDEGSARAELLVSTGLLPSNPRLIFDCCEKDGIEGECIEVCSTGELRSNINCSRFSSSFLKCAIDNRDHGDCCLENGVSPRCLPLCSACFVRGHESAPIRPENLKFSSLSSSKVRVEWDHYLNDNSYVYYAVYYKKMDDDSDEYKVQKTREDHIDLDISSDIKWDIGVTAANAYGHSPLAFIQTEPQNTSKSSSFFPFFIFLLIIIICVSVLYVGRRLNQSGTTLSSFINRNEGVSRDDPTVAFENPGFGNDLGDEVQIRGLGTREAHKINLKME >PPA06413 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:228133:230129:-1 gene:PPA06413 transcript:PPA06413 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRLLSSFHFLLLLFSLTQSTPVDEGLFNDRMRQLDQEIEIELKKDSKERYFVEGRIEEIEEAKSKIISTIDGKTIEEEDDGYTGPYAKNLYQGDIMLTQSELPFPSIHLNSFFSDQLEQVTSKKTSRNRRQAQKNSLYPSNLWKNDPIPYTFDPRFPKSRIPAVRAAIEYWERNTCIRFAENATGKDRIRFINGNGCWSMIGKTGGEQFISIGYGCDWIGTIAHELEHAFGVWHTQARPDRGYYLAVNAWNILSYQLHNFDTQALKNVDLLGIPYEFGSVMHYFQNDFAIDRSKPTLLSCLNGGIQDVNNCDQCKCPPGYNGNNCEQITISWSYLLHVQSTTGCDKFLSIGSSPVNLNATAGSDGLWSLTYEHCAIHLQATDPKKRIELSVTTRGGFTSWNCYGAGVDIRLMKDPAMSGVRLCRPNTIFDAVYSDADHMVLSLFARVNYVDFSFSARSI >PPA06428 pep:known supercontig:P_pacificus-5.0:Ppa_Contig144:309392:311999:-1 gene:PPA06428 transcript:PPA06428 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIYGGDEVGALVFDFGSHTVRAGYAGDEYPKLDIPSVVGVQENADVKNWDLFEKMLDHIYGHGLGAQSEFHPALFTEPAWNDRAKREKLCEIMFEKYKLPAFHLVKNAVLTARNFANGRTHGLIVDSGATHTSAVPVFEGYCITHSVVRSPVGGDLIVDQLNKLLAQNNIDLVPTYRIASKEEVNEGEIPKWTEKKNIPKVTKSYDDYMKKLEKSVNVKVLEDLAMSILQCCDAPVDEEFAEKLPSSPYAFPNGLIKEFLADRVKVPEGLFDLNYLRDHPHKETLQNICQIANTSCGMCEPDMKSIVKLRVSAAPTAMERRFGAWIGGSIVASLGSFQQMWISRAEYDESGCSIVEKKCP >PPA06461 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1440:635:1356:1 gene:PPA06461 transcript:PPA06461 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGASHQVFRSSMIIKDMDYALRRESETDPQYENQMRTIDNSLNCSTKADSHRKYVCYSGR >PPA06462 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1445:13:1306:-1 gene:PPA06462 transcript:PPA06462 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-70 MRIHCLENVDKALQFLKDQHVHLENLGCHDIVDGSPRLTLGLIWTIILRFQIQDITFEDADNQETRSAKEALLLWCQMKTAGYPNVNVRNFTTSWRDGLAFNALIHKHRPDLIEYNNLQKSNALFNLQNAFETAENQLQLAKFLDPEDVNVDQPDEKSIITYVVTYYHYFNKLKQENIQGKRIGKVVNELMENEKMVNKYERISSDLLDWIKARIEVLNERNFINSLEGVQKQLEEFNQYRTEEKPP >PPA06463 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1447:392:1664:1 gene:PPA06463 transcript:PPA06463 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAPGHNRVEQDTSSCGDATEDSAKYLDELVKDMGELNALPGAYPHALRLLNEEIDRIWYRNPTRLQCVLYPGGVASGSGPAPSSTVPNQPATLPPLIPPSSTVAASLFSPSALRDTFTPTSPRTISAHENILAIQRSDTYPDSLLKWWFSSNPTVSLFRAYAALVGSHFDPFEGGVTVQEKIPIPYYPRCNFIGRILGPRGISVKQIESETECNILVRGRGSVKVYGRSGMGYQSSWYYHIVPVLPEGTPYSNPNYPLQGIPVRESSVFLQSSWDGKHLTEPLHVLIKATDVSH >PPA06464 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1448:180:1373:1 gene:PPA06464 transcript:PPA06464 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSLPLTGLLAGSLVAALSTTPSRPASSRSHPHGVLPIGACATFLTESTGFSKQFTGLTAKFVHYFFLLSMVEFGFVIGGAESSRTSLKWNLRNPGKRRAIPLYHFGMNYSIKQLRMMNKE >PPA06542 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:295007:298114:-1 gene:PPA06542 transcript:PPA06542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-csn-2 MPENDVEISVTSYSTFTMSDDCMDDDEDYGFEYSDDSGSEPDVDLENQYYSAKALKGEKDMKGALAAFQKVLDLETEKGEWGFKALKQSVKITFNEGDFKAMLVHYKRLLTYIKSAVTKNTSEKSINSILDYISTSKQMDLLQQFYETTLDALKDAKNERLWFKTNTKLGKLYFDMKEFRKLEGIIFQLRASCKTEDGDEDQKKGTQLLEIYALEIQMHTEMKNNKELKKLYEQSLCVKSAIPHPLIMGVIRECGGKMHLREGQFEKAHTDFFEAFKNYDESGSVRRSVCLNYLVLACMLMKSDINPFDSQEAKPFKSLCCTCVPHGIRALSDWYGSLKGEKLLMEQRGMVI >PPA06574 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:475387:477259:1 gene:PPA06574 transcript:PPA06574 gene_biotype:protein_coding transcript_biotype:protein_coding MTFIFVLLSSWIMITLHVVVLIIGCKGGKKGPKGGAPPKGGNPVPPPAPPLPGSTVPPKPGAPAPPGGAVAAPTVVQALPPKKEEEKKTDEPKKEEEKKEEKKEEEKKEEEKKEEEKKDEKKDEEKKEEKKEEEKKEEEKKDDEKKDEKKDEEKKEGDKEKSKKSKKEDKEKSKKEEGASAVGKVKEGEEEEKKSKKEGKSKKEEKKEGEKSKKEGSKKEGKSKKEEKSKKEEKKEASKKEDKKDEKKEGEGSKKEKKDEEKKEEKKEDDKKEGSKKEKKEEGSKKEKKEDEKKDGEKKEEEKKDGEKKDEKKLTNNSTERQAIKMKCSDNALYRITPVFTFLEAGKSIDIKVERLNETVKEDKAVCMVAKCAASDTDPAPLFKSVPVEQQQKYTIPFTVSA >PPA06563 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig145:417909:419536:1 gene:PPA06563 transcript:PPA06563 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAVIERRKMDRYVSDEEGNRRMEENRNRNVVPTQVYSVQEELKTAIYEGNRPSRKHLPECDLRDDEDIEKDLIPFYRKIVEEFKKIPERGIQIHIPLNCSYFGFLQYSSSVIHSNDSEEYI >PPA06571 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:459773:462446:1 gene:PPA06571 transcript:PPA06571 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gfi-2 MHNIRGQLPLLDQEKPRLIFGAEIELLDATSRNDIHEVGRLLDLGADPNSHNEDGLTPLHQCAIDDNVDIMTLLISRGANVNARDTECWTPLHAAACCAHINVVHILIESGANLLAVNADGNMPYDICDDDRTLDVIENRMAEKGITQQSIDEERGSAEKDMLSDMKLMHQRGIPLDSRMADGSTYLHIAAANGFYDVAAFLLRNRLDPNTRDNDLWTSVHAAAAWAQPDLLELLCDYGGEINAKTSNMEAPIDLCEEDVTTRAVITQLQNVEAKKRKPGGFGVRDSRRQSRRRKKFESPGQSVVAEKNGFSARGAIRRQSLRDKTGLTPARLEAQAEKTDLMRSWSREDLSTGHPSTSQPIISTPVRETSPNKRVLRGAASKTKPMSPDEWLRKLERGGEEEEESTPTRGSQR >PPA06504 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig145:157495:158459:1 gene:PPA06504 transcript:PPA06504 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGRVSSFLSSLLPLCPVPPLMNHLRKSPKQSTARELRQELERGVVPDYNKYNAHVLAATLKDYLRSIPNKLLLNGNYDKWMTDVVEERDEEKKLQSSCALLQLLPIAHSTLLSNLLKLLSKVSSTAESLMTSSSLAVCLAPSFLEGEDAASSKRVPELITFLIDKADRK >PPA06496 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:121953:123296:-1 gene:PPA06496 transcript:PPA06496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpl-24.1 MKLETCIFSGYKIHPGHGKRAVRADGKVNIFLSAKCVRSHKLKRNPRDIPWTVLYRRKHKKGIHGEENTQKRRAHKKVTGATRAVGNTTLESILAKRNQKPEFRKAQRDAAVKAAKDATKAVKEAKKVANKAAAKEKKPAAPKTKAPKAVKTAAPRVGGKR >PPA06495 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig145:120613:121443:-1 gene:PPA06495 transcript:PPA06495 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTQSSVLALWLTLNYSIVFTLLLLDTSIMESIDNYSNSFRHSYCNGINGS >PPA06475 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig145:37966:39856:1 gene:PPA06475 transcript:PPA06475 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKFFAVKLVAADCGSINNELQILSRVFKSSTRLFIVMEIASGGEMYERVVAKGRYSESEAKDATRMLLSGLQYLHSIRITHRDLKPENLLYADPSPNARLLITDFGLAHQCTMPLETMTETCGTPEYIAPELLLRVPYTDKVDMWAVGVISYILLSGIMPFDDDCRSRLYTHIITANYVYYPQFWSGSELAKQFVDCLLNTNSMERLSASEALNHQWLTGREDRRSNRARPASEYSQLPRTRSTRSIRSVTRSDHGHKVDPRESDRMSESRLDMERSSEGYDGIPT >PPA06516 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:212434:212746:-1 gene:PPA06516 transcript:PPA06516 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQHDKDMSGFIGKEDIICMLLGADSDDKKDPVFKTNLKFLINVIKEADKDGDAKISFEEFKEYINKATQ >PPA06521 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:233159:236042:1 gene:PPA06521 transcript:PPA06521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cogc-5 MRWIVKECPSLHEISWLVPTIGERMKKATNELQSSSSEELRRGLDTLNASTVSAALRALKNLGSIDGELESVLTRAMSSLDSSFLELAAAPTMASSLLPSVVNGVATQMEQSALLGENHLTKVCERLGRTMRARVPLDAPYALRLVQSLSRVISSSPLTPSSLNPLVEAMRPLKHAILTQSLSRLHEVINDHDLSASAHSSRFVEVLTTRMEEELRQLEWDSELHGEATKNLGKCFDLVTAKIENWMDRLSFWVNVSHLLNILTIVSFNALILSPPIGHHKHNHYSDYIGGEGSDCRESTSLLTSSVVESIDAILATMHGEKMGERREGSLYMQELVSYLSRLSLHSSHSPSALGRSDIVATVTDRTLLAFLVHASLVRPMEEESIISSSSHSSSIPSWLLVHFLIADSPSDLMSPHESVQYTKEEYVKWVLCQADPERLKILSGLLDAYTATVVHKGIEEENRV >PPA06549 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:324972:326175:1 gene:PPA06549 transcript:PPA06549 gene_biotype:protein_coding transcript_biotype:protein_coding MFLYDFNQKLGTGQLKAKELPIKGSNFDQNNFHPHGLSHWVVNGVIRLYVINHDDDFKHSIEVFDYDPSGPSLIHKKSLKHPSFIRPNNIVAVGADQLIITNDGVAQTEIGNFFEILSGYKGGTVYFWDGKESHQILSGMGAPNGIAYDIKTNKLFISEVNYRRIHAYDLAKDKKSVTLLSTVNLYSACDNLFLDSDGSVYSGCHSVLIETAKSIGDCDGEATSPSQVLRIKFDSEYKIASVSEVE >PPA06467 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:7401:8062:1 gene:PPA06467 transcript:PPA06467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sptf-3 MPKRCACTCPNCQSNIGKTAEGKSRLHICHICSKTYGKTSHLRAHLRGHAGNKPFACDWNHCQKRFTRSDELQRHRRTHTGEKRFACTSCGKKSVTHLV >PPA06567 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:439554:439817:1 gene:PPA06567 transcript:PPA06567 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAVVNDSMLALHGGIAPSLKSLNNIAALKRPIDDPSGLAEDILWSDPYM >PPA06489 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig145:93595:94631:1 gene:PPA06489 transcript:PPA06489 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIRSNSRSVRITNVLPDREYEIAVKAVDEEGRESVWSIRDIVLTPRGAEKNISQYDWTCDFEKDLCDIQSTEDGIEWRRERKGRVTPLHGKWSLIADSLHSKGTRLARLLTPIFNMAKSVHLCVSINIITSDRAKGTIVISVMDEKTSNLHQIARIEVHKLKGGKWGRLRLPIQRQEHPFRVVGEFSWPQDGVWLAVDNVELSSGGCIQDNTTLRIPHIVH >PPA06534 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:275702:276160:-1 gene:PPA06534 transcript:PPA06534 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVLVPPFKGVVSTEEKPKVPEGTLIGSWRIRDSKVRAMTSIYWRKWRNQRNPESSSSS >PPA06527 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:248673:249064:-1 gene:PPA06527 transcript:PPA06527 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAMRGMLHAYGRKAIGISFIGGLTATLAFYFGYVQPRHNKYEEFFKSYDPYTRMREICAQNKKYMHTCPDELAKLYEEKGKPIHSA >PPA06488 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:89434:90972:1 gene:PPA06488 transcript:PPA06488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pas-4 description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:H3E9U4] MERYDRAITIFSPDGHLFQVEYAQEAVKKGSTAVGVRGKNCIVIGVEKKAIPALQDERTIRKIHMIDDHVMLAFAGLSADARILVDKARLECQSYKLTLEDPVTIAYIARFIANTKQRFTQSPGRRPFGISMLIGGFDHDGTPRLFKTEPSGAYYEYLANSTGRGEKTVREYLEEQYSEDAVKDEASSLLLVIKALSQVVQSGAQNIEIAVMTKGETAGEFKHRVLSLEEVEQLLKKGSTCGGGTIGCRGRRGS >PPA06481 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:58262:64498:1 gene:PPA06481 transcript:PPA06481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-smg-1 MNFLLKRIPPIESANPEKEDDKEKWLIPPCSSSLDIPNYWRLRLMGAAAYAIESRMTTHLGKPKDTLNAFHTEMTRIFKQTMMRTPEEDDDSSLSPHEEWFRVRLLLDFIEILDRLIYRTHTGSMQSLSHFNPSQTARHWFKTNESVCTEWFNRLYPSAMGVAYHSSAYSQVIRFGQSALTELDKKHAGKVEDPPRIVIVVLCWMVRAMIEKGDEHNIKGLKEWARSSFSNHLINWDWMDCAILAAKGRFELSIDGCVMEMERDEVQEDVKTLLQEMILLCSLKLRRIDHDLDAKLVNGDDLERCRQLTSFARVEEVKDYGDSIWNLNKGLQKTEIWLKSTIKKSELTEMRNRLGVEATTVLVMDDDGLLTGRYSALCSIARDVAMKMDKNMQGMDNPYLFIRSLSSRSIPSVEGFSLCRQREGWASRLGMGREQRLKGIYSLTNMACKLDNSKVVEDLKKGLGSNNDLLIQLEYAELKSRQLKVQGEMEHRQNFIATEMSPLLMQAYHIYSDMSMNETMSSNGSNDSSMWAESIGRTTLKMARMMEDGEMLPTDGMSKLHFVLESRREETGLDEKGSLFHLSTTLNPSIAKARYELGMHSFYMIENDVYPDTIVNILEQAQLDTSQCLLLLEAMELTKKIKESVKVEMTVIERAICSPGLLSSLWQRYHSRKSAILTSCALELFAFLAQRGNEISSVRVTTAALSLLSILTKHSNVLPELVQQIGDGFRMTDERVWAGVLPQLLARLTHPIEGVRQSIVTALLKLGRTFPHATVFQLVVATRMKEIIEEDRFIDEPVTTRTFAPSQSDLIHYRCTSTLLDDMATYYPQLVEDTRVFVDELHHLNLMEEKWCFVLHQLDLDMMKRLSHIKKESEKTKAIERLSDEDKKLIITAKTDLIIGSVYRIVADLWRATQSKAEKGMEDAVRFVLTNREHVLRAIEESEEARRNGDEHGRWEAFGRLNVTLGRKASKKGGQLIDITHCIGRLVESGRQWRVPLPGQEDIPFKEITYLTKVDTTCQVLSTKTRPKKMIMRGSDGIDRAFLLKTHEDLRLDERVMQMLRVSNLMMKKMGKRDAPQYTAKTYAVTPLGPLLGLIQWVNGAIPLYTFYRKWQMRENGAVPQGKKAPDGISLKPLELFEKKMKNLLAVNKLDPKLYSDRKSWHPELLKTLHDELCSETSKDLISRAILGLGDRHPDNLLIELTDFHIIHIDYNVCFDRGRKLRVPETVPFRLTQNIRNAFGVAGTEGLFSSSCASVLSSIRTHHSLFSLLLHPFVFDPLFDWSIRDSLGNSVSKSITHIVYGVK >PPA06517 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:213980:215968:-1 gene:PPA06517 transcript:PPA06517 gene_biotype:protein_coding transcript_biotype:protein_coding MYPNLFLRNLPSQSEDESSVTDEVRNADFYHGLIPKTDAETLLKKEGDFLLRKTEHSTGTVFLPIAIDASPDSGVIILCISVRTEENKVKHFVINTDHQHLFYVIPAHKEKSVPALITWYKVNKTPLSPTSKALLKKPIERPPWTLNHDAIFILKKLGQGAFGEVYLAEYVSKTTERVAVKTMRGEANREARNKFLKEARMMRKYDHKHVVKVFNLTHGTPFSYLILVEILGVAVHEHPLMIVMECCEGGALLSYLRKHGKTCGLIEKLRFATESAEGLAYLSKQQCVHRDIAARNVLLSSTNVVKISDFGMSDDKAILHDDTLEKVPVKWLAPEVMQEKIYSLKSDVWAYGIMVWEIYANGSEPYPGLNRMATRAKIVVQDYRMEMPKDTPAEVTKLVLSCWEKTPDKRPEMTTVFTELKKLSDKKE >PPA06551 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig145:330745:332497:1 gene:PPA06551 transcript:PPA06551 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEDSRLDRTTTSIPRIALKDWMEKNPNLRKLAMFGTENQVLSDLEIQLEASEKKEELPRAEDDNFHHMYIDVNHTLFAQTDMPDEEKMAEIAMSTPRRMSTVRALSDKTNPAGRIPLNEFNEFATSTESNPFEVTEALMRDLTQIGENVFDTVVPSTESPIIDRPTTSIPLQSPTIPLVLNDISTQRPPYYRIPDTIGLQREATSSRIEGDATLSIPPKEFVNSNEVAKNIFDNDQDLPFIGDSTTFEVQPILSILISNHLIVRFPQHLLNRIVISHGIRRVNFFLQLSLSLHLQSNEMDPPSLEKLQKGFER >PPA06544 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:300508:301850:-1 gene:PPA06544 transcript:PPA06544 gene_biotype:protein_coding transcript_biotype:protein_coding MELNPANKISMAGDINVPVPGWGQFDVDGNLYFGHINFDSKIGWQIAPTNHLNIKPETLALIGQNPAFREARRKVQEVVVGRVPYGYEPIKCKPPFCNPFVHHTAVAVEVEEGDDTFFIGGIDFPFPLGPDGSGVRFPLSGAVEQGTSPVAYAHGHAFNPVSPFDMQSLRDDTLPPRNAKRRMESRLEKEKRRRMWEMMDKFHKKKRSSDEKEEDSRD >PPA06520 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:223939:232370:1 gene:PPA06520 transcript:PPA06520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tufm-2 MASCELVLSLVEQLCGALITAPSTVQPTMIETIEYLITTVRTSECGPSLAAYCVHSLLLPHITAWTKRVGGRKSDRSGTTNHKHAVGTATAIVVDSIALNPQGDWEIRLLADMMEVARVCLEQPGDLSRVGVASIRHLITLAPFFAQSQWRVVSKSLWDAWAVTLLPIRKLLSHYLAESGDENTEGAEIFTDGKSCLFGRARMLAKQVFLVDEQREELNGEDDSTEGEDSEDAVTVIVVKEGQRETKIIPTVTEGYLDRLSDDSRWIFMQCLDASCQTSLDLDSRVGLSSLLSRLLSIPNANLHKQKVSATAIKMHSLFTLAEKDKSEDSPHLWRIARNEEFLVRQLDRVEKETAREKISALARQHREQKFEFMLVEENGEKMYTLVGEKKITSTLSEYKNHRPVGVPAPKVNGNRLNPFTNTTCDNVGKGLNENTSCEINTWNKKRRSSCSGTDEMPHSVPVENLSTQKSEHVSNSPTVTASYHSPNFKIDMNSPEFLYSIHLPPPPIPPQIIVLDGPSPTQVRAPSPQFGWSRHYSSNGSPYFYNATTGASTFKMTPAKAAPLQCSISTPPNAYFPEIFNSPVDMEISPRDDPQQNERVEMRILNAPPPKRMTTLIDVAHKSDLQAAVSKTEQTIRQQWQRFFASAAAASAKINMNVGTIGHIDHGKTTLTAAITTVLAKKGKAKAAKFDDIDKGKEEKKRGITINIAHLGYESDKRRYSHTDCPGHADFIKNMICGTSQMDAAVLVIAATDGVMAQTREHLILAKQIGLKSIIVFINKADLVEEETLDLVEMEARELLSLHGFDGDNAPVLRGSALAALEGTDTTCVEELMKALDSVPDPERKEDEPFIMPVASRTPITGRGTVVVGTVERGVLKKGDKIEVKGEGKTEMTVASDIHVFGKAVKEVRAGDHCGILCRGLKVDYVKRGMWMGHVGAVKTTNHIKAEVYLLSEEESGKRTGIRTGFTDKVFCSTWDQVARFDLTDQEMIMPGEHSSTVVLLQREMPLRVGMSFTLREGKTKQTIARGLILPSLAVNSDLNLKKVAENVEENLSRLLDQTTALEGLERRQKNVHVQMDYVKEGCSRIAQSLALQLHEYREGVKVAGRLQNARNILGDAMRCEELMDQFDKRRDLVKRSEIICEVRL >PPA06482 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig145:75705:76816:1 gene:PPA06482 transcript:PPA06482 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVTLKCDIPSAAWCAKCKIELEVRTDQNSYKKLFDCTMTSSSNCRGIMLDWRKNFLRGGRITAYLLISRVCNKYVSFPDFCDPYETGIDELIVEDQVLYVNKNLLSQHSRLSTHRKESSMASTTN >PPA06518 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:217514:219389:1 gene:PPA06518 transcript:PPA06518 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIVEMMSSTNITPTLTEVHEAIELCMRVFSSSREESSRMSARAAISQSITSYCSNRYAAVVDEVQDNIAVYLDATSILEGYVALLDQMKTSNEQSVVVLDATHALLSSQPLSVLSHNPFLNLLWEKVCPLLIKLLGVPDKVSPVPAPISSSTCDSTDEPVGQGQMARFALSPAVMANPEASRALYLIVDQLLRLLCAVPSMHSVLEALFHKAFLFPKIEQRTEAIKFFFYLYPCYIQAASLQ >PPA06572 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:464911:467283:-1 gene:PPA06572 transcript:PPA06572 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEPTYELVEQTLSNINLFGAKLNDPPIRTVRVAGGGDTKQIIDRIEEGVEIVVGTPARVCDLVESGGIPIESIRFVILDEADQIISQQSARMIERLMEKLPRVTLDGKRLQIIVCSATLHNQQVKQFADRYMHFPAWIDLKGMESISDTVHHVVCPVDAANDKQWIRIMHSDNRLEDDGVHLKKDVRPGTATEETLSLGTKVLKGIYVLKAIQVLEMKSAIIFCRTKQQCDHMETYLRANGMEDGYDASSLHGDRSPEERRDTLSRFKTGKLSFLICTDVAARGLDVAAIPFVINVTLPDDKSQYIHRVGRVGRADRMGLSISFVSHFKEKVWYHTCKNRGVNCSNRNDVSTKGCTIWYNEKQLLGDIEEHIGMTIATVDSDLRIPVDDIDGRVIYGAKRTNSDTAHSNNEIRENENQKAKLRDKILLMA >PPA06568 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:450824:454370:-1 gene:PPA06568 transcript:PPA06568 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFLAKAVAGAAAVGTAVYAVDITNESRFRKQMTSYFRYAHADLLTELNKRQSGSLPSRNDLLTNLKSGEEFDILVIGGGATGAGVALDSQTRGLKTALVELDDFSSGTSSRSTKLIHGGVRYLQAAIFGLDLEQYRMVKEALFERANLLEIAPHLSTPLPIMLPVYKVWQIPYFWAGIKAYDFVSGKRVLKNSFYINKEKALERFPMLKREALKGALIYYDGQHNDARMNLAIILTAIRHGATCVNHVKVDKLLKTEDGKVSGARVKDMVTGAEWDVKAKCVVNGTGPFTDTIRKMGDDDSKPICQPAVGVSDGERRRDGNGRIKVHIVLPGYYSPSNTGLLDPSTSDGRVIFFLPWEKHTVAGTTDGNAELTFSPAPKDSEIEFILGEIRRYLSADVSVRRGDVMSAWAGLRPLVRDPNKKDTKSLARNHIIEISKSGLVTIAGGKWTTYRHMAEETVDAAVKHHGLKEKNKCVTPGLMLEGAHEWDPLLYIHLVQDYGLEVDVAQHLSTTYGDRAFVVARMAKMTGKRWPIVGHRLHNEFPYLECEVKYAVREYACSAVDVISRRLRLAFLNTYAAHEVLPKVVEIMAGELNWSSAEQRKQLEEARKFIDLEMGQEARAASVSNVALNLTKEEMLAAKSRFNQLDRDKKGHITVNDLRRHFRENNQKIDERLLHELLCEVDLNKNGELELAEFFQLYSGLKGGQVSHNRLVRYLDETDDVGLKATKVTRSGGGL >PPA06476 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig145:41843:44065:1 gene:PPA06476 transcript:PPA06476 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGGNSAPNDASSVDQVYRIPKTETLGDLTKCSAEEIEAKKRFWSEGLSRWRIKNVVAPMVDQSELAFRMMMRAHGAHLTYTPMIHAHLFTTDTTYRKNILSTYSSDRPVIVQFCGNDPNTIRDACRLVEGKCDGVDLNLGCPQNVAKRGHYGSYLQDEVDLICSILSAVRDYCKVPISAKIRIREDREQTKEYARRLVNAGATMLTVHGRTRDMRGVNTGLADWTRIRDVVEVVDVPVIANGNIQMGGDVDRCIAETGAKAVMAAEGILYNPYLFEGTHPVSYKVALEYLEYASKYDADVSAIRAHLFRICQYSLLEHEDLRQRISLVHTVEKFREAIDELGRRVEETREIEESKTREQEAAKVYQEVADGVRPLESSVVALTPHWLCKPYIRLSDLTKEKEGEEEMSEKEIKKEKMRAKAAESGVSVRQMKKRERRALQAEKTIKKEIKQKLAPCARCGQPASQGCKGVMCKKCCRWTCRNEKKDCNQHKYKFTHLVETEGEEAGKNKMEE >PPA06547 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:313911:318732:1 gene:PPA06547 transcript:PPA06547 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSSDNVLPTRLAITNGHAGGTKKRQILAVNIESIVAELQQRIPSQPLTADQQKNCFSLRLISQLLFGTCIVLQYQISNLYVDAKHVWDSCKHIRFDEKDKLAKRKKKDDKRGDDLDWDQVPPDEPLSKKRKRRSDGDKVEFHESQVVMGMDFLDRALVSRSAFDITNITLPDDTMFIGAGMNDPMFIQPDQDLIPTTDAMMDAFLNGEDVTMTTKESSENHSGEQLMNMPHFGGDRASKRSKDERAASETIERHRASSHVSQLSMGRNGTMQQPLNDDMMLIDDPNLSSSMAGIEGDTRTSVPAHFVSAGPLAVSSQVDPALEPILPSEASQILPIETMDQSTLAAPPPDELFINDIPDAMMFPPEKDDDGEKRASAGSEDMRRDIMGDIMMADEMEDRARERRDVMRGSPRIEETPKVKRRKERNESENEEDDEQRLIVPKKERELQPIKLDGAFMKESMNDYSDTLVGKNGRRVKIYDKRKKKPSDLMKSLPTLMGRHLAKNDILNNLFKSLEVDKIKLIDDLDLLDVEEEQILEPLEEIERQMKIVEEEEEEENAAKRRRIDETVADGMNELMNGTRMDDMTMMMIDTVSIDGVERVEHISVAIIEGDWEERNEYVDRHMENRFEDKELNEEERRRMMEERDERKEEENEEMEEARRRRRTTGNMSDGLRMGDHIDLIDQFPTMMMDETRRSETRMDEGELRIGEEVTDKLEYRLETEARVNREKRNTMAQVEHHQAQKIIIEYERLMEGMIIQLNCSACKEAEPDRVTLEQLIPVGANKATVARMFYNLLVLTKKKSIVPDQTEAYGTIQIGLCGDTYKFPIYEEV >PPA06531 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:260503:262816:-1 gene:PPA06531 transcript:PPA06531 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPDAILGVTEAFKADPNPKKMNLGVGAYRDDQGKPFVLPSVREAERRIVGDKLDKEYAGIAGLPDFCNQSIKLALGANSPVVTEKRNVTVQAISGTGALRIGSEFLAKYSKSKVIYQPTPTWGNHVPVFKTLCRFAGVDVKQYRYYDKTTCGFDEKGALEDIAKIPKGSIILLHACAHNPTGVDPTGLFVFFDMAYQIRPMYSNPPIHGARIASRILNDPELKKQWLSDVKGMADRIITMRSQLKDLLKKEGSSRNWQHITDQIGMFCFTGINPQQVLYPSIVLCDMGMGNIKCGLAACMEKNRRLLSINPPCINSTLITFVAF >PPA06470 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:23801:27393:-1 gene:PPA06470 transcript:PPA06470 gene_biotype:protein_coding transcript_biotype:protein_coding MSFITNRSKLPNYNTSPQQRRRDLLYEPHGMAGDLIGPGSSNAAAPYLNPSPPLIPANNEDPLAGLLLGVSQMVTKLAIVHPCGVIRRQCQVHQNARSLHLTPFTLVPVTYNMTSQHGISTLWRGSIGNGVVWGLSSVTEVILSDLFGLPRTFVENGSASKYWKHILLKASTYFLMTPFYISSFIETIRSGKGLVAADDNRLMEVVTKGFDRMKYLFFGPRDHSRKFSLFHLALPTNRKAPSEKTKMHELIPHLFATMTSTVLTDLILFPIETVMHRLYVQGTRTLIDNMDTGIMTISMKIKYDGFFHCLKSIIQTEGCWALYAGVGAVMLEYGLQSLLHQVIRACFERGTEGMRKAIGGAVRAGDVTPPLMREVNERPLSPIQGTLPAAGPSIMSSPPRNATQYPSFGELSASDPLAQFAAQSSKSPLYLQTTRDSFNHHINPFLHTTTCNDDDFEPEVTNLAPPPAVEDVEPEVGVRKINGKVPKGPGKPKPKYMQKEKAFNMEDLGRELTPREREEIQRRNDLSFARELFGGGDDEEDHFAWDKVMTKEEFENWGEKAGKFFATRHKAGHYGDFLSKFLSTITAPLDANEIRKMSNLLKTIADDKKTAADKEKKTTGATKGAAKGKAKPTLKGGKQGGGSMYDDYEGGGGKHDDYDDFM >PPA06484 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:81109:82407:1 gene:PPA06484 transcript:PPA06484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-stl-1 MLARSLRSARLLEAYSRTRHVTVIDQNYIHATNTIINFVPHQEAWVVERMGKFHKVLEPGINVLIPVFDKIKYVQSLKEMAIQIPQQGAVTLDNASYGVADPEFAITQLAQTTMRSEVGKMSLDEVFKEREQLNVSIVHSINNAARPWGISCLRYEIRDMTMPAKIQEAMQMQVEAERRKRATVLESEGLRDAVINKAQGDKMSRILDSEANEAEQVNMARGAARAVELDAEARAKAIHMINEAISKGNGDKAASLVLAERYIDAFSHMAKTNNTMIVPAGVSEPASMLAQALSIYGKMSEKK >PPA06561 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig145:408505:408981:-1 gene:PPA06561 transcript:PPA06561 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHIEEVEDIEEIEEIEEVEEIEEVEEIEEVEEIEEMSETMDIHGGDEVIVDEDDDEVHSESFVMFIQNMKQNENEDTEETEETEAVQENAQSKQ >PPA06501 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:147202:151124:-1 gene:PPA06501 transcript:PPA06501 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGNDDYVAVSMEAPTVGYEPLANADRVHHMLLYGCTRPYAESGFWRGESTCGGGGAHILYAWARNAPNLELPKGVGFAIGDSSGESGIKYLVLQVHYAAPFAGNVRDYSGITLKVAAEEPPNLLFEDIYRPLEIHQNDFIAGSCRFDSSDQKEVVPMGSMGSNEMCNFYMMVYYKATDENPFPYGAYCSNGNPPHSFDDYPKEGTELLPVRPELEHHAHQSALPFGVIEEAKFSLIGSVHLGQVSGLSFDPSHNLVIFHRGKRVWNQNTFNGANELNDKSSIKEDVILISKMEGKNASLVAKTGKGLFYLPHGIFVDTDNSIYTTDVGSHTVAKWTMNSDSSLTKVWEAGTPLTPGSGSTHFCKPTGIVVKGGSIFVSDGYCNDRVVELTLDGKYRSEFKGTGGFRIPHDIVTNEDTHNLFVADRENGRVHELRSDGSAVSIFTSGLFNNIYSVDTNKDSVFMIPGEFRATSFGGDELPIAVFVGRAGTGLTEFAFAPTSVYQRLIVFIGQRVSGGFER >PPA06532 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:263818:267838:-1 gene:PPA06532 transcript:PPA06532 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLQQLGELLSNERSLIKKCKWLTSSFHSIVTIIFDCFIVSNDICVTEQLIFTEKVARLVLLGKLKIKEAHHYTVA >PPA06541 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:289807:292159:-1 gene:PPA06541 transcript:PPA06541 gene_biotype:protein_coding transcript_biotype:protein_coding MTQMVVAYQKNDLNQFEKILTTNREVLMADPFIKEHIEELLCNIRTEVLLRLMTPYTRVRLSFLAKSLKVEEEVVKKLLARIDEREGLIMVKHSTDSIPGTLSFDALTACAESIDSLSSAIVQKCKMTKDSQEIRKELTSLLEKRHELKVMVVSHQRLALLFLYQIQWEDHSMDRDIPRKKKRKE >PPA06535 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:276566:278044:-1 gene:PPA06535 transcript:PPA06535 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDPKKVYCAAPGVAPSSTPPTPSPAKNRKTVSKSSSTTGSKTGEKKKKMKSKGSKGAKKSKIPKKKDDKNKKGAASPSSAPPESETDSDEGPPPPPAPPPSLPKAPTPATPKPKAAAAAKPAAKAAPKPKPPPQPVIVPQAIPSNIQLVVPLSEKDEHGKPIKDGPTPLNRAPLQDTSGCMVVNERGMLYFSPEFMLDRDDNFNPKYPPASTVGLLTKILSNASTFIPKNIKFPEEKMTELGYTKAQSGYISVRLSYSVPIIN >PPA06569 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:455230:456017:1 gene:PPA06569 transcript:PPA06569 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCFSISDTARPGRSTRAPTGAAGPQLRQRRTGGATTTTAVRSRAGVNNGGLWKFYTEDSTGLKIGPVPVLVMSLVFIASVFVLHIWGKVIVKKSSVYF >PPA06479 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:53009:54334:1 gene:PPA06479 transcript:PPA06479 gene_biotype:protein_coding transcript_biotype:protein_coding MCCAKSKDPSFSNRLNGQSDFTLACRTFIEGSGDIEDRKDEFIRKLFKPNNYGEFDLEGDEARMILDKAGEASRIEISSTCDLCGHQATTSNDYHYTGHRCQKTELCKNEENKKKVLKTFDTTWMIPFDLSQKKFTPDKIRSLPRAVEVGNGTYRLRGVTLFGGAHYTAILYDDTHWYFYDGIETPSMKECPDIKSLVVVKLLYDYGSGSTTGE >PPA06539 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:286301:287597:-1 gene:PPA06539 transcript:PPA06539 gene_biotype:protein_coding transcript_biotype:protein_coding MYLIYFITTTVLVILATVYSLPIDNHQSIIDKEEVRSWTHGSTMASVSTSTIQLMGDTPSEEIEDNDDQGDEKEEEEGNGVDIQISTSLEAPQDERMEEGEESYRRFVGEGDNELGMENPCETKYAMVMRMISPADRQMKPNTKGRQHIEQILEMPPSKAMNADQANLIWKYRHFLSTNNRALTKFLHAVNWDSSCEVENALQLMDEWRPIRPEDSLELLSPYFKHPKVRAYAVNRLVTAAPDRLQLFLPQLVQRTTTRTH >PPA06540 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:287918:288471:-1 gene:PPA06540 transcript:PPA06540 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRPNPCRPQYEPWNFGGDADDIDGLIRKRTIDDELAIESTWLTKFTASAMEQMKETRKRSQRGLFLVIRMAEAVMGYDKYDIVHYEKPVPIVSFKKVMSSDCHEFR >PPA06515 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:210330:212087:1 gene:PPA06515 transcript:PPA06515 gene_biotype:protein_coding transcript_biotype:protein_coding MNILLLFYVFFNVVDASEYYCTKTDSTPTNWDEVEKFMNDPNKPSEYKFNPTSLPHAVLNDKCKNGDIVIVRRMKVKIEGGICHKKYNTGGEGEVEFSGRGELTEKSVLHACGYRELLCGDEVYQKEKEIYTTENKEEEKKYYDLWCRYNLQSRDWTTFFTDKPYPKIFDDELICDMDDNTPSPWGNQAFLHFFRNYILTLAFYCYFMMQARRQPIIRYELAKAWDKFKSGVDPKDDDENNPIIGAKKRENYLDERGKMEFDRKGRVKKATIEHMKEELQEAKNGISIQATFLKDEDGESASNRPPNVSLRTKLLLKEPCNANDLRNLIQW >PPA06471 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:27677:31880:1 gene:PPA06471 transcript:PPA06471 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRVRRITVYETPQRFYLVGSDNSGTRFNLLKIDRLDSRALLTGEPENDYSKADIMQILSTVSEGSSIVCKVSGGGGKKGRPNGGLLERVYNAFGVLGVVRFTEGYYLIVITKATVVASFGYHTIYKIAEAAMMSLASDDHLPSAEEQRYLKQFQSVDLSTDFYFSYTYDLSRSLQENALNTNWDNEGNRTIKADSKFVWNKFLLEPLRNNLVSERWFVECVHGFVRQQMIELPCSKFSLVLIGRRSSDYAGTRFLKRGANLKGDVANDVETEQIVWDMNSAASIAKGRFSAFVQRRGSVPLRWSQDPSTRGVVGKPLILIGIHEPHAQTAGAHFADLKKKYGDPVVVMNLVKKREKRRGDENLLHEQFIKSVRYLNQFLPLPKRICYISFDVARCNKSSSTTSNVITKLEELGEKIICKSAWFQSFPLPHSRSKWSHGSFSSFSPSYSPCGRFLIQKGVCRTNCVDCLDRTNVAQFGIGKVALAFQLCAFGVLDDPKIELSSEISRVYEDLLDEHGDTMAWQYAGSQLVHSVKHYKKMAAFQERSRDIIQNLSRYYSNTFSDYDKQAALNLFLGVYRPQITSNIPLWDLPSDFYLHFKTTEKVKKDYCLWWTSEEEDQELMDGDFVLVDQKERNRPSVTNDYRVVRIATDDDFRELKQLALMECKTVNVAGVNDEPPSGQLMKLFKSDPKAMSKKKKTDMSDDEDEDGEETRDDTIVQMEDAYEKVIEPKPEETVFPQSTVYQYVPLSLGMKSPLETYGFNERSGPSQASLKLYENYAAASSINSNPEDWSKLKPKDLLEKFKETSRITDKCTLFTADNICHTEIPSVTDRWYRFYKDSCALDHKISAKDMATFEEYAH >PPA06543 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig145:298230:299650:1 gene:PPA06543 transcript:PPA06543 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKSREARNWADWVLNSGWRAIANQFDETVDWYTVSNESKAFDDNQDKCRYYNVGCFDWNRIVLKDRSPHNDFYHANSVYLKGDDHFHVIAAQGPLYNTTDEFWELIYQEKVSTIVQLCNFTEEYWPKKNKRTFGDYTVSLEKEDLIDENVPDVQLRTFTVRKEGESESHMVNHIFYKKWPDYSVPQTVTPLITIVRWLEENGVTLMGLRSIRTTNQLEEPSNFVMELAKELRRHRDGSIQTSQQYIYAHSALIKLFAIEGLIEDDDRVKNFRYVNAPRIHA >PPA06487 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:87750:88997:-1 gene:PPA06487 transcript:PPA06487 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpb-3 MPYANQPTVHILELTDEIVKFSLEDTDLSVANSLRRVFIAEVPVMAIDWIQIESNTSVLHDEFVAHRMGLIPLTSENIIEEMVYTRDCSCSDFCASCAVEMRLNVRCKDEQTKAVTTADICSGNPDCVPAAGKHLRERTYMEDPTNDDILIVKLRKGQEINMRCFAKKGFGKEHAKWNPTCGVGFEYDPDNALRHTVYAKPEEWPRSEHSSLADGDPPQAPFDPSGKPHKFWLTVESAGQLKAETIVLKGVAELKNKLNNLQNFLQLSLRSEGLAIN >PPA06472 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:32113:32897:-1 gene:PPA06472 transcript:PPA06472 gene_biotype:protein_coding transcript_biotype:protein_coding MESDEEIDISVDRSIVVTTESFTQTDDTVMTTENGDTLVDPEIAKHLEKLESIHSEDMGIIQKLRVENGLAVRNLSKYHNKYVSLMVERNNIKFTYNIADKERKAEKIANSQLTKTVKNLTQQNSLLLDEVNTLKRELAIRDQMEFANREVGRYEEFSG >PPA06536 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:278596:281605:-1 gene:PPA06536 transcript:PPA06536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vps-34 MYARLREQLTAALENSSNTEVKGRARSLIEQEEFVEILKKETQRAQKINGRELRDKTLREFLQNNARLQKLDDLPLPIDPNFKMQRIVSDRALFFNSAMMPTKMTISGMRNSSEKIADTYTVIFKKGDDLRQDQLVMQMIRLMDNLLRESELDLKMTPYAVLATGVDEGFVQFIKAMPLRDVINKHQSIHEAMKSYRPSPKDVFGIEPEVIDNYVRSLAGYSIVGDRHLDNLLLCENGRLFHVDFGFILGRDPKPLPPPMKLTSEMIAAMGGQNSEQWKRFVSFCDQAFQILRRHANLILNLFSLMLDAGIPDIALERDKAVAKVESRLQLHLSDDERYAFVNRLIESSKANKSDRSVKSEQKSVTKSVTNTPNNTPDVKTDGSLDGIKKEDKRLSVDPEEGHFLETGGKQEFMLVNTTENPLAVKSQISTLSVTRLPGPAKNDKLVAAYCPMVDGVKDPKEAITKYEATGKKCQIIRIMLKVVNAEDFAVPDPSREAVS >PPA06545 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig145:302221:303289:-1 gene:PPA06545 transcript:PPA06545 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQAFPPNPSVYEPRVEDYNLPPFYQPHPGRDASSLTPIFPFTSEFNNGLDINPGTRVTVDGNLNAPILGWGIWDFKGGVKVGRPNTRVGFGTLNRPTNNLGISPETIAALGNDKIFNEAREKVPSIPVSVLPGNFVPIRCKPPFCNPFLHNLAFGVDVEPGDDYLFDAGFDFPLPLGPAGVGVRLPISGAVNVGTDPLLITYGHGMGPVEPPGFRKKDGPEPFETRKKRLKDPREIRDLPFANLKV >PPA06480 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:55148:57759:1 gene:PPA06480 transcript:PPA06480 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLCEATMNILDSNSSVVVLTPAMELALLVSTIVPHHLFIKKYFQDTVDVAIGWLVEEGLEGLTSAKLERTKEILLAFRPLWSERMDLLLNLAKQFMEDIQPLMEEVKKECEIESIIKIKAIAGTLLLLIKICAEIGSPAAALVVKDVSGEMCTLVDDKSMIVYLSSDRISSIYGIYIEILTYCTQFQSDETKMEMLNRFINLAKSNTTSSHILMGLFVYLTKLASEKRESLSIPMCSILFGTSSIISSLDFSRLSSTELSSFCSLLKTLLNPSILSVLQYAYAAVVATLKDAVNRLLSNDQSEKERTNDEIRITTIIYALKPILILKNSLIVMLGLSPSLLDLVLLDSRLLDGRLQSMHPSLHYILLHAAYEHCKADWLIDVSPSILIAARSPIATLHITHLLYAIQQAVRLSPQTTSRLKEFICALDIQLNEKKGSLNAAIVRYTIEGSHSEERSIALWNHLSTDDLVERWILQHRKREKYESD >PPA06468 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:17427:18890:1 gene:PPA06468 transcript:PPA06468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cah-6 MAFLSSIQGKLQGLKEQASTLAEGAPGITAHLQSIKDGAPRIIQSARNAKSEILNKVGLDSLNKMMSTVGGGQKQSPIDIVPLITSFGEHLVDNTFRVEYEKSGEFKAVNTGNGLWLRREGNESELAISFLPEEQYHLDAINWHWGTEPMNGSEHSIGGVGYAAEVHLYHRSTRFANMETALKQPNGVVAISLFLNESHDVNESLTPFINLLPNVTFKGNEVRVNQYDANVLFPSPEKTKEFWVYEGSETVEPFREGVTWIVFRSALPISSAQSSRDTVLYSSQLERLRTVKSNGYDEEHERPMNPLRPIQTLNSRNVVFKNE >PPA06538 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:283600:284148:1 gene:PPA06538 transcript:PPA06538 gene_biotype:protein_coding transcript_biotype:protein_coding MIRARASTFTGHNEKGGMQDKFFDQEVELSIGTKLTEDIVKVESFDDLEFRLPENVANAGYTKPTPIQKYAMKSIQNGKDLMACSQTGSGKTATFLLPIMNSLRWYTDPSLITDVPCKPQARILAPTKGVIIVVP >PPA06502 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:151354:152770:1 gene:PPA06502 transcript:PPA06502 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKTRDVKTSRWAELRKKRQMVMCTGTPGAHHRRARPLNVMVCDACQKKLVKIVGVDPYRNKTHNKLQGVSKKPIPAQNKLIGQNKKTELVTKKCRICKCNCHQPGCHYCQNCAYQKGICAMCGKKILDTKCLKQTSV >PPA06533 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig145:272319:272892:-1 gene:PPA06533 transcript:PPA06533 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQGRFGSEKEGDLISPAFSSLPRGGLLTFKYLKQTSVTKLEILHQEGDSLSLLDSISDLSLLPWMERQVIVPVTEGESRIIFRVSSVLSSFDVTSDFSFSKVGSEKIAN >PPA06508 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:178418:178935:1 gene:PPA06508 transcript:PPA06508 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLGAYLLANLGGNASPSAHDILNIIDISPIVVIAGPRDFGVVEALKGKTVADLISEGKKKLSSVPSGESAPVAASPAVGAASAAGPAKKEEVKEESDDDMGFGLFD >PPA06514 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:207146:209308:1 gene:PPA06514 transcript:PPA06514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-col-45 MKVLEDDDELKSRAEMLRFRRAAFSSVVLSTSTIIFSILLMPLVYQNVQKIHSSLQADTAFCKSRNRDLWTELLTISLIKGGQSVNRTRREVNEEKSGEEDTEEGRWLFGHFVKAADVIEYSNNPTGTRYQAEPIPQAQPQCCPCVQGPAGPPGHPGADGSDGADGNNGEDGPNGVDSPTLSPPQEDAYGGIEHSVQTCQNECPPGPPGAPGSPGDKGQKGYPGQQGEPGTPGKPGPTGPPGKVGPQGLAGYPGRPGERGENGKHIQGQSPPGPPGRPGEMGLPGPPGPPGIKGKMGGPGPKGPQGDQGNPGPYGKPGPAGPPGPDGGKGSAGTCDHCPTPRTAPVLSI >PPA06483 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:77603:78841:1 gene:PPA06483 transcript:PPA06483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pbs-4 MSNIHFLVGVATKDFVVLASDKAAFMYGAILVSENDDKEFILGKKLSMLCVGEDGDVAQFGDWTKRNLRLYSIRNGYELSPRSAHHWIRRAIADGLRSRDRYTVDTLVGGYDNHEGAFLGTVDYLGNGITDQPYLFRGFGGRFCYAMMDKAYKKDMQEAEVTELVRKCITENKKRFMANLSAYNVVIIDKNGRRSFDVTL >PPA06519 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:219564:222793:1 gene:PPA06519 transcript:PPA06519 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVCLVESSSPQFDVCIESIRATQSMLEGLLEFIHNDSLLPSQSKETLRALFPTLEEATAESFTNPIRRMTTMESRDSVDTTGGNDGDEEGEEDYSDTLRRLEKKFGIVKEENEGEEGNVSIRGSIDDEPPPTPSSDPISEKATARKFVTCLSQRIQDWEKLRSTLQVDTAIIDFASGYYQEFSLAHSENFRTKSKVQQEFLNTDALYLTVLSCLSLRFRGSQKVSWGLFKSKVLVPGCLVYASETWLSEVYLTTIHNDELTVNEEGALADLIRDYDGFDNRKLSDFDRLERIREGPGEKEKCLPERLAARWFLTASWEGISKILSTFVSVKERRKARPKVQEAVIYAIRATQKLANLALALDLGSRCGWIFERLVESSCDVDELRKTATAEETKRINLVDRDDLLSIQLVLDNAFVAIHASECWKQVIRCTEYVWELEKYIYGALCYQEKSSRSILGSWRKEKERDRPRDDWEPAVEKDVESVVREGREYLEKDEINKAICILIAKTDRLYSSSGCCLSLPSLHSFLHSLILASENRILLSSAKSPSLTPPDSLIARLSLILSHFTGRPLVHQMLLWATVSAHLIQIASSSVDESRTAANVLSEAVASLVISESAGQSFNQMILAPFQTIMCREECQPETRTQLILALAESVKER >PPA06548 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:321519:322984:-1 gene:PPA06548 transcript:PPA06548 gene_biotype:protein_coding transcript_biotype:protein_coding MACGLALKRPHDFDAYLNEGGGVSDAKRARPTHCSPFRPQLGTIAASLPSCSKPKLSLTDPSSPFTEASQRCQITAPQIESYLKAEVRYLRRRKLLPRRLEDAVKGLGGGDGEDENGENAPNASKANYRPPTSPQSGSDSEGECSSSRRPSTTVPMMTKLNEQPMFSLKHRELPLPLPYHFSLSYSRWMREREEKGTLSI >PPA06506 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:170818:171641:-1 gene:PPA06506 transcript:PPA06506 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKQKKLYIDILVKNRESIRSDFSLNNQLMHLRKAVAHPYLFPGQEPEPFTEGEHIVTASEKMRDYLNMRGTSYERLDGSARAEERFTSINSFQNANADVFLLSTKAGGVGLTLTAADTVIFVDSDWNPQNDIQAMARCHRIGQTK >PPA06537 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig145:283027:283487:-1 gene:PPA06537 transcript:PPA06537 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIALLTWHGSDLNTNVDGVDDVVGFKLAWMGVGNAIGCPVTAEPQFLQLLHTLSASRWCEVASLTGKMIGPHHRMN >PPA06562 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:413076:414838:1 gene:PPA06562 transcript:PPA06562 gene_biotype:protein_coding transcript_biotype:protein_coding MLCILPRNVNVLQAHHSADFLENCTITAAQRQRVITFIAERHADYAQPIEAFHLSVFLVDAMMAKGHFNTENAELMCIAALSLASKHERQVGLHRDILRDYSLSKRIRYEAMIFRTMEFCLGHHTVIHLMRILSAALYTKGYKDASEINAWNSAKVLSQVAVMDVSLVGGTKASLLAAAVLRLSLALISRKWVDVYSLQSQHLEEEIRNKTLHLYRFTKKLFDDGKNAFLLIFFHWISNNHCD >PPA06555 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig145:347986:351411:-1 gene:PPA06555 transcript:PPA06555 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSYRYRNKSSERKKEDEKEDQTMEDQVISPAEDGGVGAPSPSILPPPPIPPIIPPSVLLSSLSPNGHSGSSSPSIFVPPPPILPSKLPPLKISIPTTLPSKQKKGIIGSKCSSSSSTPCTSSTSLVVDSSLPLSRPLDSLAKKNEGNVNSNKRTNNSSSDMSVEGNTISDRSNGGERKMREGNGIEAIPTPPLSNPSAMEKKTYESEEGVVPLPPPPPLPPLPPLPMNNRSVDISPSTDNYSSNTLQSFTPKGPNRQIRPMNGSVPIYPLLGPHNQFYSSPHNPYGAHQMWMNQDPQWQHYYYNNYNQDQGMMGGYGTPSITRNPSPTAPNSIPEAHDQPVAPDWAQMARDHANQQLHAASLQPPPPPPLNQGHMMGHHPPYGTPQSGRGGSRFRGGGGKNTPSTFKQIRRVVDVYRVFKHKPTV >PPA06525 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:244885:246118:1 gene:PPA06525 transcript:PPA06525 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLTTEVDVMTFNDDESYNEINELEELEAAAGGDTLSQSNLFGENGQDSHSQRDQNETNGNLIYDNNAIVEFSVIEKYESEIENTLKTTCERSYLSEDELDSVRNALRELLYQSDLQISATANSHNIPRSRLSSFYGRLRRKLKLPVKRNTFRLVIIPDMEQKENFVEKASLKEVQKLVRKRLVGCKKGNRSRLFQSVMRVVMGEATTAQSAAQENLHPLNVWRYAISIRNELGEKAPEWTKKMRVPVKGGREYR >PPA06498 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:125277:127774:1 gene:PPA06498 transcript:PPA06498 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRTLIFCTLLSVSLACIGGGSSGCCSAPAPSCGGAPPCPSAPQGFIGSYPSSGGYAVAPAPSGGAYARAPNAYATSNVRAAEESNNEFMQEALREMKEIETTLISHLATQTSLQVDLRPYENLIVKIEDKTEERLGKLARVSLKGARTVVINVSERPSTIKSIKAALQNSALSSSVNVQQEGVVLYVATPLMTRERREKMAEEASGTLLNDYKKKVNEVYVRVQKKASSSTKCADEEKRTRDNLLVMKRAMEEKGVQAVKEAKKKLLTEI >PPA06491 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig145:108672:110413:-1 gene:PPA06491 transcript:PPA06491 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNSMYSRFQNPTSALNAVMTSRSMKNGSGQKVDIDKAIVNSNERLLKERIVYTKSLILFDSVDDFKDSKIIVLDPSNEEAARKRVAAKLAAKFSSQLENRSKDSRLQ >PPA06577 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:487144:489557:1 gene:PPA06577 transcript:PPA06577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dbr-1 MCGESEDEFVKEEEVKREDVNEDEIKIEIKEKEGKRKIRVAVAGCVHGEIDKVYRTMEQLEKDGNGKFDLLLCCGDFQKAPVLTVFIGGNHEASAFMCELPNGGWVAPNIYYMGNSNVLKYGGLRIGGLSGIYKGKDYHKGRFECPPFPSGSNISAYHVRSLDVFRCKQMSSMDIFLSHDWPTGIWDYGDKDWLLRKKVHFTEEVNAGTMGNPGARELLMKLRPQYWFSAHMHIGFPALVDHPKKEGEENEKVTRFLSLDKPLPRRHFLQSIDLWVDENADLSLSYDEEWLAILKNTDSLVCTTDKKAYLPSKMNKTERYDFTPTEEELAEIRSLKDDFHIPFNFQPTAPPLMKDDEEDKRAPPAFYYRNPQSTQFCSWLGIQDLNDIWANTSSTVATPHYELEISREGMNDVEQDREKFGEEDFIIDTGTEGEEEEGEEGMGVGDGNGLGDWIPPSIDSNEEKDEGEKTIEFKRRKVDFDPEE >PPA06499 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:128258:140812:-1 gene:PPA06499 transcript:PPA06499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-192 MDTGDDYVPNMQSIGGDGSVGAPGGGGGTMGNPYPPYPGMHPQQYMHGVPGGVPPSGMMGGGGTQGNEPPQSHMMSPHMQHMHMQNPYMNIPPQQVAPPPQPQAAPAPPPKQKRTRKPKTETAAAAAAAAGGGGAPGMDPMQRMQAMSHNPMAYQQQAGMRGGYPPGFPQGYPPQGYAHPQMYAAQQPGQPPRPMQPGQAPPPAYPGAAGYPQGYPYPGYPPQMNPQMRAVSVSTDRLPSFRYPGMPQGAPHPYYMENPYYGQQQPGGPPHGMRGPGGEWNVGTPNPYGAHPMAGGNQSGTTNDASNTAAAEGMRQQAQMELMGIQRHLQQLYAQPRTPMIEQEIPRFEARMQQLQQMIASGGSGHPGGPQGEQQNQSQLHPQSQQPLQQFAPPPGQNPSGGTPSAENTGYPGSFPGTGGGGGGGGGHREGGPPNHMVSPPVSVTGGTNQIQVNIKPEQAGHTLISVYQMPPDGSGYPPPHTQPPVAAVPSAEEHPKSSDSVPPEPIPSYQNAHPRMVGMPHDPYGMTRGGPSHPPNESLNTSTTTIIPKMEAPENTPSTSHADTLSEVVPKSTSPSLSESMVAIPNSHPPTDDEATREMIQMKTEPSTSAEDEEEERRRKEEELMDAPPRAPVRIEENVEEEEEEEERLEDERRKEEEREREEKERREKEEEERRKEEERKKIEEETRKRIEEEERIKKEMEVKMEPATATNGVCHTPSSVPLPSTITPSVKEEVKMEVSPVKEKKTKKKKDKDMIPPMEVPLPPTPMMAAAALIKMEDDPATREASIESSSLSVPVSEENSRDTPATKGKKKGAATPKAKGGPAKKRKVSMEDSEDEDFVFESKKSKKKKVIPPSPKEEFFPLDLIEKRRSGRAKANIQRYADEEKDEGHAAEMYRELDLIQEHEDSLIVEEGIGPLVVMENTLVEKILKMREVDGKEEFLAKYKGRAYIHCEWKTLEGLEETDKRVSAKVKRFKAKKPSQWMEMDDEDFNLDFTIVERVLHVEKDGDDDIVLVKWKALPYDECTWEKIDLVPEDKIEKWRERQVLDPYKAKDKARPEMHEWKKINQDSVWKDGNTLREYQFEGVSWLLYCYHNKQNCILADEMGLGKTVQTITFLSKVWEYGIHGPFLIVVPLSTVQNWCREFETWTDMNVVVYHGSAASRQTLQFYEFFYHEPAEDDPAAKLWRPNLLKLDAIITTFEMVVTDNEVLKKIPYRLCVIDEAHRLKNRNCKLLTGGLSSFRMEHSVLLTGTPLQNNMEELFSLLHFLHPAQFSSSQAFLDQFGQCQTDEQVQKLQDILKPMMLRRLKEDVEKTLQPKQETIIEVQLSDTQKKYYRAILERNFSHLCKGSNAPALMNAMMELRKCCNHPFLIQGAEEQIVSEFKLLNPQWDDEKLQQRSLVLASGKMVLMEKLLPKLKQDGHKVLIFSQMVKVLDLIEEFLVSQQYTFERIDGNVRGDVRQAAIDRYSKPGSDRFVFLLCTRAGGLGINLTAADTVIIFDSDWNPQNDLQAQARCHRIGQTKMVKIYRLVTTNTYEREMFEKASLKLGLDKAVLQSTHSLRDQNSQLSKKDVEELLKKGAYGSILDDDAEGSKFNEEDIETILQRRTTTITLEAGVKGSTFSKATFNASHNEDIDINDPNFWSKWAEKANIDAEKALAGEERELIVSEPRQRKKRFEPTEGEGAEESDDSSDSAIGRRRGGKKNDKKRRKGGNDDDEDYVTYRPDELAFTKTEYFKVEKLLGSWTWGRWLKMKENGDFEVTEMDMEHMARTLLLHCLREYRGDDRTKEFVWKLIHPSGHKLVKLHGRNGQLTEGWAALPEYNPPNFALDTSFQRHVHRHANKLLVKMEQLVHLEKDMIGKAKEDINGGKDHTEISISVPTLGEPICGGWDADCDKSLLIAVYRHGLENCEVFPTDDKLVFAAKQEVIDNWPSSTELQIRARRLISLSQKNVNDPVYDRPRWPRREETEFMRILRSYGMMDKPGDGSSIDWEGYRRLSPLLEKKSDEELQEQLYCILAMCTRVQGGDLSPLDMKRSLSVETLTQKKATKLMTRLHLTRKIHALAKDSVDVLLPLLKLCLTDAMPSGWNAQHDKDLLVAVHENGIDNISTTILNRPLFQKIIRPTERTLLRRVMEICLTCETGKWNGNASLESIEDSDDERLAPSRKVEASPRLSTPSRSRATTSKGGNSTSDKDKMRALLQQQMAKQMEELPLTLIMNSMIQASMQGQGGQGAAQALAAQQAQAALLQTILSLPSSSNANAIREAERQQAAQERQATNALAIQMLQMASVSGDTRIPVMNTKTKERQNGERAPLMKNIEVTWLATHPDYTIDLSGASTTPAKQTKSTVSRSTPSATPGPSSGTSTPKPAQSATATVVAKNSTPAAPKLEPGEIPKASTSTASTSSSSSTDPPVPVCTRSTNVLLPSSDWPSLSKLISWLDGHPDANVHASGVAVALPVMDAGHLDRLGGMETPVAAAPSTSTASSSSAAAAAAAAKANLEQQQQLQMLLLQQSLLSNPALLMSAMAGGQMPSTSKQEEAAMAQALQEQLLLSMLTSSASSQMGGLNDPSMLLALAQLQGAAAAASVRPSSSSRQSTPKVTNTPSSTSQSKTKQPSKLAGIVEKLASNQS >PPA06575 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:481418:482283:-1 gene:PPA06575 transcript:PPA06575 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIDVSEILRASRDGPPLKRFRQEDAPASSIDAPVDAASMLAALEGSQEEIQQIDEGLLKKLTSQLEKRWLRNREMRVKHGDDPQKFMDSELELNTAIQELHCLPAEPDLFDLFIQLKGPNLLVGLLSHENSDIVGAALNLIKVAISERMCIHQFAPALLTTNEHTRFSSTFIIAAALSN >PPA06500 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:145760:147239:1 gene:PPA06500 transcript:PPA06500 gene_biotype:protein_coding transcript_biotype:protein_coding MFMSLLIALVPLVALLLGCGKKKTPPSSKPSVKPPIPSAAKPAAAPAAPAAPAAAPVPPPPPPAAKAEEKKEEKKEEEKKEEKKEEEKKRRMMRRRKRKRRTKKRKMKCTEKKDEKKDEEKKDEEKKDEEKKDEEKKDEEKKDDKKDDDKKEKSKKDGDKKDDKKEGSKKSKKSKKEAKEGEKDKDGGLVENMLVTPVTDENPTEKEKKEAEKKKDDKKEKSKKDGSKKEERKDGSKKEEKKEGSKKEKKEEGSKKDKKEEKKEGSKKEKKEEKKDDEKKEEKKEEDKKEEKKEGEEKKEEKKEEGEKKEGEGEKKEGEEKEKSKADDPPKEGEVPYPFLLIYQSSHIFRRRKNKGEINNSTVIPSSNARIHPRPADQ >PPA06546 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig145:313044:313523:1 gene:PPA06546 transcript:PPA06546 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLQDYGIPEKKKASKLASPKKEMSEEKQLSKSPMDKEKVKGKEKEKKEVMTSSSGNNDKEEKDEMKEKTSKEPTDEKEKKTGKDDKEEKRAKTKEDRRSIEAKLSTAPTITPLDSTQCSNTRKDPTK >PPA06554 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:338337:345871:-1 gene:PPA06554 transcript:PPA06554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-20 MSTSCPTDSATLTDDLEDVLSGRGCVASEVEGGGMRSAIEASGDQSIPPSSSSIRWSSPPLPSTLPLPPPPPPPPPIPSTTKSPSPVVARQMEEAPQLQSDEGPSGMEPGPSSSSPRETAPKSPPRQDSTPMKFLKPKLEPLDEESSRDGFTPLETMAHIKTEAGLGRLSEMRSIAGVQVDSSGGMVSVATKAKERAAEEATRRPDSSQLGSTPTPSLSSQSQMRGAPDRNGDTSGMMVASAPQSGYPPHPPQSVPVPGHPGMHPGHMGAPPGHINVQHPQMGDGSSGSGGAPMASMPPSALPPHMQQQRQPQPPLPLTNSPVLVNLLNSQPQPSAGGRSASGVPQPPTQPQPGQPIDPNMAAFHQHQMQERQRMMMQQQQQQQQQQQQQQMQQQGVATPTTPGAGPGPSGYAYPQRPMYPGQQAGMFPPGPQNAQQRVPFSGQPIYSPMPQIKQEPVTVGYGNMQIKQEPVETAPPPAKKRKKPTKKQQKEMEALAAQQAAAQSQNAQPGAFFPDGRMIHSQQMQGGFPGGAPPHSQYPPGAGGPPPPGMPAQYYQQQQNQQQIAWQQQMHHQRMMQAQQQQQQQQMGMAPDYPSGTVPAGPSSAPWQHPHPRPPPVPYPAGQNDAAASGGVSGVAGTGDMGSHSDSNSPVTPFGGHPMMAQQQQQQQPGHPSPMYNRDSGSGTPTLFPYPSTPHGGPGPSGQSGLNSTPLTPGTTPQTPGGPHGQSTLANDHHDFDEKLLGASTLGDLSLGDLDDIVPMGDIMMGGAAGGGTGQREGGNTGGEGIIKEEMDDGNGEGGGESIPTSGPMKRNGRHDLDMSINSVVEMVAKGGGELSSPTPPATSTPTGGNGTSAFPVTTPTTSSTAPPTPTLQTPPAPVARRKNTASSVQQLTAHQRMQQQQQQQQQLQQQQLQQQQQQQLQQQQQQHQQHQHPQQQQQQQQQQQQLPQQMMMGGGVGQCGGPGPHFGGGGSQGGMPQMHSHGHPMGHGPPHGHHLSQQQIAPSGHRVDDDGRPLPPSYHHSSRGGGRVGSVIENGRANGHHQALLSSFFLI >PPA06530 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:253760:254121:-1 gene:PPA06530 transcript:PPA06530 gene_biotype:protein_coding transcript_biotype:protein_coding MFVALAIVCDEFFVPSLGVLTEKLAISDDVAGATFMAAGGSAPEFFTSVIGVFVAQNNVGIGTIVGEKDEILFAIQSHPYRIHTGLSEVH >PPA06576 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:483050:486785:1 gene:PPA06576 transcript:PPA06576 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGSLNPQVKTMEYAVRGPIVIRAVELEKELAHGVPKPFKSVIKANIGDAHAMGQKPITFIRQVLGAVANPALLSSPDLPSDVKNRVETILGGCGGKSAGAYSQSTGVDVIRKHVAEFIQRRDGGAAVDPENVILSGGASESIRNVMKLFINRTGGRKVGFMVPIPQYPLYSATIEEFGLGQVGYFLNEENKWGLDESELERSYNESLKEFDTKVIVIINPGNPTGQVLPRENIEMIIKFAKKHNLFIMADEVYQDNVYAEGSQFHSFKKVLMSMGDPYDKMELASFHSVSKGYMGECGLRGGYVEFANLDPEVFVMFKKMISAKLCSTVLGQAVIDTVVNGPKEGEPSYGQWKKEKDAVLASLKERAVLVEQAYGSIEGITCNPVQGAMYAFPQIHLPEKAIKAAKALNQEPDFFYAMKLLESTGVCIVPGSGFCQKPGTYHFRTTILPQPELMRDMLTRFKSFHEKFISEMSDEEKGDANNEYLAQIYQRKECILWGSIYATNLIDLENRLAGICDPGCTPFHEHEMSFSLKTTQTPDVTLRLRRKFHTETTSSNQWRFRYVGRPEPDPNCPVVVRKVIDSVAHSQNMMEFVKTLGLRLDYEYMAKGKVWTCGAIKIVVSSLKRTKQPGKYEVENLEKMSDSYLIELSVTINDSVDYHPIAKQLKAFSSQLSPLVELQKVEYWVK >PPA06486 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:83248:85628:1 gene:PPA06486 transcript:PPA06486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3E9U2] MIFIFVLLSSWIMITLHVVVLIIGCKGGKKGPKGGAPPKGGNPVPPPAPPLPGSTVPPKPGAPAPPGGAVAAPTVVQALPPKKEEEKKTDEPKKEEENIVQKKDEDKNEEERRRNKTKTRKGASAVGKVKEGEGEKKDEEKKSKKEGKSKKEEKKEREKSKKEGSKKEGKSKKEEKSKKEEKKEACKKEDKKDEKKEGEGSKKEKKDEEKKEEKKEDDKKEGSKKEKKEEGSKKEKKEDEKKDGENKEEEKKDGEKKEEKKDGEDKKEEKKEGEGEKKEDGEKKEEKKDGEKTEAKPTEINTVEAKKPEGDKKAFAIEPLDVKWDKGKGEKNIKLTNNSTERQAIKMKCSDNALYRITPVFTFLEAGKSIDIKVERLNETVKEDKAVCMVAKCAASDTDPASLFKSVPVEQQQKYTIPFTVSA >PPA06497 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:124127:124988:1 gene:PPA06497 transcript:PPA06497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rps-10 MLIPKKNRNSIYEYLFSEGVAVAKKDFNLKSHPNIQNVSNLEVIKALKSLTSRGYVKEQFAWRHYYWYLTNEGQTYLREYLNLPEGIVPATVKTKPREVRVPGMERRAPAYGKTEERDSYRTSEKVTEAGPGAAPVFRGGFGRGAAPQ >PPA06565 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:427435:429829:1 gene:PPA06565 transcript:PPA06565 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSAGIGGGHFMTIYNATTNKCHVIDAREIAPAAADENMYKDKWDESQIGWRAIAVPGELHGLWTEYSKFGSGKVTWKSLVDPTIALMKEGYPTSHALAKALMEKRDIILKEPTMSGFVNPKTGDVYQPGQQIRTRQSFVETLEKVANSSDPIQLFYKGEIAKKVVEEFKVNGGLITLEDMAGYQSIIREDEDVITVSLQGGRHICGPPPPAGAAVAMGILNALDGYKYDMKSFDDIATMYHHFIESSKFAYASRSRLGDSAFVENATEIAKNMTTPEWAKWVRSLITDVTHPDAYYGGTFEAPAVDHGTTHISVIDKFGNAVSVTSTINLLMGSIRASNSTGILWNDEMDDFSLPGHPNYFGFPPSPANFIKPGKRPQSSMSPIVIFDKDDRQQLLSVGGAGGSTIISGVASVALHALWLNANVKQAVDAPRLHNQLQPNVTQYESNFPTVIHSTHLNK >PPA06526 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig145:247936:248454:1 gene:PPA06526 transcript:PPA06526 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLTTNTTVPSHHHDNYAKENKTILRMWHHNHSLTLPFIIMCVITAVFLCFAQISLMLIKRRLNVLKEVTVTISASQLEELIEKKRERDQNMFTISMPPIRGPPSYSSIDLSDDSQADNSYASHP >PPA06556 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:352429:355467:-1 gene:PPA06556 transcript:PPA06556 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEETEEASKSNPPPTTDGLENNADTRSTSDVRRTQMDRLDEMQKLDGDVIGLNGAYIDILERITNKLDLLATLADEIETDTRAHPDSMDESLKMRFDLLTQSSLMSRYMCTKALFQNAIGAIQAMNQFGCTYIDNRLIGAPLQPSTSGDTENNPDDTQNVADDSEEVAGDTENAAEDNENLSQTRRSDASGATVSSDSDATVAARMDVSDSQLSVMEEEVETTADPSTSVFQESRNEASSLLFEGEDIDTYLAREQEEIRREEEKSGCPLESIKLVNVMIPQDPFDNNIPMSSVQNQKSMEWEMFERDGREWVAESVESVGSVESVKEEKKKEKKKERSSSSDQKRRSKGAEKMENILNKHLKRSRDKKLKNLSSENKARDSSGTNDIEVVTLSDDEKSESNRQRSKLYEDDIELVVRPLSSKSIPEPITSTEEEDCENYCVTRDDAREIKSENENRPVCSLSQVLTPIIAPNGRNVCVPQPVPPPILPPSLGRGPGFVVATMQEAPVNYSIQLGIIDERREI >PPA06512 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:200526:204687:-1 gene:PPA06512 transcript:PPA06512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hrp-2 MTDVVMSEEIVNTEVPAVKEEAVKEEIPETPAVDEPIPEDNDSTAEDQKLTNGDASVEKVEADERTIPLVSQGVHESVADKMVQLFDATDVTAEDFDERALEMLKQFPTNEAVYLIEQLILTKLFGVQNKPQYLMSVMRNLRDRIRQLGATFVMSKNLIGGPEIEDINAIVERTGYKLEVVTVGQRKYGGPPPGWEGAPTGPGTNGHEVYIGHIPHEMYEDKIIPLFEEVGKIWDLRLMMDPMTGQNRGYAFLTYCEKGCASEAAKKFDGHEITSGKTLKVNVSVANTRLFIGNIPKSKTKEEILEEFKKHSDGVLDVIIYAAPDGGERNRNRGFCFVDFVDHKTASDAKRKFSTAKGPRPFNHELVVDWAEQQEEPSEEEMNKVKSCYVRNLKEAYTEEMIKERFEKYGKVERVKKVKEYAFVHFEEREDCIKAIEAENGAEVDGVAIECSLAKPQVDKKKRGGGPSTGRGRGSDRFGGGGGYGRGRGNQSGGGGGGASAWNRSGGYADFAAGYGTQQGGYDYGSSGGYGGGYAAADPYSQYGANQYGGAQYGANPYGASSYGGYSGGYGGAAGDYGAPMGGGYGGGRGVGGYVYPVPRPFSSLILPSCPLRFRGASKRPGDWSGGPASKRGDQDFSADRLFLSSVN >PPA06505 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:166321:170570:-1 gene:PPA06505 transcript:PPA06505 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYGLANLREEKDEDDNVTDSHLERVIGHTNEKGEWMERDEEMEDKENSQDSLSDDDKDVDEKDFFYFEGVRYRVNENDRAAMAEILDEKGVKITQGKNWTREELEEMEKEKEERKERRKIMAEERKKKKWTDAGYSSLNLPLPDNNEMEGVGMDDEENEGEEISFVTGDLMKPKGEGGAIIIHIVDDSGSFGSGGVFSALDSLSSSIRDNYELAGEMGDLSMGDTHLIELKKDGRKIEVALIVAQKKTKRNELVLSALSRGLRRLSGRAKEKGESVHTARMGRHIHNVQWYAVEKTLKKELALKGITLFVYYKPRGGGGELSPDRNEPEKKRRKRVMMDSDDENDEPGCSNWRREGKMMEDDDEVMEVAEKKERIVKKVKNEEKSKGDGIFTGYTFYLSEGVRNMRGQIEGEGGIVTTSLLDLYDVTHAIIPENEKEKEKGTIRLLPDEASIKGEEYVLKNLFDLEPLNKTGGSSDLLAEKLAQKNKLDEEKDLKELTCEEDCNYYNIRKDMKAIVDKTNNKKEKTKGKDTTKKPSGMKYKKDSRQSKSKEEKEKSLDKVQTVEYDTENDVNEKNYMYNLKEIDYCTHDMMRCIEEDNLYKNINSDSRLPDKVEPYTDDLEQLAKRRYTSCKVLRRNTLMSTILSMPSADEKETAATATAKHMSEHCAIRFLPPTTLIQHCDEPREDMTNIDVSDVVNMTVPIVIKPSQENESSKKGNEKKKKKEGKMIEATCESERKTAEEM >PPA06492 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:110701:114492:1 gene:PPA06492 transcript:PPA06492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nrd-1 MDSILRQSKRQFKDKDVFCARFAKNFETTLVVLLQCSPTDRLKIARTINLWEANGVFSSEKINEYRAICVANGLEMDRDAVEKAVKGEKADLSIYERSGEKEKKERHHHHHHRHREEHEGHTPAEPAVEMPSEEVPSGGVSEAALLNLIQNQMFDIGQTVGRDLTLLRQVHSMIVQNMKDKVEKERNANKQVSVKKLLSSGFDYSDEEDNEEEKEEPKAPPPPTQDELDRMAESLVMEKAADIRRMHGDRIVKLQNLFVLLVTEAALEAKRAEQQRAAQDAAQAQQEKDAAVAQAQKMAAQQQAAAAAAAAAASVASSQAQVQSSILGQPPPGPPFGLPPGMQLPPGFPGLPQVSMAGPGGLSGLIQQNSLDNQMKALNSILSNPLGILGQGPPPQINLQHNPLFRMNPQFAALAGGGDQAALQALLSQPPPSLPPMGIPPPGMNSGLSQQQLQHLAGVGNGMGMGLGGSIGTSMNGPPSGMGGMGMHPQSMQPQGMPPQGMSQMQKPPSLMDLDQDVLAQMMAQAPPSDEMDEQHSQMERRESRESRDDDRDRRKKRDGERDRDRDRDRGEKERDRGDRGDRRDRTGIEVNIEVKIIKRRRGNEAVNWLAMA >PPA06560 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig145:407152:408409:-1 gene:PPA06560 transcript:PPA06560 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEWKEVMEEYDYHEELGGVYGIDNAMDEENNGIDNGMDEENNGIDNGMDEENNGRYNGIYEENNNKAIENIFDNKLLSDASQMISSSMNLNVSMTPVREELNSSDGLSPSFQMMSGDNDGIGGYVTSGRSEGAEGDKKIRKGKRVREKDPFKGYQRIPPPFIPAHIQRAPMKKMRMEEEDEEDDDQMEDGEGIWENNGGRGVMTKKENEKEKEEE >PPA06511 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig145:199253:200182:-1 gene:PPA06511 transcript:PPA06511 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYVITLLSQIYCNGPILKAVQDSHMFPDSKHFVDMSLKFDPEWKQEEVDY >PPA06570 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:457062:457235:-1 gene:PPA06570 transcript:PPA06570 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDTYTTKAPDGTYLTTTTKTHTKYEEDMVSYPMLTCIGSEEGHSTGKT >PPA06553 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig145:337081:338199:-1 gene:PPA06553 transcript:PPA06553 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGVSREESGTSGTADETIQAILDKVRVQGIAQEERAKAQRSNTGAKRGGGTGSKRGKRKEIAKERSPKEDGDSFLIGMEELRGSSMTAGQAAKARMGVGQGGSLSHSNTYYAHTIQPMRSQPPVQHKPT >PPA06469 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:19378:23444:-1 gene:PPA06469 transcript:PPA06469 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRKKKLSKEEIAELIERGYSDVFKWEDDDLALKSAANHSRLAHDKMSTQEMAAFSEIAENHISTTIFLHVRNKILQMWLLEPNVECTLESAYDQIMIPFNSDRGLIRRVHAYLERYGYINFGSYHQFTAAPAHTRKKIIVIGSGVSGMTAAKQLKRFGFDVTILEMRPRLGGRVHSHEGGKSGFKADLGAMVITGICGNPLVTLSRQFPCTLDRLNGSNCTVRMGDIASYIVHERGFDTLDGEPIDLATAYDNILCLMEYRIQKKRMKFFQTYEEVVNTMKTIVEELNIYKKTVEEIGEKMKELEKEVLRRCYKRDLTNAFKKFDAMELRRRNVESFMSNLKRLEPKLSEVYMNNYDRRVLDFHLANLEYANGTRLRNLSLRHWDQDDENEIAGSHMTVREGMSHLVGKLVNTSDVLTLRRVTNIEYREEGVVVHANHITENGVILEEEEYKGDAVLCTLPLGILKRTAKGDKCGPVFDPPLPERKLQAIDKVGFGNLNKVVLIFDKIFWDDSMHFFGSTSADESCRGELYMFVAQSGKPVLIGLLAGSAANIAMDVDIRDEERIKKEKELIVHRAMELLSRVFGSVCPTAPAEAVVTMWHKDEAVLGCYSYMAKYSEASDYDILAESVRCMDEKGEYTGSEKLFFAGEHTNKNYPATVHGAMLSGMREAGRIADLFTGCPYALPQNNVILIDDDDDEDIQISNERGRREKETMVAEESSGSGRGDDIVMDEEDNMRNGRHSSALSLDDNNDDRMDM >PPA06578 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:490028:491705:1 gene:PPA06578 transcript:PPA06578 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSDDDLVPYEKAPREIPRLATTSSPLSPPINIAPPLFSPCTHTPHRKITIMDNDSFVPLLCSTKIVGATGHHRSISLLGAEGSFHDMVLHDRCRSLNHLDDRPSDGRLLRHDHYSTEKFMSTSIIVMIDPSKIAGDRTRESKEDTVEVSSPIPLEPVISVTRGPLPIEKKDREAIGSLMECMVKNLQNIKDGEDLNWRETPVLNETQKTLSPIAEKRKWTVYEDEDIKNAPISSTPRIKLSANRINKLKYRDEISPTPSDPICIKAPQERKTFHVMKTPQQEERIDCTLTDAPPRPSISRVSLRSKEMSRMGVTINGDQVHFVRD >PPA06490 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig145:106386:108670:1 gene:PPA06490 transcript:PPA06490 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHLNLILNLVRRERLALKVPLVLLEEMEMKDQEDLKVSHRWRDGPMGPPGPPGPAGPAGSGVYQMEEGNGFGMMGPAGPMGPPGERGPEGPEGPQGPHGRSFDRLTDEDIERIANYPGVKGEKGEPGVCEKSTDNYNSYSMAGEKGERGERGEKGERGETITVSPPAQTNSLVRVLPTTVEVFASGPLTDEGTLAFALSSQQLFLRVSNGWKEVMLGQYHPIVQQQPSVEAQTTEAASSHSVILPPPRPLFGDQRSIPPPGPAHLTTSFKFGPNEKDRVIHLIALNNPNNGNMRGLRGADLLCYRQARQAGFVTTFRALLASHAQDLVRIVHREDHTSMVVNAKGERLFESWNKFMNGSPMDNVAIYTFDHTNMNTDDNWPDKWAWIGSDSRGMRDATGMCKDWRSESGYDKGQAASFGEGGRMISDLRNMRCDSKLAVLCVENISRYNIDRILAKKSIHSP >PPA06503 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:153281:155090:1 gene:PPA06503 transcript:PPA06503 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIDEYDANATMPEDDPNGNTNAAPGPNRWGKSHAGAQELQKMYGEMKWKRRSEVFGISAAIITFIIQGTLLITGIHNDVWWHIFISLFIGALVADFISGLVHWAADTWGSVEGVIGKGFIRSFREHHVDPVAITRHDFIECNGDNFLVTVPFMVTALYLQFTGNEETIREWRPHLWFLFSLGIYVAMTNQIHKWSHTWTTLPKWVEFLQRAHIILPRWHHKVIFLDRMI >PPA06524 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:240455:242832:1 gene:PPA06524 transcript:PPA06524 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDNAEELLPKVDESIDNKRASYTVVKLLGKGGYGAVYEVIRCRDGKRLAMKCETVTVKKNVLIMDCKVLKATAALESKHFARPIDRGRLLGKNLWDLRVERAELRFTLNTALKAAEQSLVAIENLHRAGFLHRDIKPGNFAIGKKDEDEGHVIFLLDFGLCRQFTAKDKDIRLPRDTAPFRGTTRYAPLVAMRSQEQSRKDDIEGWLYMILEWTSGELPWSHLGRECKDQVLKMKLEVREVGNSNRNKLFAGCPKKHYEKIMEYVDTLNYVDIPDYKFVHFVITSMYKAYKIEATAPVDWDMANPYTGPYEVPGDGCPANMKTIVLPGADESLKSSKCFNNSSKISKGKKKGPSSRLVPQSKRKKGSAALQQRQQNNQEQEAKQNDVEKDESFKKKRGDESSKRRKSRGGQAKSENGGGRSENGGAKSESPAPNEGEADGDNTGITGQTTGPVFTGK >PPA06559 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:403175:406641:-1 gene:PPA06559 transcript:PPA06559 gene_biotype:protein_coding transcript_biotype:protein_coding MSINNRNGSSPPGGGKHSFDPNIVKGEKSETEKIYMITEISDTLKNVHGSLFPLNPPQKPTKLKQMFSAPRGVQQNRRSNALYTSLISELWDIHPHVVESMKNEHCLFAMSNMKFVKVKSTLLLILDPHLMIDKSPGDQMKSCIISSRKKWLAANLILFPTSPQVMAAQIVRNHARVFSEDKNTNAVDYQSGVKRLTTNCHGLARALTPEGNMPMLNHLEMSWYRIRKRVLEDIREAANKEEYEERNELSDGPDMEDEGDEEETMDGRMEEEEEEEEGEREEGGMRRVMGGETVHRVVPSLSMQHIQLRQENMGRASIMTTQMGGHVMNGISPRGSHISIPPITPTSSNGRPVKMTLRKDENQKLFIHSSPSTSSTAIVPVEKEQSQSISHAPPSTATPIEQQKQTTVVPSSTVTWTVQQPPTQAPPSGTVENVEEKEKEEGEVSRQGHPMETVHTSRPISNGSTFGGGITHSPIFSSSPQLLWRGPDGVIQAIPSIVYVEHTENGLRQVNPPTPPPQIAMAIPSMGGGSARSRLNKRRLSRESFVKRKRMEYGDSGDASSEDSDKEVKKLCLEKKKMVEERRRKREERKTNARKEEEMVKKNEEEERKNNFMKNEEKQKMEEDEERKKIEEEEERKKKEEEEERKKMEEEEMRKKEEENERQKKEENERIELERLRKEDELMKTRSMEEEEERRNDEDDDSGSEEQEVNWNVQF >PPA06522 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:236705:236942:-1 gene:PPA06522 transcript:PPA06522 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPVKHINTDLEFEEAMEAAGGKPAVVFFFDESVTGLLVAHHFELATHLCY >PPA06507 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:173174:173608:-1 gene:PPA06507 transcript:PPA06507 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQHPDRDITVDSITVSVYNGHIRVGGGEFIPSIDRKYPLIHSKGDITEITMLPKATLLEGEVGYKECSSNDSSHLGLRLYYSILVHTNNIFGNEMKGRIEYSQILLFM >PPA06564 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:420516:421708:1 gene:PPA06564 transcript:PPA06564 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVGNLERQKAILFTVIVQAILPLITYTPYLVFICSLILHGTETKNTTEPSEWYDQDYQLLILSWSPFINGVATILLLKPYRRGFFGMLPCIEIVTPSNRNQAAANLRALNSSSQSQSVSNTVYRTYEIATIDEDRSVFNSESGGNGLTDHSDNRVHLTAAKDLVAWKSMRNGNSGIHYGMII >PPA06474 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig145:34239:34789:-1 gene:PPA06474 transcript:PPA06474 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKCTIMDDPSGASSPKDAHDVPEWANWPLKPDGKLDMERLMPTTFLVCSKEYSKSRQDLAKSLPSLAPKRHSFETKSVPTISNLTLLELYDLAGEEVNHA >PPA06493 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:116234:117840:1 gene:PPA06493 transcript:PPA06493 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEELRASIPELSPANQVQLMHTRACAYVTMKDRRSAVKLLDKAKGMRASWAAGNGTKGDKWRSYWDEDRGISAIPHNELPLDAMNDLCDGGWLDVSTLPIHLRGIIDEGGKVIDQTDSATSLLDDTLSSHHSNSFLDLGQVQLPPTPDLSSIPNPMSHFNPNMNLGGPALMMPPMGGQFMNLGLPNGLPPFVPSPMREEQRIGCCGSPSF >PPA06477 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:46260:50665:-1 gene:PPA06477 transcript:PPA06477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sur-2 MNRFLSVLAKTIQKVEYKRARDYVMWTLLQNIKSLIPRSGDTFKESLQSISAIYSYLYDKGEGGEVMSSDCPLKMVRSLSAACLWIDLSGGTDSILPPSDFLARRIEFITKRNPNEVPENAMLAVIVNAYINDLRGQLQVLTQLLTDMRQQFHDPHVVLPSPAIVETAARLACMSENEKNVGSIFARVVEDAAKEGGFRSTNFLFIIIELMTFRCFNQLSSRSNVIIAAFQLLYHARPDNVSDPQTKKNMMNTLPLTKSHVLVDGTPPAPYSILNFPHDLAKALFVSYLRSCKLLGMEVPPELLKRINQCYYWSQSQTRYYNDKLEPNPRNFEDQESKAFAEYMAYVHSYLNSQPVPYQITAINSFVDYLAQEAKVDNMETFSAASCNALASMVITDEIIPIDRFFFTAAFTARSDESTLAVLALVRSIIRHPAYIDMTNQLTILPKMGSSDFIKKMNELKTRRRTSFPHSRVYDLQPSYYGHPLLKILSSVDSLLEKALQLNIPDEHFKELVDAFSPLYRFHPYPLTYSLSVMNLLYGHTDPRDSHLEIEKRRQILKMRAKAKLFVLSVVKFDHGQCPFSPHFISDKPLSTSEGDAMNVALTLTNNLVATFHIGHCPDDTLYADPRCATTFYTGDWRSSELSPQDLTIDQIIMETYEKAANRRRPTKVHTIIALSQAFWHHATVPILISFVSRFEEELERIVETEKDLFLALHILIPLLQRIADAKEKIHIDRCFRMVLLIYRLLKKIAVNEITKEDAICDLLYHFKYVFVGDSVKKDINEIIDSMSDSLKRKLKCCL >PPA06558 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig145:359147:361437:-1 gene:PPA06558 transcript:PPA06558 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNVMLRLENASVFHHGMVRRRKWKERDGKEMVRLKEKTAPLNAMIRLRPMGIHAIYHVIVRIMVRVDRRRANVCASQDGREKSVRKVHPLAVILVRECVSSVPVERLASNANNRVPLITGELVVPHRVRVEKEEIVMEGMENVYAMQDGMETKCPDGRWGINCTGECPPCHNGGVCSGRDGTCTCPTGFEGRLCDRVCAPGFWGLGCSNKCDCASDLKECSPTTGECACPAGLQGDRCEVPCEAGFYGPDCIAKCRCKGLSTASCHRVTGACQCHPGFNGEYCHSLCPEGLFGLRCSHECGFCGDDHLCDPALGCCHPDQIRCGQAALDYAAMTAAESKTGFGMILFVLLLVCLLLALVPLVLYYRRKYHKEVDPLEPIVTYSSKPNENGHQFENQMYDPDNQPPAYTSMHHYSDDRPEMLTEKYDHEHAIDPWKLGANSNNGKTMI >PPA06528 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:249615:249952:1 gene:PPA06528 transcript:PPA06528 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLVILLLFIPLSILASPPKGKDDKPKNCYTVATKCVPSEKTPTGILWKDCSEFCRKCRGKDTGECAKSDTSTCKGFYCK >PPA06465 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:2231:2911:1 gene:PPA06465 transcript:PPA06465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-snr-2 MTISKNNKMMQHLNFQMKVVLQDGRTFVGYFKAFDKHMNVLLSDCEEFRQIKPKAGRKTEGEEKRTLGFVLLRGEHIVSLTVCGPPPKDEDSGRLPKGGGLGGPGMAKPAGRGMPMPPMPGQGGPPPGLQGQVRGVGGPGMGMMMPGFGGPGGPPMGGMPPRPF >PPA06557 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig145:355739:356646:-1 gene:PPA06557 transcript:PPA06557 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTSKRVELEIWDTAGSEKFACMMPMYLRRSSGAFIVFDVSDRETFNDVSKWYGELERACNISELSVILVGNKMDLEEKRIVKEEEAREFAQDKSIMYVETSALQDKGIGEIMRMMANQLADRFESRRLSTQSKTENTSFDKYYFNHIYS >PPA06510 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:195797:198131:-1 gene:PPA06510 transcript:PPA06510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tre-1 MYETSKGVIRNLAYMVEHHGFVPNGGRVYYLIRSQPPLLTPMVYDYYMGTGDLDFVLEVLPILEKEYQFWMGHRATPFLDEDGNEKFPFFRYRATIKTPRPESYREDMELVREINNETEREMVWSQIASAAETGWDFSTRWFSQEGNDRHDMKSIRTWSVVPVDLNAFMCVNARIIASDFNKVLLYQNRYEKAKIQMREMHWNETDGVWYDYDIERKMHSNTYYVSNALPLYAKCYDDEDDTTPHRVHEYMEREGVFNFTKGLPTSLAMGSEQQWDKDNAWPPMIHMVIEGFRTTGDPKLMKVAEKMATSWLSVTYQSFIRTHAMFEKYNVSSTSEDSAGSGGEYEVQTGFGWTNGVILDLLDKYGDKMASSPSSIPFSMITVVAMIGLRYGVV >PPA06566 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:432134:438052:1 gene:PPA06566 transcript:PPA06566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-noah-1 MILHLIAATTIAIAAQAAKIDQVSSCESGSVPIFLLQHNATVGRQLRTIPSPSFGECSDHCAISNDCVGVEFHNSNCMVYEKGLNAPTPGSKILTKTCVKSDRVCSSPFHFDAFEQKILVGFAREVVPAESIQVPFPSSPPRPSPLILPSSQVRPYLLISSFDNPLPPSQECILNTEDRLDRPDLFVDEHDDTVIYMDNNCAGSQCYAPYITQYIAVEKKQLENELDRIINVDLESCQSLCTQRLSLTLNDFNCKSFMYNEANRTCILSDERSKPLGRADLVQADGFTYYEKKCFASPNTCRNSPSFVRVPQMILVGFAAFVMENVPSVTMCLDQCTNPPPETGDDFVCKSVMYYYNEQECILNSETRESKPDLFIPEGDDFQVDYFDVSCHLQKETCPEGTQLRSIRTLNAALPEGESELHVLKTESKSSVQQCMKKCFELSPEKCRSFNFEKASSTCHLLYLDGTNTLRPHVRLGTDLYDMHCLAVPKDCSVGKDDALFSRHLHTRQRGISSKEYKVVSLNSCLEVCARNPTCAGVNYNRRIGQCDVFDAIDGQADVNEHVDFYKNLCVVKESDTGVSSAANIPQPVHKNKQSGSVTNPDQKGEILGVKKVKPIIKEDLSPRRAPQSHTPVGPPVQVPKNAIQTICNYEGIKVQVKNGEAFSGVIFVKNKYDTCRVEVLQSNTATLTLGLPKDFGMRPISIGDESEEETETEKPATGGKAKEGLAPFEEFARHKREVETRDCGLIDLLNGTYKTTVVVQTNNLGIPGLVTSMDQLYEISCDYSSMLGGKVQAGYNMSVNGPEANLIQPRGKIELGNPVAMQMLAGDGKSPVVQAKLGDMMTLRWEIMAMDEELDFFVRECTAEPGTNAANEEKLQLIDGGCPTPAVAHKIIPGPVEVQSSAVKFTKMQAFRFDSSSTIRVTCQIEICQGDCTPVECALVEGQRQSFGRKKRDTNSIGLFETSRYKVPKMTQSTTSIVIVDPLQQISEPSISLAHRSQIEMNRETVEESEIADIALPGEMCMTKPMLLSVFGILLVLVLIQAILVGRFVVKRYFGKSSSIKFIYAIPFESRTYSENSSA >PPA06513 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:205298:205700:1 gene:PPA06513 transcript:PPA06513 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAKSAKSDSPKKSEKAATKASKKKDPNAPKRALSAYFIWMNENRAALTKPGMGVSEVAKAAGVEWKKLKDKTKWEKAAAEDKKRYEREMKAYKP >PPA06509 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig145:181312:184075:1 gene:PPA06509 transcript:PPA06509 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLLLFVVGSITYAIWKYYSFTSKYPAGPKPLPFIGNLHQVDFASKQFSSFANKFNGLYTLFTPIPTLEITDYALIKEAFIDHGEDYVDRMMLPGMEEVFNYCKNGGVINSSGDNWREQRRVSLSILRDFGMGKNVMEELNIDKKDNVNMRWPIQLMIANIINEVIFGYRYKYDECDDLINYVEGFNRMIKEIATNKLLPIAIAFPSIKHIPILGYYAIEIHAENVRKNNEYIVKNVKKALESYDAEGEPTNFVHAYKQRMGTNDYLDQDNLIATCADFFAAGQETTTTTLRWASLFLAKNQQAQDKLREEVDRVIGKERLPALADKNKELVERTIPFSLGKRACAGEGLARVELFLGLTATIQHFRILPIPGQPIDLTQQEQSIGIPIEQNLRLESVL >PPA06494 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig145:120064:120460:1 gene:PPA06494 transcript:PPA06494 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGRGGPGGHGGFMHSPMGGRGRGDWRGGSGRGGFMPRGGGGRGGWNGGGPPSMDRIIGGGGSFGEPRRNTRWNTDDATVSSTTMNDDNDRPRMNDSKDRHQTKIDNNSDDMEME >PPA06473 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig145:33179:34074:-1 gene:PPA06473 transcript:PPA06473 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKELRKVANEIHNKKTREESTVFLNKRANLAILGYFTRQDPRNQTAPLPIRPVKRQFKEFISGNRGAAREGGKECTSSTVSGWNDDDQSTVSPSEAKKIKMEPGFNLKNAIGKGREEVNFYCAVNANKILKKRVSK >PPA06478 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig145:50835:52000:-1 gene:PPA06478 transcript:PPA06478 gene_biotype:protein_coding transcript_biotype:protein_coding MMVCQLGTCDFTLKHPFDSGKLCKMKSDLDLLNYKGVRSFFKQLYEKMTAVPQEITPEQRALLTPVQELLLHIMKRDSNVIPALFVATEINNMTRQRALMFSVTRSYLFPIPAHPSFMTTSTLWKLDPSCMIMSHRLHLPFRIELYTPQTYALQMVIKQQKNRDAFLFQHMIRGAPRPDSEKMALDNII >PPA06552 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:332762:334684:1 gene:PPA06552 transcript:PPA06552 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGDKKKKTKKRTKKIKTANYALSLNSQTATNFDMEESSQLKLDLERELRLLNKEQEHEGKSRTKGSPNQKVNGVSKSWGRSGGGRKHKEMDNDPMFAMEMEVVRTKKLREQMNWDPANRKDKRKLHKKFGRTSAEGKSTVPLSQARMVSRGDRMIEQRVTMIGGQKVTVDLANPILHGADLMMNPGAIIHDLLESNLKTEERKEIDGDIFPSVKGCLSVSFASTLSICDIYNIRNGTGAANLVQLLGYMYYEPKVTEVDKCMSTHSVRFNQQKRKNIRRSQAKKRIE >PPA06550 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:326415:327945:-1 gene:PPA06550 transcript:PPA06550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mec-6 MGISENGSAGIEYVPELRLAFISNGLGGSDGEIGRILTYEFPEPKAEQDRSVKIHEAIPLKIQKSDSFRQDKFSPLGLSSFTSKGRVSLYVVNTHPERKCVEAFTFVKEKSLLQHRKSICDHKFNSLTDIAVVGADRFFVTNLAYFNNGWMQMAELSMQMNMGSILLFNGKTVEYAEKYSPSPSGIFYDTPRERLIVSSMINEVIQLYSVKRDLSIDHKLDISLLSSPGGLYVEQKSGDCKSV >PPA06529 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:251772:251975:-1 gene:PPA06529 transcript:PPA06529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ncx-4 MKVKNNREDIRSLPITRNRSRQIWMFPMRMVTPEKEDTHKWMKLYESSID >PPA06466 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:3244:5521:1 gene:PPA06466 transcript:PPA06466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-210 MPPKKNVVEERPPLIGRLGTNLKMGILGLPNVGKSTFFNVLTKSAIPAENFPFCTIDPNEARVLVADTRFDWLVEHYKPASKVPAFLNVVDIAGLVKGASEGQGLGNAFLSHVSACDALFHLCRAFDDEDVIHVEGDVNPTRDLDIIANELVQKDLQYLEGAVKKLEALVVRANDKTKKVEYDCLKKVQTMLTEEKKPLRLEHWNEKEIEALNKHLLLTSKPIVYLVNLTEKDYIRKKNKWLPKIKEWIDKNDPGAVLIPFSGVFESKLIDMPEDERAKFLKESETTSNLDKIVHTGYKALQLEYFFTAGADEVKAWTIQKGTKAPQAAGRIHTDFEKGFIMAEVFKVADLIELGDETKVKAGGKYRQQGKTYVVEDGDVILFKFNAGAGLTAGKKK >PPA06485 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:82549:82852:-1 gene:PPA06485 transcript:PPA06485 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-prp-4 MRRCAYTMAAHTNAVSRVRVDPGGQYVVTSSFDCSLKIWSTESWQPLRHLQGHETKVMGVDISPDSKWIVSAAFDRTFKLWTVSDY >PPA06523 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:237607:239119:-1 gene:PPA06523 transcript:PPA06523 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQANYSHIANSLQVELHAVDTPNPLIPYTKISAINKVIIASKEKKGKDTKGPGSTPSAEALPVTAKTQEKTSEEKKDVFAKPENQRAKVAVNDPHYQTLANLDNADAFGNDKKSDKNTTASAPKKENKPVEKKKEKDPPEDEQKYQTLAAVEANDKKDQEEKAAAAAAAAAATAAADAKKGFQAPQVVKKAESNDPQYQTLVNLENADAFGPQKPVFKTPTKVSKAEAKDPQYQTLAGLDDNLFKEEEKAGDGKKKDKEEKKKSEKDKKDEKNKEKEKKKSDKEKGKEISKEGSKEAKKESIDNIGDDIFKKSQRSEIKNEMKDEKAAGSKQARRI >PPA06573 pep:known supercontig:P_pacificus-5.0:Ppa_Contig145:470937:475129:-1 gene:PPA06573 transcript:PPA06573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-bub-1 MIDILFVCIVYSKCSHGNSYSNVSWGRMTSHRKVFEDVVSNALKMPDDNQAINFACTQLECMYQFTTSHSEVKAMCSHAVKKFYEDRRFRGNDHVLRIFQVLIRVSQNMGASGIYEKIEQAGYFKNSLKFHVLWAEAEGDKKNQKKFKEIWTLARQRIPPSKSNVDSAFREVATNKFPEICSWFEDEDPEKTLNIFAPSTKNRRRSSVAFLERAAVPSVPIPPIPSMKTIQFMSKSNPLRAVIVDRDDGYRGISAEEYRVAKTDDLADMDITVMHPIEEEPEMDMETEKEENIESKKMRIYSPVRQPLHPKQDMKAPSPLVAHTSFTSNFYNKAMNAFSETMKVEEVVHSPMDKPSDATYDVQKTPSSTSCTPSASKESFAIFIDEDEEKEDEKVMPPPPPIRPRGLSARPSVTPSAPLHPPPPATFDDDPFDDNEPTVAGFNRGKQDRFLTSTPAIRGFDMDNEEPNFWMDKGSDGGMELDLNLSAIDKDGEGEKNAPSTTTTSSAGSAFARKRKSFGGKEEREGKAGGMVHSGGVVGDKARSSIGPKGGAPNQEIDNAVLSKEMNCMKIGGDEKINPWDRDIRGAIMSSCSIPVNRHDLAGTIKQPKNGQKMELGGEIYSLDDMIGEGGFAKVFLCTNEKKELLAMKYETPSCEWEVYILEALRKRVRNETVMESLMQVTDAFIYKNCSLILSEYLPMGTLLQSANNNIDPHWSIVVYLGMQMANVLKDVHAANIIHGDAKPDNWMIMEKINEDASIAELLESRNLRLIDWGRAIDMKALGGRSLYGRAGTENFDCIEMMEDRDVGPKGKIRC >PPA06579 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1450:124:1707:1 gene:PPA06579 transcript:PPA06579 gene_biotype:protein_coding transcript_biotype:protein_coding IPRYLSSRSAGGHREHREWKEWGKKVGITDTTMPALQGHRFNIQFLIAARIFMQREFILKFIEYAPKTMEDLDRLLRDELVLVQLQILGLLDQKITGSLWRIAENLGVIEGGQYHRDLLIFVDACIGNPSLFFSGECPTISIPPSFELFREEGILMRSLVDSSPSPLALDVAVRVLRSCASYLKDVLSQTMAGGIYSNPTDEVVESAQSAPATNRAVESAFAYMDYLYRRSPNTRFFRYDAMLQWLDGKSTRERTLILEKALKGRNEIVREEQKRADQLGEAIVRKMEAEKVDYERKVLRSDVRKSKITQELGTTGLLYTESMIDCAIHGLRQSDAINLIKGQLRYRKKVLGQVATHRMSYRFSEGGVQLSLDTLVSHLKELVGADSTSGALEEDDFRSFYLGRTCELTCDITLSDGNVIDGECTIDNIISDGSGEVTIHLNGSSGIFIIS >PPA06580 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1453:651:1362:-1 gene:PPA06580 transcript:PPA06580 gene_biotype:protein_coding transcript_biotype:protein_coding ITRRRPTPVENIYWLKTRSTYILSISTTANNTFTAGPEGLTEAMFIGRRFHPLQYGLSVERGFDIGMQIMPVNTFSYKVRTPLMCTNATCAGSKDGVPLTSLIEVDQLASNFEITFCPNAE >PPA06582 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1455:1143:1738:1 gene:PPA06582 transcript:PPA06582 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSDLLVHVGKDNEHLLRYWDELTDSEKEIFSHQLMSLNFSSCPSAFSSSSLVVAPHPSNLSPVSDDHHIVKKNLSNEEEKRLRNLGMEAISRGEVAAIVMAGGQATRLGMYSSK >PPA06581 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1455:192:716:1 gene:PPA06581 transcript:PPA06581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pfd-1 MQAFRELATKVSDAKQRIAQGEVKKQTSLRNEKSSRLAASQLKELGDRPTYHGVGRMFLLTSSSSEIERHNKEADDFKQTALGVDKQREFLEKSMEESERNLREMVSQKRSNDS >PPA06583 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1457:531:1642:-1 gene:PPA06583 transcript:PPA06583 gene_biotype:protein_coding transcript_biotype:protein_coding SRRKNIRDQTDEQRAVLCVALSPSSRLPSRQRSACPRTSDTRRPVLCVALTKHEASIKSAKQQGNVQADVRRGGRHGRDGQVKSFESKLGIAYTSAKMTASTSIDAGDSMSGS >PPA06584 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1459:15:662:-1 gene:PPA06584 transcript:PPA06584 gene_biotype:protein_coding transcript_biotype:protein_coding TESCYRSCLQEQTIKKCGCYDPTYAYLGGTATKSCFGDGFDNSTWEMSSKNLDCIEELYNSDATDFNMVQDCTD >PPA06625 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:143101:145956:-1 gene:PPA06625 transcript:PPA06625 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQENRSFHVVDPSGREFVRRYGADLPVFVYIHGGFYEAESSAALGLSVSAYICTYKNLAERVASQGIIVVTLNYRLGPFGFFSLGDSSAPGNMGLWDQTLALRFARELLPSFGGDPSRITIGGHSAGSASASALQFSLHSNKLFAQSILLSGSSLAEFAQSENVVQESSKLVRSFDCPLSSTEQALDCLRKRTPDEIYAAVDKIGTSRSHPNVVVYNPRIDGDFFPRPTPELARTAPKKRVLAGVTDQESALFTMNEKLTWLAAIALTENEQKTFSRMVLLKYIETVVEFKASAPACRKLLVDFYAGQDEAAKNSTFYLQRYTDLNSDLQFFIPLYQEVNLNIAHDWPTFLYILQHNAKSFPRENNAVQGTYHGDELEHFTDSFSLFPLKKGEHDDHVFGKNFASSMVNFIKTGDPSSTSFSWPQVTKEHPYQYASIAVNMSFKWVKVLRQKRIAPMPLNYGLKPFLKQSQPNS >PPA06587 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:7963:8322:-1 gene:PPA06587 transcript:PPA06587 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFMLVVTILADSLAKADNLPGLGWFVLINIGIVCAAAVVIIVVDNLRSLAITHSRRTKEEKKKNSGIHFADFLVPKRNFRIARIFLPVLDRNTRPPGPPPISP >PPA06665 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:303483:304339:-1 gene:PPA06665 transcript:PPA06665 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKEQFLCSFALTTTVGCSVGLFVVAANIRATRKLKADNEGYSVSRTFQIRENIAIIEALAKVGSRIMVLNVTVFTLTLCHLFLTVLNQRMIARALCDLLISLVVMNETEQYFRMLAEQFNGSAG >PPA06670 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:312983:315768:-1 gene:PPA06670 transcript:PPA06670 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDNPYLVLLSGKFHQTESGAPHSLTSMMNNAPLFLFLTHECFMGMCPDDRSCITQDGYETCCLNEHIVRLDETSDLTTTAATGSTPEPFTGTTTEPTAVTTPEPNTTPPPGTTPEGFTGTTTEPTAVTTPEPNTTPPPGTTPEGFTGTTTEATTVTTPEPNTTPSPGTTPEGFTGTTTEPTAVTTPEPNTTPPPGTTPEGFTGTTTEATTVTTPEPNTTPPPGTTPEGFTGSTTEPTTAIIFEASSSTDRFCACDVDEFGMAKEWAEQMWIDIVIILDTSAAMGQSAVTEIHYFFNMSSDANLSSIKAEPFLEFNVVDPARIPAADQFKQDGGTIIVNDFVQEGTVAQPQLANLASPGYFFEDLTLDYSQNLVVFCGCQYTGLRCFKPATEPASFDRALLNCANESMEMATIHNKNENNTATRIGPHTSQNSRVRSNI >PPA06605 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:69147:71284:1 gene:PPA06605 transcript:PPA06605 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTFELGETTYCQFWTVVASYSTCALLFGSIALVCGFVIIVAICISCCFKDKKVETTSLMVGSMMKEIFFGYMTSLYESEKKSTLLFFSVQEGLLSFAIAYLHLIIIVLRYNIRVMSKLKQGAVINRYSVSQTFQIKENISVLTSYASIAIVQILMTTPAFAFFSAFLIVPSGIGYDELRFFCVAINTQEAGDAYFKMLANEWR >PPA06602 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:50591:50866:1 gene:PPA06602 transcript:PPA06602 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKASSGSSDFLLILSSSSTLVHIIVNFAMFSSIAKLTSLPEDCGGLTKRSVTQLFGK >PPA06652 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:238304:239789:1 gene:PPA06652 transcript:PPA06652 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPAYTIIDEGTDLLWAQFQQIVKELNWTTDDNTILDLLPNHSTTRCVFAEKNEDGTFLGCVVWNEFDGMGYIVPSLRKCGLGSILWARALDQIRKAGLIISLRSVPDMVSRYAANDTPVEISRMRKVYLPVSEMKEFCGKYPGASGTIKTTSQLTAQEKEDLLRFDREVTGKDRSALLVRFLAHPLMEGAVLLNEEGQIVAWAGITTTGFEKDNLFKLAPVYASSLAEFSKLTLALIPFCERSSVDARILVQILTGTVSESELETAIGHPKSADLVTLTSSPIENKLNGSKCYIPHNHNLHLDG >PPA06603 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:55215:57544:-1 gene:PPA06603 transcript:PPA06603 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDSTGSSQLSNYESWFNSIPVTASVQLLDFIPNKGLIYLSLLMTVNRLAVFVYPSWRRVFDRLGTIIVPILSCWACIITMCTMSVLVTPTKRFNKEILRFENNGAPLFDAPAAFHVMQGLDYIVPFIIAGMYVRIYQSIRARRGELTTDSLSEDRLSEDRKILTQALVIAVFLELYSLTTLNGSSSWTNSVHGLIYLSLLMTLNRLAVFVYPKWRRIFDKYSLHPYEAVCERLRQIRRELAQLKSVDSLKLSGLRPRWRGHVENGFCGISRPNKTLKLSKSVSRGRI >PPA06667 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:306954:308230:-1 gene:PPA06667 transcript:PPA06667 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNARVDQEAQNVLFNFMLVPFVYTEYGGGYCIGIAAYTIFFFTAFLAVPILMFISRSIFHVSDDILIPTTLLLSLSSFVHSAVLIASTPAFMKHIRELLFGEASVEKIASRSPRSTVVR >PPA06645 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:221683:223022:-1 gene:PPA06645 transcript:PPA06645 gene_biotype:protein_coding transcript_biotype:protein_coding MVARGISLHVPSRFLKAMARFEQRLNEEYPLKERVLSKMLKVDRLVPNVT >PPA06657 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:250550:251511:-1 gene:PPA06657 transcript:PPA06657 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSWEVGVGKKVPYKNLIPNDARLFVEKSGGALSDDAFWVKMGDPHYIEKEEEHEEEEDEDAQCVSKKEKKCVKRPNLESSLYRCPIESCSQEFLSERNLEQHLDVGRHLRRPERMNIQDISLNRYASFLEIVAPPKIAGVYAGFKRTKLNKIARAKASGSRGKRDAHPEPEPDEVNDLLANDQYEGDPELEYEAEPLFDVTDLMRIALTREHNNLWSKEGEKGGTEDGKGEAMEE >PPA06661 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:266902:267834:1 gene:PPA06661 transcript:PPA06661 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGWLTFLLLNCSKHETATTVAGNDEVCDERCRQEVPLEKVLRTGNVDAVRKTFDRLKREVEESVSNSTSFINTLGQLLGVKGHSIFAWISRAFELIRNLVLGLLGMPGY >PPA06611 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:98145:98905:-1 gene:PPA06611 transcript:PPA06611 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFDRKVVIVTGSSAGIGRETARRFAENGAKVTITGRNVKALQVVGDIRSKETQEAIVNETMKKFGKIDVLVNNAGAIIPNAEGKRGLDVPVEELRAVMDLNLDSVVAITQLATPHLEKTKGAICRLEN >PPA06590 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:20817:21515:1 gene:PPA06590 transcript:PPA06590 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGVIPSEDLRVGLVASAQRVFGTNLSDFHAIGFRISDKIRSKLVSLGASTSKRTKQMQLRFFLTQIAQALVYLGFVRKTAIKQTQEQPRMSFSLSKVKY >PPA06614 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:101501:103421:1 gene:PPA06614 transcript:PPA06614 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLFALLLLSVCALTSTVVSSTVRLESRPADVFTGCDLSDGVASFASDLDVDVVFIVDVSRSMDSDRLLQSSSLVDSIVDSLSLNPEFNRWNSSDSNSTYWNMVDFALSSWNSTDNSTFNREFFELLGASLAFGWNNTDNNGTSTREFLETFAFNAVNAWNNYTDNNSTFNRDFFETFALGWNNTDNNGTSTREFLETFAFNAVNAWNNYTDNNSTFNRDFFETFALGWNNTDNNGTSTREFLETFAFNAVNAWNNYTDNNSTFGRNFFEMFTFPSSNHTGNYSRVGLIAVGDSAEIIFDFSSPFNNSTNLTRSTASHANLQSGIAEALDMFARAPERSARQIIYVISATPAAPGHPRFGRSKLHSTATMFKRNGGVVVVNDVAAVPKPGLHNLASPGFYKVGLKEDVNVELKNICEANSS >PPA06666 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:305565:306397:-1 gene:PPA06666 transcript:PPA06666 gene_biotype:protein_coding transcript_biotype:protein_coding MFCVNIVPTRYAVNSVQFESLLKQLCPTCDWIERHRNFAVLSAYSARVDGVIVVGTVLLYGAFTFLCAVHIMNIMLVHRSNSTLRGVRITVTHRQTRQSLVQVFVFGAFLAIPILMIMSLPLLGFSDGEIVLF >PPA06624 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:135980:136326:-1 gene:PPA06624 transcript:PPA06624 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSHLLVVLLSFLAVSFASVGVTEENVMRVKRHFHGGRGGSCGGRPSTSAPASGTTTTARERVPGF >PPA06659 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:260604:262780:1 gene:PPA06659 transcript:PPA06659 gene_biotype:protein_coding transcript_biotype:protein_coding MIWVLLFYQTFVLTAFHYVYRFVMLCNPPWLSWIQRNPWRNWIAIAVIADSCYVGAIIFDVFKGFYPIDLFRAQFAPVMKGEYRIDLYAPNHPGFFGISPYNAPSVEVDSRNIRASNLSISNLTENDNAFALNKTNGIEERQKTQAEDPAAAMSAETVFNIIERVVVVVKHAVLEILGMFGLN >PPA06650 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:232442:233098:1 gene:PPA06650 transcript:PPA06650 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKLSMDQEFATKIRKFFALGPIGTVKHLKGLLAVLADWVMPDFNLWVDVFGNSEFMSNSLITNQMAKWICGIKYGDARCDDFLFLIAGPDSDQFNKVSLSFCYINLSPVVTRLITHVA >PPA06585 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:928:3958:-1 gene:PPA06585 transcript:PPA06585 gene_biotype:protein_coding transcript_biotype:protein_coding MPADQTVDKEDASFNTFFAETRAGKHVPRAVFVDLEPTVVNEVRTGTYKNLFHPEELITGKEDAANCFARGHYTIGKEVVGVCCDRLRRLTERCSALQGFLIFRSGFAALVMEQLSMDYGKKAKLEFCVYPAPQRISLKLKTISAMVEPYNSILTTHSTLEHSDCSFLMDNEAVFDIVKSKLGVTSPSYTNLNRVLAQVVSSITASLRFDGALNVDLTEFQAFLTKETNLVPYPRIHFPLTTYSPIISGEKSYHEQHSVSEITNACFERGSQLVKCDPHSGKYMACCLLYRGDVVPRDINSSITMIKSKRAIQFVDWCPTGFKVGINYQPPTVVPGGDLAKLQRAVCMLSNTTAIAETWSRLDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDMAALEKDYEEVGTDSCVFEVQSAATQLDIEGAIDKCESNDEFCARIAYNGIYAKGCSKTAQKITGVGVPIIITCVEENCNADGSLCCCKGDKCNANL >PPA06608 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:86848:87809:1 gene:PPA06608 transcript:PPA06608 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLRPKSCADFFKCGTTKDRPYSCRQGDGKCTMNPNDRHNCRGCRFKKCLANGMKVDSKSPNSVTDPVPVDPTPAGFEFCQLPATVVSHLHCSLAICSLGITSCRRPAQLPGVSISKM >PPA06637 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:185780:188065:1 gene:PPA06637 transcript:PPA06637 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTSSSPSSNGDTENMQAQIPHCKERCLVCDDKSTGYHYGTPSCNGCKSFFRRTVLEQRRFFCENNDNCTILPKIRKEEKRRQCRACRFRKCVEVGMKPEGIVVEEEEAREALQVAIRNQSALQQTKITSIDEHCNQFIHNLTYTEFMHHTYRRSDSNPYPVDPQTITDVLQGFTPLGQPLKEHAQYRIPLPRCEGPLPPTLKFWFYADFVAAIEWMKTLEFFRALDQSDQRELVIFSGWQIANITSCETISEYARSILLQEREKLSKILFNHIMDYHGRIRGPSRFGEVIALESTLLHQALKSKHLHSLLAALQLRPMKIVLMDEICEIKHLV >PPA06644 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:219930:220832:1 gene:PPA06644 transcript:PPA06644 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFEYEKDGVRMWRSLEVGVGKKVPYKNLIPNDARLFVERSGGALSDDAFWVKMGDPHYIEKEEEHEEEEDEDAQCVSKKEKKCVKRPKLESSLYRCPIESCSQEFLSERNPVKMKQLEVSLTILNAMESPLPMGWALSERKKSERFPDSVKSFLKKLYDEGERTGVKIDAREAKHQIAGVYAGFKRTKLNKIARAKASGSRGKRDAHPEPEPDEVNDLLANDQYEGDSELEYETEPLFDVTDLMRIALTREHNNLWSKEGEKGGTEDGKGEAMEE >PPA06674 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:332211:333475:1 gene:PPA06674 transcript:PPA06674 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVVITGANRGIGLGLVGEILKNDEVGKVFATTRSFAKSADLAMISDPRLTIVEMDGDSDESVKRAATQVAEIVGTSGVDILVNNAGVLIGVDYNNPIKREDVAVHFNVNCIATMVVTQAFRDLLKLAAKKNEHAQVVNISSDLGSIADSHGSTPRGFTPYSMSKKVSIQAALNMFTRNVSIDWKDDGIRCTSIHPGWVQTDMGGQEAELTVEESTSNIARTIFKLDESTNGLFYNWKFDAMKW >PPA06620 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:123469:124558:-1 gene:PPA06620 transcript:PPA06620 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLPRMSIIDNKYRETKCTVDSIRSAKQMRPRTDDVFICTYPKSGTTWLQHIVHQLLDKAEYESGGEANALFFVSPMLERFGAEYAETLPSPRILKSHLAYDEIPKGGGAKYIYACRNPKDCLNSYYHHYTNHKILDFERGEFDVFFELFMSGRVASGDYFDHLSSWLQGIQNGHENILLLKYEDMVADLRASVIQIGSFLGGKAAELVQNEEHLMRVSLWIAVDCLWKKCTN >PPA06609 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:88940:90279:1 gene:PPA06609 transcript:PPA06609 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYTTYFTNSDVDEVFETCDDDVDKPKLIGEWKKAVTQLSRMVRYHFEIVKPTDHEFAALIGLAFWNNVYSDELMTNTANKVRSDIIRELHVYYKEQGIADVAIRLGQLFCLLVNSEECSVKVDEDYEVFRLMNMFEHSLSV >PPA06668 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:309302:310271:1 gene:PPA06668 transcript:PPA06668 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKNKLCYQLDERYAQFAFLLIYPLPILLASKLLIWRPYFGRIEGEVGPIYIFFTPDMGAFQKTFIQFFPLSFAAFIAMKRTSRTLSHCELDCQPSINCISFLRTIE >PPA06604 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:58064:58610:-1 gene:PPA06604 transcript:PPA06604 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLKRGAEINKYSVSRTYQIEENISVLTSASRIARPIVAVCLPPFIFFPVFVLFPPNIGYDGLRFFCVSIYDLWISMQCKFRRYLITAKSRADTYFVLLSNDLKR >PPA06660 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:263274:263909:1 gene:PPA06660 transcript:PPA06660 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIIASTVYAASSNAETIKVGAVADEFHKISNELKSSKYAVLVDVTWCSQFWDFSACTEITLESRENSCMH >PPA06655 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:244223:245491:-1 gene:PPA06655 transcript:PPA06655 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDAIAPPPLLTVPLRTSRPANLIHQSQFSFTTGSQSGNDNSSMPPSPSFSALDTMVLSMR >PPA06613 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:99775:100698:-1 gene:PPA06613 transcript:PPA06613 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNIRRGYIAMHISFMTYELIFFFLVRIYALMPWSGLYCEGPLCRLGLPRQVILLTIMTLNVVGFTVFGREPDNADSILQEPEIAYYASRGKVLLFGGPGDPQWRV >PPA06632 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:163330:164179:-1 gene:PPA06632 transcript:PPA06632 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFSVDELSKYDATATVDKVFALTGQTGVYWVGHSQGTIVGFMLLSGIPEYNGKEIPTR >PPA06651 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:233834:235136:-1 gene:PPA06651 transcript:PPA06651 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPSLLPLRRLNDSLPEGKKWFVGYTEDKWQQMGVKFFNVHNTEVAAYDFLEQFDGLTVPKKYHGELVKNGTARLCLGYVKNSRMMNSYEKHTVEQVRQCAHIGVGVEDLHRIAISALTTEDRRSSMPMLVAEMYKSMVENLDGVKPPYSLEMLLLLSDILYPHCALFYTSVFIATIEASLR >PPA06630 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:159208:160243:-1 gene:PPA06630 transcript:PPA06630 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIGGGASPYHNVGAGAQPNVMYPSGEYVEVGGGAAPQHHGIAIGGCYRPQQSADHPPPIGSCGGIGDGVALQPHGGAIGGGDAPNVLHYHGGDPCGGGAHVGGGAHPSAPPLYLQEQVLHPSGCVGEGAAPCQHGGAGIGGDAAPNVLHYGGQHHGHH >PPA06621 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:127663:130325:1 gene:PPA06621 transcript:PPA06621 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVVDHLQAKREYTLEEKFQACVDIIHALPKTDEFEGPAPVTYVAMLAMYGLYKQVTLGPNRTRTLGLELDWNEMLTKYNHYYEDLGFLRENFRIIDRELVKEDGTQVRRARNGPTDLERLCAGPYTIQEKFQACVDIIRSMPKSAYMDDIKSVLTTGEIGPMPTNFAEMFTMYALYKQATIGQCNTNKPFWNIVERYKWEAWFRLGETNKEQAMAGYVVAVLEKIDWCALNFDWDEMLEEYADDYETLEPILREKFRILDRELIRPDGTRVKPEPFHNRMVGILSFNFDVEKARRYIGDVPRELEQLTPNDPGSDGEYCDVHEDMSRLTFLLTGRPRSGQQVNEEFSGKDGHRTTYAQNCAHHAQFTHGKQTSLAYEANQEIGEMISPWECLEYHGGRSSSSSFGPSLLIG >PPA06631 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:162132:162592:-1 gene:PPA06631 transcript:PPA06631 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRKFEHMDHNPLQNIQRYGQATPVPYNTSNVQVPVHFFSGTSDWMATVDDVDMITTKMLRKKISMTTTIIDGYNHVDFAAAPDIAKLVFNPITELVRSQEEMCTN >PPA06622 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:131152:131627:1 gene:PPA06622 transcript:PPA06622 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVRIVQDHEGFAMLFVFIGPYSTISQRVCVLCQSIHMNLVQQSTVVLLLSFAFRLYVLNEDAFTSRTLLKPIHIWAICAISLVLMAIPSCAYYLELVVVPSEVK >PPA06586 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:4787:7385:-1 gene:PPA06586 transcript:PPA06586 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTVNYRWVDPRFRWEPSYYDGITELAAKSSAVWQPDVYPCESQTVETILPEANSARIRYTGEVMLDIFQIVDFNCPMNFDAFPFDVQHCVMCFALEDFSDSSSYSFVDVSPAKVDLLVRFTFSLSRRPFFWVALIIVPTCLICIVALVGIFFAGEERNIEIAASIGLTTMTSLMLVVTILADSLAKADNLPGLGWFVLIDIGIVCVAVIAALILDHLRSLAVSISRKKGKRAHCAGFLASKRSAAAPLDLWADWVRADVPDGRLCKSQPEGRLVPYGA >PPA06623 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:133042:133655:1 gene:PPA06623 transcript:PPA06623 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGFDRLNIFVIWTIDLLAIVANLLLIAAILFRTPKVLRTYAVFLLNNAFIDLVSATASALGTVRTMQDHEGSATIFVFVGPCTLVSEELCKMCQSLHINLVQHSTFVLLLSFAYRLYILGGDVFAGRHLKKFHIWIACLISLALISIPTVS >PPA06626 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:147250:148324:1 gene:PPA06626 transcript:PPA06626 gene_biotype:protein_coding transcript_biotype:protein_coding MENFAMMITYAKLTDVNERSNEFSIVMGMMLPSSSSISFLSRDWDQVAKIFPNGDVRTIRQAEITYSCAFDTSNFPFDVQSCALCFTLNGYDVDDFTFNATLDNGALAQDMSEWRVHISSTTSSFNYCANKLCQNILHYTITLARNPQFWIGLVIIPVSCCS >PPA06600 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:47528:47837:-1 gene:PPA06600 transcript:PPA06600 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIGPPVSNSTVVVNATLAEANAWYNTKYALAARSIFNFLPSKVLLIDDFKKRAALPDFAHDLESTCRIRSSVTANTVQQVR >PPA06636 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:182517:185074:1 gene:PPA06636 transcript:PPA06636 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVPFLLVSLIFLIHRYYSARNRYWSDRGVPGPEPELLFGNLRAIWAYDRPRALVLKEWTKQFGKVYGYLSGQRPMWVISDLSMVNEIFIKRFDNFYAHANTELQGEDTPNSHMAEARGAHWKRLRTLSADAFTNKAMRAILPTIKTSAREIVAHVEKQSGAEIDTQRYLREYTMDIICKSVLGMDNCKMFENKMVVWSSEFFLKSGPLSYWVFSLASLFPALRPVWKISFVASSLFIDVPLLPLIRDIRKIVQERKKRKGKNKLSIDEIVANCKLFLLAGYDTTSITVSRVIHFLANNPNIQNRLMEEVDDVIGNEDYDLEDIGNLSYMDAVIKETLRHHPLGSGFTTRECTEACEIGGYRFEEGDSIIADTWSLQMDEEIWGDDEEEFRPERWLEDATVDRAAFLAFGGGPRICIGMKQALIESKVVLIELMKKFTIEATENTNPLKMVGTFLVAFEHVNVSMKKR >PPA06669 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:310610:311035:1 gene:PPA06669 transcript:PPA06669 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYVFILLFLASVITFSAAEEFKEETSMRAKRQLLGTNNPITGWSLWWLM >PPA06627 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:148579:149151:1 gene:PPA06627 transcript:PPA06627 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMMVVVGILNDSLSKIEYIPCLGACTQFENGCVENGCDKETGLFVLIQIAVTSVAVITVLLTDKLRRLLSSESRMKRHDSSRAWRFIQSITRNEHILRNFLFTMENN >PPA06658 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:258140:259862:1 gene:PPA06658 transcript:PPA06658 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSAAACVSGILGSIICVNFARAICSLGTVFAGGIYPLDVEIVLTVIPSSRRAAALSIMNILMCITGDGPAPFIAGLISDCFLGGGTSSTDQFEALRKTLLICQGIASTSFIFAFINAVIFPKEIPRVQDNRT >PPA06594 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:33188:33580:1 gene:PPA06594 transcript:PPA06594 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKLVLVLLLLAIVSVSVVDAQWWGGWGWGRPRLWGWRGWGWGHRHHHHHHHHHHHHGWGWGK >PPA06601 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:49090:49561:-1 gene:PPA06601 transcript:PPA06601 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVDWGIGSIGHSRSNSDTGNRFYQMDNCWMLGFDFVLLYTECISQTDAAIQSRQS >PPA06610 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:90665:91805:1 gene:PPA06610 transcript:PPA06610 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGSRMKPDESPELGADAPADYSERPKPTIGSCIATLAVTIGCVAVCNVFSILLIVLGALNVDNCPEQPMIPVYLIVCGAVSIVASIFRLICDLFMTNLGREKAKDEPIVIRIINGVYTLFGLICGMVWTFGASPTYEPGLANYCDYLTYIVAYVSFVIMIVLLVASCCCCAFALAMAFCTKYTPVSQRDIATRTA >PPA06662 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:295157:298205:-1 gene:PPA06662 transcript:PPA06662 gene_biotype:protein_coding transcript_biotype:protein_coding METSVFLSPSEQDAILLGQRIVFGISSILNILSLICLLRETPPHQATVRNYLVLIQCFLVAADVYLNILFEPIPLFPLAAAFCKGLLCDRGIHMAYLTPTLRAFLLLDTDIRWITERSSSYIVMAILPLFISVLIGFLAVLVVTPLFLLSLFVHMFRLLHSLIELESPSLRLRVIEVDRTTLIAGLLFYLVEAGVVAWRLHFSISIAMIVVAIGLFVRLFMKSEPGVSETRKKLSRFFTRCSSVETLHMHKINRETLEVIRKALKDVPIKNMVIYDNYCDQHLREVIVNMARAHNIEEIVLCTKRCQAKRLRAFFLAATQTAKRMDIYERANVKSNTIFGYERQIWEKKEQKMDDESFSSTVMNGQQLQNGQGTQLLRLRINA >PPA06612 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:99365:99717:-1 gene:PPA06612 transcript:PPA06612 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLWVDIRSFNDISFTLNSTQFCAIFLLKNTTNRQFLMDLFWSIRGLVTNSKVEPAVMKSPVRRHTRQMSFSMTVM >PPA06664 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:301391:303451:1 gene:PPA06664 transcript:PPA06664 gene_biotype:protein_coding transcript_biotype:protein_coding MDASMPDGTKKAKIVRVSPAEGANHMNTHFMEKDATPVDIFDKDLYTYSDMVENAYHNSVSFCRQCRKLLTTPGLVERLKNEKYDALITEAFDNCGVGLCNLISPRALIPVSSTMFFDPREFGVFHSLITESSALVDARLHSNLLSRINSIYMRFVMWSFYSTQEIRMKRMFDELYPGTPSLSHLISNAAVAFSNTDPLTDFARPTISKIIPIGGLTVANPKPLDKYWSDILSLRPQTVLVSFGSIAKSVFMSPARKYALLNVSKTTSDQVYNAAALAHIGVAQVFNKLDMTNADKVRGAIEEMLGNSEYKETALRIRDQLEARPTSPVENLDIAITYGLDQN >PPA06635 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:179970:181128:1 gene:PPA06635 transcript:PPA06635 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLPLLIGILSLASVIEAQCAASDSPTCPTWVANGFCSNPFYAKSALQKFCPKACLNSGCPSTCTTPLAKGFVSIGIKDCGVGYEVDSTGTKCCPIVDYMNPIWNYVPGICAVAVQDAWCDNGACRSGFTCFKKAGICCPSA >PPA06640 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:198373:199256:1 gene:PPA06640 transcript:PPA06640 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLCSVTKHRQKGVKVEIVNEDKQMIMRNPAVPEQVIDRVILNLNLIEDAFDRLRTTYLGVYDLGWKEKIIKTTGNLGTSLM >PPA06663 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:300244:300704:1 gene:PPA06663 transcript:PPA06663 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHLFVLAALLSVSLACIGGGGSRCCSSPAPSACGGAPPCGGGPSGFMGSSSYPQSGGYAQAPQYSAPIGGGSYPSAGGAYALAPGK >PPA06589 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:17789:19509:1 gene:PPA06589 transcript:PPA06589 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPWIEIARVLIPLEGAAGMVLNLVLLYYLARTNIGQAARLYYYSCIVTAILAFYTAFGIFITVDLIPAPSILQWLALSNIERGNRTKMLFAYSVPVAFNVLAWARIDALDLALYDVIPSFLVSYALFALSAFKVFLPFVLTSIPLGAMCIASIMGAELHNWPVLVAIMMWPMPMGTALLTLGFVRKAETNRTTPLASQFIIFAC >PPA06648 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:228303:230708:1 gene:PPA06648 transcript:PPA06648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase [Source:UniProtKB/TrEMBL;Acc:H3EAA4] MLVKTLVCLVAASLVRGATHDPEVDMNAVEMIRYWGYPAEEVEAKTDDGYVLTMHRIPYGRAGPGPEGTCKPVIFMQHGLECDSTNWIANLPENSAAFMFADAGFDVWLGNMRGNTYGKNHISLDPKKEKFWEFSWDEMAQKDLPAMIDTVLQTTGHSDLYYMGHSQGTLTMFSKLSMDQEFATKIRKFFALAPVGTVKHIKGLLSVLAEWFLPEFDLWIDVFGYGEFLPNSEIMDLLAKWICGDSKIGDALCDDVLFLIAGPESDQFNTTRTEVYISHTPAGTSTMNIQHWAQMVKEGTVSRFDYGSSKTNQQHYGQSKPPSYDFTKIKNDMFLYWSDDDWLADPDDIKGYLLTSLNPNHIKLNNHLPGYNHLDFIWGMNAAGDIYQPIINLLKDEMGGEVKCT >PPA06593 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:31176:32644:1 gene:PPA06593 transcript:PPA06593 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPWIDVACVLIPLEGIIGMVLQLSLIYYLTHTNMGKSAKLYYVSCIVSSILSFIFALGIMVTIDDNFDGVDLVLFDIIPSFSLSYAIFAFNALKIRTRLSAVGPTISSKSEQMQRRFFLTQIAQVLLPLVLITIPLVFVVVAILRGHDLLHLPLLTVFVLWPTPIFAASLLLMFVRKTAKRKTSTIAPLGNAVPAAKLSASQS >PPA06638 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:188733:190750:-1 gene:PPA06638 transcript:PPA06638 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAIGVAAIFAVGLDRMLSFLAFLIIGYCASFTFLMVVYYQKSCPNVIPIFGLSTGNILVLLISMDRWISINRPTYYATKGVRHYLMCNIFVIVTFASVLYIIAYVHFEEK >PPA06599 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:44697:46412:-1 gene:PPA06599 transcript:PPA06599 gene_biotype:protein_coding transcript_biotype:protein_coding MLYGTLLILGSIVCALFFIAMFRGRKVIGQWPFFYIVHSLMYLDSIYLIYQLAFVFPSMLPGDTANMTVDVNATLIEAKAWYNSVAMHALRSVFDFLPAKGLLYLSLLMTLNRLAVFVIPSMSILFTKRNIHFTIAGCWALTWCVCILCVIVRPTQQFNRATLRFGDSGEPIMSAPLLRKIIDYSDNAMPFITLSIYAIIYWEIRKKRRVISSATLSFEQPSSRDIDDRRMLLQAIIICVFLQLYNVVNIVSVALDDQGSVRYFY >PPA06639 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:193976:196400:-1 gene:PPA06639 transcript:PPA06639 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVLILIATIVYYYGINRILGKLFRSMFRLPPGPSPLPLIGNMLSFSWDLDKKYLQPKHQVLLEWKACYGRVFTVWLPLPMVVIGDHKVLQEHVVRNGDVFLAKKNPEQFMDMMSGGLYGLVFEDNDMVKEQRKFTLKSLHEVGFGSASLEETVHNCALEVMSRWKKSNGAIVDVAENIEKAVGNVIWNVTFGIEQEFDNEIVPEFRQLQQEALPMAGGPVFMFMEQFPFIRKLDFLFNRQIHRVQEIFDKLNGMVTDAIRITEQSFNNDDQPRSYVEAFLREMKKNEEAGKPAGNYTFQQMQSSAFTMWGAGFDTTVGMLRLCCLELVNHPEVQRKLQKEIDDVIGERRIRNDDQKRLPYMCAFLQEVYRFGNVLPINFIRQTTQHTEIEGYRIAAGTNILPQFSMVHADANEFERPDYFCPERHIDDEGRFVKDSRITPFSVGKRACLGETLARMEIFVMFAAFVQNCHFTPSGKVPPPVEFTHGFTRAVKDFMVKIETRN >PPA06649 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:231736:232005:1 gene:PPA06649 transcript:PPA06649 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIRYWGYPAEEVEATTDDILIMHRIPYGRAGPGPEGACKPVIFIQHGLECDSTI >PPA06618 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:115043:115370:1 gene:PPA06618 transcript:PPA06618 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKVVVLAEEFGYGETTFNSVVGLIQCSRVASELRNFNSESNDYFRQLDKSWNIRSPP >PPA06634 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:171176:171684:1 gene:PPA06634 transcript:PPA06634 gene_biotype:protein_coding transcript_biotype:protein_coding MWKGFAVSIFLLPFVAAQNTKAQREKCAKEIVAKVKNEDDISLRIAVISALSLEAVNAYPSKLKYNFTSFFVEIVEPNRDRTPQRII >PPA06633 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:165880:168277:1 gene:PPA06633 transcript:PPA06633 gene_biotype:protein_coding transcript_biotype:protein_coding MANFDVPATIDKVLELNGNEHYIVCCVHGTVLGFTALADNPEYNGKVFKVAVSLMMGPVGPYLNLTRVPLYLLHGDDGASTWTFLHYGQYHFKPP >PPA06647 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:226373:227417:-1 gene:PPA06647 transcript:PPA06647 gene_biotype:protein_coding transcript_biotype:protein_coding MQFQSNPPSYVYTKIKNDIFLYWSDDDWLADPEDVKEYLLTSLNPNFIKLNNHLSDYNHLDFIWGMNAAGDIYQPIINLLKDEMGGEVKCT >PPA06591 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:25848:26734:1 gene:PPA06591 transcript:PPA06591 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTFLPSDEMRLELSASVNRLHGTNTSEFHIYGFRVKDSIRGKLVALGASVSKRTKQMQLRFLLTQIAQALLNLGFVRKTAHNKTAGHSRISVSKNSFAPQTKTSTSIAPMHMT >PPA06616 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:109837:112261:1 gene:PPA06616 transcript:PPA06616 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRELYTLEEKFQACVDIIQTMPKQGPVPTTYVEMLTMYSLYKQATLGPCETSQPAFWRIEERYKWDSWHKLGDMDPVEAMETYVAGVLEKIDYCAEHCNWNEMMVKYKKEYDEFEPKLRKQFRIIDRELVTEDGTFIPRTSAPKEPLPEGEYSLEEKFRACVTIIQSLPKSGPVPTSYAEMLTMYGLYKQATEGPCTGSQPFWNIVERFKWDAWNRMGELDKSGAMELYVEGVLEKVDYCSENWDWDEMMTAHAKDYDKLQPILREKFRIIDRELIKEDGTQERRAPTKRRTTGVLSFNPNRAKTREISVEIPKDEQINTNTHTPDDPCSDAEYCDALDHRSLSRSSSFSLEDPEQSPGRVRSLNAYCARMDVELRAINSTLNTLTAASDSRHNSLLALIKHSATFISVPSRLSWRALFFFLIWPFVVHWAIRRYGGHAAFIQRVI >PPA06646 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:225093:225678:1 gene:PPA06646 transcript:PPA06646 gene_biotype:protein_coding transcript_biotype:protein_coding MMTHAIVILSGMGEMNSAFLFVILPFLAIVSMASIDTRKTFPNAVHATIRFVMITLISVNPFQFGLMFTWRNPSEWSRSVHQLYLRARGQRKTTPVSTILYTTQYPA >PPA06607 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:79685:80664:1 gene:PPA06607 transcript:PPA06607 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGKEWTFERGVRQPLFGYWSIFWGALCEILYVPCAIALYKERRHPCYRIMLWLAVVDVIALFDSCICFGLLIIEGAVFCSRPWTVWIECTAMAMWCGACIGCLLLVTYRLFELMNIGRRFDAQTNMVLVVATLYVLYFALLTPPPLTNSAHMAMFFDPFIDDEIMFVNWAHTANNLLIVLTSATLYIIFCILFLTKQAEAMSEAGRARVAANAPIFIQASLICIFNVTASLE >PPA06671 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:316351:320905:1 gene:PPA06671 transcript:PPA06671 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDAIYEILPVVGFAAILSGAFVLIILWKEKGNPRIFMFFAVSDIINGIALISCGFYGVVVTMTGAGSDNFHPSSCLFYAPHLLLWAYTDTTEVLCILLFLIDRTIQIITPVSYGTISKTYLTLKYGFILYGVGTFAFIPTFFETITVNSTYTISTMCRFEQVVLPRFLEVRLFTVLWLPVIGMVWTMLIFLAYLIRRSKQRWSYNWSEKFADTQQMIAIAFLRCLFTEVAVKIVLYGNTNEVVVLRDFLLRAALALLVSFLSPCIYMLFSTPFTHHFDATFNVYGKNTERTWQSANDPPDASDNGEIGSPFEMKGTMREHACAVNGFVPRSASFYFESPPASLFRRPCPPALSHKSENVRGKRGSRDVPKSLFNQNTLTSIDFTSVKDVDQDEVVKRIAHFKQTGMVKVPEWSDLVKSGVTNDMAPVNPDWYYIRSPVGVNTFRNIYGSKLRRGVQPNGYAKSSESVIRKALKRRYGLGDALTMLAKKPKMSVLDKSNLDWKSFKQEQEIEEDLSIHNRGKNR >PPA06619 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:119590:121429:1 gene:PPA06619 transcript:PPA06619 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVYRENQKRRNDQNSSTLDEKALARWNMNVSAFRCVILTADDLYCNVLNYSRFALGIMELGKFMAHSDEFEYKETVLNAFIGLSIAIDDLLTPSIAYGCHRLLKKRSNRRIDRELRSLANDPVDYFKQFEKAWKDLLAFLTNLLRYRLALFEPFSRFYAFLSDTPWATALLAMHNCNINAARSTNRSMSSIRESTSGSLAAIAWQKAFTIIALVILICVPLLLAVPDMMIGFYFGALYGVRGTLIFFSSAQLGWTDMIKAR >PPA06595 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:34537:35944:-1 gene:PPA06595 transcript:PPA06595 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAVLGTLATVFFWTYFLILIGGTAMTLIFLLALVRGRKVLAQWPFYKIVWSVTWMDAIYLSVQLFWKFPQMAVDDDGKTDIHAVLESRGILYFSLLIALNRLAVFVDTPISPIFSKVHGLFRDYVGLTTVFCWILIMILSVYTELLTPVYDFNRTTLRYTIAGPGMIDSKTLLGINTISDYVIPFIIVAIYVAVYLVIGKKRKLLVGSTM >PPA06673 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:327431:328461:1 gene:PPA06673 transcript:PPA06673 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNSTDIESDKAFEVPITLFQHVSVIYPATLVPFIGIMWMLAQLMSEVKKGMKGASVATLR >PPA06596 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:36583:38063:-1 gene:PPA06596 transcript:PPA06596 gene_biotype:protein_coding transcript_biotype:protein_coding MAANDDGPAQENVTIEESKFWYNHPAVQFILSFLNFIPPKGILYFSLLMALNRLAVFVESPMSPAFSKVHGLFRDYVGLTTAACWLLIVMLSIYTELLTPKFNFNRATLKYINTISDYIVPFVVVGIYVALDNVTRVINAVYDGNDWLEYLINISDLITTVINHSVHATIFLLFNSTIRSFLPKPTLAEIKGRCHCS >PPA06656 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:246281:247893:-1 gene:PPA06656 transcript:PPA06656 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGTEQLLGDNLSLLMIVQVLICGISVLVTVRLHESPKCLLIKKNDELLQLVPQFGSSGELSTLHLWADFWRNSGRQAEEEEESSSAQSFKDIVTIFTDPALRKTLLIGRRLLFIPASAIATACIGLVTAAFYLKPIVPHSEYIAVGAMLLYGFIYGTGIGSIAWFIAAELSPQRYRSLIQSTVAAIQSATFTFAVMPLYRLFGAWVFLVLFCLVCTICNVYLYWYLPETKGRPIADVTDEIRTQFVHLDGAVFIS >PPA06606 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:71644:72408:-1 gene:PPA06606 transcript:PPA06606 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVYCFAVIIAIGATVFVLVFRHNIRVMRELKKGAVINKYSIARTFQIKENIDLLTTYTKVARPLVCVCLPPFAFYPVFYLVPSNIGYDGLRFFCASMYHLWLSINTPELGDTYFTLLTNDWK >PPA06641 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:205093:206553:-1 gene:PPA06641 transcript:PPA06641 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEFLQVADIIADVHLVAGVILNALLLYVIRKVSQTSVGSYKYLLGIFAAFDIFLCILHKVAKPGAIIVGSTFGCVTDTPLESRVITSFYCACFTIPFALMNIHFLYRFWSIRFTKLLHNFTNKKFIVGIAMFPIGEFIIWFLLVYLGLTGDVDEPATILLSTEYAKKYGRLLKDGWISKGFNLRIFLGMIAYDIIMIISFTIAIVLGSLTFYYIKRAHKLSAQSRNMQWKLFIAVSAQTFVPTLFVYIPYFCIINFPFFGIPLFYVDDAWMRMTACFPAWDAVIIIVLIKDYRNTVAYALSVDGAQMNFLKEWCVLFPHQ >PPA06598 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:42314:43961:-1 gene:PPA06598 transcript:PPA06598 gene_biotype:protein_coding transcript_biotype:protein_coding MLWQAIVIAIFLQLYNVTSIVAELVDEKGLLTLIYPSMIQEDLGIGPAVDPNATIESANAWYNSKVIKAALCILEYLPLKAMDVLDYSIPFFIFAIYILIYLSVKKKRQIISSSTFISGSKQNADDTRMLWQAIVIAVFLQLYNVMSIISDLIMIPW >PPA06654 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:241524:243470:1 gene:PPA06654 transcript:PPA06654 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSELNADTEFALTLVQHVCVADQYATVLGFSIFAMLRQLIIEVKRGSRKASDATRRYQQLAVRSLILQGAVPGLVYLIPSFINMYSQHCADQNLVSWGWRTVSSNCPRPCKRLMKIGFWMKLEK >PPA06615 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:107357:108874:-1 gene:PPA06615 transcript:PPA06615 gene_biotype:protein_coding transcript_biotype:protein_coding MNFQHVIESLNIVLGLISNVMLIYLCVRFSKKNLGSYKYLLIIFASYDLYLTVLHAIVDPKIFNFDRLFTMYSASFPTLPTYQPHTIREAFVCISSGDVPFCPRSHMKDGQVNVRASLILLGAVIVMCIQFAIAIFLATQTIAQIRKAKTFDGQASVPVFLVYTPFGCVILFPFFGIPDVFHMADLCMTITSCFPAFDAIVVILLIKDYRDGLLSLFCRRQESSWMGATTVWHSHIANTVSMRLD >PPA06653 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:240100:240695:1 gene:PPA06653 transcript:PPA06653 gene_biotype:protein_coding transcript_biotype:protein_coding MMPIFKGKALRGDYDRPDRNDSKYIASNAAAAAAGSDQAILAAGLGKNVHEIKLAFAHGTDAVYLLNFELGTRNSAIFILPRSFRLARSASNFRSE >PPA06629 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:157283:158435:1 gene:PPA06629 transcript:PPA06629 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHLLLLFSLGWIANSAPRDLTDEQKAKLIAEVDRQIALGKTSGSFEKVHLTDGPIAVQPVAPTNPTGPGSLTSEEAIAAKKNEQDLQIMRLVEQLKAEVAELKQKVAACGGAAAAPKATEPSKAAATGESAPPPALKKGEEEEEEADEEDAPVASTKAPKKKTIKNAKEADKEEEEEENAPVTSTQSPKKKTTKKAAEDEEEEEEEPVATKKPQGKVKSTKDPMEVEIPSAEDGGKPTKKSSKAPKLIKKAAEEDEEEDPEATTEKSKAPKKTTKAPVEEEEEEETPKKSKGAEKSSKPPVDNDEEEEGEEVTTKKAKANKKKATKLPAVADEE >PPA06628 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:153902:156148:-1 gene:PPA06628 transcript:PPA06628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-scav-6 MLFQGASASSLDPKFGISDTTKKVIALFLLVTGELPFNMPRVGDVLFDGYNDAMLTAAHSNLVNFISNAFNGGVSIVPFPFPDMKTMAYFNGYNNSRDEEYWIKTGKENIDDLGTIVTWAGTNLLPESWWTTKEARMINGTDTGSFAKPKLTDDDVLPMFHSYLCRSFAATFDKNSEVAGIKTKVYSVPYDDWDTTLHRNKGFRYKNVEGRDYFPGWLQCPKWNGTACKATPNDPIDCNDKENLCHNCCNKGKIGNSYVLPPGFFPLACYPGRMETSPFAVLWSAPHMLYSPENVVKTVNGMHPDYDKHTPLSYDHEPYSGQITHVLYRVQVNMPIFGNPGVPTNAHLPDAIVPMFYESSEAFLTDATYQYFRIGFVFMPTFLMWLSIAQIVLGVLFLLLVIVLRARRTF >PPA06643 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:216667:217733:-1 gene:PPA06643 transcript:PPA06643 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTDRLRYGFEFDRTWIINFVMTAQIVGMTLQIGCMIANVVGFALFGKDSDDFKELSKEPEYQQFLHRGGTLLLKTVMFQTQLMMNPLQFGIVFILRDPWRWKIFRDLVSRLTQKRVIPSKETDLVAKC >PPA06617 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:114300:114995:1 gene:PPA06617 transcript:PPA06617 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRTFLNGVRRSVANYLTVFWTDDISPEFMTFYKHILASVGVAVFDNSAIPLSFKFVAPMMCFVYRENLKRRHSELSTSLDEKALARRNMNAFSNVILTSDDLYFSLFYHYW >PPA06597 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:39462:41229:-1 gene:PPA06597 transcript:PPA06597 gene_biotype:protein_coding transcript_biotype:protein_coding MSDELIGTVIDIFFVAYGIILVSGTAMTLIFLFALVRGRKMLAQWPFYKIVWSMTWMDSIYLAYQLIWQFPQMAFEHDDMDANEAHEEAKAWYNQPIPLFLLNVLNLIPPKGILYFSLLMALNRLAVFVNSPISPIFAKVHGLFRDYVGWTTIACWALILSLSVYTELLAPPIKFNRTTLRYEMDGLPIIDSPFMHGINNISDYVIPFAIVIVYVVVYFVIRKKRTLVAGSSTSTTGTSSTSRTRAPDDRKLLFQAIVITFFLQLSNITTVLNYVYNGNGWSSPEANHG >PPA06588 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:12566:13492:-1 gene:PPA06588 transcript:PPA06588 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSRLGSSNIRGHQSDIDEKRYQSVLFKHESRPSAQGSAAEQCFEHTFVQFDAFPFDTQQCSICFALDGPSGLFLTLDDVSQQPIELRNKSNSEWDVVRNLTRDETRVVQDGMQSHRPLSAHRTELMMRRRNKKDSDKFE >PPA06642 pep:known supercontig:P_pacificus-5.0:Ppa_Contig146:209621:211236:1 gene:PPA06642 transcript:PPA06642 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVRFAIAFSLSALALAHIRTKRDFMYVSSNNETDPNCFQGCNTRWRDSFEYSLNMTLADFYEFPLHPILLDHSTYLLYCTLADQKTKCYMNECGDMSADAVFSPSNFICDFKRKLFTEVRQCLAESEPITFLKCDQACHDKVLGKEEPTTPKPLHLKPNKKAKEEDELASVYSSNQLESYEKELETLCSFQHCYMQCMTPVLKEVCSPTLAARAVDLLESHVSSDRLAFLAPSCGLLADKQNTGDEVINLITKV >PPA06672 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:325722:326762:1 gene:PPA06672 transcript:PPA06672 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIADGLLGVITDSFIQDKWMPTVYCSSFTIPFSLMNIHFLYRFWAIRYPHLIGYFSDKRFIALLASFPISGFIAWSVICLVVMSGKEHDPDGAARLTVEYYLRYGKVIPDGWIFVNSREGNPLRVYALIQNASFNVIMFSCLTLAISLASLTYYHLKHASLIANLSPTVLAMHNTMLFAVCIQTLIPLCFVYLPYLGVVNLSFFHLPTFSVDMYAET >PPA06592 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig146:28026:29312:1 gene:PPA06592 transcript:PPA06592 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAYILEKFSTWTNGDYRKQPDGGLTKETSSLRNVSTRKPSETRTILQQFISVPTAHARFPNELFDNTPAELLAMGVKLTAQNVMPDGGHFAAFEQPRFLAKDIFSFVSTIEKKF >PPA06675 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1461:589:1047:1 gene:PPA06675 transcript:PPA06675 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKIILFVALVALFTIQQSEAQVVLPAYSSVVSPYYGAYYGWPRLGYSAWGYPGYAAWWGANKNKDGAPVAPVDGPAGPSGLTGNQ >PPA06676 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1468:832:1513:1 gene:PPA06676 transcript:PPA06676 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLSSYGDYSRRRADALENMEWDTALPMMKRLRLKEISYGEGKSAMSTIVKSRDEPEIATQSNLGGREEERENDEGNNEIGEELEERRGDEEVMGQEPRTAEEMVSIVGEGRAEGQENITYFNGKKR >PPA06717 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:284303:286123:1 gene:PPA06717 transcript:PPA06717 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLESVLGPPLDEVGDTRRVAQADGRGFSKLEVEESVGERRPPVYPKSDSSIVIINSSSAYTTFLNMGLFSSASTALLSLSRALAIDPSILPTSARWAEARDGNGFLIFFIVIKF >PPA06718 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:288262:288512:1 gene:PPA06718 transcript:PPA06718 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVHGDGSGGIWDNFDTQDENETLREMMPLGRLGSVADVSSTVEFLLSTKSRYITGESFILSGGMQLRL >PPA06680 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:18781:20914:-1 gene:PPA06680 transcript:PPA06680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mfb-1 MSNGFPIASLKANRRSSLVVSILVEEKEDLDVSTTRRLLNAFNDGLEGHLYGSPQLTVKHQMRVGSLLDAVSSVHPQPLDEIDNNGITFLDLPRELISLVMNRLSDSDSLIQVSKSHIIFGNLINSEMTTWKKLVLFHFSLPQIEMARKKKDSMSWRETFFYLRKMHGLREDGFFGMGDSIKDDETIDPFTIKFITQN >PPA06742 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:396402:397584:-1 gene:PPA06742 transcript:PPA06742 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKSSFSSFFVFFSTETTIETTYEKIILHARENEKGEMRMNDVYSWKGKSKLYLILQLLIYTLILKDTTDYLDCPICKKRVPSDEADVHLVMCLTRPKITYNGKNSN >PPA06677 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:413:1198:-1 gene:PPA06677 transcript:PPA06677 gene_biotype:protein_coding transcript_biotype:protein_coding METKVSGQGPKRVGRWTLAQLRQTDGIVPSQAGWNKGDSQKRMTCFGTPRNTDTRVKAENLAEIPDEVLNRTHGQTNWNLSKNFFN >PPA06730 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:338939:340970:-1 gene:PPA06730 transcript:PPA06730 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTYLVSKGTLFNPDNHSLSDVNIGGREEFIINFTSGPKVELDYEVNELDNRLLSIRVDVAAKRVYLNACIDGEWGREGAVKHKWSAGDEFDIRVRCHRECYDVFIDYTLVATFAHYRPLSSVSHLYINGDIELASNLPYSAEIPDNLYPGRRLHVSGTFKVDEERKIKPSSFYIDLLSGTNCALHFEPVLARKKVVRTSCMGGVWSEEQVSSEGGFPFKKTGSNLFDVVVACNENQFAFYVNDVLLASFDHVVAPRTIDKIVVHGDIVLHERPHSLSFRDNNMGKGNNKK >PPA06710 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig147:203918:205148:1 gene:PPA06710 transcript:PPA06710 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHLPFDYTTAYHSHIEEERKSSEMSDDRENLHRYLKTIMHERGKRTHNVVVMKEHNSKDKMDSVLEVDFTGNFDWT >PPA06736 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig147:354232:354761:-1 gene:PPA06736 transcript:PPA06736 gene_biotype:protein_coding transcript_biotype:protein_coding MNETTEKCAKCSTPIVDMVLQALGRSFHPACFRCTKCRCCLDGIPFALDGKGEVYCMPDYHSLFAPRCASCTHPILPDPKTGETIRVVAINNDYHVECYTCEVNSIQI >PPA06721 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig147:305138:305561:1 gene:PPA06721 transcript:PPA06721 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGEVLGDFANWSTVGGVPHIANAKTWLVRIFWTIVVLCMGGIFIYQLYKMIALFITFPADISTTFPAVTICNLNPYKKKRAGDTNSIPSWTTTLR >PPA06696 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig147:136967:141944:1 gene:PPA06696 transcript:PPA06696 gene_biotype:protein_coding transcript_biotype:protein_coding MYTFSDGVGQMSVEYITNLAKDLNLPNYPCVIQFRHRGYKGVLSLHPQLDDLNWLRKKLGYFIEVEEEVEGGKKKMKVISKAKDDNGDTVKKLNCRFHAPEETDFEVVKISGPTPVSLNKPFINILDQVSEMQSRECHMRLCDRVEELLHRHLRIMAETLVREKSCRDKLKELPRRVSIDGLSRQNGFALTTEPYFRSLLKAAVRYMIKKQLNKEQIQIPFDMGRSMFGVVDETGLLQYGQIFVQYHVNMQEKTPRPSASKVILEGATLITKNPCISAGDVRMFEAVDIPALRHLVDVVVFPQHGPRPHPDEMAGSDLDGDEYSVIWDPKLFFERNEIAMKFPKGISKSVKLDMATLDTDVRNFFIDYVTQDSVGMIANAHLNNSDLWGLESRVAKKVAYKHSEAVDFPKTSVAPENLTKDWDEDKETGEMIPPEKAHRKPDFMQSNRDAVYASSRLIGRIYREIGHVDNVLALSEERDQQEEVKMDQMIYEEGWEKYKKEALSQMTIYNNEIKALMERYGIASEGELMSGCMISIRNAISDREADDMSFFNTNQVIETQITAIVRNHREKFIKGFGDMKKLTKVASDRRNVPDDTDNVFDREWTEELIGTWLSIVSFPPDFVSKQRDSSPRLLSYPWIVYDVLSIVRGDNNQKDADFIAGLDPVDEMLSAQVIEHAHANEHKYQEKIDSWTGRDGKGNGIEAIMRYINYYDGLGELAFFFVKWAEHQKVSMGSFREIHIVLLVIQFCLGIYDELEAVITPIDFGSVPLSPRVCLTELGKVVLNFLRWASSRSFKRMTCLTFDKPGLGVEGCFIRGEWMSMHLAAVKSFYDLIFSLRIDLPKEEVRSIDPPTSIKEMNPFTVELPKNRDTLIAAEAIRRQTGASEVRLRRLETGEKTRVMVSATGTLLSHSKIRKLLIVPLNIKTSLNPKAMSDDFPEFIYSKIMEGAKRYDETKAESMF >PPA06745 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig147:410928:412501:-1 gene:PPA06745 transcript:PPA06745 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVAVSVGAAGGIVATLACLYATAIIMNDINDMYNDIMDGMGQFKTVADDSWMQMMVLRRGDPKENHEYVRTLFGRNKRSSGQCSCGLPQVNCPPGPPGPPGANGDNGDNGEDGNNGAPGLPGVPIQEEKELPRGCIKCPPGGRNRGQPGNQGEQGPRGEPGADGQTGYGGPPGDVGKPGLSGQDGMPGKPGADTVTGKGAPGFPGRPGMPGPIGEPGMDAEDGIPGPQGPPGPQGKPGKQGFNGLDGAPGLPGDIGALGDDANYCPCPERRRV >PPA06716 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig147:282349:282877:-1 gene:PPA06716 transcript:PPA06716 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPRQARRHQGHGTRVNTFRNSYANSSGSVIRKALKSLEVLKWVDKSENGKGRILSKQGRKDLDRIAADHLRRDERGGRTRHAFQKQRNLENYKI >PPA06719 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:297061:300765:-1 gene:PPA06719 transcript:PPA06719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dyf-1 MSFAPIKDGEYTSTIYGLIRDNRYNDVIRISLYEVQKAPSNRAALSLLAYSYYYTQDFPNAAICYEKLASLYPTLPKYRLYHAQALYNAFQLTDALGVIAQIEEQELLPQVIKLEACIKYREEDLRNARILVEQYAEEDADIEMNVACLEYKEGNIDKALERFYAVSNSIGYRPDLSYAIALCHYRRKENNEALKFIVDIVANGHKMHPELEGGSSDGVELRSVGNTLLLHETCLIEACNLKFAIEYDAKNMSKAMEALTDMPPRSEEEWDAVTLHNNALVAVETNPADGFAKLQFLLSQTPFPQEAFGNLLLLYCKYEYIDLAADVLAENAHLTYKYLSPFTFDFIDAMISMVTSPDHAFAKLDGMAAKTLPDLRRLSIKIHGNKSELMEGELPPDQKFQMNKDISEYDEVIERYLAVVMAQCKVCWDRGQYSRIEKILGASLEFLDKNDTYTLNLAHTIYMKGEKYDVAAQFYEPIVNASIDNPPFRLSFLLTWEWSQLTFSDLDQKLRVY >PPA06678 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:4770:7201:1 gene:PPA06678 transcript:PPA06678 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fog-3 MYTEIKELVNYLVPFLFNKIPRRKVITFAEQLANTLLFRLREKWNDCNADEISRVVLIRLRVATQTGVKEQTDRDVILSAKAVGIDVDEMFRFLPEGIAIHIGPGEVLYQMTNGCTNASSTHLIWSGNPDCDREYRPLPAVLTLPAEETEEGTVATPDNYVPLKLAPVNCEKDLTKVDTLFVALSRHPSEYTVEQFSVTRFGSHRARPDHEVMKRIQRQAAFEALTKSPIVDGGEDSILSDNSSLLSSSSRTVIPPPPPPPPPQTPSPMDIIRSMSVDQRQMVMRLLSDARNGQNNVDGIISALSECSLGSINSCNGFVLVDMNYEYLAIFV >PPA06738 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:369615:372034:-1 gene:PPA06738 transcript:PPA06738 gene_biotype:protein_coding transcript_biotype:protein_coding MLHIVSPLKMKWIQCLVVRRSAPKQGVTKTEIRLVIYNETQKKEGLRKMEYPALMDASEMLADRLRDLETKSKKDGYEKEKEVRRDLEGERRPPVTPEHHKEVIAKLKKVAPSLCNPSDVTTAEPNNRRKRGAPVSRPPPSTVTPSTTVISDKDLDSIIATSEKSESEPKSKTSNDDSQSKSSSTYSTPLVKSSKKTPKKDKKKRKKKRSDVEDDSETPVDSVVRPPRQIKDKSAKSPKSPKKPVPIRDSSDRPIRSKPMKKEPPPQSKSDPADESEISLFPTDDSEVQSKPLRKPPPHAIRERKSNKNRSPKKPVEPESSKSRKPKKIQPREEKPKDPIEPSEPRRIKRDRDQPKDEEPKESVEPSQPRRMNKGRDQPKDEEPKEPVEQSEPRRVKKGRDEPKNEEPKEPKKPMEPLCDRRDCCMQDPCVKKDRKNEKKKEPESDQPTVTEKDEIPSGKRGKKKNKSRTDIVIRDDTSTTNNTNIEDSLYVLKSILKKLESSNSIKLKNNPDKEKVKAQLEKIIKAIEGKLANGTKLNADDLDRMKVPAKTRIQN >PPA06685 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig147:44064:44980:-1 gene:PPA06685 transcript:PPA06685 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFEYEKLCRLVEQWNENRLDLFHLSQPTQDLEIEGAVIDALVDKFLPDLKMLTDPDYTLWEVHEGGERILVIAVRIHTRLKIFT >PPA06705 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig147:177686:179614:1 gene:PPA06705 transcript:PPA06705 gene_biotype:protein_coding transcript_biotype:protein_coding MNLASQSILEGLNACFDHRRVLYIAELAKEFCIPSTSSCRFFACQNPRVEGGNRRALPKSFVNRFTNIYTRDLIEEDELAILKEMNCGLSDSTLSGMVKVNVELSKEIWVGGPFSFNLRDINRWMHMISKSKDVGYSFEMVYVKRLRVEMDRERARMILKRIMDIQSHSSPSVISIDNETLMLTCDGITMEVREAVKNLIRRSRRWWKSRSQ >PPA06729 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig147:336708:337478:1 gene:PPA06729 transcript:PPA06729 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNEDTFNATHLHSIKTGRSIDLSKIKNMLTLGDGEIVHDIWLISDTSAYLLIGRNQEYYPRKHVELQFSDWKGVHEDYLDVIFVAKGPKIGILVVLHMEDDPANVIQFTDWKMTKGSDAIAIHTELLKYEVTNDVHILE >PPA06687 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig147:67048:70074:-1 gene:PPA06687 transcript:PPA06687 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFHFIICLATFSNNTMGEFVVRCRGLPFSAKEKEVTEFLGGKGITRVQLTNTRDGRPSGEAFVEFEDEQSFSDALSKDRQHMGNRYIEVFASTKADLIRCSSNEMYPGGNVKEDMPSFGPNYGGVSRDQNIPMLDNVVRLRGLPFQLGT >PPA06697 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:142306:143085:-1 gene:PPA06697 transcript:PPA06697 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMYASRTRTVQELYPASLLFHSSPLSLIPSSSPSPNRSSFHRRSRHRRVPIHIGTMIADPVSILSFILAVTFSVGLLLLLCTKHERAQKPSGKRPIAPLVDDGGLDDVEPVRRQVSRADETIGTV >PPA06698 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig147:143906:145845:1 gene:PPA06698 transcript:PPA06698 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRPFLLDEDLKAEDVIPPRWTKTSSILYALSILTTTGYSNSVPVTVVGQCVAIVYGLIGIPLMILAAVDIGRFLSTVVLKVYSRWSLLTNRLCGPKKQAVLDTVDKVVNTKTVLRAKQSFRRTTRRVETIVMEGTEMDQLPIEGGNTEKKPSTCRSEKSIDPSDQLKTAMGETNTEEKVGIGIPYSKRSLIFHPAVLTQRLPLIVNATILLAFCCLGGIVYIAAGGNKTFMEAFFVTFNLVANLTMSEMPNDLNHVLTLIYIFVFVTFGVAVLSMCAELAAIELKELFLKIHYFGRKINFRRQPKVDTIDEVEVKELLKIIEEIRRKYPEKETITSLDILQYMHEANELAMKTERRDTIAFIPQTMESLRFADEQDLEDRSVSRIEDTPFDSNGRRIDVYQFVDNPAAFEDRFKLHIAAFNSRKNTRDESK >PPA06682 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig147:30808:32235:1 gene:PPA06682 transcript:PPA06682 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISRLESLSRDFDRRPLSSHSEETRTIIAKTFLKLGEWNESIRSQITASIEGTNYQTEVESRLLNARVDAQSVTSEMILRHTWEDEEKDVAKVIYYYKKATEYDGEWHKGWHRLATAYFNTLNKRKRSEMSSNGEVKHCEYAIEAIRAFTKALQLASGSRLEDTLRLLSLWFEYGEDDHVFEALTHSNKSLPLIMWLEVIPQVMGRLDSSSKSGLLMQQVVLEVAKKYPQSLVYALTVGSKSSNEKRADNSSHVLSLLSKLYPNLVKEAKVVSDELVRCAILWHELWYEALEEASRLYFQEKDIRGMLEGQLL >PPA06681 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig147:29790:30613:1 gene:PPA06681 transcript:PPA06681 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEWKCLEMLGKWNELNKKMEFYSGSSHRITVIGAKGKWMSGDWNGMEEYTDKVNENTLDDLNSLKY >PPA06728 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig147:334890:336618:1 gene:PPA06728 transcript:PPA06728 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKTWKSYDNRRQFQIAENSLKPFHSVQLPKGHLNLIYRRPLSDCEIPLLKATCCDVKYDPVKNETYILVGTETGQVYLREIELF >PPA06699 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:150763:151557:-1 gene:PPA06699 transcript:PPA06699 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQQDLQSEEGMEEEEGEDGGEAEGDRDDAVEEREEEIELEEEDEEEEEVDLNENMMINEKGRREEEEDETAQRAGREWYHHHHSPYSHSDGGYSSPSPPLDDDEREKKKKVYGSLLVLLIG >PPA06727 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig147:333723:334448:1 gene:PPA06727 transcript:PPA06727 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFSITENSTIYHLLPLEESGYVQIDASASFILSREENFKGNCPCDTRAELMKGSNEEFMTQKFVK >PPA06686 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:45851:48270:1 gene:PPA06686 transcript:PPA06686 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQYYRDDAMFTTGDQSASFNQFNPWNLPTNTSLVQSFPSKVVKAPGSVKKRHSVDGKTPAQIINELFKGTSDEYDNDGTMFKCSLTVDGQVFHAISSSKKNAKHEACLLALQSLRPEIAAEVSGCDVNNKSVLGRFGPPPVGTPNVPTKKRKVDSMDCSSSLKELLSKLCLESNKKYKIDSTDVTQSGGDSKLRQLSGPYTRLHYTTRGGAKMECSYLA >PPA06714 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:268072:269614:1 gene:PPA06714 transcript:PPA06714 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEQMRDHFGPISLLISRVPADWICDRYSLTLAADDLDAVKLLTAIAFVRGMMSSSGVPDCSRAARLLAKDVVVGKILWVAAPPTHDQKEFDALFFLKKGEKVRGQAQLRQMEKKGLIISSKVANERFDQSFFDAPGSQAHLDMGKIMKPGKVVLVLRGKYAGRKALVVKPYDDGASDKSYAHALVTGIDRYPRKVTKSMGKKKQTERNKLKPFIRVISYSHLLPTRYSVDVNFDKTSVNKEALKEPKKKRRALHEAKARLEEKHKAGKNKWFFTKLPF >PPA06692 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:106536:107602:-1 gene:PPA06692 transcript:PPA06692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hrpf-1 MKVDMDWGGYEASYDYGGRQGGGGPMRGGRGGGVDNWRGPIGPRMGGGPMGGGGGGGMGGKGGLAIKMRGIPFRASEGEIYEFFAPLRPTSIEMVREASGRPSGEARVEFGSRQEYDDALLKDKQYMVHS >PPA06679 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig147:9200:10225:1 gene:PPA06679 transcript:PPA06679 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPDSNFSEDIVMEDATEITEDPKRPGEEEKEILCLGYFTGMETVRDKKLEAIRRAIGKGVRMYHTGGEVDPKTTLKIVNYAEFANDLAAAVERGYESVYALTKLCGLRVSFVKGWGHEYSRKSIKQTGCWFTASFPAPAKWIEKVIQQMGAPALFCGSVT >PPA06737 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:355290:358375:-1 gene:PPA06737 transcript:PPA06737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-zyx-1 MTEVDDPFLAQIESFAIAPPEKRLIDDESVLPSSISSINYEFAKKISSIHTEKQQKNLRSDVIVPSGGMVAAASGYEPKRVEKISNDQVNDWKAQVLQSTRPRNQWTHSNDVEESKAYARAVAAQMQQEEAINQPIRVTTEHTKSPSSSTIESYPSPMRNGKTIIDTSDKYSDYSSASSHKSPSPSQSSPNSASDYSVTISPYHRSPVSLSSYESKPTPSQPSKPIQEVKKAPPPAVPKKTVTIVEGAKIVPLPPKKVEPPRQVFHGGLRSQTRSANFNPLRLPMDTSNYTWKKNGEDQPNGSRDDERRDNGKWERRQEIIEEEDLDMDDPARRGVVRVVLPQRDNDSTSSSRPHSSASTTHSNDSVNSALQERCRKKWAELVATVEEEEDEIKQVLSSERSSPSSSSRGPIVVPAQAPSASVIGDCMVCHKRVLSTERSTTVERQLVHDGCFNCNVCRRHLG >PPA06700 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:152946:153288:-1 gene:PPA06700 transcript:PPA06700 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMDSSLDAFDRLNLNESDVISHLCSVAVEKCQSKVERRLAGCGGHHMRKRYGTYLFIHSFIQSHFNFSNE >PPA06726 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:327948:328444:1 gene:PPA06726 transcript:PPA06726 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLTCSNFLPKSNLTSYDNDRYLLAVHGNFIELYDLDEYLSDDFNAREYEPIRTHVFERTAVHCCAVFTVTGHSLAITSDFDPKKKELTISASLVHRDQLLQGG >PPA06690 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:98471:100183:-1 gene:PPA06690 transcript:PPA06690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ocrl-1 MDFGEVYFMGRATMSIVIKNEGKSGVRFKLKERPGIGISAEWLNVSPQHHHLAVGQNIELSLSITVDKRTSWDLEGKSGILSDILVLSLEKGRDHFIPVTATYTHSLAHFRIFGMSLSRMGGANNEDLLISLQIGKF >PPA06732 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:344635:345159:1 gene:PPA06732 transcript:PPA06732 gene_biotype:protein_coding transcript_biotype:protein_coding MECDVVSFYVNSFNYLADEGCDLAAKACPREKFMIRNDVIELYYSGASLNVSQLDSSRSAPMNTEDNNIYQIPDDLFPDIHFNFELYNSNFDNILEEYLM >PPA06711 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig147:211061:212448:1 gene:PPA06711 transcript:PPA06711 gene_biotype:protein_coding transcript_biotype:protein_coding MCSESGRWNGRIVKCKGDLNRILRFTIPFLVNVADISLVDYITVKEKYAKYLPHSAGRYQNPVQVLVNAVIMSAP >PPA06683 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig147:33975:36521:1 gene:PPA06683 transcript:PPA06683 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMAMEITGIEGNFRLTCERVFQVLRGKKDSLLAVLEAFVYDPLINWRLLDGMYKGYKYNDINISINGSTLNEKEELARWCLERKNGEKNQDDMKNEITKKEILLHFEQLTENN >PPA06701 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:156214:157124:-1 gene:PPA06701 transcript:PPA06701 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMKNKIPAIVLANLCVCYILSNANDEAEMLIRKVEREEERLLFSPDEKVKSFQSCIINLVIGTLYCNKGNYEFGISRVIKAMQPYDRRLGTDTWFYAKRCILFTLEAIAKHVVTVRDSLIDELLLFLEKCEEYGEEVPASIDGPLMEGHLDSMKNTVTYESRQLRALVLEMYDY >PPA06741 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:387337:388086:-1 gene:PPA06741 transcript:PPA06741 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAVHPSYGGGGGHFKIEDVTDDPEYSHYGNEEPEEDQEGGFVEYCRLDKNKQPSIPQICEASTVRKMNATMRMPMVRKERERERLM >PPA06703 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:164988:168439:1 gene:PPA06703 transcript:PPA06703 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKSHNLELIDKDKTSLRIKCTIPWTGQKVVMAVHDAFRETLSGSLAALYKVNLMGEPIKVKQSYEFIVEVKPMDRKKALFVLMQVFFKNVRNPDHNNVPIQSIYFGNIINSGALGVHWTVEFYKLNRIGGGRDREQNMIKVNFEHDRSTVSIKFDCNEKTNQKLSQNVEYTIKFYLQSIRRIIVDPLSIDMKFAEGKPWKFRFHFELNCPPEIRCFMSKSLEKRFGDGNRINHLSRGWGANGEESAAPYKKCVAESNVVTLEFNEAMHKTELFEILSRLRVRGATPVEFASMDLINLHWGKYRRFNPYVKNPVEPFDSRDALNPAYIQRIEWAAPNPPAIDSTLNNRTMAVMESVLNRVDEMTFPMVSIFRIILEEFNSYDELKKLNDAQMGLEGKGPNMQRDGYVKVRKIVITPTRYVYIAPEQIMGNRILRKYYGTQTEILRITFRDDDTQPLRPNTTGEQMTKKTVETALKDGILVGGRNFRYLGSSNSQMRDNGCYFAYDRVPTYVAQHAGTSTKQESEWGGLISTRERPKVLAYTLDQSIHVGNSIRFQFVPK >PPA06693 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:116923:117742:-1 gene:PPA06693 transcript:PPA06693 gene_biotype:protein_coding transcript_biotype:protein_coding MHKPHPLSTIYRLLCNVGDRVVYPILPAFAKPAWNHNAGPKTVFFWAPTIKWALVGAGLADLGRPAQKLSPAQNVALFATGSIWTRYCFMIHPVNVYLASVNFFVMLTGLAQLCRIAHYSKMINTENEGSVE >PPA06691 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:103811:105485:-1 gene:PPA06691 transcript:PPA06691 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWKDKYSKIDTANVVVSTFNVLMNKLGNKGGVCASLMMNSTRIAFVNSHLAAGDESWAILTIE >PPA06713 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig147:224910:227813:-1 gene:PPA06713 transcript:PPA06713 gene_biotype:protein_coding transcript_biotype:protein_coding MVEISGQENGVNEQIVHQLEYYFGNINLPRDKFLQDKMKADDGWIPIPVMITFNRLKLLCDDPEKIADAVAAVPSDIIQLSEDKKRIRRNISNSLPENSLEYWQKIKNRTVYMKGFPVDTNLDEIMKFASQFGNTENVLMRRIKTERTFKGSCFVTYKTREEAEIAQKSDVKFGEVELTKMMQEDYWTMKQKETKEAKAAAQAAKRAKADEEQAVKKAPKPVHFEKGLVLAISNLPEETTISKIKDLLASFGPVGYVVHEAGSKEAQIRFHGEADGAKKAWEKANESSKLKMGENELEGRVLEGDEEEKYWSDFNEQKARRNESSRGGRGGRGGRGGRGGKNFRGGKRRNDGGDGGHPKKKIFVDEKEG >PPA06747 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:418863:419240:1 gene:PPA06747 transcript:PPA06747 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVDLLRLPEELRVKLAELDLELSEGDITQKGYDKKRTGLLKPFLLAQRG >PPA06702 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig147:159727:164065:1 gene:PPA06702 transcript:PPA06702 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFSDANPLKMTSEPFLRSLLRANSRFVLHKQLAKMQIRIPSDFGRSMFGVIFCQYSSSTSKHMSRSRSNKESASDGAIILKGPVMMTKNPCIEKGDVRRFEAIDVPGLRHNVDVVVFPMHGPRPHPDEMAGSDLDGDEYSLIWDPQLLFDHNEKASLFPSGEDVINWPIPMDLNGTPDIQACEKRLGEFYIEAVTQEQIGVLSNAHLATSDFHGLENPASRSLAWKISQSLDFQKNGTKPDKMTERKDVIEDPDDETRIIPPEKAVRKPDYMEKMRDPVYESRGIMGSIYREIKRYQHAIDAGDDQMDMIEKDPSFDIPGWEKYKATATTELETFSHGIKTIMEYYGISSEGELMSGQIVSIKNRISEKEADDMNLFNTNMVIEDKVKREITAARIAFFKPLINWEEELDQVQNKRRDVDENSILCRIVRSSTSNMERIRQKAAACYNVCYDAANQQLQNGEETSLILSFPWIFYDVLSDIKLRPDDRIVRVLPDEDEKRELSNEPLAIFLSSFIDEYCEDVEENGDAFHEFKTQFEEGTMIRRCLDENDGLCRASFVLVRWAFHICGLEADSKFNQAHLVALFIQFGLGEVNVKGTRRRYIQRPSGEVRVHLKKGEHLLKFIDYVASREFRSRDALSFDDIMVGVLMRGEWRTFSHLLIPAYLVLVTTHRIDLPMREERDTSALRSAAALKEYEPRKMELPEDVIKNKLEEVRTDLLRITGCRDIQLRPMGGSSEVFVSAIGTTESFKKLNELVISPAPPRSQASTKALFYGIPRLVYERLCRAAAEAPSLQSS >PPA06688 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:70947:71263:1 gene:PPA06688 transcript:PPA06688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-eat-16 MVQWVEKLEDYDPFLSPTNPPNPWISDDCSLWLLNTDTVEVPTERRVKRWGLSVHELVKDCIGRQVLETFLETKTFDSGSRFKI >PPA06739 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:373325:375267:-1 gene:PPA06739 transcript:PPA06739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lim-9 MNKDWHSDHFCCWQCDKTLTGQRYIMRDEQPYCIKCYEDVFANSCDECQKPIGIDSKDLSYKDKHWHEQCFLCNMCKVSLVDMPFGSKNDRIFCSNCYDQAFATRCDGCSEIFRAGMKKMEYKGKQWHDKCFCCAACKNPIGTKSFIPKNEDVYCAACYEDKFATRCSKCRKVISTGGVTYKNEPWHRECFCCTNCNASLAGQRFTSKDEKPYCANCYGELFAKRCNACTKPITGIGGAKFISFEDRHWHNDCFVCAQCTTSLVGKGFITDGTDILCPECAKARLMAQNS >PPA06707 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:187090:190027:1 gene:PPA06707 transcript:PPA06707 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTRLVAQADGRGFSKLEMEESGEEAEEWEKVSDRSHSLEEVVYPLRERLVVWKKMEIITWKTLVDRVKKDCEDRAALLVFPLFNALLSDGRDEDGIVAMASDWISHSSFIDFHQRLKSIDSLAQWARIVGKETMGKELESVVAFFGQLRDKDLNILSIKASSKKNHIQMKEGQA >PPA06731 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:342049:343616:-1 gene:PPA06731 transcript:PPA06731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-col-64 MKETRLIELAVLGTSICLALFVFVTIGIAKDFSDFNDSIADDLHMTKVYTSDAWSTIRVIRVEARGKRDANPYNIPSPSPPPSKKCDCAASARKCPKGPSGPKGAKGETGERGEDGTPGKRGDDAVAPPQMMKQIKCAVCPPGAPGRPGMRGSAGPRGPDGSNGEPGRHGVDGVGSEGPEGDQGEAGPAGEPGAPGPEGAEFLRGRGMPGPSGVTGPPGEIGPQGEQGDQGDEGRQGFNGVQGFPGEPGLDGETGAPGPMLEPLVLMVDTAPVLLRQK >PPA06740 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:377494:378894:-1 gene:PPA06740 transcript:PPA06740 gene_biotype:protein_coding transcript_biotype:protein_coding MFISEEMLTDVEEYMSQLPNNLIPRTGSQGEKLREKQLIQQLPRQDLSLAYCRHLNTPIEKKVYEEFVNARNEISLDIGYVNPNIPKNMDCQKCRGVIERAEMAVVAPKLGENQGWHPACFTCITCNELLVDLTYCVRDGQIYCERHYAELHKPRCAACDEVR >PPA06695 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:129437:131352:-1 gene:PPA06695 transcript:PPA06695 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSHLRRRRPRSAHFDPNTICLPPQPAVPEAKVDDLYTKMEKLGEGSYAVVYKCERKCDGAIVALKEIKLQFQEGLPFTAIREASLLRALKHSNIVSLHDIFHQEKTLTFVFEYMKDDLSRYLENHPQGLETFDNHATYLADIALVNIKLLLFQLLRGLSFCHQKKILHRDLKPQNLLINEAGELKLADFGLARAKSVPSRTFSHEVVTMWYRPPDVLLGSTEYSTSLDIWGVGCIFAEMTTGTALFPGRKDVIDQLERIFRIRGVPDTNKWPQVATLPNYVPNLFPEYESIPWIEVDPTLARLKNGMDLLTKFLQLNPTDRVSASAALLHPYFSNLPPAIHLLPPTQSIFTLKELQPLYR >PPA06743 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:403196:407005:-1 gene:PPA06743 transcript:PPA06743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpl-1 description:Ribosomal protein [Source:UniProtKB/TrEMBL;Acc:A6YM53] MTSSKISRDFLNETIAEIIKGSQEKKRKFRETVELQIGLKNYDPQKDKRFSGSVRLKNIPKPHMKVCVLGDQQHCDEANANNLPCMNADDLKKLNKNKKLIKKLAKSYDAFLASESLIKQIPRILGPGLNKAGKFPSVVSHADSLNAKVDEIKATIKFQMKKVLCLSVAIGHVEMSQEELVANASLAINFLISLLKKNWQNVRSLTVKSTMGKPQRLIENEHDQLLNELYAYVQTADDVAIQSYGFVMERYDDMTPPHDQKCEEWCRRNGFEMVFFKNSEEAVREAVENNEKLGEARLAELLHVVDWPLKKIETTKLTGHHVMDQAIAALNKMSDDEDDDLIMNEQDEAAFLEIFGKNKVIGQQSNGKGRVAEASVDVNVALKGGVISSVSVNDGAEGGKKGKKGKGKNSKKDVETAANKQAKAGGSNRSTGETQYTFPIQSMPSVQPLMNSSKEEAPAATIVKMEDETEEDGEDCLSINGEIKEESDLAKLINCAKEIRCLSKLNGLDDDTMRKGTAIGYMKDVLGEGLMEMVNEIERQKKEDKHV >PPA06722 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:307445:308380:1 gene:PPA06722 transcript:PPA06722 gene_biotype:protein_coding transcript_biotype:protein_coding MRKETYVEASSYGLSTMINDLGGQAGLYLGLSIISLIEVGDSRPEIEDLKRALDEHDRLDNAQKKMKDKNSDSSSDDDDEKKPEEKTPDSPENEKEDSEKEDEKKDEKKDEKKKDSDSDISDVSDDVSAISDSDDEDEKKKGKK >PPA06733 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:345295:345970:-1 gene:PPA06733 transcript:PPA06733 gene_biotype:protein_coding transcript_biotype:protein_coding MNNEEEELVPTRKLSAYVADGLRSWLPVTQFPQYHLAHIQDVAVHPPPLTLDLTASRASPISAHTLKAHINSLPEGEYEPRIVDGSMYFIPLDSEDVKTARDDGNRVTQSPFQFTKVDKYNCNTAEDIVTGQIMTDLQRQFATL >PPA06723 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:310688:314153:1 gene:PPA06723 transcript:PPA06723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-aat-2 MPVVPVSLFSFVFSLIGALCYAELGTTIPKSGGDYVYIYEAFGPLISFLFLWMALMIINPTSNAIIALTFANYTLKPFFPSCEVPQLAARLLAAACIGNTEHLEQPELMDGTNWGASSISLAFYSGVFSFSGWSYLNFVTEELKDPFRNLPRAIYISLPIVTLIYFFVNVAYFSVLSADEMLDSSAVAVTFANRIMGPFAFIMPIFVAMSCIGGLNGILFTASRMFFSGAREGQLPELLSMISILYLTPMPSLIWLGFSAICMLFFSDVHILINYLSFAESLVVALSVAGLIKMRFTRPELERPIKLPLFVPLLFLLCCLYLLIFPFFSQPGELIVGVLLILSGVPIYILFVSTTRKPDFLYNPWDK >PPA06712 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig147:224298:224749:1 gene:PPA06712 transcript:PPA06712 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRLIIGNGRRLIQPARRTSSAIEQKKETDMLETINIEDLPRAQKRFAKQFEKVNEDRIKEMFARNYKNHVAFSALGVLVIGIYFYTMYAVKQETFLEEIDQEVATEKPISHGHLAPSK >PPA06720 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:301554:305045:-1 gene:PPA06720 transcript:PPA06720 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRANETKFSFKVRGGKQKGRMKGSRLRVYWIPLKSGVKSTVQCEKCQKNEAKYGKASTCKYCQLPAAFHEGKCVHCAHGERKNGPPIACAQCKLKAAFPRDQRDKMKGTTLCRMCIMQKQSAKPGTSSSSSKPSSSGVNISSTSKRRHSSTSKKDDSTAKMSKKEPEGNNDNVILVHKLKDEVESLKRELLKKDAAMIEKDKKIASLSADLMSSEKRSREQVARITKNHKEEIEMLHNQHKQTTRQMSQQLSEMNRSTRKERNPLPAMPLTSFHPTNGAKIKAEKEKIEKEKERVKEEEKALKEKAKEEKESSSSPKEEVKIEEEEKIVKKEEEEVKKEKEEEKKIEDEGEDEDEFLDKELKIEEIKEQITA >PPA06684 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig147:37956:40094:-1 gene:PPA06684 transcript:PPA06684 gene_biotype:protein_coding transcript_biotype:protein_coding MIEMKCTSNLGHLVSKGLTELDEWSTGMGVELAAECLFDTCRQAANLLIAPKNDMASMGSTTYKLNSLQIRHLLNSFEVCGDEIGVGNDVLHRMIGLAERQADELSAEEGIPLTLLETNRLSGMDMIPPQDGYFTNRSQSNFEPLKILLDELATKGVCCNVKWNDDSIGWIMLNESNNNVVKIHLNRGNGGIGLSIVAAQDGRLCGGDELIQVNDKSLRGLSQEEAARSLSSCGPVVKLLVRKGAASRNGLQSYLVSPISALSPPSQSNEKYIYA >PPA06709 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:196939:200647:1 gene:PPA06709 transcript:PPA06709 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKRCTHAVAITIHRMAELGIVLMEKGYVNTIPREEKTEGGEGNEKEGEGGGMGEGKGCKDVTEEMEETGQIEGLQGDEEEENEGRDNGNNEKNDRPIEMEEDFAKDIEDVDREGESGDDNDDGEEGEDPPMDDGMGEVDDKDEEEIDPHLWDDKDKEVNEKPSMDDDNKGADEETGEMTAREDKNADMKEGEERDEVKDETNEEEKGEDDDIENMDKNDRDDMEDMDDIKGEEERRDDTGGDEVEEEQQMEIENKEVDVDENEDEDEEGENENEESEEIDENKDEDHDDSQISTQMTEELEMENKNEEKEDKKMDVGFGGSDNEDEDEGGKGERNEEERDKRDEEKGDSGREKSDDNKEGKTDKKSRKEENIEMTKKDEEDENEDNEDRERKREIMMEEDLEMEGESEIVEGNEEDGGEERMESMNRQHNDKMMMGGGSMEEAKESMKRHENKIENKKKENIQVNSKESGMEEEDEEGKECIINFNPLDLIALTDKLTKELVVGTKNETMNTMEAKEVKEQHEDQNIKCRVEWERIAPVVSILAAELSENLRLILEPRLATRMEGDYRTGKRLNMKKIIPYIASEYRKNRIWMRRTKKADRNYQSMIRLLWQKTEWKLLLVKEFALLKKH >PPA06735 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:352045:353605:1 gene:PPA06735 transcript:PPA06735 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFYNCHTQLIDVFEICMETILAVPSFAIYIFLFRSYSMAYFFVNLIFMRIPGAYYSDVSNGIGMNYVKIINYPKNSLISLLFYLSSAGIVTASTVITALRMTQMVKRHKKSEVSLLLVGALQSFGTLLMAAHALYMYNYFSEFLYQKRFVIVDYQCFCPAWTLLLLSVNLRRSIRNKSNAVKSSFGKGQSKASLNDH >PPA06744 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:407732:409866:1 gene:PPA06744 transcript:PPA06744 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDNVDKAMAAKVAKVREVITNVSKNDIILALHSHDLDVERTIAALTEGGALDEWECAGGSSSKNKKKSSTSKKPAEIPKSAAPAAKSTAPVVASTHIAPSVPLTSQVKKAPDVNGVNGAEKKKVDVSSVDEAVTRLSQAANQWQKECSAGKKDIIATMKSLREVVEEREKILLAELARQEAASSRLFSNHGQTIENLKSKAATGKDVGAELKAITKQQEDLLTSNVFSYDMTSIVNQISSFGRVFSTGVLPTAPTSGVSSSPISPPPMKHSGSRSSLVSSVGDDSGLGQGSPVPPEKVAKPVSGGSGPIQVASSDGFSADQLAAIQKQVQESLAKSGINTEILMGVSGGSEVIRRPNKPKGPKGGEKKNGAPKKNGSNLQLSIFE >PPA06715 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:272050:275950:1 gene:PPA06715 transcript:PPA06715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-59 MINRGGGAFARGGGRGGRSTLPTVENSAPSVSQAENSSATAYEEIDWKKGPLVYESSSTTSAPQPTSINLPTAAGPISFAAMAKKATAPAPPPPPAIPAYVPPPPPSDPEPVHEIEPADALEEEEVVVDDILEAVVPEPTPEEPTPEPTVDEVEEASDVAQSWTAELKSNLGIGLEEEKRSLSQRVEFLDTASAAPLNEYQFGFCAPEPQPTTCPNMNAAPSVQEPSFPRSASTQQPQPPAHIQEEVAKASPPSFSRGLSYETTSCVAYPPSENRSMMPPRTMPQPVAQQTGHSSMFPPQMAPYASYAPYMNMYSPVGGGMRAEDPYAAMMQQYPFPGLGQIDLSTILPQGALSSHNTAPPRTEHSDMSKYGGTGGRSESVAPPPGFASNGAPFMAQPSLSSLLVQPPQYPNHPFASFMMPSVSNRYEEERRAKDSRSSHQSHNTPPPHMGHYSHHQQSNTGAYGSLHKKTQYPSNNWNNN >PPA06689 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:78719:79789:1 gene:PPA06689 transcript:PPA06689 gene_biotype:protein_coding transcript_biotype:protein_coding MATKYGDFNRWSTSLKSLMARLDDLNGVYEHKLCLTIATNHESPQIHLYGDKELVRSVVRSNLLEQMELSDDCSTVIQFPLTGDLAGDVDPNTKY >PPA06724 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:318076:318910:-1 gene:PPA06724 transcript:PPA06724 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFSVEILDQFLFGMNSGQGRAAGYPPSIRGFPVPVKDEYMAIIAMKDTEEKYKKMKEWGVKNGKDAQVIVDDFFKKMERNKKKEEKKNNEPKKDPKKETKKDPKKDSKKA >PPA06706 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig147:184618:186083:1 gene:PPA06706 transcript:PPA06706 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGQGHMVDETSTHPFLKSLYVARTKLKEKIKKMENGEEKMVYREECKQDALIRFGSLIDVIGEFWTGVWIVYLSLNMIHNERNDDMLRNSIVDWGVRACSPLPIDLQCALIEWKVSKGESGLIELEWTKNQWIKWMVIYDF >PPA06734 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:346628:350474:1 gene:PPA06734 transcript:PPA06734 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPNSSIRLPAQAVTSEYAFPSISHPKNNGEKKDEYASAELRQLVNKAIVETKEKAQKDNKAQAVSARKLRAQVSNLDDAVYVPTVMNGELYFVSLNDDTRTARHRDSSNTRTANLISSKSTSIENLKTANEGSLSNYSLSLDELPQILGLDAVQAVLKKFKDNPSAFMKRARNRSRHRSTVSSTSSTNVPEKGVNTARSIESLVARPEEGVFTAQEIDDGVIYTARSDGIRGLKYLYSDRSNIDTARDKDGFELTEDNFTETKGWKWGNRSKSMEDMRSRASPATSINGYFNTAVDDTSTACNIGSPYKATGDMRSRIIPSSCEKTPRSERSSTTTGVYGNQFGPVGGDMRSRAQPASSCERTANAKTSSTNTAVYGNEFGPVGGDMRSRAQPASSCERTANAKTSSTNTAVYGNEFGPVGGDMRSRAQPASSCERTANAKTSSTNTAVYGNEFGPVGGDMRSRAQPASSCERTANAKTSSTNTAVYGNEFGPVGGDMRSRAQPASSCERTANAKTSSTNTAVYGNEFGPVGGDMRSRAQPASSCERTANAKTSSTNTAVYGNEFEPVGGDMRSRAQPASSCERTANSSTNTAVYGNEFGPVGGDMRSRAQPASSCERTANAKTSSTNTAVYGNEFGPVGGDMRSRAQPASSCERTANAKTSSTNTAVYGNEFGPVGGDMRSRAQPASSCERTANAKTSSTNTAVYGNEFGPVGGDMRSRAQPASSCERTANAKTSSTNTAVYGNEFGPVGGDMRSRVYPTSCVERTARDLSEVNTAKTSKSNQEIDKLLNSIISEVTALNEGDIQCNDEMRTAADRDNSLSQVHPSLLTQTPLSDCKAASAVPSIGNFNQ >PPA06725 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:325338:327171:1 gene:PPA06725 transcript:PPA06725 gene_biotype:protein_coding transcript_biotype:protein_coding MINPFGQDDDDFEVDTLIERNLAVSMSMVDDLYDRAPPLAKIDIKHLPGILDPNKKNPMVGSAVPMKMQVNDMKMVDPNQEKWIKTGAQVADAIYSGMDSSEAPIEDGLSKSGTHPGQSNVGLEDKTKSGEDTSYKKLLAGSPTGKAHQNSQEELEAKDDKGKRNQEIDDIMNKVLEKLYQEKHVLSADFIERDFEALLDKTQANSPKSSLPTTGNNNSNRPDKIKTPRPSGESMAEKEDKTPESVSAPATPVERTQMSVYTTKNTPIQSYVDMNAINDTPKKTPESMHGTTVLPRKTPTPQKNGIPSRVKFDDGLAVSDGRKTERRREGIQQLIEKLRAKEQREKKHTKSTDSQWKETPGKTPNRRKGSTPATPKRSREKIDLTAKTVNSKDPLNPSKEATQGKTVTTSKDPIQSKEPA >PPA06704 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig147:172011:177030:1 gene:PPA06704 transcript:PPA06704 gene_biotype:protein_coding transcript_biotype:protein_coding MNPATDTSKRRLPEGIRSKFIEYYVNENDDASDLAKIVSSYLPSSSVGSIDGIVKLYMDAKAMYPLKMSLRTLTRSLVFAGDGRFDNENRCLYEAFSMAFLSNMEGRDKEAMVEMISRAFPCEKNTSMKREKDNSIMIEGYEIERGESLPLEDNGYVITSTVKKNLKEMARIVSSKRLAVLLEGETSAGKTSIVVHLARRSGNVIRRINNHEQTDVQEYLGSYVPDSNGRLVFREGPLVEAVRKGYWVILDELNLAPSDVIETLNRLLDDNRELLIPETNEMIKAHPRFRLFATQNPAGSYAGRKRLSRALLSRFVVLLFPILPLNELSHMIAPSAANKMIDVLQKLRLERSLSGLFSSKDGLMTLRDVFRWGNRLSCDEGSDWQAAMLHHGFFLLAGRCRNDMDKKAVMHALQTILKRQIDEKLLFSRESSYFPSHLSFDNVILTFNMRRMLVLAWQAFLRNEAVLVVGETGDGKTRLAQTLGGTNMMTINCHERTECSDLLGRLRPATNGGFEWQDGVVISAMRRGTVLLMDEISLAEDSVLERLNPLFEEKRTILLTDSGAKTEEVTAEKTFNIIATMNPGGDYGKKELSKALRNRFTEIWSCSSFDRSELCSIFAMSVTNESDRVIDTAGIVIDWIDEFYRKFAYALRVAPSVRDIVACAEMWSALCGKGIEKDMALIETVSSVFIDGIPCQMTRSLTNIQDVQHEARTMMERRLSISHQSQIINQLLCCDGVVSLGHLSLPIGPLPSVIPNGFSLRAPTCIENMYRVIRALYINKPVLLEGSPGCGKSSLVMSLAFYLWISHHTTQSI >PPA06708 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig147:193585:193950:1 gene:PPA06708 transcript:PPA06708 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMIDEVRKHCGTRIEYTGDEKEMESQQGRERNSRQREVAIVIKESQGLGVNSRRGMTVNGEDLSRRSLTQLDPSNHKRKNIIRAVALARSIMIR >PPA06694 pep:known supercontig:P_pacificus-5.0:Ppa_Contig147:125381:128839:1 gene:PPA06694 transcript:PPA06694 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVKVINAAMFRPKKQEQDEGEGLTVHPKAEQLSRRYALTPDNREQQHQEQSDGCCGNDNVIIVPHVHISPNESHLVNRAISTGGGMLADLQRATIAAQAFYAENSEPTRNRSPWILKRLYEWSHRAKRREIIKHVQSALGSCVDHEFEDEELEKLLPVTCDDLFDLSETEPLSKERRKYLQAVWELFHTELIFLQKQLLVLRNVYKEPLKKCQVDGYLLTVEPDLLFGNLDQVGDKTETRLCRISRNFCQSFLTLLKEITAETAYECTDLVVELFERFSKGPSTISAYQAYCINYRATMEYLQSIRQKEERFTEFERICLADERCLRLQLEDLLIAPLQHITRLPILLREIYKYSEGEENKGKIERVIDTMTESLRSIDDSVQWLHNFERLQQLQNQVIWPNIVELDAKSYVPDFLKVALSRQFCENLLAHPRRKLIHEGPLTLVESGRLTDVYAFLFNDMFLLTKTKKCPSKIKVKGTSIGKTEHYIVQKQPVPLDSCVFCDADSAGEQPTTIALKFAFVIIHLTRYYQVVSICTLQAADREDKELWIEKFQESIENYESIQLKDMLKCTPLFSSLSLRRCSSSRLMTKSFIQKDDKGCCSKDAPSTPDTDDAHDFAPTLQRMASVKRSPTRKLAD >PPA06746 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig147:413525:414845:1 gene:PPA06746 transcript:PPA06746 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYIEGCGLPQVNCPPGPPGPPGANGDNGDNGEDGNNGAPGLPGVPIQEEKELPRGCIKCPPVFRS >PPA06748 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1472:262:878:-1 gene:PPA06748 transcript:PPA06748 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEASNTIRNATSALRSQFPSKVPSRGSFLEVSVDGCVRKASSSLSINGRSKNDSAVSSKISMSSDFDKPQVTVNGVASPPLQQQREQEEYQ >PPA06749 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1477:450:1456:-1 gene:PPA06749 transcript:PPA06749 gene_biotype:protein_coding transcript_biotype:protein_coding ARNAEKAMTTLVRWRRLKEEEKKGPVAKRPRDTKDCGNLSGAELFRREIAKRVANNFPHPAPFRHELCGNAATDSTRHTPRLLTSLRSSLNDRRLLVNVKA >PPA06753 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:23447:24239:-1 gene:PPA06753 transcript:PPA06753 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSHAVEENARVEGHQKPENEPETLLVLDKSTFGHIRVSGRSELKEKSKEATIVLIVIVCIFLVCNLWGFVLTFLEQIMGQTLFQDYATFYTFSREAINFLAIINSSINFVIYIVFGKEFRHLRPR >PPA06760 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:83683:90085:-1 gene:PPA06760 transcript:PPA06760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-deg-3 MCSICSSKIRPELQRNAHFRAEVSRRAAVAMQGSWLSSLTSLLFLLATETEGMIGAGRWNETETTLYSDVTSSVVNQDELPLIRLTRDILSRERYDKRVRPVYNHKKTLPIHISISLYQIIEVDEPSQNIKLNVWMIQRWKDEFLYWNPQEYGGIDRTVLPYNVLWIPDTYLYNSVRMARDETERYMNIQVETLHEKGENASQLSFLYPAIYTLTCRLNIRYFPYDQQNCTLTISSWTNSKSALDYYADPTVNLASFIPNEEWQVVKFDIYRHEYKYACCEEPWVIIQASLVIRRKPLYYLVNLIIPTSIITIVAITGFFTPASTDDDRTEKINLGITTLLAMSILMLMVSDQMPTTSEFVLPLYHHHYLDRNFLNLCRRQYGRCPPRLIRQLFFVWLTRLLWLDVPLPLKKLWEEMDDNPRKPRPLLRKTAKGSGKDLENNNLTIAVTNIPDRPSKPYFAEDSCVKTRAHKRARLPPSRVGTLRLPPLPVPAEKRGSIQMIDHSVPASPLIRSSRQGSMWDGAINALGTGASMSRGLSIKSNREKPIDQMKKQRQVSLEWEFLATILDRILLIAFVCAVCIITLGLMVVGKMAQV >PPA06807 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig148:306843:307647:1 gene:PPA06807 transcript:PPA06807 gene_biotype:protein_coding transcript_biotype:protein_coding MPFYLRKSTGAFLVFDVANRESFEALPRWYGELENACNISGLSLVLVGNKIDLEKERVMDEKEARTFAEEKSMIYVETSALQNRGIYEMAMMNLIVNRYELKHLPAQSAHDTVDVLWCPESTWNQVEMGFQVGIKYSTHAPFPQVGIKQAYLPAVSSTHLAYKVPFHSPVLIAFGNERA >PPA06794 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:254489:255649:1 gene:PPA06794 transcript:PPA06794 gene_biotype:protein_coding transcript_biotype:protein_coding MEREWKEMEERTQYEDQHPLGRTPTVIEDEKDRWVLLPSSPSILLKTNGGSVSEAQLVVRPSPPSMLVRHPLQSRWTLRYLEADHNKEWEDCLKCVSLFEAVVDFCALYFYTHTANGMNMREEHFLLSEKFGFEF >PPA06808 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:308587:309674:1 gene:PPA06808 transcript:PPA06808 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQPDLKAKVVFMGNSRVGKTSIIQRHDRREFTAETSATIGANFIHATIVYQEKKKLELEIWDTVGTERYSCMMPCYLRKSHGAFLVFDISNRDSFEAIARWYAELENACDISNLSLVLVGNKTDLAEERKVSEDEARALADEKSMVYVETSALQNRGISEMMRVMADLLIERLEFVFLTYPNREELDTVDVAMPERRHRCKCNEYRGT >PPA06812 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig148:374850:376175:-1 gene:PPA06812 transcript:PPA06812 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEHIVIKFNAETVYEITRFFSPPESIMFNQLLSLHLAGYDSIISNTLTGLKHAAAKRQRLILDIDSKMNEDERIRLQAYHRFSIVWKEMNVGIGKSFDDQSNKSLFHPISMHLQFHMCSIEDHNLPSFRVSGSLPELVLSIDEKSLMDLIKIGLSIPQNRANSTQEKVEKAVRRISLTNLNVKPIEYPKKTPVMFSYERPILEAHLFWEKTGCELLSGNKVVALFLLSGMNMKISKRMFDAQMIFQIGDIKLGSPMLKILEKAKSSDTLLKLNYDQAI >PPA06783 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig148:210285:212491:1 gene:PPA06783 transcript:PPA06783 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQPSSTGVFAVMILISTVKSHIFVYNLCEYSVPIWRDEVIGTTVIEVVDVSGDSFIEEDVPLVGLARVAALEPDGSFSFEKSSKKGDDEKNEDKKEDKSKAEGEVDKIDVKRSTMDNPDSVTQEQEAPPNDGSK >PPA06795 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig148:258496:259506:-1 gene:PPA06795 transcript:PPA06795 gene_biotype:protein_coding transcript_biotype:protein_coding MHCDNYASFIARTAKDIELLIDSIPIEENMNDLNKEELTTANDKRKELSDQLVDAMDDGEELLSHLREKLDQIAQVQINSRPNK >PPA06761 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig148:90919:91458:-1 gene:PPA06761 transcript:PPA06761 gene_biotype:protein_coding transcript_biotype:protein_coding MRQNRQYAQMEFEWLATVLERMCFVLFVVAFLIITVGINFVGFVHWYAAGVETSLAAQEEVVADNPTF >PPA06756 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:41940:46540:-1 gene:PPA06756 transcript:PPA06756 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGMRLCLVCTAKSDSAHFGVDSCRACAAFFRRTVSLGKRYICRQGTQNCEVNKDVHSKQGKKSSSEDRSNDGDNGEHSAGPSSHSHLLLPSDIDIKQEPSAFKQLSPSVISIGSSEEMPMLNRIQEQYRMTCCVRQNAEHAAILNVNAMNGVGAFLWHRQEDQNRPRPATWSSLNQCTSSAIDSMSEFASNCFDCFAEMEGEERWALIQHYITSMFIFEGSYRTKKLFPGNDDVFMISYITYIDLNDVDSFFTDATGVEDKPRAAQLLRDNIKNSLVDWMGTILERADLTETECIALYGLLMFPPYLAKGTPRIAKYTHEIHQTIHRELNIFYKEKMRLEDTSARFAHLTAIQLCVQGIVYKIKEDMEIYRLLNIFDENSYVYTVVQRDYTPHKY >PPA06803 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:287740:288708:1 gene:PPA06803 transcript:PPA06803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-snr-3 MKLVRFLMKLSHETVTVELKNGTQVTGTIAGVDVAMNTHLKSVKMQQKNRDPMNLESLTVRGNNIRYIILPDSCPLDTLLVDEEPRKKAAKRAAGRGGRGGMRGGMRGRGGRGGRGGPRGGGRGGPPRR >PPA06759 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:80266:82470:1 gene:PPA06759 transcript:PPA06759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hot-7 MAMKKEKPLMLAFSPHYGRFFSFPREVQDGYSGSVRKCDSEDAPSIECPSLCFSLNVSSSHGKRNGEALPYGNSYGCSSQVLPEVLDLDRPGCTLVDIVLKTVPPYKVQAQYCTCAGDDCNPVTVVNHSRPKGGLTSVTYEQDIDGSAHSVNHKKATIS >PPA06778 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig148:195035:199389:1 gene:PPA06778 transcript:PPA06778 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIVVWLVVFLHFTNAEPPNLLLQGAEYLRKVEMAMKEHSHVWERAVLDKENLLDARKIIEAMEQNPQRLSKECSEDIGLIYKAIIELELGALTGNATLTDFDRQVILPIYDFTVLDSAGRIGPALLRGHLYFPGHFSECKAVDFEVEGRTRRFKGEYFRIHIDVAFRDNSVNGSCEKTAVIFKFGVCLPAGCTSADLNAVFNPELGEPAFPNPVCIVQRTNDDIVPMDAGFYVTVSVMGVIAVIGIAAGVVDYFFGEIAEKAGVTNGNPFDIAKMLHDFLSEFIQNAYFAVDSFFFMSGLLLTFIWFKNYQKNPAATNSPISWVILSPPFYMMIAFYTWVLKQLYFDLPINMTPLETWWIEMLYVHNWWQNDKTCMGISWYLASEMQMFLFTPLLIIPLAIKPVIGFIVAAIALIISTAANIFLVYYYHWPTNGAYLFPPDPEMKDYGQDYAMLMYDSPLIRCQIYIMGMLVGWFLQHKKTMRINPLINLAGWMFGLSLMLCVVLGLHDQSNGFYIPIFWRAMYSSLSRIAFGVGLTWIIVSCWYGYGDDEMHTGPINKFMSWHIWIPLGRLTFCGYLTHIPVMSLILGQSTDQVFFTTFLEAFITRVVSTIAVTFLVSTFWSALFEISFGKIQMILLGGLRPSAGEKKQKNVEESWTSTQSKEDVKVERL >PPA06804 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig148:293642:295720:-1 gene:PPA06804 transcript:PPA06804 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRLSFFSGHSATAVYGAVFVVLYLQSRLGHRVGSKLLLPIVQTIVILSALLTCYSRITDNWHHWSDVLAGVIVGALVAIYTYRKLTYRTPLLQAAFWGGFFDRRKGYAPLNDPAGVNILARTITRDAAARNRAESNEAFDPHNQSSAGYGLIMLCIHRFRYRIY >PPA06781 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:204158:206722:-1 gene:PPA06781 transcript:PPA06781 gene_biotype:protein_coding transcript_biotype:protein_coding MRDHSSTWEQMIVHKESIFDARKIIDVLGENSDMDIDLYLRSIIALEFGTLEGDRNLTDFDREVILPMLDSAGRIGPAILRGHTYFAGHFSECKAVDFAMEEGARRFKGEYFRITVDAAMRENAVNDSCEALTFKFGVCLPSSCSSADLMAVFRPETGDPAWPNPVCEVKRTNDDVPPFDAGFYVVASIMGIIATISLLAGVADYFFGDIALKTGISKANPFDILKMGKDFFSEIIMQAYFAVDSFFFMSGVLLTFIWFKNYHKNPKATNSPISWILFYVHRIIRLSPPYYMIVVFYTFVYKQLLVNLPINMSPLYQDYCRETWYIEFFYAHNWWQQDKQCLGITWYLASEMQIFLFTPLLIIPLAIKQAIGFVVAAIALVISTATNIFLVYYYHWPTNGAYFFTPDPEMTHYL >PPA06755 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:30097:30304:-1 gene:PPA06755 transcript:PPA06755 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVAAAVTDAATTAAENLSSNVSEEVTRALLCSLEPHDWLERVIALTPCET >PPA06780 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig148:203129:204009:-1 gene:PPA06780 transcript:PPA06780 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLVGWLLQNKKTMKIHPLINLAGWVLGLSLMLCVVLGLHDQSNGFYIPIFWRAMYSALSRIAWGVGLSWIIISCWYGYGGPINKFMSWHIWIPFGRLTYCGYLTHIPVMFFILGQSTDTVFFTTFLEAFITRVVSTIAVTFLVATFWSSLFEISFGKLQMILLGGLRPSIKEKKQEDVEDTWTTKTNDNEKTSL >PPA06787 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:230628:235267:1 gene:PPA06787 transcript:PPA06787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-enol-1 MPITKIHARQIYDSRGNPTVEVDLYTEKGVFRAAVPSGASTGVHEALELRDKDKAVHHGKGVLKAVANINEKIAPALIAKGIDVTEQRAIDEFMLALDGTENKSNLGANAILGVSLAVAKAGAVHKGLPLYKYIAQLAGTDKVVLPVPAFNVINGGSHAGNKLAMQEFMILPTGAASFSEAMRMGSEVYHHLKDEIKKRYGLDATAVGDEGGFAPNIQDNKEGLDLLNTAIAKAGYTGKIKIGMDVAASEFYKDGKYDLDFKNPASDPSKWLSGDELAALYQTFIKEYPVVSIEDGFDQDDWENWGKLMTSTSIQLVGDDLTVTNPKRIQMAVEKKSCNCLLLKVNQIGSVTESIEAAKLSRKNGWGVMVSHRSGETEDTFIADLVVGLATGQIKTGAPCRSERLAKYNQLLRIEEEMGADAVYAGEKFRNPQA >PPA06772 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig148:165214:165672:1 gene:PPA06772 transcript:PPA06772 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVPCHSTDYDVPVAVAVAKRDLEKREESSEVVEKLESADEIVEKSEAVVSKRERSKINGGLYRNLPYPQEKRESAEEETDCGGMGGKKLQAG >PPA06776 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig148:185832:186586:-1 gene:PPA06776 transcript:PPA06776 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLTICTLTVALCISGGFQQGYIASVLNQPYIYIENFINDTIHERIGVRPSEHALVS >PPA06800 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:275442:278031:1 gene:PPA06800 transcript:PPA06800 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGGQQQLLVDSLKKYEDRLELNQHTSGDWNLLNSFNYAYGLLLTLGHDAKIPETLGGQVFSLIYVLLGVPLFFGTVFVVVRYTIFPLFNPLLATARRRSLAFFLVAAFLTLWTVLLSLFLYSRATRDYWTALYVAVFATMTIQINRNLSTPTLPPLYLLSILLFITVSLALLILLALILCDAYAKCCTRRVGSHADDAVAAAEASAHKPHHPPKVTVIVDEAGESHIAK >PPA06792 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:247924:249705:-1 gene:PPA06792 transcript:PPA06792 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLKHEVGKDFVLVPSMGVSRQLYGNCTIASAEIDACGRAFKECAKTYNHRPTAGGGRVIEPYECANMGTDCIELVIYLDRSLDCAVEVGYAIGIMDAEWKKIVDGKLEWKEEEDEGKDGDKEDHGMPINPFAIIIDEMQKEWGVSWWAAFGILVALVAALIATCSCICYCCAAAGLKRQRQKRRALRRRREQLKERGADRYSTVEHGGTDGDGMRRDEGRDQEGRGGIEEGPP >PPA06768 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:141019:144265:-1 gene:PPA06768 transcript:PPA06768 gene_biotype:protein_coding transcript_biotype:protein_coding MIRWIFFIAALLVGLAECADRVLLKDVTAITLTEGARTNGRRSSSVPQLTCIGGSAHGKVQEPRIVQCKNVGFDGADVQWECKAELDSRVKFGRISVSCEGYNHPDDPFVLRGSCGLEYELDWKSASERGESKGSSSSTTGESVITFLSIAFIACVVIYMIYNAMSGDPAAPDPPGFRRFGTGFDNGPGGPPGGGGGHPGYPGSGPPPRPNCNSYETKKCQVYDDAFGKGTYSSSAGARRGNDGPGFWTGAGLGALGGYFMGRQQNEGARFRGFNHGSSGFNSAPTYDDGPSTSSRSSQPSRSTATEMNSNR >PPA06815 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig148:380704:382484:1 gene:PPA06815 transcript:PPA06815 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSTDHRESAGRSQQQGLIPCRDLLHRGGATKRMYRLKKDKEREDPEYREKRDKNNEAVERSREKKKRQEREEKEKSLAMREIIKFTMKSSMKDFSKRSVTSSDPDSHESPLPIDELNRFSNPQAVLSPVNETPNSTTDDCVSPKKDNVDHAETSVKKRRSETTDGLTDMKSFFKRFVPTSDPKDLSVQTLPLEEEISESSDDGFVKRKRQGEMNKETEQHNSEDESTRKDKDAEVKNTRKKKNNGKK >PPA06816 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig148:383195:383751:1 gene:PPA06816 transcript:PPA06816 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFLRFMPRNSAKFRFPTPHPDAYNDEIQQKRKKNITVVQSSRQKKMKEKK >PPA06790 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig148:242653:243314:-1 gene:PPA06790 transcript:PPA06790 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDISTRKTIRNAIEILNKQENIHTGERPYVCEHCGKGFTRVDAKNGHTKKCAERDQSSSRLPLEEILGALEAGSLATTAAYIDNDDD >PPA06771 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig148:150683:162800:1 gene:PPA06771 transcript:PPA06771 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFEKAGSSLIGTELAETLKTLAEMRQAENCLCRPEIDFLHRRLPSLEGVQSRLYSLLQLQLWELLPPFFESPSDFETTFPTLAPILGTAILERKDLRMIVLQGVRAALGFVHQPDAPAARVETMARFAKNFQPILFNLYTEGAEDDHDDRQRRLSALETIRLYAESTPRELIAKYIGSALAKMDETDSDASKQARIADIVTALVKNADPETTKTVLSRSLVWSEKQAKKKEHLQKKAYRIIDEIMKRKGESDLDAVFAHFGDAIVEAVTRPVEQVVAQARGAQMAAARLVLAACSDLPMLQQLSLAILKNVIPALDKSQSTSTRSSASKTLQEMLTLLVAAGIDNGQAASTVLAPLLTHIFESTTPRAGGTGEVKIETAQGSLVALNIIAQKQVKNMNASHTSQMVSHSTTWMVDGRAAVRLLAIRLMRVLLQKMSSFMVDQFRELILTSFFGQNTEDTTIKIRKANRLLLGVIMERMGVHTVQRCAADKPEWVRVVKSMEKEQRRKERQSLRGVNGGEDDGEDDALSTATSRTSARTAGADTILGMLEDSDDDEEDKDSEVQSRVSRRSSVWLKEDNETSALDLLDRKNLANKVSTSDPFAANRRKEKAIARRDKNHGFKIGDDGKIVIELDEIDYRRKKKEDEDDEVPDKRRRVDGGSDDEDSLLADDNDEKKSSWRPGGQGIHRDTSKSVVSGASGMSRMSKVSRASTTKSGRGGRKDDNKKKDKKGVQPYAYVPLSKLRKGQGAKQTQIGAQVSRWSQAQGSR >PPA06782 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:207428:209564:-1 gene:PPA06782 transcript:PPA06782 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFEMGATSGNLTDFDRQVLLPMLDSSFRIGPAILRGHTYFAGHFSECKEIDYAVEVLEFNFGVCLPSSCSSADLLGIFKPESGSPSFTNPVCAVQRTNDNVPDLDAGFYIAVCVIFIKLLKLVRMGGIALISILADAVDYFFSETAKRAGITTATSWRLFMAFSLYGNVASIFDVSTANKDGQIGPIHCIRFFSMCWVVMGHFFSNYIVLSANPFDIFKIGKDLFSEFIMNAYFVFLANPFDIFKMGKDLFSEFIMNAYFAVDSFFLMSGCSLLSGKFKKSRITMTNFVSVHHSMRLHNRCYFSRGNILECYI >PPA06806 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:301705:305150:1 gene:PPA06806 transcript:PPA06806 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSGAVGCAVDLRSERKWGMIMCLLGNNFSIIASCGLFAICLSIERTAWVYTVCLLLGMLFCSSYRVFVMAEKNLSSRDWVLVLVNDKEEGRALAKTNAILTTLDQLANVLSPLLLGALLSFVSLTTTCIVLAGCSIVSLVIKGALLASLYGSNERLRVKEDKSEEDKPELPASKLEEEEPSIGKRIATLFTVLVTYYRQTVFPAAFGMALLFMTVLGFDGLAIGYGESVGLPENILGFFRSFGSAAGVAGAIMYAVFERKYGVLKTGMVGMITQQICLILAVISIWLPGSPWNPSSYFDTLTWSSWWQSFIGSFAPSPEAPTASPPPPATIDWSTWTTSEGASVASIFSFLIGISTARFGLWMADLAITHIMQIATPESQRNTVFGVHNAICQAFSVMKDLLVIALPSPSTFGLCILISFGFVCTGFAAYIYYVIKFLKIRRSNYECYGKAEANQSKTNE >PPA06809 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:340539:342681:-1 gene:PPA06809 transcript:PPA06809 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDDVTAAMYQHLWEDGCEIKDQSSKSHDSNLRFISPLPRAMAELTNEWLSLDRKIVLGLKSLMAIDAIGNPVQFVREIGRGFEGAAIAVKEGIKKRKASRVAKYMLKSAGLLSGHTFGGTTGALGKVVQTLGKGASTLTFDSEYQKKRQNEIFRRPKAFIKEVPHSFIVFGRSLLGGGTGLINKPTRAVKDNGVASLPVGIGKGLIGAVVKPVSSTLDLTSSMIHQVRHATSIQWEPEPMRPSRRLEEDCILRPFNLYESIGNAIFKETDDGYWMDSDEFIAHGSVKEDEVILVTDKTFIFTKLGAVWSSQWTVDYSNIKELHPLQGGVKIVTASTKKLFKGGNGKIVMYNNAEVNENCMIEFNDFPL >PPA06786 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:221860:227295:-1 gene:PPA06786 transcript:PPA06786 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGRIGISTREGSSSCQQCKSVPLQLECDGCTPLTPAADADGCPTLTCPNKKWTLTGKEIIVQGDQDTIECKQSEADATKYNWFLKEVELEKAACMEKIDCGKRFAATPKYPTVPATCTPATDKCTIEGLGTDKLKCKTGHHFKFDTTVDGGDVFELECDHLTGRFRKNGTSDGQGLNFTSIECVGENISKVKQEEGGLSTGGGDMNLYIECKSGDQRSIFNSPRKKKAAAAAKTQTASVKKADKTDASTNKSTASSVSSMAPDIVVESSDGKKKSGSRKSKGSKKEKKFYLEDYETWDNEKFNKQILPNFKYCEFDAQTKWVRNCLGRFYAYCNTKKTKEDLGDIDISTISIAQTPESGTFQQFWLLVKQCADDKCVNAGVWYELFRIVFTMGYSIAEKNEHLDNMRILLIKPFETYMLEHLKICDFFKQKDEPRHVTEMRVICISILIHTRHPEVTDNLRKLTFNEKLEVPLRMLTAACYTRERDEIGTGIQQQYIRVCEQGIVDPHCSEGWGTYLQHCIYGLGGFLITLKEAYQTYLFDAIYQFPMQKSETEPRRFSPTDWLYAYKGACLHENSAKIAMEMFEKINAGSLLHIETLLKQKYEDPDERGRAREMYKRDMKEYTLLLSTLLIGEEEFKTFQPHLRFVILFVGDQAKCEEPLDEDRIRERAINLHNMIRDRNFAKAQFCFREVSIITAYKAFLTPNNKTLSDCKKICSNEQWADRCIGVRLSPKGEYALATATIHSGYQCKAVYTLWEKFDCDSFHTSKTLIV >PPA06765 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:112104:112975:-1 gene:PPA06765 transcript:PPA06765 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGCFCDCFGPFKWPGRQDEYDKDVDPEDIERSLAKVRAYEERQRCGANSIDRSHTTNASLPIHSSPFGRPLNEVHRPLVVPSVSSGCAAPYDQTR >PPA06758 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:74588:77039:-1 gene:PPA06758 transcript:PPA06758 gene_biotype:protein_coding transcript_biotype:protein_coding MANTTSISSVVNNLSNQSSRLIDTIERLGDAIPHGSALGDAMLNLMDIVLEMDNTIEDTQRVLAYMGRRSMRGRRLNDEEQEELMQSRRERRRWEEEKQTMERKIYDRKGESAANVVVVGAPADVVQQKAEPPVSIPPPKPERRQLQPKLVLNLDFTPECESTTFKDSMQLSAAPKKVASCVDLNKKTPVASDLNSTIQLSDSPEAPNEGPLCSTYREERSIYHTPATSKKMRRRSSSLGDVMKKIFTPKQKVKNPILEEIAD >PPA06775 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:179634:183699:-1 gene:PPA06775 transcript:PPA06775 gene_biotype:protein_coding transcript_biotype:protein_coding MFPIASIAGQFLAAWSCRRFGRKGTALLSCILYLPGTILSSLAEPARSFELLFIGRILWSLANGVNSVNATVWIVECAPPSIRGGMAAMQEFSMAVGSLLCQSLGLPFSTPTLWPLIFLPNVPLVLIAALLFCIARASLARYYGVSPDSPLIDDELVPNKKKSKLDQNTENQGISWIFNPFGLDDDKMRVIQQAAWLGVMVKIAYVFTGARCLRSYSTFVLHYLSHFTLDAATLGSWIISLIRIPSTLIPVFFVDRIGRRPLIIFSLIVTLFSLALLIASIMIGDSMKYASFVSFAVLLLVNAAGLGSVSRFYAAELVPRSLLLEAVSILAGLEAFTKIIVEFAFFPVANVIGVYSLLIFVVPSFVFLITIWALCPETSGRNVYQVLNDIANRKNLKNTNICLSIPYTLSSL >PPA06784 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:213512:215232:-1 gene:PPA06784 transcript:PPA06784 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEEIRSNDHVFAGLILLLVGAIGFVANVIGVYTVYRCRHLHNSFGFLCLSHCIANSGVCLTFAAWCAPTTILEAGLQLTSSTLGKHVGQAKNFFTTPVICVFIGVPWVLAVCHIVPYFWVNECFIYYDPMTWTWDYAETLCGNYISIYFDFYTGLGVFSAMFVFDMGTLLKLKIIHHKAAVFTDVRWRQRKQETRFFCQSLCQSAIFGIELVCFYFISTLPDNQWKMSWNT >PPA06805 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:296949:298794:-1 gene:PPA06805 transcript:PPA06805 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMTQEINVVAVFINICCLYGFGASSYIVPWFTGAFQRGFFCDDETILYKFKPNTVPVSWLMAVSIGATITAIMMTEYFIMYKMEKSLSRPSYRWRNGHVHAYFVESLVYFGYAQIGFIVQLVITQATKYSVGRLRPHFIDVCKPIGYNCTNQNQYISEYTCTGDLSRIKEIQTENE >PPA06791 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:244465:247596:-1 gene:PPA06791 transcript:PPA06791 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRILHSGRLFKAICFAGVAVALIGAHYFLTFINCTFMFAHLSLNVHFAMDLEMSRCHGRNITGDPSAMNSTQSFDRLPAGCCKGGGDNTPATPGREQKERTCVQRCFASFHVSLIGAHCFLTLINAAFLFTHVSLKKIETDKYNNVTDSDYLTKEPNAQIITAVILLLINIITLIVLTIPFCVNNRKLTKFALAWCAVLFAGSIAAFICLRNSLDVYTTDTLLLSTALLAIIEGVSMFLKYFYQGCCSDTPSADVSTARSEPSSKPPQFPAK >PPA06764 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig148:101425:102022:-1 gene:PPA06764 transcript:PPA06764 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLWLLLSVAGEREIGELREARDDERARENERAFEVLDLLDKYDKKAKPIWDNSKPINVSFSMDLYQILELNEPQQYILLNAWIIERWYDEFLYWDPKDYDNITELRLPYDTIWLPDTTLYNS >PPA06752 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:14482:16485:-1 gene:PPA06752 transcript:PPA06752 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGTLPPANGEPILGTNWTEAIIKRETGCMIDLANENSRRIERAEQRINLQYVRMINVCNKVLPANSDWMIGLRKAFVSMGLVSEGDADNQVLSIDLCSSRPKNKVFVTKGEELTRDKSKYFLGILIRYNESVGRNSYVVKLPNSGQLDRIILENKDKANDWKRKMKHIENTELDAGSLLSKM >PPA06814 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig148:377721:380099:1 gene:PPA06814 transcript:PPA06814 gene_biotype:protein_coding transcript_biotype:protein_coding MDQITHPFVIVKKRGDRAVAVPVEIEVFELDLDSYSLLSHIRHVDFGFARVKYLFPFSPAPPPLMLGHPLEGCAHEQ >PPA06785 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:216099:218882:-1 gene:PPA06785 transcript:PPA06785 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGKAEVITSEEIGKRIGQLNILFWDACVYSHFSISINRILFLNNDDQFARDDDLNIDDPSFDNDIDAIHAPTSTPSTITETKTDLSLIDQCYIAYDAESWTWNFALTECGNIISMYFDFTTGMVVMGLMICFDMGTLLNLRRLHKGLLEAALSRGDFSRKRALETKFFMQSLCQSAIFAFELSSFYFISTLAQDKWQMFWLTTMAWVGCHAGDGLILDLFHAKKALQRVRDERSINRDSRINVVLKAHHSTPLCLSRLLSVRPYYV >PPA06777 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:192773:193147:-1 gene:PPA06777 transcript:PPA06777 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKHCLILSLLLIVSGALEQSEFFTASLSFYDLEPGVTIITGNSSDLIVAVSKPSLPSLFLLEEEDDNDPLYHEEF >PPA06810 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig148:370842:372459:-1 gene:PPA06810 transcript:PPA06810 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIELVIIESSTVCSSQSLILSFDIEAHPENGSPTILAGINNLVMYTAASEDRKHITYELDLHTLDIHIAPSIIRLMSNVSKTFTEASSLFDTFDCEEFLQCSKELRIEVDTKACTGAPKLQKY >PPA06751 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:7632:10466:-1 gene:PPA06751 transcript:PPA06751 gene_biotype:protein_coding transcript_biotype:protein_coding MMQVNSSDPSVPATDSACDPEIINTQESGNGTHSYGKVDNTESPITCSASDSLMVIRADGICSLCERLEEVNCWMYSTIIIPPKTTTAPVEVAPLSAVVIAGIVIAVIVIVVAIGCTLFYWHIKWRFRRQYEANEEMKRKLEKEEEEAEKSRAQTELEKRMTLDQRMDYEENKKKRVEGR >PPA06793 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:250593:252775:-1 gene:PPA06793 transcript:PPA06793 gene_biotype:protein_coding transcript_biotype:protein_coding MESWNSVVISDDTKAFAWSGVMKAFKEDSSVPKYAKALLELLIEERREILDTQQRMVKIIDDMGRKVTDLSSAQFTSKSGESSSNQTPANSDSSNEVLDSSNGSINMHSIVDSHQSTTVEIVTGEPLFYDSFPTSSLRLAKDEMKEEEEDDYEDYTKAAFKRLQASSAKLIRNSFGASSSTGPSSSKRKRPHSSGDISSTPIPASFSSGYNCRYQDCEETFESASELEEHVKGHIYKQKHHSGRNVYHSVLCMTKYMISET >PPA06802 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:284783:287175:-1 gene:PPA06802 transcript:PPA06802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rsp-5 MGKARVYLGRIPYAARHRDIEDFFRGYGKVTDIALKHGFAFIEFDSYRDAEEAVDDLHGKTILGDRVTCEFAKGTPRGSDAWRYDGRRSPLRSSRRSRSRSRSRSKSRSRSRDRKRSRSRSRDRSRRKRSRSRSKDRSRRKSRSPRKRSRSRSPRRSRRSRSRSASRSVSRSKSRSPVRSPSPKKDRKDTKQSHSPQPSPQSSPPQPQFEPTSPPLPSNGSHEQQQSPAEEPLPAPPVRRGPKTPPTPECQSQGARFPPVNGPLPPIRTSNCSISPTAIGDIESAAHTITDRDRSRSRSRSKDRKESRSRSRSPARSPARSRSRSPVRSPARSPAHNGDTNGNGKEETKRESRSRSPSRSRSRSASPMNGDDEKTGRSPGSVGSPKRDSRSASPEE >PPA06750 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig148:4836:5618:-1 gene:PPA06750 transcript:PPA06750 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVNSSDPSVPATDIRNIFANNPNEVEIVISGKTETIQGELNADFWDQITAAKTCDPEIITTKNQGSGTHAYGKIV >PPA06801 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:279051:280562:-1 gene:PPA06801 transcript:PPA06801 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEEVAAVVVAEEEKVEVPSDVPEVVEAVAAEADEEVSQEAPEEASVDSADADNEAAEATGDDETSKDGEEAPEAPETEEEEKKEEQEEAKGENGSAAAAAADANGNDRKRAVEEAEAAEEEEAPECKKAKAAEEEVAAPDEPVAAQ >PPA06757 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:54276:72680:-1 gene:PPA06757 transcript:PPA06757 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTLRMSHDQRKKELLNELNIVLKAAASHRRSNKEPLAAELTANVCSVVEAVLIHGLRDPFFLRGSRYAKYPEPNFWPFVSKFTPRSVITQVQALNLIKTEIGKSRFWALPITGAEGDKSKDSKEKERRAIARKGMTFNRRLRKRRSNDQRFERKRADESVAWVRIVLNEGGLEHYLGVLGDEKKALGQFYSEDAFIRDGERVVILKDLLKSLNGVTITAPTNSSLLNTWTPSPLILAGLVDGKPLRVGAILHRRISSKEDSTAAETGICALELFSSSEVPTGSSPASTGLLSSTFRVPLSLSLGGTNGNQVASSPSPQSMLFMRAGLQKPSPRGKFEEGDDNSSVYSHPSMVTESRAANGVRAAGGYMEEFAVSSDGLVQRRTKRRRNMSKSSSDGSGSGRMRKAAAAASGAASSLPQLTRPTPPTAAAADSLDGETEARRRRRENSGERRDSGVESEVNNASVSGCPTISSSNEETEEKEEQKRDEKEEETEEPYSSHHVDDETETMFEMSGDAETLKEMEGRGEEDREQGSTELPGGEERSKLHSDEYAEEEEMASTSSSTAALLSSLQQQQQQLAEDEGVASLSGNSLQGRSWRHAQRYASSLAGSSIAPADTPSSSEQAMMMMDESFEGAEMAFSPSDPTPLSTSSDTDGSLPAAVSFGAALRQHVVQQAGGTDVLIRKRDFSDSTEDGEDDVEDEEATLARKEAEEQETMRKLTVIATESGLDAQEFRCIMCKSTIGTPSYRAYSVCALDGRYYCSECWQQGAERVVPSRMITSWDVRVRKVSPRSRVFLDSIADKPMLHMDKANPSIYAHSAAMKEVKDLREKLQLVAMYLFNCRESVAADLRRRLAPREYFIDDVHLYSYNDLLTVVSGSMARQLKIMLKYAIGHVFDCALCSQKGFHCEMCNDRTVIYPFQTDLTHRCGECYAVSHIACFEKTHECVKCERRRKYSATRQATHLEIDSLFVPKLIARNNHSVTLGQRLQVERGRKIDSPITH >PPA06766 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:132590:135083:1 gene:PPA06766 transcript:PPA06766 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKASLNAHKGSEHQGVCFSELHKLIHAKAKQDKNSRFKCEVCGKLHVSYVALTLHKKKLHSPESPPKKAQPCSCESSASEFTDLHFNCPIDNFCSDSLISGSCGKTCHSSGSFHSSPYDDTDSSIVIGSHSCAGSLFDFNNGGSVLCSYSTPSSVLSTSNACNVPSFACVGCDSSAVRYFDYDADYYQILCESGLIHTPITLPTSLYLNKNTCAVSGGEDSSKTITSVSCKGRTPLMRKRPCATAGMLLFDQEMYETYIKLSINPFYAADSPIQSTSFDQKATLYGRKYLV >PPA06770 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig148:145272:150084:1 gene:PPA06770 transcript:PPA06770 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGRSNGDGLGAKSMVSEGRLTSLTAFTSCTNPNFDAVHRVWKSGSNMQTEVVAVLAAVAEIIKEKGGTESDVEYMAALLVTLEGLDQSEPGRVAATAYLLHLIIKKVPREILQANFTSTANILYTKILEHTGSDESSILKNLLTVLGVVLRAQPAPVFNNPQTKNMIVSVCALCTHERPWVRTMARRVARAVLTDPVTALDNGLHAAAAPVAQFILQQLQQCIGTKNGVLMSTRWLCLLEGIMHKFPAALFKQLTEVILRSFTIIDPTVKCSAMQCLQRALERQPCDTALTAETCELLVESLRGLAPPTADITVVAHWMQAITEAQICLSTKDASRSSSILQETTECLAKHFSLAHEQLAQLTYQLLSRIIDCCVQEDENAAKNLLGLLHRSLHVQSAPVWRIPCTLMSQPDHIVLAELQLLILPWLG >PPA06773 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:167907:175383:1 gene:PPA06773 transcript:PPA06773 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sap-1 MGIATSRGIIRDFAGSYYVSEDEMGFGWPTRIWQLDERMVPGGAEIYDSAVRFASDEYKNHVGSPLHLAAAMVRMSVELLAEAHQYINTVKERELNLRNFKIPVIENMGVTQDQFDYIDMSDNDVKKLDNFPLLKRLQALYLHNNRVQFISSNLGESLPKLKTLTLTNNNLCELGDIDGLATCEKLEYLTLIGNPITHKPHYRSYVIFKLPQVRVLDFKRIRLAEREEAKKQFKGKKNAKKRAEVAKHSEMPVDELAAELEKSGVRGGSRIVNEEDKQKIKDAIKNAKNLVEIEYLQQILASGRIPEKGWNRQMDLTEKTNGAEPANGEFQAEEEEAMEQQLWPGSDRF >PPA06789 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:238004:242008:-1 gene:PPA06789 transcript:PPA06789 gene_biotype:protein_coding transcript_biotype:protein_coding MESVWERFAPKLVKKEEPVDEDNDLDVIKVVRPNPAKKRGTGTIGSEGEGRAGAGGGDRPDSLEKQLIDIPTDRLAVAVSCAFTLPSLHKKETIMDTSSTSLADISLLLLADAAVPHYASAIVAALINTLKTQVETIQADVRSIRESIEELKEMKREERRESEHHSHQRDQEAGEQNRSDAPNKRDLAFSVYLREMKSEPGETGGQRGDEEEEEDDDEEMNEEDEEDDDQPGPSAATAAAAAGHSLQQPDFSSMAALLMSSLPSLANQFTMNQMPTLSSSSSLQQQQPCSSSSSAADAAAAAREETATPGSSKRIILPCPRSGCEVLLYSQLGFSAHLRIHDGKMPFECTNCGREFRLRDGLVKHMRTHTGERPYPCQVCGKNFKRIHHRKKHLQQHIGTETTSTVPQ >PPA06769 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig148:144664:145110:1 gene:PPA06769 transcript:PPA06769 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFRVRPGGAKIKKRYAPGKGSESNPEKNRHRAAANAMRNANILQEGTLAVDDRAEMSATDIVIDQLNLVCISPIFIIISRTDCVLISKHQ >PPA06813 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig148:376433:379048:-1 gene:PPA06813 transcript:PPA06813 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSPFKLRFGKVGKIDLGVTSLTITCTDLYLIIVPNKGIEYDEAKEKKNAKELKLKALEQIEAAREAERGKKCESGSGIFDWFTNKAMHIKIIINNVHIRYEDEFSNVEAPFSVGITIKQIRILVEFEDFNLYWNCNSTIASLLDNNERMLKRMKVEIESGTNAYVIKSWDPFCALYYVKSPEKHQWKIAQVVVATDRFSKAENIGNITDLVKEYEKYYFDKRTSSISKDKQNVIEELEEKLDLWNISLARTRVEMEIDRRKLTRIEDQGLADRVSSIFKSGSHTNVQQSLEKKDRAKLADSCEKLANASLFPKDYVDKEMGIIANEIRLSLDDSISVVLSEIKACVTMRSGAAAMEYV >PPA06811 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:372582:374770:-1 gene:PPA06811 transcript:PPA06811 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMVMKYAKVDCNLFQEPLIELSAKLRKVSNHVNALIAELPTEEQRRNAKSVVSEAAASITEKTLDYKIYSEIHYRSRFVGIRVNIESKKTLETVANIEDFQLDGIVQSEYSKYQVNLKGITVEDATVGAIHRNVLTTEIGNRNLMLDCSLEQYLKPNKTATEPDSKVTFRSNHYQISKPTVGGSLRALNQFKQEHNQALLAANVKINTAYVRLSKDQNAMLMGISSGNLQEKVDNDNDTVIAFNSKEDKLDFVVSSSSISKSTAIALSVEFVAEKLGIALVDEKLSSFASFQCNGLVADYIALESGLTRSQVKLKTLVAEEIIPNASANGFIEIRNQQASNMFDLTFVQCKETGYKLNVASMPLTVYFNPKFLGTLQKFFAVESVEQLEKDK >PPA06779 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:201506:202675:-1 gene:PPA06779 transcript:PPA06779 gene_biotype:protein_coding transcript_biotype:protein_coding MANLASIFAEHELTPNIIPNAPAQVLNCTWDGIQVQPGQTMSARNLKNAPRFTLKVDPESTFSLVMIDPDNLSRKNPSVAEWLHWLVANIPASNVIEGINGGQHQMAYGSPGPQPRTGLHRYLIVLFEHQGRRIQVPKMDSRAKFSLKKFMEKHNLG >PPA06763 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:99427:100107:-1 gene:PPA06763 transcript:PPA06763 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRPFSRQPNRRKASLIELLYPTIYKFSCLLNLRFFPFDVQICTMTFSSWTYDQKGIDYFPYSNKIGTTNFLENEGWYMMDTKVVVIS >PPA06767 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig148:138846:140768:1 gene:PPA06767 transcript:PPA06767 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDCWHISDVSNGRDGTSGVASCEAEPQDMVKFRCDLCGSYFATEFLFASHKFRHTIDSDDEEETPSNSSGKSNGHSVVQIHPTNNEGKKFAPPSTLQLNSPSTSNEIQDHEPDSQEKTDSTVSDLKCELTSTNQSFYSQSGFLFEKTLS >PPA06754 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:27333:28484:-1 gene:PPA06754 transcript:PPA06754 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPNNLYLTALAVFDSCLLLTAFFIYAMEYIIEYTQHFDLYIAWLTYLRFAFALSHISQTGSVYITVTVSIERYFAVCHPRSPTPNSNLFDGQSPDAVFVCVCVCVH >PPA06797 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:262411:265436:1 gene:PPA06797 transcript:PPA06797 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dnj-23 MGLLDDCESHFGTRNLYEILHVEKDIDAKKLKKAYYTQSLKWHPDRFSSDDADETKKEVATEKFQILSRAYEILNDKGSRALYDETGSVGDDGEGMSEEDFERCVKMWRGQFKKVTKEDIDAFFTTYTGSEEEKSDVKAAYEKCKGDMDKIVEHVPSEDNDEERLVSIIKELIEEGELKETKKFKTSSAPAKVEARKRKAVEEAKEAEKELKKIKQKEGDGDLAALILRRQGERANQATSFLAGLEEKYGGGGAKKGAKKAKK >PPA06799 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig148:273342:274282:1 gene:PPA06799 transcript:PPA06799 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVMASGAEPTERDALRADDDADAAHASGGRRVTRPLRALAANLERANKASKKEKNMGDVMLLERLRRSTADVFASRLVRRLAPALLFLALVIYLFLGATAFFFFENSHHEAVSADEDDASY >PPA06774 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:177734:179007:1 gene:PPA06774 transcript:PPA06774 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPLEHSNSEHQSILICKLTIVDIDYYVLIMRPKRRRLQPEIQSAEQRTVISANEEAMEVNVDENNNVPEEKIHYFGPGRSWSASWIYKSKDDLKKDVIQWEMTVFKSKCRIDEADRIEANAHRAIQDGYFDQSDVNDITKMCSEMRLRGGPSLDEVLVYLEEWRQRMGDSDAAPFILPCGQRLGSGDSHDCKGSKGKNSLIHQKWIDSCSETLDVFTV >PPA06796 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig148:259970:262157:-1 gene:PPA06796 transcript:PPA06796 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLSLPPPTDKTAAMSYMLTHLNNGWQVDQAILAEEDRVVIIRFGHDWDPTCMRMDETLYKIAAKVKNFAVIYVVDITKVPDFNKMYELYDPCTVMFFFRNKHIMVDLGTGNNNKINWAMSDGQEFIDIIETVYRGARKGRGLVVSPKDYSTKYKNYMSDRLTQLQECINEQAGHFCNSIGILQGSATPCGFDTNKASLLE >PPA06798 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig148:266845:267820:1 gene:PPA06798 transcript:PPA06798 gene_biotype:protein_coding transcript_biotype:protein_coding MPKEELPQCPYCNLSRCKKSRQRCTFETTLAGLLVDHLMSNVTHDACQTAKSHHEKAYCWCAPRGGRVTVTDQLRSMRIWRYQTLHYYATADDRKNGGYGITD >PPA06788 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:235814:237755:-1 gene:PPA06788 transcript:PPA06788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EAP4] MCYPTPEYHNPTVIQVTFKPVNEEQIKEIIIANTHNQAVIFKMKTTRPGVFKMKPVFFSLQPNTKVGKRKIKDQPIHRLQKSIKLHYSGCPDGVKPNLKDRFSVIMAIVPKDAPADMDVEKVWEDQKMQVELADSVRRKVLRIHYEGYEVPVDAPEKSEKKSKKGKKKGGSRDNSVEDAAAGHHKKFSAAAHTNAHGAGTPAVQPIVYIVYQGLPPREEVETK >PPA06762 pep:known supercontig:P_pacificus-5.0:Ppa_Contig148:92106:96726:-1 gene:PPA06762 transcript:PPA06762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-des-2 MREEKVSLGITTLLSMSILMLMVSDQMPTTSTFIPLIGWFILAMIIVISLGTIVSSVIIAVQKRGRLGERLSKKAVKVARVIAYFTCTAIPPHLEKEYQAEQEDKSDQISKVPMPSLPAHPETKPLSQDDSNETKQMYSSGYRASKMWLGLRHRPGNGVSMVSEKSTDPLIHLSPSETGVSATAEITSPTSPIGLASLDDDLSIGGESISSTRPPTSRMGTIIGKMGGGDGKSTPAPTTTMNLAGKEKILASSLRTPTSLL >PPA06818 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1481:423:2365:1 gene:PPA06818 transcript:PPA06818 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRISPSYSTPTVSYSPPPTPRGNLLSSTPVARPLRPPPSFRLAEASIPPCCRCCCKKETAAEMKKDANWTPPSPKILNLPKAEYFLVSKASLLELLTGCNSCTSGKNDLSFSEDAHALTCARKCTSCGEASKWSNSPVLETSNASSWEKLRKVNVDMVTGSACTAVGTARLNNLLSAVGLNTVSKRTFHRHKNEYLLPAVKEVFTKAQDVIFSRIKEGISKMPCLKKAIEILAEKIGGLQYIDSVVTDRHPAIFAMMKAEFPSIKHHYDPWHYFRNLTMNLLKSTKTLYMAQVKATWSGIIVRRAYDAVEPSFTLFKKCLHAPPPPNFPYIQRDGRAFKNLEAHVFTVKNIEDIKHVCWNLKTSTCESLNSLAWRYAPKDFYFD >PPA06820 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1484:97:1290:1 gene:PPA06820 transcript:PPA06820 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSLPLTGLLAGSLVAALSTTPSRPASSRSHPHGVLPIGACATFLTESTGFSKQFTGLTAKFVHYFFLLSMVEFGFVIGGAESSRTSLKWNLRNPGKRRAIPLYHFGMNYSIKQLRMMNKE >PPA06822 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1488:1301:2019:-1 gene:PPA06822 transcript:PPA06822 gene_biotype:protein_coding transcript_biotype:protein_coding MEIANISPNDEDNQDEAKNTSRKRQKKYYSQGQPQEETLDDDKNEHHDQQIRAYRLPGDVDNQDHSAGNTPRKRSKKKKSRMDTIDEDRLSCTETCGTIN >PPA06821 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1488:67:1070:1 gene:PPA06821 transcript:PPA06821 gene_biotype:protein_coding transcript_biotype:protein_coding MEYATVFDGQEDDDLEDLRLEAIYRKKLIYVRESGGVTWARELTQSIIAIDVEEGPNAYDGRLSSVYAPEEASLIYLLTDMCELTVLHPITMEERSFGLRLLPELLRATGDVQLCRRASGKGDAEGRIEAIRAGCCRFRRRNKN >PPA06826 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:17773:22051:1 gene:PPA06826 transcript:PPA06826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hum-4 MKYMGDEPLKKGESITDVVYRVFMMMKRLEILRDEAYCQLIRQTTNNRSENPDSTGRGWRMFIILTSFFDCSSVLKPYLMKHLIEVANDSRRPFHGTAQQCIANLNKTFKYGGRKFLLSSAEILEISKGKTIKRQTYLIPGGHKKVVNTTSVTVVEDVIKELCSDLNIRSPSEQQEFVLCAVLENAHGDVHYVKNDEYLLDIASELEHKKTGYNFHLRRAVWLHPLRLDSALYIDCMYYQILPDYQTGLLVSPQNNGHFSASTLDDIAKLAAYVYLGNEEFRQLAIDERMVVSMLPQTALLSRIVTADMWVERVERKLRSMDTAISVAHARAAFLEIVEKWPSFGALFYRVLSSTEHDREIAETVISVNRAGLRLLSGDNYRTLAEYALSALLEKWN >PPA06852 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:226138:232891:-1 gene:PPA06852 transcript:PPA06852 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIETRRFDEKLPNGGVTYTDGKGIEHFRGTNFRSLHGAILYDRYDLHHVIGMGTFGVVYRITDRAHEHRQMAMKSFDAIFTLIIELFKNVEPVSSQTTRQLAVIARLKSSPFTDEKFYIAQHYESFNIIISKVNHLCTVMDQYGCNVHQLLEDNKQGLPVTLCFIMLKQVLEGFSYLHEVACISHLDFKPENVVLLSWRRQDIKQGFDITEVPTIRIIDFNSATLQVQTYGRNACTIHYRPPEACLRLGVNRLADIWSVGCFAYFIHTRRTLFCNYTNIGVLLQHERTLDATPQHILMCAHRAGSHLIRPIVWGGRLRLFLDENLNAESLINSRPLRLHYNRDDFREIAYYALMRAMLEQNPSQQTPLSSLRLHAPPNSRDVDQRPTASQLLAMPIFNLPIIFQNNRRRPFFRRVHSLPTALIGRPRTAEAQF >PPA06872 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:429852:431848:-1 gene:PPA06872 transcript:PPA06872 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIVAIIVVTLCGLSASLHETPLEPDGGLDGTESAGLLVDQEGEGRNSTNTIGEGLGEDFGALETNSNEPAQGDQETTNANNTNSIDFIDDVAVNVTDFGTSGGFLNGFFSALGIILINEIMDKTFIIAMVLAMHNPRLVVFAGAWSALALMTIAGAVIGMLAHFVPEWIIVYATAVVMVFFGLKMMFDAYRMTEQRGEEEYQQVEKEIELNEMDRSEIEADNDDNCCIVIPSAFRVLLKIFSKAFALTFLAEFGDRSQAATVLLAATDNVLGVMLGGMAGHAFCTGTAVLGGKLVARYVNLRKGGQFLLRLA >PPA06873 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:432600:434013:-1 gene:PPA06873 transcript:PPA06873 gene_biotype:protein_coding transcript_biotype:protein_coding MTNCLGWSILHKHRIQCGRFQDHHDCFLGKFRVLCGAEDYTGPLTTVIWDDNLYVLGGDKKIVTREHLVTKGNPITVRDGRDTSNCWFYDPYILFTSTCKNPSSTAVDPDTLATFNSKFQTNVRTSTDLHRVS >PPA06836 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:80026:87021:-1 gene:PPA06836 transcript:PPA06836 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSSFRTIEERIVPAYKGVDVQYLQRHYVATGFSADGFSYFVSSAYQPWEPEDVDHPRDDLKDEGGDPQLLYRVKITRVCDSDGTEKQESRVDISLACPGIDELTNRGVSSATYSPTLRKVFVVFSTNTTDDVICSYTLDEINKRVQHTWDVCQKTTYADTSTCRYPSKDFREYCYIFTRMSEHARKLSCSKYASDPDAPAYDMCRLREYTSSAYRFGWLESYIDLEGTPVAQMDNRGHKYNRVFTDEAHSSLFFTGDDRMARVPIVLDAKKESTQKHSAPTLVRFYKPSSRFAMAHVTSNSFLLTEHVRVIDHEISCTSLYDDCNDLAKGGFSDPLNCLYCSQPDNESGGYVMEHSEKSSCKEPMMTVLYKKCPPVIRETVQSPTRKLEWTITGDSLQTLSNPSVLVCGQHCHIDVATAGEKSLTCTLPSSSVIDSTCSVRLQGKLESNENFSVGKVKSTTDQATQTGPALVTSNASSSTMSRTWKIVIAVVAIILIISIIIIIILGARKYLGVSRSHSLLLYNGPQPAQKHAKSEGQRKYRSAIRDDQFVPEKRRYVRDEIYEG >PPA06833 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:68656:72777:1 gene:PPA06833 transcript:PPA06833 gene_biotype:protein_coding transcript_biotype:protein_coding MCRIYDSAMSCFERKIEECGTREDRSAVARTKRLYNFVCDKAVYNDRLILTRSAGCIQRALTAFIPSTCPISSTPYAQKMTSCKALCPAYSATCRDKIQRSHRAICAVERVKNTCGDAASKLYTQLQQVMLANDFPIECDVYTPHTSNAVDTALRHAAILNQRAIQNHSFSSYRYRSSTLKPRWFKTSIVPFTIPTRASPSIVITGDRTTTTSKPRYTTTKRTPPPRLGRVTMIWNRLIATVKPPPTSRPITESPIEEIEEPQTTTQRKEEEMEVDITETTSTTTTEGPVPEIKMRKIIKVRRPKTTTTTTTEPAPTEEPEVTELTDEPSTTITTSLPPSTTKETKTTDEFLKIEQPWRPFHWLSGARTIPDSILIDKFLNWKPDPTRKPAEFSGVTGLPPHKRIVIAKVPEKIDWKGIQAVVGASNSTVTKGGFELTHQYIKNAVEKMKKSEVPAGQAVAETIDRLFSVVKSVVNSIPYESATHLKRGFETLSRILLQAQQ >PPA06853 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:259315:262042:-1 gene:PPA06853 transcript:PPA06853 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFLLTGSISRYQLTQENVILLPDRYLDYDVASDYSSLFYSFCLLLMIVSAMLLMGNRKRDRHLRYKAYFVNAAVIAAMIGLSVLGGVAQQGFYRIDLEFWLSQCLSPSFIIAILEMIAFLVVWCLKNATIERVTERTYKMPDYDQPGSHLPYKLKAYNGMITRVFWVLAISVSLNACIQAGIIVINFIDEDEVMGIFKTIVSSDDKSARASPRFTCSFVGERALRIE >PPA06874 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:445166:448150:1 gene:PPA06874 transcript:PPA06874 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTLDNLDMDSLDTLELSLSPSMMDSTLGLKGLPPRKAFDTVMDSRVSESGNSLEVLLRHCVTGETEWKDIKKCRNYSRTMFHDYFKTQVGEKELLYKEWRKNVNKKRREAFAMAKTGVEKPMKIPKEEKEKEKEIPATPPSPPKEEVGFQTTSDVHHYVEEKVREVMRNVDEARIKVNSEVLKAKADTTTMTRYQFRKRLILSFQDPLPSSVQYGFESNHSSDSDDERAHPGLRNKHDPLLNDAYYDFGRHSETRGDVMDKG >PPA06862 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:319885:322131:-1 gene:PPA06862 transcript:PPA06862 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSKMKVLNFIICTRSSGAAVTFLYISVKILYTVNIVGQIFLLNTFLGNRSRWYGLQVLNDLMNGREWEESGHFPRVTLCDFEVKVLGNVHRHTVQCVLMINMFNEKIFLFLWFWYFLLAGATVCSLFYWIYISVVPSRQLNFVGKYLTGIEGYKMVDSQSLRRFVFQFLRQDGVFLLRMVATHAGELPCYELAKALWSNYCDNKEGKMHDV >PPA06846 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:168721:172782:-1 gene:PPA06846 transcript:PPA06846 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAARMRKEKEEKEKRERKEKRRAEAKKKREEREIRLKFFDFVGMKFLTTQCINQLEWLATSLDIEPPLRPMFKRLLAINEEAKKQLADERMVMDISDEDEVVEGPVVAVDTRVKERDAQRRLAFSINDPAPQESAQVSRDAPDPNTIQAKSSEPTTIRYASFSVPGVFSKDAVFCSNDPSSWRDEPVYMPPPRGPGSLSREEGRRLAFSLDEIPSASKRRVVMATPSPSEPVPPPSKDNGDHQDGADRQSDAPETPTPPSEISPSSSNDEKRRRENSWIESPSSCQTQTLPLSSFDERRKFTGESHPLLNGSSSHHLPESDEQNLTHLKGQPSTTADDEERDSVETGQISPSTAASSQEGVEKEGEDEFLDEEHALVENDNIAEEDEEDDDIIILETPLSKKRKEDVNHEQPCPSNASSILRPIPSPHTIPPPPSRVFPHQEPQSPCHPQSIQIPPLLPAQVQVQITLKMGRLVNSCRNFPLSGQCGFNSWTIMPYQTDLQHGPICPLCTFSHRAEDCKVYPTAAVRLLRQKQLQLCHLCLGKHGSTCSIKEFERLCKVPDFQNVQESEKSTAAIRTVRFGQFFWLRTTLFACRTVPCSVPGVVGRPGRAKEE >PPA06868 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:381972:382929:-1 gene:PPA06868 transcript:PPA06868 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGHTATWNRLGRSFAVSGRHVSSFPLSRPFSNGLELIRSPNGRTSSSSASPRTWHPSTLTDTTSAPPLGPAACIAASRRSQHLPQQLRQFFRKRHPQGSQVEALKWVDKSENGKGRILSKQGRKDLDRIAADLRSTAAPAEL >PPA06841 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:137010:143461:1 gene:PPA06841 transcript:PPA06841 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKMEQQSQPSPGSAKSSSNDSGVEEARKASISQPKPVERRATRLSSNAVSPVLEQLEQLIVNDDWLARDEPPHPQQAKNAKVKEGSRLSELGRTLVTSSSLKAYIDLLGSHHRQSIASWLFGNTSITFSQLFRFPEAQVYCSETESTLANAVRNGIGHAVRLAIKQAYGGEFATKGWRVFVEQGAPVVYVSPALHLDIAPFLASEFGIADVVVLPKLEGDLSEIEGRIDHHAFERLLDEDIAAGKKPLMVLGVVGSSILGQNDMISKLLDSRKNKARFWLHIVGQAVAALTLREPTEVLVHVLSQVDSMTLPLALWLGIPAAPVITIHRSVDGYKPTYRAKLDTLPWWVASQYLTTKRITDSIENAYFLSKVMLKGLSALDQMEIVGVDNVVDFANKVFKNQYIAPSVLIFKYKYSQLKEVIAAHKKLLTASEEERNASEIEKAAKVIDIELEYAESLNSWLGQGLLGECTPLGIHMIELGGYHGTAFRFCPLEHAAANSSNMDHVQKFVKQLSEVMSIVDSTVSARRSFNAMKEEFPALAQLPINKWAGVGAVCYIPSIVKETNPEEWNEKQRQQVSMLNMELVHSLRSVDSAFSSGESALFGVSCVKFGMLSEANDLVDLMRMVSERGKEIENSQQYLDTLADQIRKGIEAANQELKHESDVRLQQEGVMRQLPLMGSLVNWFSPIEHPNIKGRSFNLKTGEIQATDVLYKHKKGDSENQVPMTPIDTPQAPHDFNKNTIVAEKQESIQEESNEEPEKSQETAAASA >PPA06823 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:709:2947:1 gene:PPA06823 transcript:PPA06823 gene_biotype:protein_coding transcript_biotype:protein_coding MRDELFVQLCNQTYRNQNKIFATRAWTLLLMAVHSFPPTIAVLPMLLHYFVMQQPQLRTQLMEGLVRKIRTLDAQACRIYAANRLEYESMQCVLPSVVSVHLPDQDEYLVEAHPWVTAEELTLRVMRERGMGDPEGWTVVVETESEVFCPTQGQFVHDAIAAIERPQKSKVMDVEVDYFFHFPNARSLPPTNGKAPDTPIRVLSPAEKRSVNLSRSNSLKEPASASVATITIADSPKPQLSSPKSESTTSSDEDYVMVRSEEEETSYK >PPA06847 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:189145:190114:1 gene:PPA06847 transcript:PPA06847 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTARKDYGANYITVKVAHADGSEVHFLIKKSIKMKWMKEDFAKRTKKSWHFLVFLTEEFERVKNDDTAITLQLRDGARILFFLFNFWSFPLSPDTQNILR >PPA06829 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig149:37765:39303:1 gene:PPA06829 transcript:PPA06829 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGEHVRSLPGAVLLRVAAVCTRLIECSLSSALSSSFLRFPRDSSTCTRSWTTSDPFPIGS >PPA06857 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig149:277483:278032:-1 gene:PPA06857 transcript:PPA06857 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNSSDTRGSLYGLVDEHASGILVSWIKYARATGDYGILDEYCETAVRSYLYNGGKGKLIAIAELVKIRNKQRNAMLGALRRKKGRGEKRSL >PPA06863 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:324610:325249:-1 gene:PPA06863 transcript:PPA06863 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-9 MPIQCWVPATFTEPMEQYTENYCWVQNTYFLPLHDYIPHNYAEREHRQIGYYQWVPFVLALEALLFYVPTIVWRLLSWQSGEDFKKVFSNLARH >PPA06837 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig149:88083:90071:-1 gene:PPA06837 transcript:PPA06837 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHASSKNCIVQKDEIVGITSLNNVLAVASRFQVTLHAIEGPRQSCRKTDEIAFITPVDKFTEFKLLNETSVFYCDTTQCNFCRLDIHKCRPLEINLNKQDLEISTAAATQTADGNKILLRIVFSNGRSILFGFEQPLFSNTSDDWQWASLGVMVGNDVGNTFIPDET >PPA06869 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:414218:418010:1 gene:PPA06869 transcript:PPA06869 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGCMRGGLCEGNAFHFAFVVVMLLLSAKPIPTVNTFKVKQQKTRHNAETIPCDPGTSSESNALKQMLVAQNPDRIENKLEKMMRILMAIEDAHQKLRISKYTPKLVPGLSLDDFAHGRSKLGVKFKPMHSRAYELTSMPIVPYEVIIGQRIAMDLTDFDYKSKKLWMFQDVTYSIEFIKALSIYHAIDDCSKKVLLASALTCSNFTSAYYSYSHNSDRTFFPDGSVMTWNNELQNLSPDSTRFHTGIIAAMKEADLDTREYTLLKLIIVCNPRE >PPA06864 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:343274:346632:1 gene:PPA06864 transcript:PPA06864 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIRKVAGERGRKTAASEVRVARTARETPKKKKELTKKKALRPIKRVVVAKDSKKRTKHKAKIVVPRPEHGRRTLAKQETTPVALSTLNVRRLLNDGIVEKKKEKKPERPRLWTSLPIDGEEEEDERDPLEDHPDWTRCFRRFDKPSDHTSQSLLPNPLPLNYDFGNIERLMKKKERKEEKQQEKWKAINWMDRKRQDHQTLPKYVRPALYLQYTKVLEEIDQMKKGIHPKDLDKELKAMEEECKERAEESRRRQERKYNEKKGVLDKKHGTGTSQQSRVLKEKRDELYAWMMEDVEERLRSIDTDSNEAEPFWIEGLSGKKFLRSGRPAVASTPIDYVPGSDMDLNKKRKPNMQPLSYLISEIVYQIP >PPA06832 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:63190:65622:1 gene:PPA06832 transcript:PPA06832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dop-3 MRENESTHQPPSLLPSAGKREAKRGLKSGLRQLGMKGESDGQSSGNKMKDYDSKRNGSLPHRGVTASLQNCSLEEARMPIKPVPVQQSSTGLEVPKVHRTVATSSSTPLNGESENNNHKLSSMLEEYSHKTEEDCSKTKSIKKYRDELWKRVTSGWKARPSRQLVKKATKQMRREHKATVTLAVVLAVFLIFWLPFFTLHFTNAWCLILNDNLCIPDPFITVAGWLGYINSSLNPLIYTVFDQRFRNAFKHIICCTSRRR >PPA06830 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig149:52683:53288:1 gene:PPA06830 transcript:PPA06830 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSSGWQNVLSMVLPTVPILAIFGNALVMIAVWKERGLERTLESMNFARTLFNKRTEHLHSYTIALVIVVIDAVAFAFALRSAPFSSTLALALFTSRALSPSLIADRACGMERMRPELGSAFLA >PPA06858 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:281189:282857:1 gene:PPA06858 transcript:PPA06858 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGCGCSFGPPGLPGEPGRDGQDGRDGYPGSNGRPGYDAPEGGSQEEQWCFQCPPGPPGPPGEPGMKGMNGVDGQPGLPSDGGVRGPPGAPGPAGPPGPPGNDGTVGEGGPDGIPEEQMSPPGPPGVDGPPGPPGLPGPEGPSGRQGTPGPDGQPGYPGNHGRPGRPGMPGPRGSNGQRGPPGPCEHCPPPRTAPGY >PPA06827 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:32775:34514:1 gene:PPA06827 transcript:PPA06827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sprr-1 MGKRLPKAPNRLTKGGSRQYDAPRNENAEDNDHCPDGSRGYYLEINQYAPLDWAVPMYGYLMPILVIFTTTTNLFIIIVLSQKHLRTPTNYILLSMALTDLLTGWTSIPWFVYYYTLGGYSTYDKIGLTEGWCKSHHMLHNILPSLCHTTTMWLTVFLAVQRFV >PPA06855 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:265173:272413:-1 gene:PPA06855 transcript:PPA06855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ocr-3 MYDLILRLEMDVVWRYGDTVCEVFSLEDIDTIRQDNGDLNPSSVLANIVYGDKSSHLDFFDGLIEDILEKKWEVFAKKRMFLSLFGYLWFLLMLSISFISRDLVRIDSEKEEAASSSNTMSNSTNFANASLMSALDADPFENYTLLESILFMDDVFRIKIPWQSTQELPTQCHLWDHRRRKDKIRLVAEILTLVTVILRTVRDAIDIQRSGYRRWFSVMLAFPEKVLHKVAQLSIFLMVPIRFMCALSPAVLLFENIMIIAVVIMSSLHFFFYCRGLKFVGPFVLMVYKIIAGDMLRFFVIYVVFITAFAQAFFLIFQSCERAELDFLAHNPHREREFENILFNSFETMMRMFIMSVGEFGMFYKNLNDCKSNLAGLGKVFFTLYELIVTIMLLNLLIAMMTRTYEKIAEAQKEWKRQWAQVILMLEQSLSAQERLLALYSYSRPLKSDKRNRAFIVKEKRPSGNDSESASAYLELFDGVTREHISQEELRHLEIMPRKKLSIARLTNSPIIRINDSSLPSLRDA >PPA06867 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:376237:378991:-1 gene:PPA06867 transcript:PPA06867 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSMGRHFYIGTINARTLGPNDKQIEMELALDKIKWDSTTRAAQLRPMAWHFSSVRISREEPCFEASLPVWPLCTFPTNGSSWSTRMPPTSSYDDDAYDAFIDQVETALRSALIGTMPVLVRDFNCRVAREPGNERFVGESASQSPNSRGRTFTEALVRNRLRLWNTFPKRRHGRTWTWRSNDGVTYHQIDFLAAPPSARVVNCGVVGRFEFNSDHRLVRMCLSLSGKVRQKRCREKLDFDRASFTVNASLLASLPLASPTSAADAYCNIKALTDAAAANCWRKRHTPPWISRATRNLLAIRHQLQSNSQEPKRLLVPDPASGALSQSATKTAVKDFYEDLYSPAVQIPLAVPPHSLDPFPPFLPDEARHAMSLLKCGHSPGSDGILPEMLYHSRDHLAHSIAHLMNRLVADDTVPSDLSEAVVSLLYKKSDPANIGNFRPISLLTVTIKVITRCILKRLEAVLEETESATQPGFRRGYSTLDNLHAIKQVAERTSEYGIPVYFAFVDFKKAFDCVEWSACWNALWSYGAHPTLIHLLRRIYESSSTLIRVNEELVPVTVKRGDTLSPRLFNVALRSAMDTINWEDDGIRIDGRNLSHLEYADDVALIAKTRPELERMLRKLIDACSRVGLEINATKTNLLTSCKTTRAPITIQNLAFNFVDSTTYLGGRISLPLDHTDEIEHRIRLGWLAWSKLSHLLSSRLLPMKTRRRLFESCITSTVLYGSEVWALRSSDKKRLSITQRKMERKMLGVTLRDRWRNERVREITKLRDWNREALSRKARWALKVRSMHMEHWSRATTVWTPYNMNRPPGKPRVRWRDDLDRAIGNWWNTPYEDFRPILI >PPA06850 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:201056:205813:-1 gene:PPA06850 transcript:PPA06850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-inx-3 MEFRGGWEQYAEDYCFIQNTFYIPFEQEIPTDVQDRQDTSGVQDRQDAEIGYYQWVPIVLALQAIMFYVPNWLWKTLNKLNGVDVENAVVEASKMRGLAGESRRIEAEKLAEYLADAIDMKGGVGRSKDYRILCFRFGNSLGSYVSVLYLFTKFSYLVNLLLQVFLLDKFIGAGNIFWGFNMLGDLFNGREWHESGMFPRVTLCDFAVRKLANMHRYTVQCVLMINMFNEKIYLFLWFWFLLVLSVTFINFFYCFSQMIFTRSRINSCKYWLATLFPDGVMPRDEMMLSRFAISGLQPDGILVLRFIEGHAGSLPAKELAIALFKRFVTMQNKQHLPISTQSTSPGFEAPHEFNEKESFLEAGSPHDLYNPDKAAIMQPDYKH >PPA06866 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:364952:367384:-1 gene:PPA06866 transcript:PPA06866 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGAQCVCQICTCGRHACPHDRNASSLALGDAPSEYRRKEYAVVTGTERPERVRPRSQLGVGSGIPNIEISRTETSEAFGRPASSGGHRNGDTDSQGLTGARSEQSLTTNTRNRFVTSAALKKRQQASQIAFGDGAGATSPFVKPTVASSMRAACDMPTDWENFIGKHGQ >PPA06844 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:160319:165064:-1 gene:PPA06844 transcript:PPA06844 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSNILETTRTKALHLSRTDRIGRELMDSVELIRNVMDYGLKHTTLPVKISAVELDCREALIKDGNTEPDVRYLLHGSCAAVCELIKSFVDNHSGKVEEVEEWRKIAFRLSKTNDICKLLQESLEFINSVINTGVKHRTTILTLKIEDEEKVAAAAMEELFVLPAQSNQVDVVNDDLDDEINGGDYDENEKEEAEEKKENVGPEIETEEKQETNREEENHQNADPSSTEKSDEEANTDGKEEDKTDPDYGKILIAPSEDFTKEDEGFEKLTAGREKYKFLAKNLWKCALPKSDHLPDPKTDSCEYLKGSSIACPLDCREGERCRNNLRKKNPKIYLGRTSYGSGIFAAEQIKMESFCKILEYCGVIRTNAQWKDHLEKMKKKNKSYGRYVVQLDRDYTCDPEQCGNSGRMSNHSCEPNCSLELFYVDGIPHLFLTPLRNIEKGEQLVWFYGGKFARGKDVVGDEVEKIPCGCKSKFCQGIVGGTAGATTKITKTVEDPKLKKKMETRNKKRRQSRQSNIEMEVIKKKKEEEDKKEKNNSQMI >PPA06848 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig149:195350:195591:1 gene:PPA06848 transcript:PPA06848 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKLVLLLVCSLLFSSSFAKLEDWEVYVPIRKCGAKLIQYIMDENLCNPDKCEGKILPNAANSVDV >PPA06856 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:273967:275765:-1 gene:PPA06856 transcript:PPA06856 gene_biotype:protein_coding transcript_biotype:protein_coding MGENLLHICLLHNTVEQNELAKFLVQKFPRLINDIFISEDYYGLSPLHQAIVNEDMEMMYFLLKNHADVHQRCYGAFFCSEDQKGSRTDSLEHEWVDLNPNTRYTGQMYWGEFPLSFAACTNQQDAFRLLKAFKADSNKQDTNGNTAMHLAVIHDLPEMFTLINQLGANLHVRNNQSLTPLALAAKLANKRVSDSW >PPA06865 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:352745:357358:-1 gene:PPA06865 transcript:PPA06865 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDSVNRTDYAAKKGERNDAVKPRDSNLLKGDGAFAGETQNQNDFRSTKGDRYDPVKPNASDIWKNDARMDGDSVNRTDYAAKKGERYDAVKPRDSNLLKGDGAFAGETQNQNDFRSTKGDRYDPVKPNASDIWKNDARMDGDSVNRTDYAAKKGERYDAVKPRDSNLLKGDGAFAGETQNQNDFRSTKGDRYDPVKPNASDIWKNDARMDGDSVNRTDYAAKKGERLDANDGRFDGDTVNRSSYGAGVPGERVLPLIRSAQGVITSDDFALNNVSQSASDYQWRGVGLPKTLAMKINPTIADIFSRQPIGWDRPYGARHSETANVGYLEESTDMTTQSMKDYKAHGPGVRFDAVKRDESDHWKTNGSNMGGSTSVSQADYGKKKMGERYDPVKPNASDIWKNDARMDGDSVNRTDYAAKKGERYDAVKPRDSNLLKGDGAFAGETQNQNDFRSTKGDRYDPVKPNASDIWKNDARMDGDSVNRTDYAAKKGERYDAVKPRDSNLLKGDGAFAGETQNQNDFRSTKGDRYDPVKPNASDIWKNDARMDGDSVNRTDYAAKKGERYDAVKPRDSNLLKGDGAFAGETQNQNDFRSTKGDRYDPVKPNASDIWKNDARMDGDSVNRTDYAAKKGERYDAVKPKDSNLLKGDGAFAGETQNQNDFRSTKGDRYDPVKPNASDIWKGFITREDFPLTNVFQSASDYQWRGVGLPKIRNARSTNDLSTSQILLGNDEDWHGSSVYSDTFRIRDGQRVKMVRPTSSLRVGGERTMEHGYETVSRKDIP >PPA06838 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:103378:106118:-1 gene:PPA06838 transcript:PPA06838 gene_biotype:protein_coding transcript_biotype:protein_coding MRADYDDEDNIYTPEEEEEDEGNPAMGLMMQMAYNHSIPFEDLRACEKKKEAACPSPSEDGSHPCIALNDICDHRPQCPGGEDETPVICFFHEMHEMELARLRRTAIAIYRDSMNHHAPRSHGRN >PPA06843 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:150471:157150:1 gene:PPA06843 transcript:PPA06843 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKERLKDDIERLEESVKVLCEVKGTPYETRKEKVDRGTRARRGNAFDQIDNPASSSSKPLSKEEILRRYIDELEAALEEQETEQDVTVTTAKMDKIQEMYNDFMVEEMRTLLKETTGSEVETMENVTRESVIASMDAALSVNFSLEDWLKKPEQPKGISRVAWLDHLKMSKKFADCFVHMCEVERTGIPENLNVTMAHAAVYICPDLRAFYRNTPRAYALNGVDDWEDKYRKGGNPLDRNENVSKLVAYEIIRRLEEHEYFFCTFCRCVEFNVMQHLAHFASGVHCKYARQAIDGEGTSQVFAFAAKHLLNLSSISDDLHVFYSKQYSSPYLESGARVPHPDKKTIPTEHFLQKIEKKYARRINGDADMSRIITDSAYLASILPDIIKNHKGEIGRELFKEFNDYFGKGKKLFCKRCRVMVSTRALFYKHIVNPYHLNADYLDVIILYI >PPA06825 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:7946:13185:1 gene:PPA06825 transcript:PPA06825 gene_biotype:protein_coding transcript_biotype:protein_coding MYGETVVVSYGDHPPTRKVVTDENDFAPVGGPPVPTPAPRVSVSRVEPPVKRDANVVQGAILNSEHNTPGKLFNPIRTMAKAQLEKERAALARIEARQKLLPPPVDEIKIVRKKLEPETIAPPMVIPEEPEVLGGEGGDSPGPSPIPLSLSRANLARRANHRTIPEDVPSGIISGIEPGIGDRSVETTVEGIQSGGARLILTNLTIRAPPSDDEWVQEIYRPKEAQNMLVDRGGQDMGQDSEYIPEMEQQYDGPAPVQPSFLPRPPQAAPPQKERTALHAPKQPWKLTIRKANFLPGEELDDIQIIDQVFAQIIADCKGANAYRIRATERDNVTAILKKHQIHPDQLNRQYEIPTEVKAELIAAARKWPLYFNQIFQVVEERATENVSILLAVGETGIRLLLENPGNTQSPLIIQDHFEYGDIKDVMLEERELITLRTRTNMLVHMRTVEATQIRAIIEKYLYGSAKSRSFVLASSDYITNESDHLSFAKGQRIELVEKIH >PPA06860 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:305595:307889:1 gene:PPA06860 transcript:PPA06860 gene_biotype:protein_coding transcript_biotype:protein_coding MELKKMLGKSAEQPPQHLDTYSAVKMAINDAAAYSEKAKRAVWVGRPEESTPELTLASDQKAIEELCAELNDGSLSQALTDGKIRYHRHPEVKAHRKKRILKIVFTDEKTRDQFLSLIRSKRPSTVSRVPRNFVRRDLCSYELQLERKARIDAYALNCKIGGLAYGIRDEKLIKFNGIPRPLPAGYETRPPRGYSDLALLNLTNPAINTSLFLQSNGINMTLNESNSNPSSDILSTLTPMQSKPTVNTTAKGSDDLRKRNGLITPFIFQAYHTEWQWRMKKSMEELVYLRKCMDELSHQINVLSFGRSDCVRDICEALKRKETMAREK >PPA06828 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:35235:36641:1 gene:PPA06828 transcript:PPA06828 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQCYRHYARTAVVSPKYVYVCVPNSIHSYCTPRTTKLCCLSIYIPEFFGRYRMVAPIVTRDGVVISGCFHCTSKTLNNVMSVYARLEPLFRAAIHFTPCILLLCRSNILNFGLEYLNSLGFLTSRIQNN >PPA06854 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig149:262270:263601:-1 gene:PPA06854 transcript:PPA06854 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSEAQMDESVHILTNWTTDRIIELKDNSTNAPFSVGSLTGAEETIALWSAIVNGLLAGGVLLNYMCAKFRLPGLGM >PPA06835 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:75262:78918:-1 gene:PPA06835 transcript:PPA06835 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAANMSPAHAPAYERLFREIDDRLKIPSDHLLLEKQIGKGHFGIVLKGTYNPPDGPTRAVACKTLTGHVTGGISEFVNEGLMMDRFDHPRVMTLVGISFNEERMPIIVTDYMENGDLAKYLRDVKNKPTLRDLLNFTHEIAQGMQYLHDNKFIHRDLAARNCMLDKDLHVKVADFGLCRVATEQDEYLPIHTGREMPLRWMAPEALEREQFTMAGDVWAFGVVIWEVMTRGMNPYPNLQQAYVLFEFLKAGKRMGKPDFCPDILFRVMLDCWHGEPTLRPTFKELPDQIEEIIDVLSSNHRIQMNAEYEQCNSVRNSRAASSSATTPITHHAISHVHSLPMESPDPTPV >PPA06851 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:223045:225273:1 gene:PPA06851 transcript:PPA06851 gene_biotype:protein_coding transcript_biotype:protein_coding MASISPPAPSWADQLANHPVNHWTYAERMFSWQTAFYLVGLYPCGRALAYAINSNVMPMRIRMLTFLVVSASQLLSVATFARSERIDAKELKEFQEGCTLAISYFFIWLLIHVSVNALLPSLHNVTANMSNIYTAFFAIHTIIVFRVYTNVTTYLEDDRLYLIVTGHVFMCGAIATVYRFHYNHRLADIYETEDEAIYDTVARRSSFFMLVYYGLFAVCASTFTAIAHTIGENTLFLETTRRRVDVLSRLRQQIRDCERAKEESDYRNLMNEIQLYSLVIFGAPYTLCVIIRPPFVDEDQTRTSEDVYEPLE >PPA06871 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig149:426468:428206:-1 gene:PPA06871 transcript:PPA06871 gene_biotype:protein_coding transcript_biotype:protein_coding METPLANEILFAVFILGVICCGLALVTIRKTTALHNSFGVLCTWQMYADIAQLMIITAYCLLSIDIAPSPHAIASIISCQTIETLYFFTGQLHVLMAVHRFVHILAPRYAKGWQSATSFLLLICTGISIARILLMTILDTRISVKNARKSINTSRFGSFYRVIILIFHFRIRLFAKNDIGPVSTNGINGIDLVTS >PPA06834 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig149:73341:73669:1 gene:PPA06834 transcript:PPA06834 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRKLSKLWLENIHHIEYLTLEGTDKLATTLPYMGNKVWFEASCRHYANPKKL >PPA06840 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig149:110597:111217:1 gene:PPA06840 transcript:PPA06840 gene_biotype:protein_coding transcript_biotype:protein_coding MIETSTARYSDVEERMKKSTVNAVMLINNKCLDARKPPPPLISQWRCKIFGLYILTICGAFKCNVHFSRAVTAQHTSPALFQSAPS >PPA06861 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:315390:318059:-1 gene:PPA06861 transcript:PPA06861 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPMNCAICSNPTPSLRYQIPACNVFANFFRKRVLDETINKRLRCHSCRFQVCLEKGMSKTAVLVNAENRRAAKVIRTGENSIKNEDNKQIIVLNPLAPELVVDRGVDVQSSSKEYNFARCRPIRHFSAVEQLWSTTENFLPCYPEGYTDCDGSILENILRRIRIGLDEASESERALLTNQSESYAKILFSYVMTRRGQQNGPRSYQEMLGLIETIHHKVKQEKDLQVLALDMFTSMCPLIGELIRM >PPA06849 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:196691:198336:-1 gene:PPA06849 transcript:PPA06849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tsp-16 MGILAAILGFCLHAELRGGVLRDWMAEGLKREYGHPQDYHVTNAWDRLQSEMKCCGVEGGSEWISSDWYLGQVKYPRRRRPASCCKSCSEARQTFCIPIFESLTPSEWRACNELASVCPSSGNQVEEESVCSGNKLGPEELPVETFVHVDGCFPFLESRLRFYSIALITEGILLALLLFSQTISAFVLNYYADPLLGNYDAVNTLE >PPA06859 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:284338:288820:-1 gene:PPA06859 transcript:PPA06859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rig-3 MRSTRGVFTIAIHERETHYKKKTLHFTEVKPRDAGNYTCEAKNVDGKVFSRQVTVHVIDKIEWSSNEERVGGLVGEPLTVDCGAKASPEPQIQITSDGGEPLDDHMFTIAGSEISVSSLTKDYNGLKINCVALQILDDLDTTSTAQRTVAIDVWFTPEFEKEKIDRHTILERTAILPCNVTESNPPARHFNFFRNNQLLTDEKKYQQVMDVVENAAYLKVFDVQPEDLGEYHCEVNNGRAKATQIINLKEANPPEELKVAIGPVKKHGIVWEITTNPNDKLPVEKIEIQAIREEILLEEVGYFLHTYFVDPLMRGYMDNNPCVYHKERGEEDEEKIWHAKASTFHRSIVDSGLYDVGSLRADTKYVFRFRAESEAGYGPRITISAVTNEEANEKPAPDSSSPFTLTLALLATLIPLLI >PPA06870 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:420710:425405:1 gene:PPA06870 transcript:PPA06870 gene_biotype:protein_coding transcript_biotype:protein_coding MNTEQTSSSNGNSLAASISSLALSNSQSNPSSSEISSVLAKFSINSVDELLNIMNSPIDASTTQFIRTPNPYFKKRTTCFKRYHWFAPVEGDAVLWSWPSPFACRLPRMHINQHAIVEEDFVECKRKRHSDDHNKEPISSIESIAEDEIIDKAQNVVCLDEGGVVVKWDETTPVENIPLEETHEETPSERAASTLEGGINSDSKDSEGSEGVQNAKRRSIYARRIDKKKLRALRKWMRKINDRLELKEKIEADPAAYLDNNHLEYPPHMKCKVGLRRKVEIPMTIDDDYLVDLEDDDERRMNWTEVHEWVRGQLDFDATDVVYGGPGAKLKRYGLLHRKREDDDYRGSKRGVILAVYVLDNDPCKVDMDPDIVATIEVKIMNAEMSVSKVDILLFDQAAAFTKEGESLIS >PPA06839 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:109012:109668:1 gene:PPA06839 transcript:PPA06839 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLLVDNKESIADLLNERAEIVRKQISQSEKLDNMLFDCLAGAELGPPSPEPGEPDPPNDGQYKFQLQAIKIKHTEMLEELEEPVFFLFKMLRDSRHECSDSNNDLFI >PPA06831 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:59773:60550:1 gene:PPA06831 transcript:PPA06831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dop-3 MRDHRSKIAFQTSSFPTLSESSDDHTSSSLNGPLQEARREKKTNDDYKCTERYPAAPAGELTLPAVTVPVPSPVSPPPAGMSEDADEAEVLLEKDDAGARKSSDSSTIAVQEEERMENGNEDDLSSERIDFGDVYRD >PPA06845 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig149:167069:167382:-1 gene:PPA06845 transcript:PPA06845 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAAYGGIPSPFDPGENNEAKRSGSLEQCS >PPA06842 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig149:145799:148688:1 gene:PPA06842 transcript:PPA06842 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKNEKDVIVLDSDDEIIHVQSGRSGPTGRNGRRRATAAALVADRVSFPIAAENNAEFAPIVPNVHSIHCPTLHASTSSFDDNDIEGNLLQNCSNVVHMTEQLDWTSLNAAGKCIVVFGGDSLPSINRAKDQDAHQAFVFDCSRDANGNAKGVLYLVAYYEKGKPEYTPIDAAPWPLWSADSDPVAIILKSITIGDNQLTGIDTARVSGAIPNVQTVKDESFHVDGGLFEGTFNMLTSQIMLKY >PPA06824 pep:known supercontig:P_pacificus-5.0:Ppa_Contig149:3383:6495:1 gene:PPA06824 transcript:PPA06824 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSSSSPSIDGDRASSKASNDRDDDNKREVVLDPANPNSDYCYTLPNKTFSMRKQLEESSFPPMNMNPHEASENHIYTMNNPPMPSLQTLPRVSLDRYDSQHQAAYASLSQQMQQQAPQQVQYIQYVPVVVSQPLLPGTLYPSSLPPGTTLPPGTLPPGAIAPHYGYQMAPQPYYQPILSPTMGMPQHAPLASQLSNNSIPFSDNDERIRAAMIRHPNGTETPTVMSVASRIRRMPVPSKNSDVDRFLDEVFQQVLPADVGGMDSISSQRIADSIKGGKKGPSARGPVPPVPQQSLPSHAQPIYYNEHSQMPPHPPVSRMVPSQPGNYNSLPPMAYPRVTLNRMTGTGSLQRDKAKSKEEMRRHTDELRRKQFSVGPMLYANSYDVDHPPGQFLQRTSSSVDPSVYSERVCGGLTLLFSDSLKSIAKRADVN >PPA06875 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1491:112:1304:1 gene:PPA06875 transcript:PPA06875 gene_biotype:protein_coding transcript_biotype:protein_coding DEGPPAWREADCFEQSACRQRTAGLPVSEGAPAALPREPRVVSSKINALVDEIANLSLLEVSDLNWALKKRLNIPDAPMMSPGMIMAAMPAAAAEDVPQKKTFKVTLAKFDDTKKIALIKEIGNSITGL >PPA06882 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:24801:27977:1 gene:PPA06882 transcript:PPA06882 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSSPIRPSYDGWGQSNVVSPSVVIVDTQPIDRRRSDGNLVVRQPSPVCQHSFMRAPEIVCLNVPEAKAKPLTDPLSLCFALKFNTNYEDIINCYERLRSSVTVCRCPIIGKDGIAMLRFIDPTEAAIMLERGEKGLTFDHGRIKLHRPALITFNVTTYDIDSEKLEEEVEREIGATADYFYDPRQREGWICFVEETHASNLLKMGKFVCKSQEGKVYINGFDEIREQGKELRLREDEKWPAHRKKMKAARLREIELRSNNGETDWKSPAMRQFIRDQTDEEGNVTLIGAPIFFEDYDKKTFDDLFYYFRQLGGYVDPVSRPSSSEDDPGSIAIKVPVLERCKMHMNCLLDILTKDHTLKNRSGKEYEIYIGFPSSIDVEITPPLDGRHQRVIVREFQEAFGAVSGFRLLNPEKIEGPSKYRLTFMHMESASRAELVLPVFLHEPFLRGNKKYILSDVTAVKNCSNGRRNVLRIEGFPHY >PPA06908 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:157034:158418:-1 gene:PPA06908 transcript:PPA06908 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPELPCLVCGDRSSGRHYGVQSCDGCRGFFKRSVRRNLQYTCKDGDSCIVDVIRRNQCQSCRLKKCFLVNMNKHAVQHERTLPKQSESSKKSSLERSAPSWSMFLSSSIGWCSMLPPTSQLSTPDKKILFANSWHTLFINSTYTHFGFASLMNNLEVKDSASVALEKIKMVCSFMDELKLTTLEQWCINIILLYRPEDYRFADSSIIRNIQMQSALLLAECQDSSSDLTRARGVSVSLILPSLAQISPDDVRSVFFPDKTLADMEKLCWDAL >PPA06993 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:560886:561679:-1 gene:PPA06993 transcript:PPA06993 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAGECVDDVCPTCGRIAVDFPPRNPSFHFRFIAAVYFCALLPPEYCAAMGYYGIVLFTIYGRGRLSICAYCKCLGDGKSSAIDLTL >PPA07103 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:1363827:1364511:1 gene:PPA07103 transcript:PPA07103 gene_biotype:protein_coding transcript_biotype:protein_coding MVTISSLQSANDRHNHQQIYRSLTVQLSSLFALASPMINMYYIPPYRRYVKSLLSANSQISPLKMSTDALHSGPRF >PPA06952 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:355770:366153:1 gene:PPA06952 transcript:PPA06952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ist-1 MDTAAFDVVIVDTPKKVAHKKGEESPPSNIIEKYPHLEGSRRICFYAHTIYLCVKNIEPAKEDDLPPGGSPPFKAIHAFEIQRKFIPNFGYRDNYFILMMGRGSPMGACEMWAECENKQMAEAIHHTLNEIIERESDKKKKLTNGTQQVPQLPTMPTGRHRERSHTQPHRQRLASEIIAGFDDGRNRGGPSSSPGGSEAARERRSQLRESKALVESFEEEQRSQQHRGSITIHTPPQKAQGSLLDTGRRNTNSPLANLLRKPSTGTVACTTGSHRTPPTKLGAPSTSQAPSSSSGSSTTLPVPGLRHSFAAPGDTKNKLIAHTSVFPVRRQSETIKEEAGTYQPMDPTNEARKKEWEQMMRGEMQGCRAVSPSTTRSSTDETEDSGGTLRCYGETEDSGGTLKMGVSSRPHSPNNTIRNLSLVQQTPRPYEPLPLKSKADHLSSSEDDADADVISAGTNTSTGGESISAELDYAHMDSVNWNGEGSSLSVPLSAFSHHRDHPPPPRSFVSSSDSCYSSIAERQAKGGHHSTAATPEAPPKEQFRTYSFGPGHPSFQRPGDPIRSGVLEPKKLSSEGIAAANGADAAASSSSNAAKEADRKRAFSLGSKSFFANLSRPFRKVSSRARHAPTSASGASLASSTVSSGVGGGGGGGQGQPSSVSSNHIAGFNQHPFVDDPRNRSGSFGSGRSTPYSKRSGPIEASSDHLMELDFGGEPQHGRHGLGGRCGSGSMGSVDSPSRSRTSSFGCQLKRADEYRHEDENGLTPSQILLQKAKQLSIDAAETAPPVHVDTSEYVLTEAPPPSERASYGYHHHHSSHHSPDHVVASREQLTSSSSSSTVPPPPPAVQYSVNGGVQDVMGKSHEARSSQYFETIEETTSGRSSRASSIDESSTTVAEVSQRLAEVADDDYAEMDVSKQQTTDVVVETIPDAAAAAAAQFQPADSRHSSSRSRSASPAASSTRRVDSAATLQSVASSSDRSDRENRDVQQQQKEKEQQPVRQKMSTQSEGPQLLAAATAAGGLPKRSSVPVLKDQDAAAQGDPAALNYAFLQLNGAGAPAAASHDVSRGRSKSGILFASSSSRESAAAAAAAAAASDQTTVEYAICKPVVP >PPA06917 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:194865:198214:-1 gene:PPA06917 transcript:PPA06917 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLWDEQLWLPGNTTWEDLASPAYPQRNDFMFTLAIGFLMLVGRILIESFVFLPIGWLGGWVEGPLSSRILSHICGGFAGKSQFKKVAECAYRFTYYLCAFTAGYFVLRTEPQLASVVDCWRNWPMHEVSAKVWWYYQIETGFYWGLLFSQLFFDIKRKDFLQMTLHHAITILLLWISWSMNMVRVGTLILFSHDAADILLELGKLFRYAGWDTALAIDFVVFFFLWTGTRLIYYPFYVVRSVIFEAPSYLMSRYPCVTVSPPRQDNFSWWNLTQRPIVARALLVMLCLLVVLHVVWTILILKIAQSFSMNGGAGVDDVREDSDSEDDDKKKKKE >PPA07098 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:1352926:1354001:-1 gene:PPA07098 transcript:PPA07098 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRISIFVLLATSVVLASSAVLTKSENTIAGRCVEDNKGTYCLDREFVTEEHLKPKSKDIPIKQDEYKAGVYFPRKKTNQIDIERFPAIKNLINEL >PPA07087 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1313000:1322742:1 gene:PPA07087 transcript:PPA07087 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPARLRPPASTAPVFRDLPASAAKPTTARLSAAQILAATATGANCSLDIDECADSPCAGGSTCLNKLGSFECLCPEGRYGLRCEAGSCKSDRECLNGGHCVDAECICQPNFEGPNCERPSHDPCSSAPCSSERVCLRNQSELRGFSCVCPPGMRGRLCDLPIGSYHSQNSQCSLSFCPLFAGDNICHPECNNFACGFDGGDCSGGSVPFSRCPDAAFCALRFKDGKCDEQCNNENCLFDGFDCAGPEPAIHKKGTMTDITLTVLVKPATFVKEVDNYLKLFADRLRTTVIVKKSEGAMEVFEWNSRDGVGPRVDFGPRTDARVEVSTRLKRFVRKEEILNGIIVVVEVELDECDRGCFSDAYAVAQFIEMMEAKEPLNPDMPIHSAVIARKKEKSGSSLLPVLLACLLLAVAVIVGTVVATNRKGTKRRNIITAPEWMPPTKDAARSMNGYNTHQPVVEPTTKMLLPVYRVEPAPEQHEDASHLELAAMGKERITPMMRALPTRHSATPLHLLALNCVKRSDEIMADVNLVLDSFEVDVNQQDEDGNTALHYACRNARPAMARRLLEAGADPSIDNELDRTPLHVAAQNCDDLCIEALLGHSFYKDKDTLDVVDVEDRTALMYYAANSTHSIRGAELLLKAGADVNYAGDKKKMVSYRGRTALHHLALANQDNQGLVEFLVGRNANKDAQDTEDATPLFLATNGNNLMAVTALIKAGASLEYADQMGRTPHELAVARGYLDVAERLRVAAVSSRRLGAPFSYVPTTTISAARSIKNATRRTLTSGSSNNTTPSPLGLKASSSSGTSFLDSPHSDSHHSSEIGSPIYSNQPTAHQPAAALRPSPPYDNAYDSVEFHSSFGQDPQTGQFQQYSSAYNQSACIHQSHHFLNHNPHYQNQQ >PPA07071 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1178757:1182282:1 gene:PPA07071 transcript:PPA07071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ptr-15 MIFVYGAYIFTAFYGCSLLEPNLTPSRLVVDDSPLIHYLHLAQDKIWAEGLIGRVYVNNAPDFTKHPEQIARMKQFVSELESTPYSMGPNSTSFWLNEYDEYRQFFAGDDSTFYRTLDSFLAVSFNNHWNTTMQWAPQEGVVDRHGQITIKQGKYVKKFFFTTAFKIKNWDVRTSLLLQWRNITSKYRDFEALVFDENNFYSDQMLELKTTTLQSLGTAILTLITVCILFVAESQIVFWVVFTLISMDIGTAGFLSLWGADLDPTTVVNILMSIGQCIDFATHVGYRIYRSEFSNPDDRIKDALGAMGWPVMQGGLSSLLAIAVMIVVPSNAVRMFARTSVLVVATGLFHGLFILPIVIRTFASNAKAHMPMEHIKDH >PPA07005 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:664969:669087:1 gene:PPA07005 transcript:PPA07005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sym-3 MSFLKRKRVKFAVDLQVCHLSDVPLVNAVLFAKVRSHAARWDRRFNFTCRIASDPNTGILERCPCRISIRKEQKGGKSYQKLGYVDINLCEFAASGVEGISRSYLLDGYGLNARQDNSKVTVKVTMSHQSADPFFKVPHLALLPDDSLLNPEGRRAPMGEEEEAMEAGASKDDDADSMAYEGVAFRNHGCDVQGEGSGGSSSLCEDASSLASESRKTTTTTTSTLRRMSQDRSSAPRVQSTRIDAENVIASVLAGSRLSEDVVNEAECGEGLALYVGKDGEAIIAPPSGIHSRHLERVHISADTSGHL >PPA07093 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1342387:1343078:-1 gene:PPA07093 transcript:PPA07093 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKSIVVLIAGAMIIRNYSSFNSLRVRLVISAETCCLNIFDMWKCCPLDNGVCCSDRKRCCPQGTTCSDHRTCEQSIGRRGFPFFPDAKLEFPAYEQTDAIQKDGTGQQ >PPA07017 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:734323:736490:-1 gene:PPA07017 transcript:PPA07017 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNISYIGATSLREALLNRGKGYLTQEQLSLISDRMIRSYQTQKLAANDNLGWFKAMTGEMISSIMKRDVEWLKSSRSRVFLFTFDHPSTVCNIMPSRLQGFNGTVHGADICFLWFTDWDQADAQGRISASDLAVANNAGRVWTNFAKFGGRVDPEEFPRLIGDYPPTKLSPIVEEMLKGQGAKVLDQWNRNLAMCRR >PPA07119 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1466493:1471975:1 gene:PPA07119 transcript:PPA07119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lact-1 MTSSDLDPSNDESSDVPTTMGGVVHDKRFEKVVEVFRSNLDAGLERAGAAFAVYYKGKPAVHVWGGYKEVKEQRVPERAEQPVKNIRDQFTELVLAGTPWDQHTMTVMFSTTKNSDKLTRHSTSDVSQSPQSFQCRSALDLAQIERCLSALALAHVLQSSPSLSYSSLVTDVWPAYGQHGKERTTIGDVVLHSAGLPYGSRPLTPDDVQRPEVLAKYFEESTPIWEPGSASGYHALTIGLLVDQIVRRIDAKGRGVWAVLDDDLLRPHRIVDVSMGLRKEADNARVATLSGPDRYDIEREYNRLSNRLLSMPSNLGIANAEELAHALSIVASGNFFHDKTLALLSKPVLEDEMDIINGYEESKGYGFQYTKSPKGSWIFGHSGLGGQNVRIDVEEGLAIAYLCNGMKIADADMVEPWKKLIDEVYRSLPCDGECVCCEIVLFIDSDFSNW >PPA06995 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:566367:567847:-1 gene:PPA06995 transcript:PPA06995 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cdk-4 MPDMQCRVIAMQIFRGVDFLHANNIVHRDLKPQNVLINKDQTVKIADFGLSRTYSSQASFTTTVVTLWYRSPELLLQTSYNSAVDIWAIGCMLSEIYNRRPLFSGQTEAQQLVATFTKLGLPSPQEWPRGAVIERATYPEHPRLPDNREFGPGKRIASRVQGRE >PPA07023 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:761763:764928:1 gene:PPA07023 transcript:PPA07023 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGSFFGNPNRRPRGKHTVVETTTERRTPDGLLLSTSHSRNIFYGDDHYGIQHDVVAVSSPVSRRRHETPVIESDVELLEVRNVPINRNFNTDHVRRSESRATFREDIDNSRESSERTESTCRTSSKGGRRPFRNEWRRNERTESRAAPPPREEESVYIDPAQLYSNSTIYGDDWSARGSVCKQSHLVRQSEGLSTELILVLNRLNDLRTMKGLRPLVVDCALMREADLLIREICRDGELRSAHPSRRLSLWKGDRLHSAIADLWTHYGPPTTASSRFDPTRDDSLSVTGLSSGYAHDEKKFAVVALFD >PPA06985 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:521922:528432:1 gene:PPA06985 transcript:PPA06985 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKKGDRRAHFRLVGPSLLMKVVKEEDSFIRFAGHHDGNWQWEKRLREFRTEALFREDPFGAHEYNIPYSMSKRKRWMVPDMFEDEIEKVQDPSRSLRVSASVHTMSTNGQLPILQRPPWGWRYGVSQVEELPTEQYHDVLRDGRVKHRVRKHATLITLDHDEKKRKNIDILALNPVPTTNLPFDKDAEFQKAHVRYNVINMVHDKRPVHLHNIPKYNHWWAYDPTHRERALEKRTVVAKELREYYGQDEELEDAVDCEDVGVTPGEITLMDCVVEKKPQKRSRARSHRERFVDEPTKVKIAFPEMVADEEEEEEDEFVIVSQPNHRLFANMNMSVEEVEGSCPICYEPFSEHSGPFSLDCTHHFCVNCWLRQAQVCIDMGWDEVDCMDPQCSSILSKTSARRLFSEESYAFFVSSTQEARFRKGKGRRCPLCRWTVDYSGAKSDSCSCGALLCGLCSSLFHGSLPCDKAEQYNAYLRKNGMDTILGDMASSNVVNELVRCPACETPLQRSAGCDHMTCVCGASFCFRCGRERDVHHDNGGGCRPKEQESVVLLDVFTRTGANAFSKKLLAEAVRRRVELAMRKREIVGELSVLPLSTARMYMRKIIAISVLLESTILRCNDARFKLAVGRIELALYRFLNSSSGTGEKARDSLIRRADEMVKDCYRFAIV >PPA07033 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:859522:860361:1 gene:PPA07033 transcript:PPA07033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dylt-2 MLTVEDLGRKISDAINQRLKDLNLPRYKFIVQVMIGESRGQGVHAMSQCVWDADVDGMATINYNLNNIWCQATAFAIFTY >PPA06883 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:30236:31273:-1 gene:PPA06883 transcript:PPA06883 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYDAKSMNGLISPEDTIAYGGITVLSAEPFFNMYAFTMRTLTYMQFATCGYDAYLNEYQVMKIETNDYGPSSFGSFSTPILTLFTESYSVYTKFSFTFDKDNLIPQIKRVLLILIKADGFLPGESLLVQAGTQDDQTYQNWSITTPMDKSCQSYGNIVRVFLTSPSSNHTPRYRVQISSHASNVHDFLHFLVIYTFFLVLT >PPA06896 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:106089:108694:-1 gene:PPA06896 transcript:PPA06896 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSGSRAPPKKPSFETGTRVAVHSIVCHVCFFCGNTFPVLSDYQRHIVHEHQMASIAYQLYAEEHPPGKEGSLKRVKSEDKKKKNEKENGDEKRQKMDDSLNTQPSLPLPAPLPPTPSITQPPPLPITDTPTTFHPITFQPDRPYLFPVAVEEPTRSYPSKNPTAHHITPVASPAHPSKNPLARCIPSEASPSPSQPTRNPSSRYLPSEASPSPSPSQPYKNPFGHNLRSEPSSPLLPPGRYPANKQLSVKRSSLSSNKSSESETPHKDSPGELCAVCSERFSNGTSLVAHSVKDHNTKINDVEMFLEDGNERVPTKHKFTAICEVCDERTPTFTCSFEHFIMRHKGLVFRGTEANSATFVVKNRSEFGDDVAKHKEKFCAVTGKCILY >PPA07055 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1040126:1043007:1 gene:PPA07055 transcript:PPA07055 gene_biotype:protein_coding transcript_biotype:protein_coding METATEEPPQETVDEVALPGITVRSFPDSRPAMLLKASEQGLEKMYEPVFPAGLSLESCEGDVKVAASIEFVHDTAMYVEHIENLKSSGDSLQTLPMPLYHAHVLWSYILRISRVKSKKCALSLLSIGPHLGMDSTPKKGSLGRNEPAGLNMPRVLGASITVLRGEEKEEGLKMDLSPDRGGALSAATQFSKAELLELASESERRLPLHHFYIPVDFRQGDAVVCSGCACASSSAAPPFFFSEEADRPEGVSVWCGLPYLGKRRPEGPTATVTGAAEGILDLIIRGERPPDYDWAITVGESDVPGPSLFYVHKAAFGSIE >PPA06946 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:321571:322977:1 gene:PPA06946 transcript:PPA06946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EB50] MVAARKIATKGNKPGEPLFKLQMPWEKDRGSTYTDVTITNPFDVPHTFKIKYTCKHTFRARPPMGAIEPKQSVTIRIKDQGKIKDQRSTLFIALEVIQHAQERPLPDAHCVGILHKKCTADEVKKNTRLDDWKVTWIRNNKMEGIIRLPVSFVDPATGHAPSVTPSAGSSTAKKHCVSFSNGI >PPA06923 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:224572:231575:-1 gene:PPA06923 transcript:PPA06923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acdh-10 MIGRRVVAQAQNALKVQARNSSSGLNFALSPEQEELRTTVRKFVQEEVIPVAGHYDKTMEYPWEVVKKLHTMGLLNTEIPAAYGGLELDMVSNCIIAEELGYGCTGIATAAVANGLAEAPLIIAANDDVKKRFLGRMADAPIMAAYAVTEPIAGSDVAGIKTKCEKKGDEYVINGSKMWITNGGVADWFFVLARSDPDPKVSAGKAFTAFAVDANTPGIHVGKKEINMGQRCSDTRGITFEDVRVPAANMVGAHGEGFKVAMKTFDKTRPLVAAQATGLLARCLDVATKYSLERKAFGTEIANHQAVQFILADMAMNLEMCKLMTYKSALEVELGRPGSYFASVAKCFSSDMVNQAATNCVQVFGGAGFNTEYPAEKLMRDAKIFQIYEGTSQVQRMVIARQLLAHRINMLSRSINRLSAPVTRAVQARNLSFDLSDTQREIQASTLKFAKEELAPVAAKYDESGEFPWDIVKKAHALGIMNPQIPEAYGGPGLSTVETALIVEALAYGCTGLQIAIMGPSLAIAPVYIAANEEQKKKYLGMLAAEPSIAAYCVTEPGAGSDVNGVKTTCEKKGDEYIINGSKCWITGGGHAKWFFVLARNSDADKKTPAGKAFTAFVVDGDTPGITRGKKEKMMGQRCSDTRTITFEDVRVPAKNVLGAPGSGFKVAMGAFDMTRPGVAAGALGIMWRALDESTKYAIERKAFGTQIANHQAVQFMLADMAINLELSRLVTYRSAADVDNKVRSSYFASIAKCFAADKANEAATNAVQIFGGNGFNCEYPVEKLMRDAKIYQIYEGTSQIQRMVIARQLITSFAQNGTARV >PPA06898 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:112459:116928:1 gene:PPA06898 transcript:PPA06898 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVLSGEFTLGDADDSMNISGDFSMDTGDAANAAVTVQTHPPKYEELVYESEADGYKYYKCGPHNMCIDAAGYWYIMDPVSGSFELYDWQTDDTTAATAQNDTSSIVKYDDRGRPIFPTDAKGNPVLPTDDRGLPVFPFNKDGHPVFPIDPRTNEPCVPVQDGKPVFPTGIHGKPVVPLDSKGMPVFPKNAEGGYIFPETADGKPVPPVTIHGNPVVPIDSYGNPVVPYDANNRPYIYMGGPERTTPMTVEEYTAWSAQWETYSYYAALENPYQYLNGARDDTMKQLKMSKSVRPEDIELPMNFPNAGPTLPMSLNPPAAPAPTAPVVDEEKQKKEQAEKRTKLLQSQLKALKKDAEKKIAGGESPEDKEAAEKEAKEKEDKEKEMSGSDEKKKKKKSKKEKKEKKRDRKKKEKKQKEKDLYADSTEETQSDSSGDDVDSTMDIDNPEDKENDSDGITMSELNAAVEAAKKKSMEVNVIGDDKDNMRRMEEEKRIKEMERIQLEEEERRLREIALTKKRERDEEEAKFAEKQRLIEEKRKEAEMEAARKKAEIDEEERRREQEDLELEMQRKAFEEEMNKKREEIRRRSEEAKRQREQEEADRKKKVEEEAAKLKKEEEEFKLKIRMEQEAEERTRAEALRKFEEELSKKREEEKKKKDEEDRKRKEDEEREKERLRLEDEDKKRKEKERLDEMEKEKEAKETDDMEAEENGANGIKKKEGK >PPA07038 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:891468:893425:-1 gene:PPA07038 transcript:PPA07038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ceh-14 MENPNKRPRTTISAKSLETLKQAYQTSSKPARHVREQLATETGLDMRVVQVWFQNRRAKEKRLKKDAGRRWNTADSLDSSTPFKDSDSNSPGDSCNGASPSYVYMDSSLEMVEPMSVASQAGLQQPEAGGQPLPQPGYESEQQFHMMEGYLPPPPPPPPSIMGGPLGHLSMDQSLLAQLPPALPSLTSLPEHPQQPHMMMLHSQIPMTSGMLLDAMPQSDNVYLPLASASILSGLQYMT >PPA07035 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:863708:865882:-1 gene:PPA07035 transcript:PPA07035 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-trk-1 MRWYEVFSSSCAFCDFDHPTGKFSFKVCERRGNSTDDDDSADCEELVHDINHWPNATAPPPLTPPSSHHRLWPAIFAPLFALLCLAFGTYVWRQRKKKFAGWKGRNGRRESGGTEETQLEERNSFSSSNYAHVLLPSLELGAIQMHEKIGQGAFGEVFRATLTTAGDMPVAVKVVREVDESEAKEAALLSRLNHENIVIRHESGRGSIAARR >PPA07013 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:712563:716083:1 gene:PPA07013 transcript:PPA07013 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLKDYENLLGGLSGGVVSTMVCHPMDLLKIRYSANEGSSFRPQYSSYVDAARQITKSEGVRGLYQGLTPNLLGCALSWGAYLHVQLDAATSPFLLSLRCSLPAPHELCMRVRLGCFSDVLHQPNLAGENEYESGVKKYDGMVDCMKKIVREEGPRGLYKPLTDYFFFSTLSKVLAVTLTYPYQVVRTRMQDHNAAYRGVWNTLTRTVQGEGVRGLYKGDDWETSHRKVS >PPA06948 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:325716:333375:-1 gene:PPA06948 transcript:PPA06948 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLGFTVVLVVAALGVAIASLVFNILVFNKINESDDVAVDPTATPSPTDGGCPGVNEISKEDSWKEAANRIIDTIDLSSDPCEDFYQFSCGKYLQDTDLQGMSRKGTYDEAQYEINLALADYFDGKALKDLKSNTEKYQKNFLQMCYADAKAEPSEDITNAKWDDLTKDLNAECVHPVFSLGFPLFGVARKPQTYDEFFRIMGDMERKYTGGPLMTSFVTADFKDNNTNALYINQPALHFTRDYFVKPQFIDKLQAYADQIRDLILAYGKSTGKPLDYQFCPTMNADDKECATQVAQWAVNIERSIAMSSWPDTELRNYKQQYTPFDDLDILDYRFQQLSLGKYVRGLLQMKDDDKLDGFKVVISQATYFAALDGQFDAGHISLDDYTDYLAIHFLMDNAAEYGIDIPSADGDKKKNKMRYNEKTFDKYITRRGFGARKITRRPLNSRGLAKSEDDEIRTRCVDTMIDYMPYGPGYTYVRNRADRDDVRKDVQIMTENIIEQFSEMLNSLDWIDPESLGRAHAKSGALVRNYLWPDSVFGPDFNKFDTIDGYNKNYAAFADTTKLPTYWDALKTMKLALMKTEQWDIVAAGGDRTNFLQSPATVNAWYQPERNSITFPFGILNPPYYNLNYPQAYNYAGQGGTAGHELTHGYDDEGTQFDEFGMLADCEFTHCSILDDDSRSGFVDMAQCVVQQFNTQCCPVKKGNVRCANGDTTQGENIADIGGEQAAYRAYQKFMADQGKTELRLPGLEQFSPKQANNARWDPLIFWLTYGVSWCMKITEDRLVRQLMTDPHAPSSCRVNQVMQDIPQFGMDWKCKRGVSPMYPNDDDRCKVWVGF >PPA07101 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1357164:1358342:-1 gene:PPA07101 transcript:PPA07101 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQCCPNEIGEYTCWRYKGTCCGLKYNCRPVQECLEDDDGKYCLDKIMIEWGYGTRHGRNYKRESKENYPAIQIPV >PPA06935 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:285958:287058:-1 gene:PPA06935 transcript:PPA06935 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIEGRRGERISCQETPRYKEQDVDHRGAGLRLRLTRPSGRRFRQLLVIVKAKFFSHSAEEKIKAAGGTCVLAAKGTITCVLFLI >PPA06967 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:433690:435570:-1 gene:PPA06967 transcript:PPA06967 gene_biotype:protein_coding transcript_biotype:protein_coding MESKQQLKFFCYSPMFSRSHTTFMGALADTLIDRGHEVVLFAPLFTPSIGSHGTTRATIIEYPTCKAVKRKIDERDGKPRGARVDFWQAKGNANSRLGPFKALLVEQIKEIIDDKAIIERLRAENFDAGFCESLDFGSMVIMHLLGIRNYSITNSVATYEWGFSVTGMPFISSYTPGISTSFGERMSFTERWENLRALRATTNWMEGMYAMFDDAVKPRLPNFPGVKVGDD >PPA06971 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:446969:449971:-1 gene:PPA06971 transcript:PPA06971 gene_biotype:protein_coding transcript_biotype:protein_coding MLRASIFMVAVGLCSAVDLPYSTIITSADVQVGVPQTLNNLAKDSVYRIYATFASGNTDAYAQNVKIFDSTGATFTVAGLSQAKPNSGYFLDNIRYLRAPIAVCFKSLESLLICMLQHGYKIVAKYPHLTPFPVVNAALVPANGEVDSNNGFIGAGITVLSAEQYFTMYIIDIGNLASMSISSVGYDEFSNAYTVMWLNSPNEARLSFLTVYGPVATLFNTNWGINARFKFQLSRNAKFDTTLAPGAAFALTSPGYLSTTQSYNIPYTINDALDRSYSFGANTIVQANYNVNDFQGGEQINLQFSTAENAISDVKLSSSGASGQVLWANRISLYVEHPNGNHMPRFLIQVTSGSSFTFSLLGVLLVSLFSKLY >PPA06885 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:41414:42605:1 gene:PPA06885 transcript:PPA06885 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNRSTQFDMMMKMFEKAKLEKLEVLDLSYNFLSSIPFDLPCPFPRLTRLDLRQNFLTNFVVNETCLANIRTIDLSRNQMHSLDAPFRQLANNLRDDSLILKNSFYCDCKSGDWIRWLRSTKVIREKGSLICDRASPSTYKGTRLVEVPIDKLDCSIDVWNDNAASSFSSNWNGEVRISSKT >PPA07058 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1071863:1075823:1 gene:PPA07058 transcript:PPA07058 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKHKHAYKSDVGPSIIVKRIQDDDTFVDFREYNGYEQWTKKANKHRTDAIYRPDPLSIDGYAVPYSLDKKRRWVLPEMIEERIEDLQDPSLNLRVSASIHRCSRGDSFPPSVLKAQGPEQYLTIAYRNVTRTGEVQHKRSRRAQLSTLEWWNPIQFSSEQRPSGNRLNPNRAIPEKCLPKEENMMFQKVHVRYTIMMMTEKVGRVSKYNRTFRPSLIHRRPKVLPEDLTNLLDLAVDEEEPAFFGFNDDQESEGPSTRTLGDFIAVKGEKKRRTFKKAKKPYRDEQMPSRASFLPTTTESSDDDYVVLPHPFKLSLNEQFLSASGEARMCSVCCESRQAFTIGCGHSSCSSCWLRHMETAVGVGSYLPCMIHNCTHSMTALELKSLLSTESFVLVQRSLTDALVAKGEARYCPQCHRGVKYSNSQLDMCECGARLCGHCPSLFHSPLICRDAKLYQQYLTKNGIESLYEIIPSVTRITDLVRCPGCEVPMQRERGCPSMTCLCGVQFCYNCGRERDGPHNSNTCRRQRFETIILMDVFQRSEYSTFHLKNLREAARSRAELNVRKREINEMLKCLSTSSKRQILRALSDSRRSIEEKCARLYRDLHAATTE >PPA07015 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:722313:725117:1 gene:PPA07015 transcript:PPA07015 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYRSQIVALRMKRDFTAVGPAGDPMRKASSGTLNRLILVAQALESEVDTRRKTEIHGGKIVVAVRMANIVVLCLINFLVFTGFGQYTDGNSHTPLLLTPDKWVYSSAVDLPSTFTEMELKFQFIAGTLTVTLLFTSSLVQLLTMCRIGNLKCMSVMLTISCVPCCLLVFGLQMHYSSCPWIDDYYLRNNRVRGGIGKATRMQEQCGVNGWALAGDTLNEYN >PPA06983 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:508408:518997:1 gene:PPA06983 transcript:PPA06983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-clh-4 MRVKKHILANPYRKRIGFADGKSVRFSINDSNIFVRERRRNRLMHYLATKLAHSKHVIFDWLFLAILGECNPSLVPSNLFSEKRTSLRSYSLTVLQYLIARQHQQGPSYFSAPWIIFTTTLILAAALFVRLVSMPAIGSGTAEMKTMIRGVILKDYLTIRTLIAKIGGLTLCLSSGLPMGKAGPMVHISSILADQYSRLFARFEPSFLSESRRLESLAAAGAVGVASTFAAPVGGVLYSIEVTTMYFTVRNYWRGFFASCCGAIAVRMMRQWATKTEVTVKAYYQTKFNKNAFVVDELPFFIFLGVVCGLLGVFYIHTYKKLVLFLRTNHYAKIFQKHWYIYPLLVASLYSLLSFPHGIGRFFAGRVRLTWNLNDFFSDCSFKFPTDACRDDVLDRWLREPTDVLLRIFFFVFAMFFSTIICQTLPVPSGVFGPTFVIGAAVGRLQGELMLLLFRDDDTEGLHVHPGVYAVVDKQRPTNCLPVQFFNGSAAFSAAVTHSVSVSVMIFEMTGQLWLIIPTMIGTIVARAVSANFTISWIDNIIKLKHLPFLPDIPPNNDRGILLERMDLNVFPIVENKENEMLIGTVTRGYLLHVLEEQVGAAARKSEAEKRVRRAIETIDQHFTNGPTEQQVRRIFSDPRLRVSLLASTSNQHSIRRIPKVPEPRRVAVQHVFQVTPVRMPEYLQRAAVDPEVVAPRRGSANLPQRRNALFNVRETITEDEEEEEDSLTRPPASPTEDSSLLSSTGSEGQSLDSQGGGGDYKKVVNAYKKHARLLKKVVNKVTGSWMDTEEEENAYDLTPNEREEWENERLQQDFRIPDQEIDAAPSQLVRKTPLFKIHSIFSMLQINRAYVVDCGRLVGVVALADVRDALEHSLSDDPEKFDKSILPMTRKASVRSSVPEKVSDSLMPTLEVVGKAATMEADEAFVRREKDAIRRMTSLKSSQREDVHQLSIHKSNASSSEAEQLVEAVAYLRKISMSSDDIDNQKTINRLRLSHSIANWEKVGQCPFRRPLYDIFDFWIFRIDVIVDEIVEIEQLLSEKAQFIVGSPSSPPPVIWRPATMSNDV >PPA06958 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:388516:390595:-1 gene:PPA06958 transcript:PPA06958 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFYWESIKDIETTRGKVKRAVLQFTPENFTRFDWFNESALRRTGALTVFCLIFLLVELNTFFVKHIFAVDTSHPVVFWRLIMIGAISAPSIRQFYVYATDPLCKRLGMQSWVFCAVTALESAVCIKFGRHMFPPMQLGIILGWMVIVVIGTFATIWVSIWWRVGVTSGTSQEKVGGQMRHLYVDSSYENLGLIHEDVRERRKQLRVASESEYTSDN >PPA06969 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:440126:443215:1 gene:PPA06969 transcript:PPA06969 gene_biotype:protein_coding transcript_biotype:protein_coding MFEMAGTGPEASRRGDFVEESWRRASIRVHSERNSVAERFAVVLVLVKCGPEN >PPA07100 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1356559:1357052:-1 gene:PPA07100 transcript:PPA07100 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFLPNPHDAAKIMAMFNATQVKEQKVFVDDDDLEKASECSCTVCPSRARLDIHDYCCKSLSLYPLNKRGKMLKEGLESKLKESGSSPCITMDKPFSERLITEVSAETAVALHEYQNGHEAKDTND >PPA06941 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:302228:304424:1 gene:PPA06941 transcript:PPA06941 gene_biotype:protein_coding transcript_biotype:protein_coding MLATSRTIARRLLSTSRVVCCEEKSKEVPTPEEAGWAFNQVERKMGMYKPHHSVDEQIAYMSSRAYHDAYKGLPIYRWYKRNFKGQSILQPPPRLFCIDKHGRFNVNNACPVCRDEYLFFDYRNPSLIEQFLSTGTYQPIEILKSGLCREQYAQLQAQLIKAKEHGTITFGVDFRNYDFRKYYAWWKAEPAEPVERAGLRLEDIHPDPLVSFPAHKRDKGNDWDQWWLRHDKFARKAK >PPA06906 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:145208:146955:1 gene:PPA06906 transcript:PPA06906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ncs-3 MGNCNGKSVEEKRKKASKKLTKEEMDELETKTYFSRKELKKWYKDFVRDCPTGELKMDEFQNIYKQFFPNGDPSKFASFVFNVFDDNQDGHISFKEFIAALSITSRGNLDEKLDWAFSLYDVDKDGFITKEEMANIVDAIYSMIGNMLELPKDEDTPQKRVEKIFSNMDKNLDGQLTREEFKEGSKADPWIVQALTMDMSSSST >PPA07003 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:632200:632745:-1 gene:PPA07003 transcript:PPA07003 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTVFGYFDILNDVYDSLFGYNSNEIVETPTGLNTILQMADLFMPKNLAS >PPA06895 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:100747:102961:-1 gene:PPA06895 transcript:PPA06895 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLVGSILTDMECLEKTQTGCSEDALAMLAPMREEATQTARDLNCQIPQLTVISEKEAAFLEAEKKKEEEKNKKPEIEFDEDGEPIEAENPSNATSSSFESTQSVENITDETEEELETTVDETEAPTTTATVPPPPSTVMTTEARKTQSISPLTTVTPRSVPVDAPRPPLGDAMRTMEVIGRVCSIPYTGSHWEDVHELLCSFKDSIQKHTECFAKVSAKGRCTPEQSVNSTCSAMDSVNTFLDCSLTVMNDDCDVEAQNMIVDLQEKMNDKMIERRCFEQATEEDDDKKKEEDEKEGTFRLHPKLPSCNDDQVRFR >PPA06930 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:263894:265559:1 gene:PPA06930 transcript:PPA06930 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVWMKYGEDSTDFVLKGVSLDIQPGEKIGIVGRTGAGKSSLLRALFRLTVPSKGHIIIDDVDTATVPLKTLRKSIGIIPQEPVLFVGSIRKNLDPFDEYKDEDLWSALELVELKAVVADMGFGLEAPVQEGGANVSVGQRQLICLARALLRRTTILVIDEATANVDNKTDALIQRTLRTAFAHATVLTIAHRLHTIMDSSRVLVSTVVWNRRSTID >PPA06976 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:472082:473669:1 gene:PPA06976 transcript:PPA06976 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEGSTAPSSTEFSSTIEPTSTMSTEGSTAPSSTEFSSTIEPTSTMSTEGSTAPSSTEFSSTIEPTSTMSTEGSTAPSSTEFSSTIEPTSTMSTEGSTAPSSTEFSSTIEPTSTMSTEGSTAPSSTEFSSTIEPTSTMSTEGSSPELKHFYVQNVTVAVNVGLAGTQLRRKRETGQLSQQILNALMDSVKQWTLSGDVGVRFVYYATDAIESQVFDSWADAKSDLLTNYVPVPVDNTKQIRAAEKLATLQDSASLMFVVPQREAYSNQAVALQDALDTGNMMSSLIKEKVRVKNCRAKI >PPA07040 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:912889:924119:-1 gene:PPA07040 transcript:PPA07040 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFEKSEDGLSTTTNNSGNSSSANRPRGASSGALGAAHNVISTTPKKAGGGLSLLGGLRNPMVALKASSDGDGSSNRTGSSEGGASEGGASPSDENEARMRLLAKCEGAKQRLLQINMERERDLEEFIAMADKVEAAGGRDTPHLARIRQHYDRKNKKSTGLIDAVQKKLAGYEARLADLDGGGSGAAPDPLRGHTVLQGIRRTGANLKQMTSSVVSAPLELAQKLKRGTMGSSSDPIESTSNVHRDDLLGQSQFYPSAVQLQQQQAQSSRSASGLSSGLLLGGLTAASSGAPSTSTSNNKPKAATLPANMRMSPVDLEAAANAAIANTMVPSSSSSVTTSSVEWPKRGGGGGERGRKGPDEEKDKEKEPAEDSLARLPPLGTVLAEKRAFARGDGGEERGGGGSDYGAPYVMAPRTSLTGGSSVTTADEISAMFGEMKQLRQHNVVIVEHMDKLQDKVQHELARQEARLLEERFKYQRLEDTLNEFIELHQAETGTLKHELQLIASRIDYQYNDRFKKVEENLESTQNHMFRVENSLRSSLEVKAGGPWFNVVFLSGATILLELLKIGLYLTSVVLDFFRPFTGTRTKTGCFLFVLFLGFMLLQNIGSIVGLFYSGDGGGAQVNATSE >PPA07045 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:989685:992266:1 gene:PPA07045 transcript:PPA07045 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIGFFSEEIQGKNGFDATSSEANGGSERKMRLSIVISTLKNPETQCSTELNFSDCADICLILMQTGSYRLRRACECYDSYLEFSFLDRSGVKNKTTVELVENFSVENKGGLNAVKDKVLPNMKFKGRQKQSK >PPA07122 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1477740:1480103:1 gene:PPA07122 transcript:PPA07122 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRMTGAKLALNSVDNKLGMPDEETAGEDSQLLNKLSDDAIRHILPLLTHCYFESIGEKAGQKVEEERKNKDMAGSEAISDLDKISLLNRRLYQLTKESRPKARLDPARKLKINYSNNVNELSVLLNQYMNKNVPKTVNFHHLHLEKNYERLDDLKKWISQSKIEKLEVMCVGMDKFFSVDFLEQFANSSDNPSWSLTPSLTIGVPIRTPREVYVEYGTPLFAALSQYRTLIMDHVQVAPDRFMQLVELRYERAKRGRWNLELHGPRRLLTDAVVRNWNRPGTVIEQYF >PPA07116 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1444565:1444885:1 gene:PPA07116 transcript:PPA07116 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVPLPLRMPSDTLRSTKGKKLYSDDNEYIYRVSHMHAKFLMHPWFSIRPAIRVFIAD >PPA07048 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:999940:1000352:1 gene:PPA07048 transcript:PPA07048 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAFISLGVWSAEYVRAGWIIAYAEEGMEYEYGGEFDLMITAAAAAYKKKVSTFESNTAFPKESKKARRDGPNVTKTSNIPSKGEEDEEEWHDEDTRGSFNPADPKCKCAIS >PPA06893 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:83882:87232:1 gene:PPA06893 transcript:PPA06893 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFSDVSNIPSQAALVKQKKRSLPISPAFLTYLPFPPSMELLLLRLSVFLLIYPALCQEEGRYTESIIKDIFSSYNKKIVPNAGTTTHVIMNMPLMMLIDVKENEHLAQFVVSDMKTWIDPRLRWDPSQYGNKTTIVVPEDDVWLPPTVIYNAIEIEVLMMDKVRNVKVDNTGRVFWNVPMSLTTTCRLKVDYFPFDTQTCTIFATSPVLRVEEMNAIAGRTNSVFGNAEWTQIGALIDVTTYEEFGDTKFDVRYHVKIRRNYVYYIIVIVLPTFLLSVLTVAGIFTAAGTTEIIGIGLTSLLALSVMLGIVAESLPKSNSLTLMGYYLLLCMFVASLSIIVAVVLSLVIEHFCRNASYYPHRAFYSLVCAKRRDSREKATQEMESSTEKSKISQENGQMFILCSMIREYIVLQTRVEGMKVREKEKIWMSKEWRRLFNRVEVLMGVNIAMLAVFFSFVYKNSIDPPLDPV >PPA06978 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:482576:483457:-1 gene:PPA06978 transcript:PPA06978 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLPLAVIPVEFLEAMDLAVEFGAGEGVKTRIENCNNVAHGHCKHFLKRAIVKGNTNEERYRDLLEAINSVEVKDFIKEIDSVTNPPEAVTDDPEGDN >PPA07075 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1216010:1224206:1 gene:PPA07075 transcript:PPA07075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cpsf-2 MEALSKDRRVPYISAVLLSYADTSHAGALPYLVTKCGLTCPIYATGPTCKMGVMYAYDWLQSHKAVEDFSLFTFDDVDASFERVQQLKYNQTVSLKGDSGLQIVPLPAGHIIGGAIWRISKAGDDEIVYAVDYNHKKERHLNGCLFDAIQRPHLLITDAFNAQYKQPGRKQRDEQLVTKMLRTLQKGGDCLVVIDTAGRVLEIAHLLDQLWSNKDAGLGAYNLVMLSHVASSVVRFAKSQIEWMSDKVMRSFEQGRFNPFQFDHVQLCHSHAEVDRIRSPKVVLCSDISMECGFSRDLFLEWCSDTKNTIILTGRSGERTLGSKLIRMAQGQQRGKSSLVLEIKRRIRLEGAELEEHRARRLHEHQEEARKKYGMEANRRRSGLAGAKADASDSESDDDDVIASAVAAVQKATDAVTAAAAAAGAAAPIASTPRGSSTGGGGVPIPTTPLEAKPFPAHESHSSTAVSAYDIIQKWEHTQKASFFKQNKKSFPMFGYVEEKVKWDDYGEVIRPEDYMMDAVAPPVVASNGQSGVANGGLNGVSAMLAEAKIKADPDLAAEAAAAAGAADSVDSLLAEEEWPTKCLKYITKLEFMKRVIMMKNSSPHFACTLITLTDDRVMCRVEFIDFEGRSDGESIKKILSQIRYDCFGL >PPA07097 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1349788:1352453:-1 gene:PPA07097 transcript:PPA07097 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIGENLAHASLPNSVCPDPRWSCPGGCPDPRWSCPGAGTCCLNPIGGWACCPFAQATCCPDRVHCCPFGRRWKNPAVHFSYSGTTRPADRPNMADEWAVDEVNEAVDVARAFELKSDLPEVNVADISVVDYITVKRFRKASCPIVLRLGCYLMMPGRGNGKKLITRFVIFIT >PPA06904 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:132117:135649:-1 gene:PPA06904 transcript:PPA06904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rps-4 MARGPKHHLKRLTAPHHWMLDKLGGVFAPRPTSGPHKLRESLPLILFLRNRLKYALTYTEARKICKQRLIKVDGKVRTEMRFPAGFMDVISIEKTNETFRLLYDTKGRFVCHRITAQEGNYKLCKITKVSVGPKGVPFVNTHDGRTIRYPDPHVKIDDTIVLDVNTSKITDFVKFDAGNLAMITGGRNIGRVGSIVNRERHPGAFDIVHVKDTTGHTFATRVNNVFVIGKGAKPLVSLTAQKGIKLSITEERDKRIAAKKAQMGDKIGKALNGLLCVYKPADLSLNALKKNILKRICTQVFGVNDGVDQLEELRSQQWANQWRIECVLGRETHKHEIKGKVTRKEAFDHVNKQKVKKLLTKVIGDYRRMSFELAEVEMQSSEAFQIASRGIPRPKLPGSQMVVGLKMLLFKLPYLAVSIDSIGETDAWLRCMVNEFGLALDTTASPVRLIRRSIGPFRAEHTVLERQLSLQNIVDNISLTSRLVKEYPYDRDVVIESGKDTSEEGFGRRKEILDRMVANELSPEEFDAMRPAWPRDYV >PPA07057 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1066080:1066522:1 gene:PPA07057 transcript:PPA07057 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSQSFAWGINQPMREVEGELRAAINKGMRRGFLLPKESKKAPDFKKADSLVLNDCIPSFEAGDCPNVTNVTSTVDSLLPKELHLSSSAVDDCLEVTKTRDDPVKGEEARQA >PPA07002 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:627984:632102:1 gene:PPA07002 transcript:PPA07002 gene_biotype:protein_coding transcript_biotype:protein_coding MADTAATMMSKMTLSSTPSLVAKREPGKAGRAVDILSNITPITLKKNVAYFKYDLRMYAIFSKKDGSEGTREFSKQTRDDYNEQERKKACTEIFLHLSKNQPIFRGDLIYDRAAILFSTLKLDGVTGTDQKFSLSPAVQSVCPDAIRVDVTIKQAADTFQVTSNDLNSCVNADFKNESKGLMEVLNLATSQIPFFSPKDYIVYGNGNIYLLEPNKFGFQDNEAPSIGKDKYTGIGLSKGVKILEGNNKPGGKDYMPALVLDVKKTAFHFDNHLLTSKIEALYGPNQFPPLAVLNRDLKDIRCLTTHKKASIVIGSFTNGPVGKATFTDRDGKQTSVVSYYETRYNVKITRPDLPGVIDKHQRNIYPTDILKVAPNQRVKASQQTKETVETLIKVSAIKPEIRFKQTQRLAQLLQLHSKAAEATGVTVPANQPPLAVPARQLGPVGLLGGQGSMAGPSWRGSNRFVVGAKVEKWAAFLFFNGGGRPRFNPHQVFQGFVPKLMEAGNRKGMFIPPPAISDAIEVKGHVDGLVSEAVKKAASAGCTFVLIVSDDRIKSHDQLKYEELVYKITTQEVTLAKAAQVAFEGKVQTMENIIMKTNVKLRGMNHVLAGDNGLHHSSDAIIMGIFVQQPRGMSAKEIEGGAMPSMPAVIGLSANNGNLQAAPPQQRELASQQYFTTAYKFANPREWMTGETQRQTLKKMVIDALKQFKENRGKIPNKVIVYRGGVSEGMLPYIASVERDAFHAAFTELNASYKPALVIIACSKEHNERFYHKVFPTAAPGARVDTNLPPGLIVDRVAVNPELNEFYLQSHKALQGTAKATKYTLLHESSGRLTNDAIQHMTNALCHLHEVVNSTTAIPTPLYVAEESAKRAVNIYHHVKGIAENYNLDEINRTLSPSGLGSRINAQPLFTLFPLH >PPA06912 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:165506:167906:1 gene:PPA06912 transcript:PPA06912 gene_biotype:protein_coding transcript_biotype:protein_coding MHMSVQLKAMEGKLRTVDLILEVHDARIPISGRNPLLARQFSAARPHILVMNKMDLIDMGKYRRPIEEYYADHGAPRIVWTDCKRRLSKAIVDLRECMIDALRSEPRFNRTTKTEYQVMVIGIPNVGKSSLINSLRSTNLGIKKSAVTEGARPGVTIRVQNRVRILDRPPIYVLDTPGVLPPRHKSVDEAMKLALCDLVLESATEPRIVADYLLYWMNRTGDYSYTRHLGLPSEPVDDIDALLKRICARHEMRVKRMIPGEGYQERWDTDKAVKMFIALFRSAKLRDHCLDKELLLPYL >PPA06887 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:45247:48595:1 gene:PPA06887 transcript:PPA06887 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAKRSSVSNEKWYGFWFKESNTYYGLPISKLTKPLREMEIGETLPMKWDKETCNATCIVIDTQKEVDQLVDDMIKGVKTIESLNLPDEDKTKATPKKEAKTPKKEAKTPKKEAKTPKTIEKKKKEEAKPPVSRSQRKSTRGEKKVVDEEEKVVKKEEEEEEAPTSSTTPARGSKRAEKKEEEKEEDVSPKKRGRQSAVKPAVKREDTPVSVASKNFEEAEGRLQKAEKAVDKWQKTVDDGTMSLGEAKKELMEARAHLEAMRRLKDDEIKKREKGIKEKEEEEKRIKEEKEAEERRKKEEKEAEEKRKKEEKEEEKRKKEEEKRIKEEKMKEEEKRKREAKEEEKRKKEEAKEEERKKKEEAKAKKEEEERKNEERREAEARNGGGSSGLVVLADIDSDANGDASEIVVGRAVASNSADLDDDIIYIPPSNGDGAGPSGANPTAPPSNHSDGIHLPDVIDDVETIDSD >PPA06996 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:593987:594506:1 gene:PPA06996 transcript:PPA06996 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAETFDTVPQFASSSRSGRRNALAEIDVESIDPAGEKLAARFAQVCTSCPSI >PPA06876 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:113:4979:-1 gene:PPA06876 transcript:PPA06876 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEGASAPRVINWQSEMSINDVLVTLLKELNVSKKTPPNEEQAAKLQDVMKDKTAPFYSRLMAAQFLTGSSSSIPFDDVPSIVASLKNRNQEELHQLFLTWCAHVERHGFPHRGDGYEMFYHMLKELSKQKEISFDEQNRDTALFAALYYSEVNPGKDSAKCLPLFLKTFLRSEKDRHENPHNFEMILSELAEVAKSQEGSSTCVVLLSVLLHVVESDQIIDWLIGVITTTDKSTRNNVHRSWMCRLSAIPALHSVLDETLEKCRSLFESTVDPIDFPPNTKEIHEWTCIDPYERWEEDEDVYWPSDALLSTILLLVSLRQKGAADKELRDLLLIGSRWHLEEIRLTSIQLLMRLAILTNDEKIEVLLRNLFVDEDNFVKELTKIEIDAGDGKLEEILVRFAKEGHKVALQLLIRLNERRSRSVIGDLLLSIDSEERRMAYSMTGGVLTLAQKEQIEKILRYTDTVDDQVIDYLNWLSKGDTEDVKIMEDVINNWREGDRKDWIKCAHLIPSSQLESIVDKNVNRIEELLVLSGSNSLYECPSFTEFYEKILEKEMEPKQYSDEMLTRLSLLDHFTREDIFPTEKAARIVFDTILRCRYKAVVDQGASIFENLLKREEKLARGYAEEILSLLSSSSSQCRSLSLSRTLLSCSLIDGSLIGSMEESFLVTYSLPLEKRPSLIRWMKTLKLMTAKANETSELIHVSGSALDNESHCFINATRKISDSFIERLFFICIDLQYLSTDFLEKSAAMCLYSFCILKMVGPTTGTPLFAVLSARPQFTHQLFDLIQKLPSLPRIVSILIFSFLSKLDYVSDRFYGDTWAQKVDETRDSIWLLLLKTGLKRERRFIVDAFLSLTPHSDREDLKQEFEYDKEEDGLQYLKESLIPRDLPSSDVVESRLECSLYWRKVKEAVEKESIEPLLELKTSHEQAAMVMGLGLGMMGRKIREKKKEENEDEKRRREERVEILSRHDRRRIRGIASRLRDEWNGERKREERGALVYY >PPA06929 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:260605:262301:1 gene:PPA06929 transcript:PPA06929 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTDRHTRSPLYSHISALMNGLVTIRAFGCEKSVLEKYHEMQNVSVASFYLGIASSRWFAIWIDWLVNVFLAFVAFFCIFFKDAISSGEVALMLVYAVQLTGFFSWIMRQSAELQNGMVSIERIVNYAALPEEETKKGLREEKRKMSELKDSSDGAADHWPSKGHLQFRPLGRYCVKYCNSFFST >PPA06878 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:10668:13747:-1 gene:PPA06878 transcript:PPA06878 gene_biotype:protein_coding transcript_biotype:protein_coding MDWILRKIGLRKNNWVEGTETDRSHLDESSIEPISSPASPTSKSESESETETFFNEDHQYAVEALTRKKMWITVKNLRFHANGVFSNVYRGTMTQPEEREIVMKKSFPPPDVRNPENDIAHRDIKPVNILIDHDKGELLIGDFGNAKVIDEGKASSPYQDTNHQLSLIHESFGPPSYADFIDMGVIQVTNDMKMDKSTKKRSLKKNDRVRFNGQLTSSVLHRQKGAASRYYNYKKVIANNRGKAKDLLEAVKRDPKLKKTVSAAAELVASLNHRFHPYGVTINPQVFPSKNIPCPASPCATQTVSTTRSSWTEVHGLYKKFMDCSCTSTKITAGPFLP >PPA07084 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1290817:1291799:1 gene:PPA07084 transcript:PPA07084 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCITVPRSYFNLSSVIDLTVRPTVTEKTAATLAKILRGEKPKGWDFQITGGIRGSGDAPVVYYIHSAALESKSPKAKAAAAIPASGDRGAILSLDANGLAAAVQIAYGVEVPLPKTFNRDMHMTLVGMFAHHYISVVVPQWDREICRRALALDVRNPSSSSMVELLRLLNAIWEMEWNGAE >PPA07113 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:1430424:1431075:-1 gene:PPA07113 transcript:PPA07113 gene_biotype:protein_coding transcript_biotype:protein_coding MPNQAKLRHAYGLFFLEHLPLNASAQVIDTTASSFVEGYYRGRLTSHVVIGTNITDTEGFSHALQTAARQFIEAQHSRLDNGEGKEELIRQLVEKNENNSNRRYSRQCNICLTPSPDSRVTLTGCGHLMCMACAMQIEQLCLKIN >PPA06902 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:128917:129554:1 gene:PPA06902 transcript:PPA06902 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTVKCQVDDDFNFDDNGWGAEDLRIAEFWKEIGLICLWLRTHPKALSYKSSQVHQKVVKSS >PPA06945 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:318836:320918:-1 gene:PPA06945 transcript:PPA06945 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRSIARSLATMAEAGKQLVKSRLDDGIFVITINNPKRNNCVGHPTAKALVEAFERFEADERAKVAVLHGEGSNFCCGYDLKEVSEGEFVGADTEFLAKYRYMGPTAMSLRKPLIAAVEGYAVAGGLEMSLLADLRVASSSAKFGVFCRRVGVPLIDGGTVRLPKVIGLGRALDLILTGREVSADEALSIGLTNRVVEEGKALEEAIKLAKLIASHPNACMLVDRASVYNSLSAPSLKDALDFEYNEGVSVLNESIQGEYRRALKFMSRDRAKPKL >PPA06889 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:51230:52854:-1 gene:PPA06889 transcript:PPA06889 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLPLLLLSTLIYHVAAQGCGCRGSSSCGQGGCSSGGCNGGDSISEIDLSTTSDLGNNYSGGGSYQRDDERGFRGSLERLGSKRRRGRHGKRERRGRKYDDEEEEEDEDRYKFVNMIIRAVTMIGSLNSVNYDSDYDDNDRRRKPAKEPKDPKKKRFRFKRAKRDTEPEPEQNNGLCNSLLIRRGLETADYGVAGKFKRNDISALLESDHAPCSLPRLFTTRSDEDKIEESALTMGYCSPISPP >PPA06950 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:346857:347226:1 gene:PPA06950 transcript:PPA06950 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVLAERAIEIYENDKAYNRRKPSKHTIDLAISFNVHNNHDYGICGQKTMFVSTKYVSVMMDD >PPA07074 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1205438:1212708:1 gene:PPA07074 transcript:PPA07074 gene_biotype:protein_coding transcript_biotype:protein_coding MADVENQSKNGDAVSTRAPRRSAAGNKAWQALMPHHKQTPATAADHLDEEEEEEDAPPPPPARGAAAAAARAAAKAAAAATPTAAAGERKPAAVMARGAAPAAAAAAAAPAMSSVSSRGMSDEEELEEASAELFPKPPPDAKRPPKRKGRPPKNGGGGSSMGGSDDGGSRGGSMVGSTTGGKKVKLEDAEDYQMDDEEEDDEGYGAPPMLNIQTARTPSSSFAKRACSPTCTKRCCLTEDGKRVSRQTTTGVRSDQYDPPYNARSGSSKRKPRASKGASETPSGEKKVRGPYKKNEAKAMMGGGGGYFASSAPGARSMQAVSVGRAVSTMPPRPAPQIGAGGGAAGGMPPRVVTVSASGKIQTLSRPTTAAMPMVARPRAAGGAAGAAGVPRYPVATIAGGAASSSARLPGPQELLRAENTVDSQYREARNAFAKHVVAGSSAAEFNRVIDLLTVENKRLIAAQNDDQEYVDGLHRKIRALENNVASLQRQLAVTTKAYHGALLEVNAERVGPTAAAPPARIMVPVAAPRPAAGAATAAGAAAMTQLPKQEKTEEAAEDKPKEEEEQEEGGEAAGGANGLRV >PPA06927 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:248454:258282:1 gene:PPA06927 transcript:PPA06927 gene_biotype:protein_coding transcript_biotype:protein_coding MFMGWKKTLTTEDMFEPLDDFESKNSTDKLKNAWSDELKLARFEKRPPSLRKTIFRTYGWTIFKLGILLLIEELLKVGQPLFMAQMISFFKRGSTLSESQAYMAAGGIVLTSFLSISIHHPYFYELQKIGMSIKTAVSGLIMDKGVKLSSSALHQTTVGHLVNILSTDVAKFDTGFIFIHYMWVGPILLFAYAFVIWQFIGVSCLAGFAVIIVLLPLQAFFGRLMGTFRRTTAQRSDKRISVMSEILNGIKVIKMYAWEDAFSAIIDKLRAHEMLSVRNYGLVQSTMLGLFWATGKLIMLAAALSFIHLGNIMTPDTIFVAAALFNSCRLPITLFLPFSFHCLFDMLVAIDRIQKVLLLDEFGHDASVLCLDYDMSERANGKKRENGGATVIVAMNDEEKLLNGGDCGEVKKAAAKEEWAEIRFEATERPRVEMEGFTGSWEGEKKDEDEKDEKGKSSSPSKDSSEGDLKCSTPPSIVVEDLTFKVEKGEVCAVIGPVGAGKSSLLASILRETRRMDGKLKVDGRVAYCSQDSWIFSGTLKENILFGSDYNEDRYKRAIELSALQSDLAQMARGDLTIVGDRGASLSGGQKARVSLARALYREAEIYLLDDPLSAVDASVGRFIYEKCISEGLREKVVILVTHQVQFVESADKLIVMKDGKIVVQGPPDRVFEENPEEMKEIIQETRKSYRRRSTSEKTSPRKSEGEGSEGGEGSGEESDALLDVVDSEGEKEKKEQKSDLVGEEEESAEGSVPWSIYWEYMKAMGARRPFLVAPIVLVVLATQMIVVFVDWWMNKWYDECVRLRDVVHCDELILLVFAVLRCLWFRLLEVDASRHLHQKMFDAIVKTSLEFFDKNPIGIERLNIINQTVCTPTLCNHWNEKLTGRILNRFSKDVSTMDDTISMVFFEFVVISK >PPA07065 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1122012:1131790:1 gene:PPA07065 transcript:PPA07065 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nas-37 MFRIASLVLLAPLVHGQDNSVSTASDYARDPDAVQTDVTKQRCDENNEVCANKRYWGDLFENDIVLTLPQAENLLEENSGRRGKRQAQPTADSFWRTLTVPFIFGFQDSTWQNLIRSALRHVESETCIRFSENGSGGDKLMYIRGSGCWSNVGRIGGTQQVSIGYGCDALGIVAHETLHALGLWHEQSRTDRDNHIFINPSAIIRGTEGNFQKRTPQTSDNMNQPYDLGSVMHYSPKSFSNDYNTATISTRDPRYQHTIGQRDAVSFKDAKMINLRYCDRVCSRKLDCANGGYTDPNNCSNCKCPSGYGGTYCDQVQRTSCGGELIASSSHSTLTSGNVYAGSNCVWRIRSPAGQKIELDVQRVNFVCRETCSSYVEIKYGRNKETAGARFCCEQQKTVILSENDEVVLIFKGEEGLQSGYLGFELKYRYCKRFRHRTARYHNNDYDNYNNAAADHTIRVHDYAQPRYCTNQLDFVHAAKSRVVTPTRRPYLALSTKTPAYVFKLATAEERVEVTTRSATRKTKKRHGKHCKTRRIRCHRRRYYYSDEVDEEEERRRLRRCHRRRHYHSDEYDSEEERSSEETEREEKETIPEATTAEPAPISAFLGKAPGPEPEEPELVPGPEPEIVAPPRVVVPSTTAGPVEGYRVTPRAGELEPYGPKIPGIDDMEGQMELIEEKEEEVEEEKEEVEKEVATRAPQPVGLWSSWSEWSRCSEPCGGCGRRRRVRVCVGGEDGCGGFSSQIQPCNSHVCIGGKRGHICSGRVLMPCELARQLHFGSSQQQDAAAQPSLPTQPPVLRISHSIVDDEQRIIASGPPVSSLLPIPRLAPEAQPPAYQTGPPGYAQRPVAPPAYAYYRAKRAVDLTPALQSSLPTQTTHTGARTVQAANSQLCEKRFSFYCPSRLLTIHIDWLRASDSYPVHNDSPQCCTGYYASDGICYKNE >PPA06884 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:34155:36523:1 gene:PPA06884 transcript:PPA06884 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQDAKKLMGERDQLDREIAEQEAVLQANNVDMKSELIDAEGFPRGDIDVYSVRHARSTIIRLRNDREELTNRIGEMISEVHELHSNEEKMEGEKPEIKLVHRTSNDPFVRVQGVTAGSPADLGGMKKDDLVLQFDVLHKGNFTEFKQLAHLCTENENKTIRVTVIRNERPVRLEVRPHRWSADPKIGLLGCALLPRKCKEELEGNSSRVQQETA >PPA06991 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:551239:553942:1 gene:PPA06991 transcript:PPA06991 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGIAVGIDLGTTYSCVGVFMHGKVEIIAHNDIRKIPSYVALTDSERLIGDAAKNQVAMNPHKTLFDAKRLIGRKFDDSAVQSDMKHWPFKVISAEGGKPNVQVDYKGEVKSFTPEEISSMILIKLKQIAETFLGSNVNDAVVTVPAAFNNSQRKATKAALALAGINCLRIINEPTAAAIAYGFDKKGGGARNVLIFELGGGTFDVSILTIEDGIFEVKSTAGDTHLGGEDFDNRMVNHFVAEFKRKHKKDLASNPRALSRLRTACERAKRTLSSSSQASIEIDSLFEGIDFYTNITRARFEELCADLFRATMDPVEKSLRDAKMDKSSVHDIVLVGGSTRIPMVQNLLSDFFPGKELKKSINPDEAVAYGAAVQAAILSGDKSEAVQDLLLLDVAPLSIGIENPGGVMTSIIKRNTTIPTKTSHTFTTCADNQSRALIKVYEGERAIITDNNLLGRFELSNIALAPRGVAEITVTYEMDENGLLSLSGTNGWDTVKLEELVIKSQECGIAQVRELATFMETSVDKEKANKMIYELQKLPDSTLKRAMKMEGKNKPALSPLKRPFQGRAGEAGTSTGGLSIEEVESPSKNLSPSQ >PPA07000 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:616616:617523:1 gene:PPA07000 transcript:PPA07000 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAYNNNEDLQDLMDQIQMNMECCGMNGPDDWDANMFYAAAPEGGDGYSSPFSDGVPHSCCKTPTLVRTKSDENGNEVTETDANTACGKQARKNGGLSDEIHERGCMKAIDDYIKKNGQGAVAITMAQNLKADIKAQRAKWLE >PPA07089 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1331463:1332003:1 gene:PPA07089 transcript:PPA07089 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTPQISVQLPISVVVLPHVNELSGVKEPDTGLAPPALWDIAADKQAMQHTEATAEDDCELDGDHTEYNQDHE >PPA07030 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:818177:835461:-1 gene:PPA07030 transcript:PPA07030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-icl-1 MPARTPPHRPSASHDCSWTMLMRMRPENASEEYLKAFKRVARSRRLGFMMEATHLLKVDCIERGMIERRKEIKRMIAKREGKVVEEEPPGCGVGGLRGLRGDTAHQCLLLPLRAHRCCPTAAADGHVSAHHEHRLQQAQRIEKVTRGPPKYWPTYLRIFVTRCLRTREMPFEIQEVCYRLTTQAAWMVGKRFWSGDEQFVRVLAAMLAVHWRLMLEGTDMGHAELGAGTGPNIDFLQKEMQEAEADDNGQPVTDDTDPKRFRLPTEAFFYGIGWLAVAAPEKLNDYDALEVPLTDLVGGKQARGWQGEIFLVCEERNRISDQTAICVANNCKEMLEAVAGYIRGEDQNPEKLRSSERFVVTTFLYVIGETGGLQMVSDDDEQTIIDWWLQHAVDQSDMFRQLMQCVRHIKKLDKRVMPALAGFMERGIVNGTSDDDRMVVMNSIFRLVRLKRKDFYDRDSLSDCVARLERVRTPKNFVDVLKTLKPTAVMLVLGEVSIPTQGVRLVQDQVVAYLNDAPIAGEGTLAVAESAVTWISRREGRGFSLSYPSIIMHAISTDVSSFPHEHVLVVADAGRAGSRAPRRPIPLFTEEEAAAAADVRVAQQELAAGGEGGEGEGDEEESEDGNLILRFVPSDLTALDTIYKEMAECQELNPEEEDMEEDEDEEEEGEEGAMNVFNATGDLGPGWYTADNLDAVELSEEGRANLERMMRNATRHNGGGDTNGHHEHDDEEEHGMEECCCSLDSTQAYNNQDRFVQNEGPFLLIRELRYAYRNPLTVQALENETKARQEEHKLDCIKDIGVTPLDELRHVKPYYQIFILDLTAPMETFARIRLLFVQAVCLYAAHSNHIRIGYIALVNKHKVSSEPSIPDIREFIGMWTEPDEKLLNGVAKARQDTPLTHCEKAELLGHIINRFKRMTAKPFRFLIIDDQKSDDQTNINCDQAYEFGKALHRRNISLDNFILDEVVSRDPDHSSGRRMTKTPIFTMSSLDDTEDSGRDQRSHSAFMGGMKTYVETLQKPEAWSSEALKSMILQNEMVLDDEEDYDDANATEHVIIEFTHDNFTLGSEENMTNPHFHEEEEEEVGRKVAASPKRAVIRNTTSSSPSSTTTSLIAVASQAVVGDAATTEYPTSTTNATDATMVAAGRGMEWWIWLLIIIIVLIILFILFIIFCCWYDKRKLKTKLDKGSNNSSVTPSLSNNQTTPLIGPSSRKGEPSSGSSSKRPLRLTKSNHVAESTPEAGTVLVEKNLPDPYSPVKWVID >PPA06910 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:160738:163171:1 gene:PPA06910 transcript:PPA06910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpl-3 MGFAPKKRAKRITGKVKAFPKDDPSKPVHLTAFMGFKAGMTHIVREVDKPGSKVNKKEVVEAVTILETPPMVISGIVGYIDTPKGPRPFKTVFAEHLSEDFRRRMIKNWYKSKKKAFTKYSKKWQDEDGKKAIEGDLNKMKKYCSSIRVIVNTQASYCSLQKLLKHRNKKAHIMEVQLNGGSIADKVEWAKERLEKQVLIDQVFAQDEMVDTIGVTRGKGFKGVTSRWHTKKLPRKTHKGLRKVACIGAWHPSRVQFTVARAGQKGYHHRTEMNKKIYRIGKSALTAEGKKNGSTEFDVTEKTINPMGGFPHYGTVNQDYLMIKGAVIGPRKRPITLRKSLWTHTKRVAFEKITLKFIDTSSKFGHGRFQTTEEKKAFMGKLKKDFIAEQEAAAAAH >PPA06877 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:5098:8328:1 gene:PPA06877 transcript:PPA06877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-abi-1 MAQSGTANNRSNGSDLRVLIESRIPDERAGLEDTCANLEKVARYCEENYYNHPDKHAALEETKQYALQSLASVAYQIDALSKDLLDMLSLQSDRIGELTTTVSNAAITVDLTKEKQARREIGMLTTNKTVPKQQKIIRPDTEEFIGKYKRTPIDFSQLDSVGHGTRSTEQTFLNRSSATMSRATSSVSGNNSHYGTYSSHYSSPMANMNTLSRATMRSAALSSVQQEHHYRVPQTVPVVDGSRLSSATSSSRDLYGVNTTLSVNSGYSGDRYGTLRGPPSSSSHYDRGMRTPTLHRLSPVVHGGANPLLYSGNNDLPPPPPGVSGIDDDLPLPPPPAPVSLFDTQADWVPRDYIERAVALYDYEADKADELNLRANCIVYVVRKNEDGWYEGVLNGVTGLFPGR >PPA06977 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:474937:482151:-1 gene:PPA06977 transcript:PPA06977 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEISFVEGAVLPSVLIVEVGSMVEENSFVEGAVLTSVLIVEVGSMVEEISFVEGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEENSFDEGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEELRSSMMEPCFLQCSSLRWARWFEENSVDEGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSFVDGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEENSFDEGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEEISFVEGAVLPSVLIVEVGSMVEEISFVEGAVLSLQCFIVEVGLDGRRDFILCAPSVLIVEGGSMVEENSVDEGAVLPSVLIIEVGSMVEENSFVEGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEEISFVEGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIIEVGSMVEENSFVEGAVLPSVLIVEVGSMVEEISFVEGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSFVEGAVLSSVLIVEVGSMVEEISFVEGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEEISFVEGAVLPSVLIVEVGSMVEVISFVEEAVLPSVLIVEVGSMVEEISFVEGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLILEVDPMVEEISFVEGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEVISFVEDAVLPSVLIVEVGSLVEENSFVEGAVLPSVLIVEVGSMVEAHSVGPRLHAVLPSVLIVEVGSLVEENSFVEGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEVISFVEDAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEENSFVEVAVELLSVFSSVQRKEAVHRDLQ >PPA06968 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:436279:438693:-1 gene:PPA06968 transcript:PPA06968 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVCFLFSLFALSHAVILSNSVILTSADVPQTTQAQIYSTQTGLYNGAMYRVFGTLARLAQAKPGSDYILSDINFLKAPIQIWDQNTGARVPFTLYIVDASIVNYPVASAQLAAGAIDSQYGFWAAGITVLSAEKYFTMFNFDIGSFPSLYVSSVGFDELSSDYRIMYMRSQSEARISFVTIYGPIATLYNSNIGSSRFQFQFTKNTPYSNQLSPGASFAFLSPGYLSRSDSYKLPYTISEAYDRAYTFGDSNWMYADYQAFLDNPTTLQLRFTSSGGDNRDVVSTSDEQNHGEAFGNRISLNVNTNGGRPPRFLYKITSSSALSFSFLGMLLVAPFSTF >PPA06879 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:14583:15706:-1 gene:PPA06879 transcript:PPA06879 gene_biotype:protein_coding transcript_biotype:protein_coding MPNQIYLIRGSAEGQPLNMGDRFPPRISETLREVAAKALNRLPLAVLINKQILCVHSSLPNEITTVEEINQIRRPFTSYHKESLEAYLLNAIPDDILHRPTEEWTSNDNPLAYVDEIIEGFLKKGSLMFLPKDLEETAKRLKLDLIIRAKSVCQYGVSALTERIFSLWSTQYFGETSAGAAMMIDTNGSISITRLYKFGTFNEDQSGACLEVIERTVKEELERVDNTKEKNDELNALHQQPPKVMKMGYYV >PPA07121 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1475515:1476462:1 gene:PPA07121 transcript:PPA07121 gene_biotype:protein_coding transcript_biotype:protein_coding MPVISICDFPIRPVNRRSVGMLTTTNFSSVDGVVDDRFTSLLVYGYDLTEALDEALNVAVAEVLTEFGKAPMRAQEKERKIAELRREDEASAACHYSRECTICFTASPSSRAVLTACGHVFCMTCVLKMEFRGRLDCPYCRKASGYVKIHEEKEEIENEDDENEEEVKEEESEEEEKTMLSCTSKRNQYFSALFVHFCLDFNRKYMRI >PPA07112 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1424755:1427690:1 gene:PPA07112 transcript:PPA07112 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRTVYPTYHGLRLGISDLATIKKCVMPTLTIKPISVSLKPGCVEVLDRTGLTFVDKERQSDVTSYVVVGCGIEDRDGLFYAIRRAVKDFTAGLDADGLRKTGKISDEEKKAIIKELVEKSEQNLASRYSRHCSICDTSSPESRAVLIACGHVACLACVMRMEKWGRLLCPCCGIRTGFVKFIEEKEGEEKKNMEGKRTDDEKVADEMDLIDTLLFSSSCDPPSIALPTVLVPTVLNTAVLSPIVINPTESPASPTPTSFPNPLTPPVSPPSLAQPATHFSFPCSLPLDSSHPPTHSVSLSTEQFPNVAASTDSEPTITDSIEFVCTVSSATVDQHSESSNNAPDSTEPSPSCRIHITGFAAKMSAHRLGRHFSQAGKGPPS >PPA06960 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:394278:394537:-1 gene:PPA06960 transcript:PPA06960 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLRAAGYHALGLSVKDEPLTPRLVNALRTAKIEESMPDVSTSTDFRVVTQR >PPA06998 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:609925:614579:-1 gene:PPA06998 transcript:PPA06998 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEGSPVGSPQDYQPQSPQAEADFMPESPTQSPGAQAVYDENAPVSPSQEEEDEAVESPPRSPYNEDNGEESQEQIDHQPISPEAEAQEDEEAIEEQEHTGYEAEERGDEEEEEAPQEERMPDSPVAADNDDEGEHDEEALSSPSKRAVKRARIDSDDEDEEKADEDRTRSGGEDEEEDRDVKPAIKKRVVDSDDSDDDGEKKEEGEEGEGEGEGEGDEQEVGNLMANIFGDESDEEGGGERDGERKKRDDDSDDELGGGGGGGGGGRRSDDDANVEWDFDVMLRNKKAEKKSRRKRKRDGGIDIINDDDGTIEQVVTAMKKAASVKACDAHVEETVYYVEQAEKGMKEDRHSNKERQPATRKRAMLQTVKNILLRSDLVETMIDGGMMSAISEWLAPLPDKSLPALEIRSELLKILQGFARLDQGVLKQSGLGKAVMMLFKHPRETKDNKKLAHKLISDWARPIFQIDTDFRSMTREDRMERDFAQGMKRRKRSKFA >PPA07079 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1243131:1243883:-1 gene:PPA07079 transcript:PPA07079 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLFFLAFSILLITPFLCFFTVDAMINIAQTTSRFQSGLTQKMARRMFHIFLIQCLGAFICYIVPLVVMLSFMIIDASTVHGWICATLRIALLPN >PPA07036 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:869545:871419:-1 gene:PPA07036 transcript:PPA07036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpl-28 description:Large subunit ribosomal protein 28 [Source:UniProtKB/TrEMBL;Acc:A6YMM3] MSNDVAWQVIRNNSAFIRRQRGIQKAFSREPLNLKGINSPNYNGLTNAKAIGVTLAEDNKSVVVTVKKSGKKNLPAKSLIKTTIKRGGARGIIKSVQGLVKGRNARFAKLAARRASQLLRSVQRSAKIAKKSSA >PPA06913 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:171471:172563:1 gene:PPA06913 transcript:PPA06913 gene_biotype:protein_coding transcript_biotype:protein_coding MILISIRWHDTTKERIPQSKATVLAQVTGGRALGKRQAGYGSSAAVEGGSHSMTAGVETKFPDSGGGGGAGGGCCGCGQSAQGPPGPPGRDGEDGGDGAPGMNGKDGPDAPPAPTQAAAADFCFDCPDGPPGPPGPAGEKGPKGSDGEAGRPSDGGARGPPGPPGPPGPAGSDGMPGSAGEKGTPGKMSEVAGPDGPPGPPGPAGAPGPDGAPGNPGNPGSDGAPGPMGDGGKDGGPGQPGKAGENGPDGSRGDAGGCDHCPPPRTAPGY >PPA06891 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:66514:67875:-1 gene:PPA06891 transcript:PPA06891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpl-6 description:60S ribosomal protein L6 [Source:UniProtKB/TrEMBL;Acc:C8CLL7] MAGAKKATPRFRRNYELATGIMRFSAARMYHKRGTALNKKTKVAKKAVAPVAKFVTKKVGGSKNGGDRKVLVKKGPTFLKEDHVLDTAAKVRRNPKVQSLRKSITPGTVLIILAGRHKGKRVVFLKQLEKSGLLLVTGPHKLNNVPLRRIGQSFVIATSTKVDVSGVKLPETLTDAYFKRTHAKVEKKGEKADIFASGHQEYKVSEQRKTDQKLVDKAVLTAIKKHPEHKFLRGYFSTRFSIRKNQQPHAMVF >PPA07043 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:981841:987436:1 gene:PPA07043 transcript:PPA07043 gene_biotype:protein_coding transcript_biotype:protein_coding MALDRMRAILALAIACERLFAIFRPRTFFLSDHKKNALKVCAFGAVWSIADALFMVFEDGLSQLIDGFGLFFVYVIFLVKFLSIRKSMPEVPSAAQVDAKQPLSVKRRGMKDLVAQANSLTITVIFCVLVFSVLPSMLYGYDMLMHRVVFMDLGPVITIGYHLHGITSSFCYNYKHREIRRALDKVYPIRKRVCLTSSSSTDKKSSDKNNFENNPRPSLTPLLRAVPEQRSSPPTAGTGIVTTIAPDIVVTDAHLGSGEDIML >PPA07062 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1091906:1092726:-1 gene:PPA07062 transcript:PPA07062 gene_biotype:protein_coding transcript_biotype:protein_coding MYNVRGRDGSPKARAAFTPSPRVLHHRVTQLRLIPQEMLNAGPGSGFGDSGSAIDLRPRTNRRIRL >PPA06936 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:287629:291350:-1 gene:PPA06936 transcript:PPA06936 gene_biotype:protein_coding transcript_biotype:protein_coding MKVILLLAALPVLVLSCADNYDTWLDGRCFRMNYYSSVGYSTAADQCGKDSARLPAIKSQEEQEQFFAALKQYNNFGAYSFWLALSCNGSKFVWADGSEAEYTNFAGTIQTFLPADYNCTSTSTDRHYFIDNDRLWQESDGQNYYYGVNNIVCEAPQRSSSPCDSYELLQTGKSTDTCYKLQQQQTTWNQAETYCKGQGAHLSVIHDQTLNDFIRRSAVAANMLDGVHIGIQKDDASDNYTWVDGSDIDYNNFVAGFPSDSYGNCVAMETGFLPGQWMNVDCYNTRLPYMCTKPAFYATNPQPAGCPEKMQYAPGDEIFSPAYPQAPGGTGCDYLLLEPNQNKRAEITIDFFESNTCCDSLTVYDGLFGSNILKTPGSAVVVCQNANPMVTSSTPRAHRSTQTKGNSIRRNKNN >PPA06987 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:533182:537558:-1 gene:PPA06987 transcript:PPA06987 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLFLTLVLPSLALCSTVDTPFGEIEGFEHITEQGIPTHVFLGVRYGQAPDVHGRFKKPEMVEKWAPFTHSAKHFGAACIPFTETFLIPDENYSEDCLFMNIITPTLAGKDLPVFVFIHGGGYEIGASNHYGYKRLAERVAAEGIVVVTINYRLGPFGFFSLGDSISPGNMGLWDQTLALRFLHEVLPSFGGDSSRITVGGHSAGSCSASALQFSPHSNTLFSQAILMSGSTLSEFALSQSVVEESKKLAEELNCLDKSTTKTLDCLQERSAHEIIQAVDKIGTTRRHTNILKFHPRFDGEFFPHAIERLAKESPRKRTMSGATDQESAIFVLFEELSFLTGLALSKPNWATYSRENLIDFIETVVVTDKEHHDSASAFRRHLIDFYVEKDEKKGDAQFYLQRFSDLASDLQFLIPLYHEIQIKLANNWPSFLYILQHSAKDSPRDELPVTGAFHGDEMAHFTDSNGFYPVKDGDDEFESFGVNFATALVNFIKNGDPSSPAFSWPPVTKERPFQHASITSKMTLKKEAYRSDAARLWLESVPQSVSGELLRKTRLPGAEALIVHTEL >PPA06956 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:378828:379953:-1 gene:PPA06956 transcript:PPA06956 gene_biotype:protein_coding transcript_biotype:protein_coding MMIDKIIDGVYLTGASAVLTAEGREKLKQLEITHVLTVSAMPINEESRMKDVDYDYVYALDSPCQDLLESGAIEKAVAFIDKAVKGGGRIIVHCEVGMSRSVTMVAAYLMKRFQWDEAKGVTQIQLHRPIAL >PPA07011 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:698962:702144:-1 gene:PPA07011 transcript:PPA07011 gene_biotype:protein_coding transcript_biotype:protein_coding MPLADSCSSFDGSSLTHLLTAPSPQPTLTSGVTDATGIQAMLSRALGQQTHVGKTGATVPTHHTDWVFDAAELACADFDENCRWKNVEGIFVDQMDWYQGSGFLDQGRLQVATGTHISPEGYYAIVASDHAQLPTDKAILVSDTVGCQIGPGELKLMYWSSPEVHIRVCTRKSSALLPTGYDHCSPPLDDPKPGPVRVALPDGGREPFQIFIIADNFVYQATSLQGGFAIIDDIEYTADMCGDETDNGPGASSNSLEGTPFPKLVDLAEKEQQKGVVMKSSITRRGPLPVSQETREFIKTVEGGRENEEEEEDQEETKDMPPLRPSKKFIPARENMSREEMRASRERIGPPGSQPASRRPPGRSNGVDDDEEDKDEELSLADFTAMSVDGSIDRFLSMMKEQSQKSKQHGQQLQSACDVLNCRFSEGDCDG >PPA07108 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1384554:1385542:-1 gene:PPA07108 transcript:PPA07108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PPA-RPL-35 description:Large subunit ribosomal protein 35 [Source:UniProtKB/TrEMBL;Acc:A6YMY7] MARVKTRELRGKPKEALNKTLEEQKTELASLQVVTGGAASKLSKIRVVRKNIARVLTVINQTQKQELRKFYKGKKYLPTDIRYKKTRAMRRALTKHEASIKSAKQLAKTRKFVSRKFAVKA >PPA07041 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:936699:945699:-1 gene:PPA07041 transcript:PPA07041 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRREVAEDWMPVLEQIDRREQEKRKEYGRRHQHYFNVIKDLETGLSIDPLDRIQGACAFFRIPHRLGDKRCIMEHMREMIKGDARRNVIITSFGYNALGTFDLNLTQFAVPDAISKLTDSGETAEKQGVIGFSLSRGSAISAGVNSNPHLCQLQQTDQGFDAVFFIADLPKKRLNLYRSGLGKQLRVCSGHVKCEEEDSEYEKTLAERAEKEAKAEEEHTRRRREGNMLDTIKKWLPFGGEEGKEYIDFVPLQQVDNQFSTTLSIRFGENQTGKYHFIYHNCYNYHAHGYSDRVAVDLTVDVVEKNVDSYLAAGDVPKPQLYLYMSIMFAFVGCIWISKVCRTDRQNVFRVHWLMTVLVFLKALSLFFHGVNFYFVSKYGHQREIWAVVFYVTHLLKGAILFGTIILIGTGYTFFKNFLTERDRKVFMIVLPLQIIDNIAMIILEESEFGEATFQLWYEMFVFLDMICCFAIFFPIIWSMQLLSEGARTDGKAAFNLEKLRLLRQFYLLVIAYIYSTRIIKYMLQFAMPFNLEWMTAAMVEVSTLVFFIIVGAKFAPVPRNPYLRLSLDGDDDDISIALTQPGIFDGITNRTLKEERIVMEDIPGL >PPA06881 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:19199:23972:1 gene:PPA06881 transcript:PPA06881 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMDLTKGISESAVPLSVEKECVGVDPFQFTDEADEPVLKKLPLAEEKKKDIMSDQPVAISDADSAMMRILEGMMAMNPSKKDGRSRSITNKKMKQGDSSPLNSKNLEVKKRKTSNGYSEAVKEDGNRNEGSSRSTPREERRPSSSSSIKSPRNQRSIPDRRSDNSDRPRPRYGESANSSRSTTRLSVRDGDQGRNRRQFLYSSSSSSRYSTPRNISNRRGSAKPTARHNDTRRDRRESPFRSVSNRSRGEKCAARNEDTRDKRRTSSSRNSSPKFATPRSVSNSSKTANSSEAEKVNAKADGGSLKLIPKKKERATPPSSSDKSLHPPVTPVTALSSPF >PPA06966 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:431376:433435:-1 gene:PPA06966 transcript:PPA06966 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASSYVFLNTDPLFDFPRVTVHKVVEIGGISFDCEPKSLDERFSSILSVRSRNVFISFGSITSSVNMPDAWKRTIVETARRMPDTTFIWKYERPSDFFEGDHPNNLVCVDWAPQVNLLHDPRLSLFITHAGMGSVNEGLAAGSPMIAIPIVGDQFRNAQLLKRIGSGTVYSKFDLAKTSRFENAVRTALRSTEYVFMIFENNSNPVFENGCELRLKQRAARNALILRNRPFDMKEVFGRHMEFMARFGPLRMLDHHGRKLSTLQYYNIDLFLYPAVLALLVVTGVVFICALIL >PPA06907 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:148595:156808:1 gene:PPA06907 transcript:PPA06907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mrp-3 MSFGPSTILPDPNSQLDYQQSLMLVGIPVGFFWLLYIPLLIQIKINRGANPLIAVVLLCDKTFLVLLALWERFIEGDTVPQVEFVSPAVQMITLAVIMLAANGCRRSGIRSSGILFNTWLIMVVCGGPEFYSWIRIGSDSSLVDQTDFFRYIAYLVWYLLVIIQFILHCFSDPLTIFADEAYDDYPNCPEMKASFLNQQILYWFGSLITKGNNKLLEVDDLFELKPDLTSEEVVKRWYPIWDKELIKYQKDTEEFKRKAVVQRKKSTREAAMPLLESGGGRGYGGTNNGDAKKAKNKKKSDEPPLPSLMYCLLATFKWELMTQNSLKFISDLLLFANPIFLDLLISYTEDPTVAWQIGLLYVAGLFAAAQLKTFLVNEFFMQALVVGSKVQTLLTAIVYEKTLKLSSHARREKTAGEIVNLMAIDVERFKMLVPQLQMYWSSPFQISLTLFMLYQKLGWAAFMGVLVMLSLIPLNIVVSKKIKGWQMRMMELKDERIKMCNEVLSGIKVIKLYGWEPAMEKTIDDIRNAEMDLIRKSGILRSGLDVLNVALVTFATYTLSDPKNVLAPQVAFVSLTLFNQLRGPLMMAAELINQTVQAVVSNQRLKEFLVADELRPEDIDRLEMLDDDDVKVVDAQEGIFSWGDEIPPTLEGINMDATRGQLLAVVGRVGSAKSSLLSALLGEMRKLRGYIGTRGTVAYMPQQPWIQNATVRDNILMGMDFDSGKYNEIVEACALRQDFILLADGDRTEIGEKGINLSGGQKSRVALARACYQDRDVYLLDDPLSAVDAHVARHIFDKVIGPNGILRKKTRLFVTHGLTFLKDTDKVVIMQDGSISHVDKFEVLVEDESVSHMLKEVEQVNRKEDMTPSTERSDEHDSADDEDGDQFDDSLSAVSRASRKSKLSVVSRKISQQGKKLSVTGMPLPEPEKEDKGQLIAKEAMATGHVKASVYFDYIRSMGIWSTFIPFIAFWTLSSIFQMSRAFWVTAWSNDNIIGADPADAMPLSIRLIVYAIVGILETLFLFFGSTAIIYGMTNASINLHRPLLHNIFRSPLAFFDVTPLGRILNRIGKDMETIDLRLGQNVRFCCIGIFNLMQTFLIISISEPIFIAFGIPMIVIYILILRYYISSARQLQRLSSVNRSPIYSHFGETIQGVSTIRAFGWSEKFILSSRSKVDTFMRCSWFYGIAARWLGVRLETLGNGIILVTSLLAVASKAMESSTAGVIGLSVSYSLNVSFMMNLLMTRLSELETSIVSVERVKEYSEDQAEADWRQPHRRPKQGWPSNGAVHFKNYETRYRPGLELVIKGIDCKMEPGEKVGVVGRTGAGKSSLTLALFRIIEPASGTITIDDVDITTIGLHDLREKLSIIPQFERKTRAGSLLGNTPIQCRSYGTVH >PPA07115 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1438087:1438900:1 gene:PPA07115 transcript:PPA07115 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDSSRSYSCSDLFSTRQLSLYSLVMILSALTIEISVAIIIFDVQVLTGNPFVNIAMYGALSGVL >PPA07059 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:1076332:1076871:-1 gene:PPA07059 transcript:PPA07059 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIRGAQDAVVKTAPDKGLDELERTTTPKDRKERDLAIAKLVAENQPIQDPLNSFPPVSLDDLVDMGKVEDPRTRRRRQPFPTVEADQKDLPEETVQLYSK >PPA06972 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:450424:452757:-1 gene:PPA06972 transcript:PPA06972 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVAIGSNMYKHYHRLTDDCQLMSCNRAGVNVDYFTLVVRAAGFVAVPYARQINDDVEYLDFLGNGTADIGWALRRQDPLLQGKVFFTLPVTGVTYGYVASEDRTKIRDLLDKLEWQGFKAVIWPKFRLQLYCRGDECARWNELKETRTIMAPDTSELYFASLAKHPNAVGFASVGDELLRSDVIIYNRRVKQLFITDHGLTRQPFSFTISKRRRDLIEPFNRAIALTSSIYPRIMARYVPPYGVYSRQVAGMVVTPLSVSSFDAIWQFLIISHSSLN >PPA07027 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:801105:805283:-1 gene:PPA07027 transcript:PPA07027 gene_biotype:protein_coding transcript_biotype:protein_coding MIRWLPLQGPHNKLVIPRVIPRGNTNGGIFANPPGFYMTLNENGDVTITGIYPLKATDGRGVISSDQYKSPHRRSTSLQCTEGEDSKYISFLSTLRVPIYFNKLGKEGACQDFAMMPLYGKYLLALRSVGPHLSESFDEIDDSSDEDSDDSEGSTYPGSGLPPNPGPGSDSSSGGRPRRPHSGPKNVPPGQSAPPIAGAFLWTTDDNCVTTATFDLVPSVDGSLLVSKPFTLEELRALSYDGKHIHMCWNIIVPRSYFDLSSVIDLTASVPTPTVPASATATVEKILRGEKPHGCDFMITGGFLGSGDASVVYYMHSAALESKSPTAKKSAAAFPGEKARGARLSLDAFGLAAAVQIAYGVEVPLPKTFERNMAITLEGTFGDHFTSVVVPQWEREICRRALALDVRNPTSSSMVELLRLLNAIWEMPYGYFPIAKRVVVGVLADMMHLSPHVTVLDFVQNKVLPSFNESGMNPENLLTVLASLAIYLNTIPIVAKARSTTPENQVRISNRSGAASNTIEKTVQKDAEHTPTTPPSELTHVELEMPPAELLYPEESTDIDLASFPATRSCSIL >PPA07114 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1431537:1432605:1 gene:PPA07114 transcript:PPA07114 gene_biotype:protein_coding transcript_biotype:protein_coding MCDGCSAVPDRPGRIFILHWPSARPCPLLLCGCGIGSVTLLSLLDVTSLLRLLAPLFVLSAATNAYYCEWLGSAPICGEPDCPSGWREVERHSGSWRTDDSGFGQ >PPA06926 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:241457:243246:-1 gene:PPA06926 transcript:PPA06926 gene_biotype:protein_coding transcript_biotype:protein_coding MPYIYVPPPDYDSREMPSGFKVARPYEPAPDYPVTIRLSREALQALRMDNRFNKPTPQIIEEDEEEEDELPRMPIQKRKEKLQEEVPRMANPRALKHIRMVGVPVLPPIPVTDFEGKGVSLEENRAFLRHLANHRNFDTMKSLRTCDDCPICKIVVQEHFDSIE >PPA07053 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:1029042:1030987:1 gene:PPA07053 transcript:PPA07053 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYYWRVCTPRARAPTDHWFWVEPWVDPWVRIDDWVESTLDELEAEGFESPFVFVPRQFQQHRPNGGGRRGGGGQQPQPEPLLAQANHVPQEQIEIDQEDVERIKQMLSRMTMLSVRCTKPTEADVTWMEVDTSEANSLAPFPQIDGSEFSYQLLVLECAAGRGGRRDREIVVK >PPA06939 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:298729:300445:-1 gene:PPA06939 transcript:PPA06939 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKLQASLAYTFSAAGACLPRRLEDGSLSSLRGHCETAACATSPQPGLALFSSSSSLSFQLSSAVPSRGLRVGRLIVSHRFERGSAGFDPLLVLLERNRWPQWPSRPSSLLETMRRPLRSSREETPGLKTTRL >PPA07080 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:1244065:1246531:1 gene:PPA07080 transcript:PPA07080 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSEVAEPGHIRVHANGQFVKVREVHRPTDGSDIALIRAATAFNAFALDAPVIFGPFTQPICLPTYDDDVSLSVVIPRYSEGVHARVRNMRNRRLFIPRTRSPVQAGVPAWFTSWGYTSPTFATVETYLQQGELWISSNSVCDDFTTHTKNETQLCAGGFVGKGGLATCKGLGLYDMGGPLMEKRGDTWYLFGLSSTNNYVGSNCKSATVFTRVKAFCDWFHKTTGISCID >PPA06944 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:317182:317722:1 gene:PPA06944 transcript:PPA06944 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGPTIAASPRLDLSNGANGSRSRLMFDPLTELPVLEKWFEENPHPTWMQIDDYTNQLNSREYRDNYPHISTHNVKIWFKNRRAKCKRLQTGINEKLQLFAAAAFT >PPA07077 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1234523:1238172:1 gene:PPA07077 transcript:PPA07077 gene_biotype:protein_coding transcript_biotype:protein_coding MYADPWSQQPKTQAIATPVQKPLLQPTSDDLSMYWPCRFGLNDGLTEGLKEAPAQSSAPPLNAVGWPILASIWSDEPEPARHEEPEPAFAWNDKTGAPEEQSYERGCALSALPSLAFALPSLEPERDARPKLVEASQPSAEELLEASMHARVECVLDLIDDGDDDEVPEEEWEVKPVRTAYVNYRNTNNDDEYASDVESELEALMDDEFYDEDDCPTDERPYYLAMGIHPRFKLVPGPNEWAPPPPPSTTTPSARSERAFRAAPRHSRRPAPPPCTPSAEQAAAFVRFQARHHMQQLPEGPAFDDWHFIDRPTVLPAAHPTYRTPPTFPTYNTPPTFLPYPYPVSDLEVYPFLT >PPA06886 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:43245:44544:1 gene:PPA06886 transcript:PPA06886 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLTMRLHCIVLSEDAASTILPMSTTSLERRACRICQSETGEMVRPCGCAGTMGDIHDTCLSKWVAMANNPTTCEICKESYAKSGSVYRPLKEWSRPEINTMDLMSLGVLCGMIYSVYYIGMLFQERMFFERFRAGYQMRADDVGRITIGMVFSFMIGSTLSKFFRASRVYVNRQKCIRFVNKGH >PPA06915 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:174802:175265:1 gene:PPA06915 transcript:PPA06915 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNLSQPIAVLLHSGCDINLCINIVLWVLGLIPGILHAIYVIFYYNPPNTASSNRRF >PPA06992 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:554594:559339:-1 gene:PPA06992 transcript:PPA06992 gene_biotype:protein_coding transcript_biotype:protein_coding MWSTSLPAAATAAIVLLALPLTVTSSPSPLPSSSSVDCSSRADGFYATGCAASFVACTGGRSIAMVCPVELVYDEDRQKCEYPGNVVACGGEAPVEGSGIEEGSGSGDGSGEEGSGVEGSGIEGSGEGSGEIDISGACESRADGFYALDTCSEQFVGCSGGVAWRMGCPASLKYDEKAQICDYPGNVAGCADAEEGSGEEGSGMEEGSGEGSGIEEGSGEVSGTGEEGSGAIDGSGSDTLDGSGSYPVSDVIVEDKQEIDTEETIVTPTGRVVEREQDVKEIEAEDDIIDDNNSVVVEEAKEEDMVEEFEVDDNTEATAGPSSTENSVVAAPSPTDAAPATAAAVSLYDDVDDTPSCAGRGDGFYARGCSSELLACSNGIAHIMPCPAGLVFDESNQICDYPPHVAACSQRDQLLTDDSLLTTGDEPKPHPEIPRARDSEAAPDATVAAPTTTPVGPTTVTAAAAAAYAATAADGPRKSRRDARCKSSDGLFSLDCSVEFVMCRGGVATKLECGEAEAFDESSQSCLPLARHPICFTHAIQKDDVIDDDVQMVKEKDDQADLQMPIDHSFPCSFEGARAESSCADWFRLCEGGRGIEMYCLQGHLFDEETAKASELWDAYRPVNSLTAKHSNQCHSQIEATTAP >PPA06942 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:304654:306356:1 gene:PPA06942 transcript:PPA06942 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVLIGLVVLVTTVIGLSKSDGEAKIREEQEELKKEVKERKKKKEEEHKTIGLAMRNAGSEPLEYRPRALTKSSILDDHEEKYEGEKKYTDMPTMAYITPWNNAGYDRAVRVASRLSIVAPVWLQLKPEEMNSRECRITGTHDIDQGWIERLRTANPKLKILPRLIVEEFSPEQMKDLFTSEAASANCIRKVIDLLEDISAVFTVALAWLK >PPA07072 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1182967:1194473:1 gene:PPA07072 transcript:PPA07072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-exos-1 MTSDSHAVKIVIPGDVLYPSSELRRPGKGTYELHGSIYSSLAGFVFVKEEKQRERTIEVGHDHVVPYIGGVVTARVTSIGQRFAKCALICVEETPLPGGAEFGALLRREDIQATDKDKIDLARCFSPGDVILARVISFGDNQTSFLLSTAEDELGVVSAVAESGERMLPVDWVNMQGVKTGVKEPRKYALMRRNRRIKPSKRPPTMDRIGGGGGYYPSSSHQPPPYRNEAQSSTVAPQAAFYFHGPPLTQPTYQQQQPPQTGYFPNDPVQQQYAWQQQNAAWSAQMAAFHAEQQQLQHMQQQGQSMPVQHYLPPPPPPPPASMLLGPQTSHPPPPPPNLPEILGNPRDDHVFEEETPPGRGRKRDTDMRKNATIPSSSQPSSALHSPPSKGGGADAAVSTITLSASSVTSSPMSSSKMSSVSSASSSATNQSQPALALGAHCSAAAAASSSQHSTGSGPTTSIPSLLEGLRADLAAREEREKGGAPDLRSIEHAASILSSRSGKATTRETSIRSREEGRRGARGGRREEERSDSHKENRRETSRDGRRREERRREGDRDRDRPRSRHRSRSPYSSSRRRREGDRGGEKRDDREGGRNGERRERRNGDGRRRGEEEEWVEKEPSTKTTTTTVDKITVDQAPLRPSDIQLNVREGAASEGGVQVYLHSDEEEEEEDQEGEDEYEDEEEEEEEEEEVEEARESDMDIETEADEVEPTAGASRSSFVQQLQHDSGDSSSQQHPANQSGEWGSGCAPEDEAEHRLTPDVFFASGPSRRVNSVLYREKYKRARAIASATLSEDYRERYDLISKHLRSRCIFRGLQSSCGPDAPKLTINDPRPKSKHVQTFPSKESNVQYHGPDSFGGSEGTISAAAKSHGPFTYVSQTKLVRAKVPSAPAPISPRPAPGTLKRTIQNPSTAPAAASTTTTASLSTSSTQSSTSSTTIAAAAAPAAPATADSDGPPPPKLQKLSKVDVDSH >PPA06964 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:422763:427207:-1 gene:PPA06964 transcript:PPA06964 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQIVYFDKNNKRQGPFTERQVQDWYRTGWFDRGIKFSFLSPQLEQLDDHTPAYSLDELIRHKGHGCPFIGPPISSVDQKEKRMDRLEKEMAEVRGVCDSILALSVRVDMIEQRIKVSVHELIETPPPVEPTTEESPRQEMIEKRIEGLAETPALVEPASKESPNHKIERKDLVDTPPASRIEPTTKEVLKQAIIEKRIKIKKLTETPPPSPVQPTTKKEPAGVPKRIREECEERIGAATRRRSRFQLAGRVAASSMRAIDLLQSSDFGGWDDKRALKLVAQVEIFLNEHDGNEKALQLASKLTVQELLKRLEGRDYVHCSPCGAFLHNARQTLVHCVSPDHVQKVTKFDSGRMLAPMLALSNLATHIFKWGDEDWAKQLSQKGDVSNVVPTREFLLNLQFKHGLPISHGVVIGEYRNRCSNPGLILRRWTFPRYSNAKCQPIFESSRRAFAGPQGAAVIAELDAHIGSGIVRFVVLSLLSAFFDAFATYEHTNTGCIDCGVVTRTNEAYYEHVLTWAHKASVVNNFALNVHSIAINCHMKHLLKTDI >PPA07019 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:739319:740383:-1 gene:PPA07019 transcript:PPA07019 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSGSVYLSFQAPNDVRGSIERDRAAQLCNITGDPMVHPALRVQLSNCLQSMPASGLISKESPPLLASSVKPTNIGWSPSRDGVMFPSDPEVLACSRPPMDALIGDMKEDVRVHAR >PPA06949 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:334728:336877:1 gene:PPA06949 transcript:PPA06949 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTQLPDTITGGVNTGLNGPIRETGKHTYLLIVEALFGFIVAISNIVVIIILIAGWKRLMKNHFYIVVANLVVFTSLKAFVEIGFIIPYYVIRNEEGPTSSKYIKLYYNKCSVDIPWINTLLSCLIYLTYACAIIVFILYLLIFLFLRREKRKLSNRDRPTNKSTVQMKLLRQSAVVFILYACSMASVSILSFINPGETGFATIAYAENLLNLSIAAIYPICFVVMSGDMKRM >PPA06984 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:519861:520444:-1 gene:PPA06984 transcript:PPA06984 gene_biotype:protein_coding transcript_biotype:protein_coding MKCTKGSRRRRKIYLENDIVGPHVILKVVKEDDSFYQFSERYEDDKNWQWKEKLRGFRTDALFRDDPYGVDEFLVPYSLNKKRRWIIPEIVGQTIEEVQSLSTNIPIPSIIKFPLAGKMP >PPA06954 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:374850:375775:1 gene:PPA06954 transcript:PPA06954 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSVHVGLLFLALATLGTAAPRVVESYVVEPDEDTVYIPDNEQDAPPFQPCRSPTSMMQMAYNQTIPVEALQGSATVYKGI >PPA07106 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1378812:1380328:1 gene:PPA07106 transcript:PPA07106 gene_biotype:protein_coding transcript_biotype:protein_coding MYVFKFEGTLSEAPANTSLIPKLRDDLLARILSIFFAVWGICDFLHIVYSWSWFEVRIYYAVIMVLEVVVCCFLASGCVKGRNCHIAVAGICELILVIYYASWFVLCFLSFPFYWYWMVVYMLFMAFRILLLFLLWRLAKGISGGGFMQPLSTSQPESIARAEKRSIIVRSSNYRSMSYPTPCNY >PPA06932 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:277113:280277:-1 gene:PPA06932 transcript:PPA06932 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGDYRTTQGDDHTSRGEKGGRRGISSTIDRFTGFSTVQSSYAGTSPGRHHEDAIEDVKNLFGAMRRYGMADIHRQPADAQPFSVSSSQGDTYLHGLSKQLGVDRRYPRPLLFQKENFLQKLVKSVIDPRWVVHRSHFIGANKSFASKELIVHGSRDALRRILAAIRKKDLSSLSPLLLDDAILPDIASKSHLSSLTNRQLSCLDVTDDDFVGIDGIVDRWRRIDLAPDDPSGLLSSIKIGMSAKQEKPILSYTVDMMAIYRKNILTSKMGSLPPPTAENRRLMPAPKAYGLPYIRMMYATVEFAHLGTEKIGTFSEEPFLLNFHSLLFSSLDVGYCGKHRKPILTHTVDKVAIYKKIIRVANVCTCC >PPA07090 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1333701:1334083:1 gene:PPA07090 transcript:PPA07090 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sup-10 MVDHLSSLTTLNDCVMLDDGHSHPIELPLSELQMEYFTGERRLFNLEQSTAYLVVGIASGVAVVALAISIFWGLNGNAFQSK >PPA06919 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:211911:214565:1 gene:PPA06919 transcript:PPA06919 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRDGGYNHNSSQNNMGIGNLAQELQWVKNDCVLIRNAVILLEQEKDNLRQAVRKLKMENQRQREKMKKLQSEVKKLGGNSEDTDIEDRDYDDIGKNFILIGGAQDPLSLRFECTISDEKGTEHKSAERYYWYKLAEFFEDEEAKKRILSANSSHDAEEAMKTVKGFNENDWNKVKMEHWIKGQELKLEQVRWIALVLKESKESYISIAHQDKMIGTGWRKTREESSKPIFWDGENLGGKWLMKYRREVANNLVYTGPNEKEDILKKMQNLRKLVWRRIDQMQMGGGGMGMGGGFRGGRGGYPGGHGGPPRGTGGYGNGGRGDYGKPKQNPRD >PPA06974 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:456570:463718:1 gene:PPA06974 transcript:PPA06974 gene_biotype:protein_coding transcript_biotype:protein_coding MNESSNQPPPVRQFTKQNVTVAVNVGVAISEMRRSKRAIGELNSQLLDDLMNSVQQWTISGDVGVRFVWYADTPQQSMLYETWSDAMASMQESYIPHQVTNTNQSQAASVLKSNEDSASLMLVVPDTNAYSLQSVMKQDSIDAGNILNDIERDRVIVFAPNMDRLSVQLAYNLTSMPLVITNPNDLTDSIEIVTLTTSSVTTSTATTPKSSTASTPKTTTKAPRPTTMSEESTPPPSFEPVTSHEPATHQPNEPTEEPTTEGSTEEPTTPERTTEITVSSEGPTTSGSTVESTTLGSRSSTTPSSSEFTSQSTEATSTLTNESSTSPSSNEITSQSTEATSTLTNESSTSPSSNEFTSQSTEATSTLTNESSTSPSSNEITSQSTEATSTLTNESSTSPSSNEFTSQSTEATSTLTSESSTSPSSSEFTSQSTEATSTLTSESSTSPSSSEFTSLSTEATSTLTSESSTSPSSNEFTSQSTEATSTLTSESSTSPSSSEFTSQSTEATSTLTSESSTSPSSSEFTSQSTEATSTLTSESSTSPSSSEFTSQSTEATSTLTTTSTLTSESSTTPSSSEFTSQSTEATSTLTSESSTTPSSSEFTSQSTEATSTLTSESSTTPSSSEFTSQSTEATSTLTSESSTTPSSSEFTSQSSEVTSTLTSESSTSPSSSEFTSQSTEATSTLTSESSTSPSSSEFTSQSTEATSTMTSESSTSPSSSEFTSQSTEATSTLTSESSTSPSSSEFTSQSTEATSTLTSESSTSPSSSEFTSQSTEATSTLTSESSTSPSSSEFTSQSTEATSTLTSESSTSPSSSEFTSQSTEATSTMTSESSTSPSSSEFTSQSTEATSTLTSELSTSPSSSEFTSQSTEATSTMTSESSTSPSSSEFTSQSTEATSTMTSESSTSPSSSDEFISQSTEATSTLTSELSTSPSSSEFTSQSTEATSTMTSESSTSPSSSEFTSQSTEATSTMTSESSTSPSSSEFTSQSTEATSTLTSESSTSPSSSEFTSQSTEATSTLTSESSASPSSSEFTSQSTEATSTMTNESSTTPSSSEFTSLSTEATSTLTSESSTTPSSSEFTSQSTEATTTMTSESSTSPSSSEFTSQSTEATTTMTSESSTSPSSSEFTSQSTEATSTLTSESSTSPSSSEFTSQSTEATSTMTSESSTSPSSSEFTSQSTEATSTMTSESSTSPSSSEFTSQSTEATSTLTSESSTSPSSSEFTSQSTEATSTMTSESSTSPSSSEFTSQSTEATSTMTSESSTSPSSSEFTSQSTEATSTMTSESSTSPSSSEFTSQSTEATSTMTSESSTSPSSSEFTSQSTEATSTMTSESSTSPSSSEFTSQSTEATSTLTSESSTSPSSSEFTSQSTEATSTMTSESSTSPSSSEFTSQSTEATSTLTSESSTSPSSSEFTSATTELKHFYTQNVTVAVNVGLAGSQSRRKRDIGELSEQILNALLNTVSQWTLSGDVGVRFVYYSVEAKESELFNDWIDAKSNLMANYIPVIVKNTNQMSAATVLSNMDDSASLIFVVPQSEAYSDIPIAEQDSLDTGNLMSNSILCSDDARKGCRQSVQSNCECPSDNGS >PPA06925 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:233813:234799:1 gene:PPA06925 transcript:PPA06925 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHSIRRRSGSTTQVTTEEGERKANELNVMFIETSAKAGYNVKQLFRRIAGALPGIVKEDEKAEPDG >PPA07064 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:1111794:1112397:1 gene:PPA07064 transcript:PPA07064 gene_biotype:protein_coding transcript_biotype:protein_coding MMRFFGNAMVRVLYEIMKTIRAVFDTQLLTISALRNRTEKPCDIGLKKVEKAKMDKYVEKGSNLGRDGLRRSHLKMDNFDLDPEQFHDDEDVN >PPA07061 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1088447:1088884:1 gene:PPA07061 transcript:PPA07061 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAIGAIFRLGALSGTAGASFGGCPAVCILSVICMLLSGSLIIGVPYVVEELQEMQRMHYLTDSSI >PPA07024 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:769070:772276:-1 gene:PPA07024 transcript:PPA07024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sox-2 MNAFMVWSRGQRRKMAQENPKMHNSEISKRLGTEWKQLQETDKRPFIDEAKRLRQIHMKEHPDYKYRPRRKTKQMQKKGNLQLGLGGFAGVADPLKQQAYPQMSAAAAWQNTNANGYSFDNYSNIDIANLYRNQYEMMGFSSYLQPTSQNGGASPSTLTAAGNYLGYGAPSSYISALSSGIKSEGSDLSGDSGVSESPDGAPLGGHASPSSASVAAGVTSASASSLSAPSFSAFYGQHTKATELIDMINLQPVVSQSSDEEIDSSG >PPA07046 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:993732:994810:-1 gene:PPA07046 transcript:PPA07046 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVLLRLVFIGGACHTKPLKTAVNQKTSSTKDTEDDNVWDYVQEIARAKRVGGKTKLRCDASLVGFLKVVVVVVIVVESEEFLAKKYPEFAGALRKERAKKERDVKRYIVSLIRVSLGDVSRSRMRGKRLQSRLFFLCSPPL >PPA06981 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:501457:503639:1 gene:PPA06981 transcript:PPA06981 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSKRAIARCLDSNHSSGAHFTVFNKLFHEYCTQYYSGLTGKWIARISLTPSDSVVKLQKQDVDHRGAGLRLRLTRPSGRRFRQLLVIVKAKFFSHSAEQKIKAAGGTCVLAAKGTITCVLFLI >PPA06897 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:108884:111523:-1 gene:PPA06897 transcript:PPA06897 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVEASDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEASDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEASDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEASDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEASDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEASDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEASDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEASDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGN >PPA07104 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1365108:1366170:-1 gene:PPA07104 transcript:PPA07104 gene_biotype:protein_coding transcript_biotype:protein_coding MDITDASTKPFWGYIVILLVAFLLICFLMYLLAVGVTILWRKWTKSSRDDRYNKSVTEWELKKQSVRHIDRHGPPPRTRLGTGFPLFMVVNETADEGEWSSSVEDDGSGARSDDSNDEEEELSADQDREGHCESHDEAVQVMEDGIIDDERERISRDVIAQGAAVTVWNKKSVLPDEVHVEEGVEGRRQQIARGWIDLMWGVLFGSLIRSVDTSN >PPA07088 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1326213:1329444:1 gene:PPA07088 transcript:PPA07088 gene_biotype:protein_coding transcript_biotype:protein_coding MFLARTLNIIAKKTERGTDPITEPTVAFLIGKEIYFYPGEISDQSSLLIWLEDLDQDDVSSLLIWLEDLDQDDVVIPKSHEELDHYLSTHNCTDKYLLYSAHDYCQIPQWRSIARIVRLKMNIRTVDESCPNSSSGGRLRTTKWLRCCSSDCLH >PPA06903 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:129858:131725:1 gene:PPA06903 transcript:PPA06903 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAWLLVCIGLLSLHYAACEAEKHEEHNEDVHDEDFASEFGDDKIDDSEGFSVGSEDSHIEVRDQPLFTGVGSTAKDLPPLRFLFCVSCGYKQAFDQFSQFVREKYPHMQIEGANYPPVAWKTYVAQAINLLKMAGLITVVTGSNPLAALGMGTPGLLTWAHGNKLSACMMLFLLSNMVESSLMSTGAFEIFLGENQLWSKLESGRVPAPGELLQMIDSQLELAGKIPAKGVAGGQFDIENEKF >PPA06909 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:158725:159468:1 gene:PPA06909 transcript:PPA06909 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLGRKRCHDNCCVGQRQLVCLARAILRRSQVLILDEATAAVDTVTDALIQKTIRDVFVNSTVITIAHRLNTIMDYDKIMVMDDGRVAEYDSPDNLLQKPNGIFYGMAKSAKII >PPA06921 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:217208:223071:-1 gene:PPA06921 transcript:PPA06921 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPILVHSTTFPPSPRAYRGVPSKIAASPKGDLLVYPSAHVVTLLRAADLSAVHIFRDHRFETSAVRFSPNGELVASGDREGEVFVWQTFPPFEMKYCLKNCTIGERICKHLLVRLAGNVADIAWSPDGAKLAVVGNGGSASYLELKTGSSGADLTCHNRRIESIAIHPNEKKKRILMASHDSRVSVFDNSKGGYRPKYSHLLTPHTKEVYSVCYNMDGEIFASGGADGRLFMYCEASQSGTEARDNVRTITSIVFMPLPPLDEGDVVNVEDVDRQEVVVYASTDGAVRAITWDKNACVDACDMDDLWENETVGVQRLGLAASPSTGNVFVVNSNGRLDQLRVTDGSLVKSAFGHKSHLKAIDIDLATGVTVTGDNNGGLCIDIGNGVECFGDAKNVAVRGLSILSNDGEGAVICLRLDNRMEVLSLLDGCVLSSTCLPSEPLAISRGKDKVAILCHKHCLLVDTKSKHILEQEELTGFDPVSVAMAPNGLELAIGDTSGVVHIYDITDWELKVKHTLKLRGALWAINAMEYSRNGLYLAVADGSRYVSVYSRDDWTLLVDDWRMHNASMKTVGWSPDGMTLATGAVDRSMIVWRLPQGGKKFDPIVQKCGHIPEALIWKSASEVVTIGEDGCRIHWKLKTEED >PPA07082 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1248227:1251271:-1 gene:PPA07082 transcript:PPA07082 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFVLFICLPSAIVSTAIRHSPAVEGQKVEGPLTPHFQAWLSANGYGADQFARTDYGTQGSYGGRQDDSDKVVKTPVVFIHGNTDSALAAGIYSGFTNNIAYFLDKGYSTSELYATSWGDVSMLNSSLRTHDCATTSRLRRFLLAVQAYTGADKLHIIGHSMGVTLGRKIVLGGNINANDGNCSIGVPLRFIDVFVGVSVGGTHPTSYRYRVTRRYADPKKKIYRSELRPMQLRGALRRDGFWPGDSCGDNKVCGERTMKHPCDGVTYSKFLTDINQDFTQLAKRVVSTWSSVDDMIMYGDQTWGKPTSLIPRSTDQKIYPNLTHMQTKESDEAVADQYNFVNRLV >PPA06922 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:223779:224174:-1 gene:PPA06922 transcript:PPA06922 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAKIRKEFNEKLSTLTVEGQKAAKKMLEVFNAKLTREETQSLVEELMEQFTPKIKKELETLRPMKNGKMIASLKVYL >PPA07026 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:800199:800939:1 gene:PPA07026 transcript:PPA07026 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYIIVTSNMPSSRRTEIDGPVSPHVRAALDCKGLNHPGGQPPWTEATPATVLNALADDGYRIIAARITTCGRFTEDEQFSSKFNLFSK >PPA07102 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1360713:1361782:-1 gene:PPA07102 transcript:PPA07102 gene_biotype:protein_coding transcript_biotype:protein_coding METVTETTEIPMVECSDPIYECYPGQTCCPNNEGYYTCYSYNATCCGLHYGCRPEYDCKRSEEDDELYCYWRDLREFIGTRYALLKED >PPA06988 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:539900:541030:-1 gene:PPA06988 transcript:PPA06988 gene_biotype:protein_coding transcript_biotype:protein_coding MSEATDIAILILIIGMLVVALYHMYNKTVKTETALDLALKLQDDLDRDAAAKEANDRDRVKEAKNVLRLLEKYDRKKRRKSMEDTSTEISTEDKKGKKKKRNPFGRVVLSVTEGGYKIPWVEGNGKLIELGPGLIKNMLAPSQATPHRCAPPEQRRREKVA >PPA06963 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:420015:422178:1 gene:PPA06963 transcript:PPA06963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nhr-91 MIEIDCIDRLINLKGLRVITTKDSDDNGPCHRLSRIGDEIVEQLVEWTKQLPFFTELPIDVHTHLLTQRWAELVLLSACFYALSHTSPHPLPPTSEDAVTTTTDDDEISLVDPTVNLRILQRRLSIVMGKEIPLDHVEREAGSLVDKFTALLYTFSRLNLNIDAYVCLKAITLLNFAPPASGVSLMRDSHIRKVQLIQDQFVKALQIQLIGEKDGGARLSDILTWLPLLHSAASVLLHSKMFYVPFLICKTPQRLPDL >PPA06970 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:444061:445975:-1 gene:PPA06970 transcript:PPA06970 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAMLAQAKPGSNYILSEINFLKAPITIFDNNNAGTRVPFTVYMVDSYVGYYPVASAQLAVGAIDSQYGFWAAGITVLSAEKYFTMYNFDIGSFPNMYISSAGFDDPSLDYNVMYIGSQSQARISFLTVYGPIATLYNTNFGTNRFQFQFSKNTPYNVQLAPGASFAFLSPGYLNRVARHPGVKMIEELQSANPLRSEFLCSTRELILTPELNFGVISQPPRPIGLVD >PPA07092 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1335945:1341924:-1 gene:PPA07092 transcript:PPA07092 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSIGQLNDQSIEYSIETGTVADTQIDSEYSANAVSSSSGGLPTSAPSVDAPPQSMTSLSMKGSAQCADLFAAVCKQGAKDEHEDWLTSIVDANRDKDDKIKKDFSLATLALSIRDGKHPEEQTEMRLAGGEKSKGDIEEIIKKECSDFACLEKYYKPLLTFFTVYARGYEGRDDKGTRALAALEYMDRFKNEEDAEGFAARIHMVATSANRVRRYGVLKLSMFYNILLERSYVHKYRLTEEKSTGITFAAIKKIVEAVPKRIEDELAKTAAGTPQVDLLGLSYIDILKGGVEQPERNKFGSLTGTILAHVSALHSVNKQYPGDILDLVSADTEFDNKRFLRPIQKADRLVLPESFLVESVYRTKDNNMGLLAFRLAKIMLRRAFAESGTDLVKHMQDDVKNCTTNQFRATYLKMYNKIHNTRGEGDDIYFMEAVQAALRTINKVQDGYDKAAVVDFYERLAKEYCHDEANGAYLVNGAARFFKPFSDAFDCKDGDNLHYVESSCLPLGSSNIHRRASAEL >PPA07044 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:988218:988944:-1 gene:PPA07044 transcript:PPA07044 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKRKPAKNTAPVVEFIDSPTQISANGTCVIFFKYVEEYVAKVHHKEGFKVYLMRLGKRVESIGKCVYNLRVEDGKVSQETLKRLTFAVFYSNLPTTSPRGLKFYSIAGTSFEVILP >PPA07070 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1172964:1176807:-1 gene:PPA07070 transcript:PPA07070 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAQYEFMPTMLQGPAYNCSAVMPVGQRWADLHGVKQPVFGWYSLIFGIITEILYIPCMIGLGKDLRSSCIKIMFWLALLDMVAIMANCVLFGGAVFCSDPVMTAGVGIAGYVLLSKYRCHSFIREYGMWCMASACCLVLALNRLFEMLNLSKFFSGARTNIYIGLCTSYGIFMIFFTRPVIFNSSLQSMFFSPFIPGHDTEEYVNVPHAVHNMVVASCSCLLYMALCIVLVIKSKSMSSDATKSRVISNTPVFVQAMLICCVNLVAALVYVYMNFLPAPPPVIIAGQVAWQLSHGSPPFIYFALNKSIRHFALRSVGLGRLLVKKRIHNISTTQGSPVTFTNSTL >PPA06997 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:597333:604502:-1 gene:PPA06997 transcript:PPA06997 gene_biotype:protein_coding transcript_biotype:protein_coding MMASRDAIHPLRNRRREGGGMEEKITFLQDFGLYQICLLAATQISYMPIAASILSSSFMKRSEGSCALLLEARNSSVSGTNGTNGTNRYLANTVPSSGEFFSLLTLWDLDCTEDAVDIQTTISIMVMIGGLFGASIAGFVADRYGRKPVMTASLALCSLSNAALLLSSFLSWPLALPIFTVLGAACGGYMTTNLVLVVESLCLPSSRLLVVALNGWSLSMAGTALLAFMCPNWFQYHLSVAIISLICAVGLFFIADESLRWLKSSHKVTRFDRASSRLIRLNRGETFKDIPLLCQLEKDGAAAAAPVRPLAARESDESPVIPITSTLALLLRSVKGAITQPLYKIAITLNCKSAKRYRLTVSARCRPRLLSLAYCFFSSSVVSFGFYFAIDSLSGNRCANMAAAGSGKFVLGLIPFVFSSCASRKQVALVSVAASATAAWVVLACLLAGLPLQSLAIMLLSILATAALDPNWKISHLYSTEMFPTNMRNMARGLCNSAGRFGSVIAPLLSHFRHAAPYVYIGIFAVLLTAQFAITAIFLPNQNRRIREMVEAKTVLPSTLQDAVPAVPSDRPEEERRRKAKRSEYDEDDDARSREDSSQLSETAPLTP >PPA07009 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:692537:694611:-1 gene:PPA07009 transcript:PPA07009 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAWVVSGAYFVIILECATMGVIAYSVMEQIYAFLEIKVMARDAPSVIVVTFVAVCVLGWLLSMPGLCHGIQAHSDTSLVPYLVWRMLFNVIIAGAMPYLMYCEKVSRLIPSLGRVFSKTFHNCEMEAAIGEILVGILFFCIVQRAYREFSVHRLQSTNSFRRD >PPA06955 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:376576:377509:1 gene:PPA06955 transcript:PPA06955 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGSHNQACERQQAAACPSPSEDGSYLCVALADLCDRRKQCPGGEDESHVMCFFFELYSIELSRLREVAKKLQKKVDDPEYRGATDRFRF >PPA06918 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:200345:202655:-1 gene:PPA06918 transcript:PPA06918 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-irk-3 MTTECPIAYFVLMTQCIIGVFVQTMLGGVIIAKVLRPKKRKQEMRFSDVAVIGPVDEHDRRPALFIRIADIQEKLFLAESHVRLYIAQNKFAPNGDKILVGLRDMNVGYDSGWDRVLLLWPVMVRHVIDEHSPLYGLNEEEMREKDFELIMTVEGIVEATGMTFQARTSFLPEEIVWNQKFVPIVILNEKKKKYEIQYERFDTTEAVVGWEWPPSEENEDEPHEYKSGFI >PPA07086 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1297682:1300027:-1 gene:PPA07086 transcript:PPA07086 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDDLNSQITSLRSSNRVFSQENSYIRGKVSALSAENSRLASELRFSENARLESEESLAEHDSRVVTLKPGQSYSADTAVTVLELLDLGVADEKMGQVMESVAKLTGVKLDRVPSPSTVRNIAVASLSVAKAHAHERLDQAIDRGEQLCLYSDETNKLGSKLQCFGAGLVKDDGGQEIFLFGLAQVADKSAQTAFDIMQNRLGSLSRGIGYGDAGNFIDRFFAAVSCTMSDRASTQQKFNSMIEEYRVTVLPKVIRGWDELSDTHQQELMKFHVFYCQLHVIANYTNVVLEALAEHERIVTGRDVPSFSPTVFTVVKEVARLFGDRSAGLHSCSKEYKVCRIFHHLQSLKKFIDERGSGRSELIKLGELLDLPIVAEHLQILGLLDQLVTGPLWRLAETVAHSHTRFHVHCSISSLHSIGAGDEQFLEKLLSVSPSENSLEAVALVMESSLRYFEHLFEDFIPGGKYSGVVDDVVVDRTRCASATNRFIESAFGFVDRLFNHSPHMRIYRREARGKKLPEAELKRRLVILIEADQKGCLLTSSVDHPLTGRSIRRWTEEASEDGIVSSIEKRDEGSFDILDDLL >PPA06947 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:323082:324806:-1 gene:PPA06947 transcript:PPA06947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ech-3 MMIARFAFFAICLSLTAAQTIPLLSASNDELIKVADEMRAVDDNKATNGQVVTDFGGHVNFNGNADASPNKLFTKVDPVLLSKPTYAAFAKLFNNYNAEAGVKEPKVSTTEDNAETKAFLDAIETTKPYQTLLNFLKARNHPYGKSSAALKAAISSLWFDRYSRAKGVLDSSAFEHVFIGELKNGEVSGLHNWQRIASLEADPKENFDYKGFIVKRTNLATIQFAWGKDWKKGGSIFVGTSPDYQLSVLTLCFLARRDAEICKINVDGCPVEVQSYEQKQNGKSFIGTSYPKVAGPCA >PPA07096 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:1347458:1348393:-1 gene:PPA07096 transcript:PPA07096 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYRSQIVALSCSGGSCYHNTNNSNSPATSRFLAEIAGSVEKKVEDNLI >PPA07085 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:1292072:1293336:1 gene:PPA07085 transcript:PPA07085 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFHVSKEVVVGVMADMMHLGPCTSTLDFVQNTVLPSFHESGMNPKNLPTVLASLAIHLNTIPEVKKVHFTPEDGTEIQKDAEHTPTTPPSESTHVVGPDCEIGTGATSAIEKTVQKGAEHTQTTPPSESTHVVGPDCEIGTGATSAIEKTVQKGAEHTPTTPPSELTHVELEMPPAEIPYPEESTDIDPASVPATRSCSIL >PPA07012 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:704553:711384:-1 gene:PPA07012 transcript:PPA07012 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSEYLVAKIEKYIENLKRGYKIGHALHRLEQIDMTVDLLTKTGVGKVVNRVHREYPEHADLALSIVGQWKKTAQDHQERRERSPSPVERRIKKEVKEEEEDDYPGESKPTNNNDRKRRVKEEEGGSGYPDEEKREKKKSKPAPAANAFLAALASGDSVKKEKPKKLKPVTAFDLNLDIASYRPMPSLNLASKQAAKADDVPFDQSQMFKPRVGLTKIFAGRKKASTLTEVPRLFDCCIRVLQNHINEIDSFGDIPYHIVKPILEKCTWQQLYEIERKNPYLEEDSDELWEKLVGKHHPTKRTLDEESWKEMFVRCENEAANKLKMLSSRISKDGKAATDKVRKVVTITEVKGLRGSRKGAVLDMPSALTVSKARKEIFESGSKAQLSQIPATVVARSSTVGSSSHRKKELVSIVKAGPKVGSLMAKTRKMLGIKRK >PPA06899 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:117057:117387:1 gene:PPA06899 transcript:PPA06899 gene_biotype:protein_coding transcript_biotype:protein_coding MKKERKKFEKERKEFEKLVKKAHKMLKKDGSGDSSDSSSSAGSDSDEGEWEKL >PPA07007 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:680229:685245:-1 gene:PPA07007 transcript:PPA07007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-glo-4 MSGNTSFEPVLIEAMSGRGLRQLSCGTGPHVLALAEGGEVYAWGHNSHGQLGVGHTCCSQPPTLVLCALAGQRVTAVACGAYHSAALTESGELFTWGLNSSGQLGLGSNVNEKSPRQVPFQNRFLKAVACGHKSTMVLTESGEVYAWGSNEYGQIGSGNEVNQHSPYLVDALAKHAVITQIACGYAHSLAVSDTGELFVWGSNHCGQIGCNPLRKKVLEPVESAKGIGGISDVAASNACNITVVSNKQGKIFMWGNIRGQTVSTPVETRFGRVDDVFASFASPPVSPRMISFEGKPERPLVEALRAAFDDPSTADMRVVVDGRTIHVHKALLTMRCAYFRSRLSKQWSEGNGNQIEVVDFSYPIYRAFLQWCYTDELHVEPEQALGLLELANCYCEMELKARCAALVERTTSVDNAAALFAAALRHDCPTLEEHAFHFCLMHMTSVTLSEAFARMDSEAMRSLMQRSAKAGVFKR >PPA07051 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1007951:1008857:1 gene:PPA07051 transcript:PPA07051 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-erp-1 MESSGQAAQQTLLPIKRFLDGDMKTIQKERKVLHAKRLDLDACKSRMRKAKQADGIQAI >PPA06982 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:506357:507293:1 gene:PPA06982 transcript:PPA06982 gene_biotype:protein_coding transcript_biotype:protein_coding MQIIYPELSIKEDVKKFALDDDTLDGVSEKDLERSLERTKSLKEASYLEDNEKNEEKTVKRCTLHLRENCCTKRREQKEEKKCCILRIPCVQKLLNRSAALPAEEVKAE >PPA07004 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:634069:639413:-1 gene:PPA07004 transcript:PPA07004 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNADGFIRNGIEQIPAFGPADPRYYRSQTAICEQAFASQNCPGLNQWVSGIAPLVNVTAFPTVVQCCSFDGLLQSEDRGLATVKGGQIVQGGEVVSSGVQVGFDYISDLVKIVRADGSLQYDVSIRRMPCTDYTQPAVTNKIYKGTEGGAQAFQDPNVEVEQPLPLPIQGGPQAPQAPTAGTQNAILEEVVQEEAFQLPPGTQFQPALQPPPVQQPPLQPAPIMFQAPQFVPQAPQYYRPKSPPVSYYSGGGGGGQWCFSADMTVFMLDGTRKRMDELKRNDWVLAVNEDQLEFVPVEFWLHRVPEQVAEFNEFELDDGRIIKLTDKHYIFKGDCSRVGTGPVPMSAISDEAITADQVHVGDCLYAHGRDRNMHEGEML >PPA07054 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1031712:1038363:1 gene:PPA07054 transcript:PPA07054 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRSHARITKLQPNRDYTVQVQAAGHHTTDYGSRVRWVRFLISQARLDERGIHGDYSAPHHFKTPAALPDAPSGLKAELVDQTSCVLRWCVPGDGGAPLSHYSLYRVSANLNGDEEVEAFLETREFMAKVEGLRPGSSYKFKVTATNRVGESAPSNVCSIFTLRDTALLAFNPTVIGVGARSAKVCWTSVPDWTYTVEQMDMFQRSFILKSRGAGSLQYANDLNPNETYLFRVIAHAPDDGFISSEWMQYRHHAPRNNGRDTVPLVLPTPGKPYLNKDSKRMELNWRTSKDVIYIIEGAVMISHWKQIYKGYGSTLTLTDEHAGMMFFRLMLQSKRSSATSDWSEPLKLAVPVKQTVYRIPALLMPSFSDITKHSMKITWAAAEYSAPVAGTTVLYELRRIDCVSQLLYSGPDPSFVVESLRPKQHISVQVRIVAVDCTGKRREGDWSMTGTACTMRDAPHPPHSLALSQDRKELHWTVSEDVLGEAQFTVTRTTMGNDEQGAEAQFETAAPKLALTDLAPGVTYAFQVVAHCPWGESPASETFHMSTAAEVPHAPEPVTVEARVQRELIVRWTAPEARGSALRAYHIRVELDRQITREVMTVASSSTDELEYRVEDLEPDTVYRVSVAASNDVGTGPQAKSEGRTLRPPPPAPSLDGEGEPTQIRLKWRAVSTDGPSSEIRYRIYRLADVTDAYIPCYEGAYCSAKIKNLTENTAYRFQIRSYEKTTGHGPWSKIYTFRTPFAPPPTIKVVPTATSIGNGSHTIEWPSVIPKGNPRGLFYRAQTHAASDKKDQWRTVYEGGVNSFTLKVPAESSLLTRVFVVRPDGGTGIISQPSPPLNVSSIGETKEEEEVIAEPVSPTLFERLRDSSGTARLIMTIVLITVLWTLFWMVTSPSEASTNSTLTMPEAPPPTVPTLPTVSSPPAAPAAIPAPGAVPGARTDL >PPA07001 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:619201:627054:-1 gene:PPA07001 transcript:PPA07001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVPAQEVSTGIAKKPRGTAGQPMQFKTNVYPLTIQKKIPYFKHDFRVKVTIKTPAENGKEGKEFTRELTNSSRYYDDETRKTANVNKSLIYDRAAILFTLEELIKKTEGEEITFTLPREALGSNDIFNTVKEVAVAVKKATDRYQITSDDYTAAASADFVEGGDKSIFELLNIATSQCVVDHTDECAADSKSRIYILNPGDYNGLQEEREIGDGQILRTSIKKTVKTIENTLKEGKVVNPIAAIVIDVSLTAIHKSELLSSKMKNFRAHQSTLHGLKVKKTYGNKEIITVAGFTQETVANITVEIGQKRMKMVEYLKKQETSLVAVRDTKGNSFAPETLMIIEQGVKPDQNTANTSRQVILANATPGDERMAKIESLTEALHLNGERAKQMGIVLGKDTHAFKVAGRMLPAKKLLYGDKKTTLGFVRSAPGVPPPKVFSPASVKDWAFLAVSNRDDYLWVIPTLQQKARAHGFSLDAPRFKDVVSVQTVASALKRAAHDGCTYAFIISDMDGDHDIVKAAEREYGIMTQQLRGKSTEKIGADTGSNVVLKMNVKNGGVNHVVADEPLLLREDLLIMSLVFDHPSSISKKDMEDGVRPTCPAVVGISSNAAVIKAGGKILPESCQNFVEKFAYANPREWKKEGEPVFKDTVSDLIKNEVLAFKNARGKPPRQIIVYVSGVPEAERGYWSKDGKSLVADVCHTFSAAYNPATTIITLSTEGCERFVPDQKLPNMRGPDPRIGLVIDSVVVNPKVNEFFLQSAKALKGTPRTIKYTLVAEPTNVANQLTMDQIQNLTWALTHLHQYCFGTIGFPSPSRIAADAAKRARDLFRARQFSTRNKDPYNLEELNATLGYKCEKGSSTTRSV >PPA06994 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:564457:566160:1 gene:PPA06994 transcript:PPA06994 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSHLILLLTVSCASLAWVPRDFDQDLSKNRRYGVLGNWRAQDPGVMMSPEMINRPNHLIEEHLVPLYYDDDFAEPDGLYPKRVQTPYECVTMSMSALSHSVDGSQLHIKPRPDYYNLYRRGHPPKDAVPPSSKPKLTADQLKERYAWPRAMDRSNNRANLEYTENGVLKPRRSKPPVHPNDF >PPA07109 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1397955:1401713:-1 gene:PPA07109 transcript:PPA07109 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKQIVGQKLLKDVIQPLKKPGKAGSWNVLIVDRLSMRMLSACCKMHDILEEGITIVEDLAKSREPLPSLEGIYLLAPTQESIQRLIDDFSIRHQYKCAHVFFTEACPEGLFNMLCKSSASKFIKTCKEINIAFTPYESQVYTLDSPDTFFLYFNAMKQGGTNNTLRDTERGRP >PPA06894 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:96249:98284:-1 gene:PPA06894 transcript:PPA06894 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLGEEEGCPTTPPSNLDQLIAESFTRAPVRAPVIVNRPLPVHPQPGVAFHPAGAAAAAANTSGHHPQPVQPVLQPACDQKQQQRFQECVAPLTSYQPHPLIVIRQPRLIDEACTKFSEFKECAKTVSCRPMWSRGMTAMFEYACEEALEEYKEIRGCIRKLAGEDIVRDCVATFSRGAPQQACFSANSLLSCAIPSISTTCGDNAVNFTQKYITKFAQAIDAHCKIGADIPIGKVIGVGCSLEEEAVIEHCAAPLNDIGNRLDEMFQGGLQSLIKNANTLAPVFAGGCNLTKEFQACATGENHVFCPLHLLVSFHPAWFVQERRSALPPTL >PPA07067 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1146859:1150201:1 gene:PPA07067 transcript:PPA07067 gene_biotype:protein_coding transcript_biotype:protein_coding MHVEHFLCPGIHQPCIELDGEMISPKEFTVRANKDKQKDWKGSIRIGKSNLRSLMEMRSFDFYNHSLFCSAKCQSRNYITPKSALLHSSSEKGSASSSSSTTSESDKDSRRSSKSSIEQLLAMQLAAGAQQPQAAAAAASAAAAAAAAGVKTQYGYGYQPQDHCDPISQFVHLQQALNNNNNNPQALNNNNNNPVKEEIIDVTSPPEVQLPTTDQITGMMRSQPSLFWSQLAHTALLDDVIDLVQQEVDVMRATAKHAGPASPPGKAADSLCRVALSLQLGEQIAARVRTRHFHQAAVHEMERKRRLEASSFPTTLGEAIKRPCLQYEPAPLIKLEPKQNEFVPSSNSAFSMPTPSHHVELLLKLAVLQQMQQQQQQQQVVAPPPPPPHTSHHDVVPFNVQLTEALLKIQANQATATVQ >PPA07069 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1159518:1161343:1 gene:PPA07069 transcript:PPA07069 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPCSLSILKDEMQKNATYTIPFISLTILLLMSFTVGSCMTGDWITSKPIEAMMGIFTSTLAIISAGGLLFGLGIPFVHQVTVMPFIALAIGVDDTYVMLGAWQDTKRTLSPERRMALALEEAGTAISVTSITSILSFGIGTMSSTPAIAIFCKFIAIAVVFDWTYQMALKYDAKHDPQPLPTSPVDP >PPA07099 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:1355045:1356276:-1 gene:PPA07099 transcript:PPA07099 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRVSIFCFLAPAVVLRAHQRSIAWIQCGPSDSEYYCYAGQQCCPNEIGEYTCWHYNGTCCGLKHNCRIDQECLEDDNGKYCLDREFITEEIRESQVKYTPTSQDSIPDRYVKVAYPSRMAYQKREIDEKKNSPAIIRDNHIN >PPA07020 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:749821:751033:-1 gene:PPA07020 transcript:PPA07020 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLTPLWWSRLLISTRGFTINLLPANDDMTLFTVDDAFTVMHARLVAQPTAPDSDERRAEREKFISHIYTIVGFPSVATVLADLARGGGAISGQTVPKNVENGETQTITLFSENVSPFAHPTAGEAFTTVFGAPNEATYRIADGQKHAYLVFSRILSLLQARWASEKVP >PPA06900 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:121744:123702:-1 gene:PPA06900 transcript:PPA06900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-egl-5 MNVVSTDAASTSSASTTSTTTPFDVTNGGRIKGEGGEDHLARLANLAQGVGKEDSDSTATSTPTAADAPLYPGYMPGYTGWPQYYQQFAAQPGITPAAFSAWPAQWNGAAAMSGWPAYGKCTSEDDRALALFGIGEWDSQSKKGRQTYQRYQTSVLEQKFLQSSYVSKKQREELRMQTNLTDRQIKIWFQNRRMKAKKEKHRLEEGGEHSTLLPPTKGSIPLPHGSLPALPVGLQQLQHMQQQQPQPDGSCSVGSSIEDKDKQHGWAHMAPNPLLTHQFWPTSQTHPYAIPQYQLCPPNI >PPA07047 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:996178:998311:-1 gene:PPA07047 transcript:PPA07047 gene_biotype:protein_coding transcript_biotype:protein_coding MQIAPLLRDYSFILPSFAPSFLLPGGSLSIMVKKRYTVIPTKKRAPLVENIDSFNQVRRNSGPSVTNVGMPMPDQQARQHHSCGINRYCMTTGTGTYEYATAEEANAAAEAYCDRVEASYRARGVWYFDRKFKKMSYDLTKEELASYDQKTPSLKDSEGYKVSVGDAQKTSSTKEEEKTTVAAEQETNPGIPKESKKAMGASSEVEDANKIDQVDPLKGEFHISFESKDSPDVVKTTEGSVKGEESKREGEAELRASINKGMRRGFLLPKAPDFKKADSLILNDCIPSFEAGDCPNVTNVTSTDDSLLPKELHLSYSAADDCLEATKKRDDPVNGEEADSLVLNHCIPSFEAGDCPNVTNVTSTDDSLLPKELHLSYSAADDCLEATKKRDDPVNGEEDEEEWHDEDIHGSFNPADPKCKCAIS >PPA06953 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:372523:374321:-1 gene:PPA06953 transcript:PPA06953 gene_biotype:protein_coding transcript_biotype:protein_coding MISRVNPNEGFLAQLRIYRKLRYRITHESLASSIDYRNWCIESGNVPDCGSDPRASLFVRKLESGDKKEGEEERGNKFRCGKCREALFFAKHLMKHKRIRGVAEGDVAPECAFGYLVEPLSWMDVNAYEGKVCCPKCGDKLGNYCWGGKMCKGEIGFHCGQQVQPWVHLHKNKVDEVRSISIAIPVQSQTSLNVT >PPA06979 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:484081:486229:-1 gene:PPA06979 transcript:PPA06979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nhr-14 MGKEEGRVAQVITKAESNIRGSEKSHGAFPDSPDSRSASQRAVANLIGWTSALHPIPELPFDDRVRVMKHATAAFTLLSIAQRSLNLPHLILPNDNVLSLSTLHASLMRPLQRVSEELQQPLRRLRVEHTELCALKAIVLLSPDIPEISHIGRERLREARDSLLRALFSFLSTAHGAMDASLRVSSLMLVLPPLFSLASTLSDHPVLATILGLNEDERASPSAPSMQSSFLATTASPPAPLTPQNNNNNNNNSSFPSSLTPSMDGSASPPFSMQNSASLLAGLLAQQPALLSSLVQQHQQMGSLPSSLSPPVSLPQLFGLIHRGGQPSAREDSLLVKITPITPVIPPLHLR >PPA06916 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:175959:183824:1 gene:PPA06916 transcript:PPA06916 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGSSAATHDSGPNNNDNSEVADWEIINDSTFTNEEIMEKYRESINKVVENEADAIPPISFGHNPNQPPPIDDPHSHTCTSCAANGGPSTYPQQLPQQPLPGVPPNAPVGYSFYSTIYPGTYLSPSPVYSSVTYTSPTPLYADPAWANLLRINAALDNPPALTPEQEARYKAASESIKAEYGGKFPFETEDDESINLFMRSLLSQYQAAHSSLAVQSNGIHPHPPPPSATGAGPSTIPILLPPLPVLPPLQPPKPPAVFKEKEYEELLDRLKRKELEYVQFKELERRVIHAYASKYRNGYASSHPSTVYTQPGGMAAPTASSGQPKMNGIRSPSSSFRRPFNPVTPTPHQNRIISEAIIYVQARWPVGCKEKECVHLSEQDMPPSVSYSQFTQILPNFLARFGEPLASFLTVLSCFIQDEMEKKDITTLNLMSHGATELSNEDAPNWERILRGDPPDQPHHFTKERDEQMRGVLRDALKDILESDNEIEEMRRLAEEHAFAEYPYDPNYLPPLLPPKPPFQEEDDDDDDDDDEWVLRPPGGGQREGAGNPLELTISGELLTILETMEAQGIVLPHYTSPQDLLNKLVLYQCYYGVESIAPSIAFNPLTGQPLTFDEITRPIAPPPELIPIYPNAKQFRYGPSNAMFTDFEKKKKFEFLELSDDEESDEAGGLHSLPVSIKREYVLGAGSFGTVYEGCVVPLEKANKNDVDMESDDKKVAIKVPEDWDAKPVKVLVGKNFKEVDKNSGKGQLVKFYATWYVEIAMKTYTFVRTVQVARARLGGTRREVGHFRQDSHRNVDPNQNEIGETTEEDKKGEHTEFSLLNVPEDWDAKPVKVLVGKNFKEVDKNSGKGQLVKFYATWCGQCKSLVPATSDKILIANVDPTQNEIGETTEEDKKGEHTEFSLLNV >PPA07094 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1343589:1344616:-1 gene:PPA07094 transcript:PPA07094 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGPTALLPSGNPARAALAVAFTANATMVKTPAGKATVPSPNGLSGSASIVPTIHRPSANSICPDPTYSCPGSHTCCMGEDSRWKCCDLDTPGVCCADRQHCCPAGTVCGASGCSRKTAYGEYLTVAPFHVRIAATKRVEKARP >PPA07083 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1253612:1263365:1 gene:PPA07083 transcript:PPA07083 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-aman-1 MLLLLLSLVVWASAAPPPPSCSWNTCNEWSSDPNIINVHIVPHTHDDLGWIKTVDQYFFGSKPELVPVGVQYIYNTVIDEVAKDPARRFSFAETGFLTRWTSTHTDAERHKLQKLVKTGQIEMIGGGWVQHDEAAAHYVDIIDQMELGLHHLDRLFGECGHAQTAWQIDPFGHSRETANLFALMGYSSLFFARVHYLEKAARLTNKSLEFVWNASDDLKTKILTGAFYQDNYGPPEGFCFDALCGDDPIIEHQDMEGYNLPEKLQLFEAHVKKQASFLRTKHVMLLMGSDFQYTNANAWYTNLDKLIQHVNANSSLGLRLFYSTPTCYVKGLNEASPQLTTKDDDFFPYASSNHSFWTGYFTSRPAFKGMIREASSLLQLVRQLNVFAAEGIDNDHEVERMQRASALAQHHDAVTGTAKENVTRDYERRLAAGMKDGQAILHDALAHLSTKSGVNVSLPQQIRCELRNETVCEPIRNVAAFTVTVFNPRGHTMKETIRIPYFSRNVVVLNRKKQPVESERDASRNERMADAGYTNYRTRKSGLPARNNSLDIPLDSRVAAVSHYPIIIADSSDEQSLAAMAKRARVIINAVGPYRLHGEAVVKAAVENGESHLDLSGEPARECITKTFQNQVQSVQPRAPFELSFPVKVSPLGFATYFVNNATSNRATRVTHPIKTTFINDEDMFIENKLIRVDFDKSGLVASVLDKASNVSYPLKQEFLYYEGYDIKNEQTSGAYVFRPKDNTEKHPATAAKIELSKVVRLADNSKDVEFTWTVGPLPIETNITKDFITRYTFGVKSGSTFYTDSNGRQMMKRTPKINLSRDAHPSFAYENTEPIAANYYPITTAVLIRDDSTQATVLTDRSQGASSPADGVIDVMVHRRSFYDDHWGVEEPLNEPGVDGRGLIVTGTHVVQIGSVKGASERARLGQQCVFHRPEVTVAAFDGNVDEYVRNFETEFAGLKSELPPHAHLLTLAKWEGKRIILRLEHVFQISEDDTVTTPVSVDLRTLFSTINVTAKREVLLSGIRSPRRKKGLRQSHSSPRHTS >PPA06940 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:300900:303038:-1 gene:PPA06940 transcript:PPA06940 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCTIDKWAGPCTHRGVGTSFDFSSQQTTREVESNLRAISDKSQASVKRRSRKKGDSSVDTPSAGLSSKSKKVKRVDKSSRVKNKRHGKAGSTPAKSPSKEIAVPDVKIEDKEKTSKGLKKADPEVLKAIKEGRENFFHTVRRGTKAINFTFPPGRIYKIGTDPKTVPIVPTSKMKDEMRGMKERAMKKKETRQRKYSAEKIANSTASRETTGADKSSASRKKSRSKNVAKMRGRRKDTPIPPK >PPA07117 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1457809:1458408:1 gene:PPA07117 transcript:PPA07117 gene_biotype:protein_coding transcript_biotype:protein_coding MQFADGGPPSDSPRSEWLDYQDEWERARAAQLAAEAIQNPTPSQKEDAKKKRAVYLKLADEVSEKKKKHSKQGRDKAADRKKPGKDKKSNKKSKRSHTISL >PPA07021 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:752814:755881:-1 gene:PPA07021 transcript:PPA07021 gene_biotype:protein_coding transcript_biotype:protein_coding MYVKAEPSHSNHMFTTTFQPPGSFYIEGIPVRDRFIRNILFRMSDAQAQAEELEVIEAIYPNYFNLMEVNKVSLRFDDKIRLDVVLPPDYPSQSPPKYTLSCPQLLIGQRNRLSALLDESYLENIGSPILYAWIMVITEFLQELEQDPAAESSFDTSPDSLDDTSFSSIPDEVAVERPSTTDRPRILTGEIFSDRKSTFQAHIARVNSREEVQRVLSVLKSNNKIARATHNIYAYRVRAEKDGQNFQMDDCFDDGEHGASPKMMQILQTMKANGLIVVVSRWYGGIHLGPDRFRIINNLTREIVTQNPDCWFAD >PPA06934 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:283992:284265:1 gene:PPA06934 transcript:PPA06934 gene_biotype:protein_coding transcript_biotype:protein_coding MNHVEVATNQARIIERVEILNERRAAPLEFKTAVEDENRDEVSMDAKEKTDSGKKEQRK >PPA07022 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:756096:760097:-1 gene:PPA07022 transcript:PPA07022 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLMHLTVAVPLLVSALPIPRLTCDCVYKGQIFAHGLLGLMLTVWPSCLLAWTVEGDFHELHSFMQSYVGVFHLAIAASIFYHGPGKQGRSFIFARLLSAFFCLFTRLFAAYHLSEKSTRGLLISAEFLTSSLILDGAWLAAEIARFIREEETSDERLTALCAETTRYAEGKTSPYLMNALYADAAMAFCYALFHFAFPQNILKLVIKPSLDLDSHHYMWCRVFGALWLTPAVGSLIAVHAPPAFQLTHLLQRLVVQVGIFVLHVYGHWIINVFSPNHITAFMIAGFFMSFHISTFYRYKKAFAAEPKSNISARVEIKKMR >PPA06937 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:292546:293940:1 gene:PPA06937 transcript:PPA06937 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYHVILGFEVFFSTSSFSSITTSLGLGWKNKLLHPNLMVIVSTVNGFHTFPIPWLTLIRSTAYFYLLLFFTAVLVERITQSNSPRSPSSLCECHFRCATSNRWNCASIINEPVHSRLGVHTVSHGIRYGHDTDIDCGI >PPA07034 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:860959:862672:-1 gene:PPA07034 transcript:PPA07034 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLCEMGIVHRDLAARNCLVTGETDRHLCIPAHRPPTTVKISDFGMSRRLYSHSEYYRIASASSVALPVRWLPPEALATGVFNMHTDIWALGVTMWEVYSFGELPFADLTTQELLAVCMAGVRPPRPTAAPEVMYDLMMRCWEKIPEDRITPFQALRHDALRRPRPPSVDVRHGIDWIRVDASIGCATSRLILSPLRLLSRRSEKVRE >PPA06892 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:76537:83415:1 gene:PPA06892 transcript:PPA06892 gene_biotype:protein_coding transcript_biotype:protein_coding MILDGGEGSQINLNGLMADIEKVLPSTSPPSSPKEEVTTTAPGDTKYIPKQLLELWDGPVEVDDDREKGRKEEVIDLIAFDNDVEPFDSKSASPTAREEKKEGTIDDELSQLERGEEEKARRDHEAAMIVSEKEIKEGEVLASPLADPRFSFHHPSRSVAMGGYSLEEKRERWERRKLHLEYHPHSFLLHSRRAMLMMTIGDSFLKYTVTDFLFHSHPDLHEGKLSFARSKEVSNCNLYRLGKKIGLPSIIIGSKFDVQDGWLPPCYVPSINFKAPNNDDAEKKDEIMERMLNGEEMIKENIPVTGWDERPDSGIEDGVETINLTKPAPDALDDLSPLPYNLLTQQYISDKCIADSVEALIGAHLLSLGPQRTLQMMKWLGLKVMTEKIPIAPPLLRYIDTPLYPHRSEEMLTEFFAKFQLAQLEEKIGYRFNNKAYLLQAFTHASYYPNRITGCYQHFVAMCPGLHFMIEKFVKLCRERNFLDANFHCEMYMVTTEDEIDEGQEEDVEVPKAMGDIFESVAGAIYLDCGGDLDVVWRVFFNLMRETIEECCRNPPRSPVRELLEREHDKAKFSKLERIMEKGKVRVTVEIVSGKENFRFTGMGRNYRIAKTTAAKRALKHLKGIDEDRRKKAERKKQF >PPA07014 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:720411:721460:1 gene:PPA07014 transcript:PPA07014 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKAAKAAEKASFIDGPNMADEWAVDEVNEAVDVAPAFELKSDLPEVKLFGKWNHQERNGQDVDTVRTIIVLRTRNI >PPA06980 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:487007:488769:-1 gene:PPA06980 transcript:PPA06980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nhr-14 METLCMMPPSLTEEHFASLPSTYSATTASSHASGADACVVCGDKAIGRHYGATACNGCKGFFRRSVWQNLQYTCRFSKTCQVDKDHRNACRYCRFQKCLADGMRPEAIQNERDRIGSTKRRKRSSSQMASDSDSAPSPRGSENSVVSKKMIEIIVDIEERMMGNQNMAALMSCARVMFIVMRQY >PPA06999 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:614820:616287:1 gene:PPA06999 transcript:PPA06999 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tsp-12 MTESRSGESEVGCCTKYSIFVFNVLFFLVGFSMVALGTYAKVDNDGIYNKMRQNTSAIAMDPTIIAILVGIVIFIIGFCGCLGSLRENTILLTIYAYVLIFILIVEFIAVGVIYFYKDAAVDAISNER >PPA06957 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:380864:387159:-1 gene:PPA06957 transcript:PPA06957 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQSGAVLRRLARRLADARSGNQPLRQLSSAAAAAAATGDERREGREEEHGWQWKRAALGAGLGLSLLAGYAATSRRAHADAHAHPGASPSDPAFQVPARVDLPVYKREEVKKHGKGSERMWVTYKMGVYDITDFVESHPGGDKILMAAGGAVDPFWALYAQHKTIDVMEVLEGLRVGSLDPTEPAPVHTADASDPFSTDPPRHPALIVNNPKPFNAETPPSLMMDNFRTPNDLFFVRNHLPVPKTDVEKHRLRVEGLGVGEGKEVDLSVAELKKKYKEHYVTSVVQCGGNRRADMQKFKKVAGLMWEGYAISNAKWTGVRLRDILIDLGVDPADERIKHVHLEGADVDPTGKPYGASIPFEKAMSPEVIIAYQMNDEDIPADHGYPLRCIVPGVVGARQVKWLTLVRLSDVESPSHFQQKDYRAFSPSVQHGEELDWNSVPSIQDYPIQSAFCLPASGTTVDRDSATSLPFGPVVLAEYSFPKDGTVDVAGYAWSGGGRGIIRVEVSPDGGETWYSAEMEQDPDQNQDKMWAWTLFRASIPIPEGAQTMDLVVKATDRSYNTQPETAAGIWNIRGLLHNAWHHVEVKA >PPA07066 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1132212:1135764:-1 gene:PPA07066 transcript:PPA07066 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPKYAFITLDQGMSKRDAFVALSQYGDVRLVSKISEVSSIVSDLVRNLEDEMGEAKKVYVSTAPVVVEPRKVRITRRCLPGMSAGESPPVSNGSLLGKRPHSDMMPEFRSAPTRPQSSLSLPTFSSSLSQKSLSPMQQLQQTLFHKEEEMITDIKTEDVDDGYVHDVNQPFDSLEEAEAAIGMAHEDDDERSTAGHFDGKPDDLDNFIDDEGNIEVPYAPNFKECKTARRVSNQSKPVEKAEQARCEVCRRWVKCTETLSRLISHVFIHAKKQRFVCPVDGCEYSNRTERITRGHIDKNHGGVASPIDSEKDKKSQSEVWKAGRTVWAPRCFPDHFEKAPGGNLFMRINEKSVLME >PPA07052 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1025415:1028374:1 gene:PPA07052 transcript:PPA07052 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGVTSSIASPPLAHLHDTSDCGSSSGVGGMKDASAASDEASSSSEQTPAVNTATLNASDVNGAVPSSSSASGNFDKLPASLPISRYSIPPPVIPPSSLYTGIPMMAPPLPPLPQAVGNDLFVHIQVGETLSIHVGGGETQHITGPATVRMVGEANLQAPTIPLPIHVPKGHVVHQICDDQGILRHIILSPESSRDILPCNLSPAATISQMASTSAAASPLPPSSLASLNPRPTCSSIPPLPLTAVPTAACTSQPPGFYTVSRRVVH >PPA07029 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:808985:816966:-1 gene:PPA07029 transcript:PPA07029 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLAAFRTVSNTMPVAYKSPEKSSNTSRKRQKSPAREALSAKTRSKSKQHTEQKKKSASRTASKEDSKAKDIKYVAVKKEASDEAINLKKILKAEREELPEIRKRGEPTSFLPVVFPAGRIYRPGVLEKTIPVTPTDQMVTEMRERQQRTLNRTKAAPRKYTGVTKATKTTSKTASKSNSNEGKSGGRKIKGDQYEQLDNIVDKGPPPPPPPLGGTTVTGGSKDGTTAPTSSAEGGAGGGVPPASKQTSNNTYEAIGGAGPGAPPGGAPPAAKGGDNTYEAMGPQPSKTPGGPPQTLKNVPPPPARPPPPKKLSTSERKHAVPIRDDDGVDLESEKGKRKGGRSHKKSKEKETDDEEEESDVKGPDKKKDKKKEKKKGKSKCCIIVIIIIVLLVILGAAGGGAFAAGAFGGEENKETGKQSGSGGPAPTTTTAAALQPTTPMEAPPPTTTLPQPQPTEPPTTVQPPQPQPTVLPATTPQPPAPTAAATTPAPTTADPAAAATTKEPAAATTKDPAATTKNSLEIDNARKSTICMNYETNIVRSWSIPFITSSVSLPEGFTPSSYARDSHYHCFGWPQAGFASVVDEDGDELTLMGSHLLPVNDKRGLIHSETYSPSHPRSFSLMCHQGSSDSEQIQFQYDAEIVVDLKRETPFDTVVIPLYHSHVLWSYMPWLWSPFDDGSYVLTLVSVGPHLSAPEIKNNRIVEPIYVPRGLSAPHIDEGFVEFRNAKGDMEGGPLVHAPGFGGALVLKTFTPGRD >PPA06989 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:547332:547844:-1 gene:PPA06989 transcript:PPA06989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-his-67 MRGARERAIGEGKLIYGDARYKSSDGLFSLDCSVEFVMCRGGVATKLDCGEAEAFDESSQRYAGPQFYSKPTTILFQTKLKPSQVELTSDRKI >PPA06962 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:418564:419926:1 gene:PPA06962 transcript:PPA06962 gene_biotype:protein_coding transcript_biotype:protein_coding MECKGFFKRTVQNKRIYSCAQADSGHCSIRRERRNRCQYCRFCRCLSEGMVLEAVREDRTPGGRNGAAIYNAYHQNRRRKVAAGCVVRSGSSTSPSSSSPPSVVVVVAPCHSSEELPVPDERRHQPLGKSLIEDMIEIDCIDRLINLKGTSCDHDEGLG >PPA06931 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:266180:266878:1 gene:PPA06931 transcript:PPA06931 gene_biotype:protein_coding transcript_biotype:protein_coding MRECIFRRRSLKGRRRRLVMGEDVFVTCLQSEVEDDDDGCFAQSEDVALSEEVLDHGEVLQLAAPHLLLSQPDGIFAQLVKETGRANEYHLKELAKIAFEANLPPKPPQTERQ >PPA06890 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:60557:76063:1 gene:PPA06890 transcript:PPA06890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hum-5 MGCAKTNRNDNSSRFGKYMHINFNHGGDPIGGSINEYLLEKSRVVRQQAGERNFHIFYQMLAGLPDDRLKELGLTKSPAHYRYLNQGDSSSVPTLNDKNLMTEVQQALKAIHSFNDDITNQIWSILAGILHLGNLSFIDIDSTEGGSSVKERATLAAAAKMLALSEKELAEALCGKTVAAAGDIVSKLHSSSEAEYTRDAMAKSIYERLFSKIVALINDSILIANGHRSASVIGVLDIYGFEIFGTNSFEQLCINYCNEKLQQLFITLVLKQEQEEYMREGITWMHIDYFNNQIICDMIESPKKGILAILDEACASIGKVTDTVFLKELDKAFGGHKHYSSRGVNLSDKTLKHEQFRITHYAGDVTYNVEGFMDKNRDTLYLDIKRSLYHSKHGLLSSLFQDGAKSRAEVGRRPPTAGFLFKQSMSALATQLASKQPFYIRCIKPNDEKSARSFDKERVDHQVRYLGLLENVRVRRAGFAYRMEYGRFLQRYKLLCKNTWPNPRRGGPKENTQMILGEMGLVKDCEAGKTKIFIRSPQTVFALEEERAKRVVDVVVFLQKMTRGTFARRRYRRMLAVRRIIGAYRRYKLRSYIFSVIDAFRYRNPVYRNQSIIRGVRTMPDLGKSIRWPAPPLVLNTFVARMRKMHHRWRAAVIVSRIPPHLRECFHPKIAAFEVFNGRRTHWGYHRRWMGDYLTSPNELPNLSNVSYSGAMSQIKTQHPYSRVLFSSLFHKYNKFNKSAIRILVITDKFIAKIDAKKMKLMKDPISLQKVSRVSVSPDHNGLVVFHVDDNDLVACLRNEKNEERVGELVGRLLAHCDEQYNILKSGKITYLVLLVSGCEDVGLLALLLNLSVSSLEVRIGESLGELDSGDIDLGGGGDDERLADSSKRDVVELKKINNHTGDEEKSGLLELLKEDDALSLMSAGEDDKHGSGGDRLSEGLHLRNNTHSLLDENLTVSSVLGSSDLLGDELGDRGNGLLGDLRLLVQGGSTLVVELLDKAIKKNTIVQLGTGSGKTFIAVLLIKEFTVQLRLPLAENGRRAFFVVNAVALVDQQAEHIEVHTALSVGRAHGNLNTDIWTSKAAFDAFFQAHHVVVMTAQVLYDLLCCGYLKFPSIALMIFDECHHALGGNHPYRKIMDLYLSEPKEVQPRILGLTASLINDKTDPDTLEKKLYKLERILDCDIETSCDLVSVSKYGARPKEHVIKCKNYRYNNPYSSETLRLLESVKEFCSTTQEFHPELDKDVRKSLNDALTKTISVIKQLGPWTALKVAGIRETEFKFMKRHTKIFGVKQKDFLALGETTMNTVRRLLEPKMKFQKIQTLFESLPDKVVNLLQALDTYHPDKQMARKGKVDHLSGIVFVEQRYIAFALHLVLKHAIKLDPDRFGFINPDYVIGNSSNSLESKASEETHKRLEKVLLQFRKGQLNLLIATNVLEEGVDVKHCNLVVKFDRPTNFRSYIQSRGRARKSGASYLVLVEEKDAKNCADDLADFAKIEKLLLNRAKTVHNPSEGIELTSIDDLIPPYFVEKSGAKLFLSNAIQLINKYCGKLPSDVFTRLVPQCRLLPSERNGMVVYGAELLLPMNSPLKTAIYLDENEAVSNKKLAQMLVAFKACQELHKIGELNDHLLPVGKVEAMLSLLDDDPDENTDSYAVRVGSMKRKQLYDKKTASVLQSALPREDEELLLYVLDIDLIVPLPPDEIRSKKREILNPKTAEMCFGFLSRTKIPKIPPFPAFLRQGESRVNIVLSPKTLTLDDETLTYLKLFHHYIFRSVLQLTKDCLEFRLDEATPLQTIIVPLDRITGPNGSEEYSLKMEYVREVVHNMEEMPRVPKEDDRKHFKFVASEWEDRVVMPWYRNVQQPTFFYVIDIHSSQSPSSPFPDDDFNSFNEYFKKKYNLEIYDQQQSLLEVEYTSNRLNLLLPRHRPSRQRTTKSGGPSNQKQIFVPELLDRHPISARLWHSISAIPAFFYRMNALLVADEIRARIMREAIGLSEDEATPTDEWMWKPLAYPTTAEERSPTMVTKLNQLRVDKEEYIPSKKRKGGSSIASGHSEKQFEIGVWDPALAAEFVPDDAAIKKVDGWLEETAGLHGTEIPQKGGDLSDDEENYEHALYFDRSNFIRDSLSEY >PPA07105 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1376220:1378508:-1 gene:PPA07105 transcript:PPA07105 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQLLVTGLVAAVASGAVYEKAWPEKREARRFFEDFLKYPPPAPSAYEGSGYDFEGSGYDYPTTPDYDRYEYGTTGAPYPYYNPSEAPRDYPSYERDYAQVKSGYDDYNYNTHMSTVEPEPMTYETPIPDYHRDYEANATEAPAPAFDTWAPIGPKETPSYPNDDEEPEAPAPISGEFFSLESEEAPAPHHRKISVGFTLDIEKRSAEGAESEEKKSEETRA >PPA06924 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:232056:232362:1 gene:PPA06924 transcript:PPA06924 gene_biotype:protein_coding transcript_biotype:protein_coding MASDFGNPLKKFKLVFLGEQSVGKTSLITRFMYDSFDNTYQATIGIDFLSKTMYLEDRTII >PPA07050 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1006220:1007071:1 gene:PPA07050 transcript:PPA07050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-erp-1 MDFNFKKLASDATGLFNRAKQYTEETFLKGEKTELDPHFEALLQRADKTENHTRQLLSCIESYLQPNPTVRMEDVFYEKLELRKEGSRMNNLETLATAMSEAGEEFGSATPYALS >PPA07031 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:845708:850101:-1 gene:PPA07031 transcript:PPA07031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cpf-2 MLRGGDRGFPVDRQRSVFVGNISYDVSEEQLRDVFSKAGTVVHLRLVHDRETGKPKGYGFAEFSDVKSAELAIRNLNGHELNGRALRVDSAAGDQNRMGPDAEHGNIVVMQPEESPYGKECDPKDAPEIISRNVASIPPEKMFHLMKQMKEIVSSNPSEAKNMLLQNPQLAYALLQAQVVMRIVDPQQPMASGSTPFHAPVAPPQQQQQQMPPPMQQQTHFGGRPMPPLPSVAPPGGGGPSSYHGGMPPPPQAMGGGGFAPPPQFGAPPPGYGAPPPGYGRPQQMQPPPIQQPPQQPPGGYSDAERDNASLLLQVMNLTEEELMQLPAEDREKILTLRTKLRNA >PPA07042 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:945858:960171:-1 gene:PPA07042 transcript:PPA07042 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSSAIQVKKVVKSIVDQVVRESEGDGEPQAELNGPAEANESEVRRSVRSRKEPDRLDTNKMLAAKQKETRKRAAPFKYEVEMTPPPADLRGILKKVLKPFPPPRKSSLSAVAGKTIVKKYRKVRVSRLLNVKVIPPLPGWREGIVRMGETEVEEEQQEKEAQKKKKKRKSIGLPTVSSVSECDETIGGEEEKDPAVPGSPDVFYDEYMKVCSPDSVLVTDSETEETRSPASKSLFATPIDESGEDTVAKATVAEPQKKERRRSVRIQPPSEEETMPKAPEKKERRRSMMYPVTPMKKPVEKSARRKSVERITHFHSALPTTPADADEPMTSTSSTRRKADYPLNPFNDDACRSEEDRAAAAAHNQRNKSNKVTAWLKAQSANTSSEEIESARGSESKESQLSLPSDSNSTKRLVEAKSDQAKRKGRRSRRFEAEVGNGSGDKENEGDPLRDLPSKSSSKSSGEEKKKDEKEKPQAHPFFAKFAPKPAATTVAPTVPAPVEQKQEKARRRSTFVTKKPVANKKTEEEENEGEEEAAIEIVAEVKRQVKKAGHTPTASIWRSTEIDAAPYAGLVHVGREEEEEMEDEVELVKKIRRMSVQQPAMSSFVVPSSVRPEESEAAEMIAVFDEDGNEGSRSTRAAALAARARALADEQPVASVAWPEAMRPRRVSECIGDLEVLATGKRWLHKWKKRLEAACAEEEKATKAPKAKRKKRKGGAYSDESEQEDSDSDYELREDNCRLPNPLVLCGPIGCGKSAYLRALSSECGFSLLESAPDERRTGAQLRAKLAGAVANYRMSSMSGGIASFFSLKNRETEKKMEKEEEKGKGRHHTLVVIEHVDVVFAEEDKAFWPALAEILATTKASLSGTLSRALSATVIPTIVARARTDLRAALHDAHWAAAADAIGKAELADSRARRVDTPAMCDRATAQSFAWRDACARKAEALGSSELTRAAQRPARCGMPADVYARLSKEIDQIDLDASREAEERATQMMEMARSGLSTGKLAN >PPA07078 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:1241099:1242256:1 gene:PPA07078 transcript:PPA07078 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSIPLLLALVTVAVAQENSTPDAPIALPSATPDAPVVLPSSTRAAPVLPTCGQVQVHFEEEVRRASRLSAPVQTGKFLVGGTQADYGAWPWTVSICVQDWFGTCVYQAAGAIIDNNWVVTTHSAISLDVVPTTLRVRAGTINHGSDLIMMAS >PPA07010 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:697505:698704:-1 gene:PPA07010 transcript:PPA07010 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGTEWHTSDRPVGNPLTGIRGDASLLPYNKEGSFAYIRGPRPHSRLQTQPFHLSQDAFFIFAYHKIDPQSAFRVYAKQTGKDKETLLFDAPVLAKNSSRRWFREGRVLPAGDYDYVSTGI >PPA07107 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1381187:1384226:1 gene:PPA07107 transcript:PPA07107 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDYGYDDTPAPRKKWNLEQLKGGHTFLSMQAGTNKFETQRGMTGLGMPRLNVTKDKKLGFLEHDRQSENVLRTQCGTNQYASQKGETPMGANRMQVPKISYKKEWETVLDKEGEKILPKQCGDFGYASQAGEVSMGGHRNQVPMIRGRMPHDRRTHGILCYQSGTNLFASQQGMCAPPGVGAVRQATTEIEYAGFSEDLARKGTEITPWYSGQNKFATQRGTGGFLKVRDVLPHVTGGKDIDADIKQRSEGIVPLQSGTNKLATQRGMTGFGTPRNTSMRAGWKKEWVEEYEAALRDWEENRPPGSASSADPFTRKKMDEKLDEAIKANKVVEEPEEEAEAEAEEEQKVEEPVAEEAAEEAEEEEEEEEEEEEEEEEEEEEEVM >PPA06928 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:259001:260134:-1 gene:PPA06928 transcript:PPA06928 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIFRKSKSKEGSKQKKKEKAKEYYHEELERRQNRARKKRNVLIDRLIDPGQNTVMAGITDYQCNTAINERQDTEFSDAILEELRKRQKYEDSLRVIVEKKKVKTSICSEWIRRISIFGERLANRLPMTTNLDGSEAIPHNLPQLSLQPYPLTRDLPPDGFN >PPA06986 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:528594:531974:-1 gene:PPA06986 transcript:PPA06986 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKWAPGRIAAKRFGDSCNSFTSSHVPGTTYSEDCLFINIITPKIGKANLPVFFYIHGGFYEAGSSTYFGYKKLAEKVASEGIVVVTINYRLGPFGFLSLGDSSAPGNLGLWDQTLALRFARELLPSFGGDINRITVGGHSAGSASASALQFSPHSNTLFAQSILLSGSSLAEFAQSEMVVEESKELLREFGCPVTSPQLGLDCLRQRTPEEIIEAVEMIGTSRRHPNVVKYNPRIDGDFFPLPITELSKTAPKKRVLAGATDQESALFVMNEQMAWIAAIALGKDEQESFSREDLLDFIERVVVTNEEHGSSARAFRQLLVDFYAGTDDGQDSMLNSDLQFLIPLYQEVRLNLRNNWPTFLYVLQHNTRSFPREGFAVQGMYHGDELEHFTDSYVLHPLKPSEQEDHTFGKHFVASMANFIKNGDPSSPSFSWSPISKARPFQHASLAVDMIFKTNAYRSDALRLWLDTIPQSVSGDLLRKSRLPGTEGLIVHTEL >PPA07120 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:1472094:1474755:-1 gene:PPA07120 transcript:PPA07120 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSMQPFAFNKSTGPGVDSAYIFNVANEIRIQSANETERPNVAQLVIGFGEFDHEGFRQAITRAAEEFGNQTPMNGIAKEKEIVAFRKQNDEGKLCDYSRQCNICYAEFPDSRAVLTACGHVACMACVLQLENKGLLNCPFCRQNSGFVKLHETKSEDGEIKKAANEDVATTEKTTSLSSTNDSPSTPLSTGLPYPPPTVTMTLPTTFSSNEAPTQSRPNVSLPNPIVPHSTVSSNHPSDHRVYIGSLPPYATSEDVRQLFSGAGRVVDVILPSDLPSLRHRGRAFCYFADAESARNAVSMFNDYPLEDAYEITSLLLALLSVSSASYPRDKHCEWVGTAPWCGNPDCPAGWKEYGRSTDGYKSAFPFGDYCWFGKTKTLCCKPAGKRDAAPSPPFHPLRANRTSFWSSIFGL >PPA07111 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1415760:1421046:-1 gene:PPA07111 transcript:PPA07111 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGVEAYKVIAFAHSALIPAPFSPVVVGRLLVVSCAMFSPGESLVDVDPFDALLEEVLAEEEMPPKKAAQTTPKKIPSKADEAAGMDAFAKELEGDSDEDLQHVQHPSAAAVAAAAPVMREQPERSEPAVYGETASQPQEQRGWLQKLWKGGEGTAVADDAFETNGVQLSDAERELIKEELKKTEDEILTLRQVLNARQKHASELKRKLGLSPLSELTQDVTKSLKGVTESDAYKQTSEVAAATAETVKSKFNDMRNSSLFKSFESKLGTAYTSAKMTASTSIDKLSGAARGPSAASTPANEQAPPMP >PPA07049 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1002578:1003715:-1 gene:PPA07049 transcript:PPA07049 gene_biotype:protein_coding transcript_biotype:protein_coding MQIAPLLRDYSFILPSFAPSFLLPGGSLSIMVKKRYTVIPTKKRAPLVENIDSFNQVRRNSGPSVTNVGTPMPDQQARQHHSCGIKRYCVTTGTGTYEYATAEERNAAAEAYCDRVEASYRARGVWYFDKKFKKMSYDLTKEELASYDQKTPSLKDSEGYKVSGGDAQKTSSTKDEEKTTVAAEQEVRSIPLSPRSPRRPWVLPPSTWMVFMSPSMNRLIGSSVCCAA >PPA06943 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:315410:316237:1 gene:PPA06943 transcript:PPA06943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dve-1 MPKLERWFKTDPSPNRQKLLQYMNQLNAGSCRQNQPKISYHQLCNWFANQRSTSRASSGSSINGGTTTPISLSASSTVSSIVPKTELPVSMGADYRPIKFDFAALFESCTKKMSESIEMDCDAN >PPA06933 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:280300:282588:1 gene:PPA06933 transcript:PPA06933 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSSLSAAPWYHGLLPREDIKTLLRCEGDFLVRMSEPKEPGRREFVLSVMFDAKADVIKHFVINRTIAGKYSIDKESFDSVVDLIKHYIDTKQSLTPHYKVIVSRPTSRKSWQLDHADIESTKKLGEGAFGEVHKGTLKMRKGGKKVDVAIKLAKLDVMTKEQIKDIMREARLMRDFNHPNVVRLYGVAATQEPLMLVMELASNGALDSYLQKNECMLDKKMEMCLQSAWGIEYLHDKNCLHRDIASRNCLYGDGKVKISDFGLSRIGATYQMNPKCRVPIRWLAPETLRTAMYSQKTDVWSFGIMCWEILNNGQEPYPGMMVAEVHVKVKEGYRMPLDWPGIDSEFVNIIMNRCWAENPIDRYAMADISRGFEAFTKIPRPVFDEGGKKTDGSRDASRDGRNEKDMTGRRKKK >PPA07076 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:1225844:1228172:1 gene:PPA07076 transcript:PPA07076 gene_biotype:protein_coding transcript_biotype:protein_coding MICLNRRETFIEPVQVLFGLRPKQLIIVHGDKAATQHLADFAKTVVQGSIFTPKLGEIVDATVESRIFQVALSDALLSSLSFQKVKDAELVWLDAKLLKRVAEDGTRDEEEDEPEEEPEEMEADEQSPGGPVDEMAPPAHKRMRSKRAADRFLLDALEMGAIPPHQAVFVNEPKLSDMRQMLQAAGHPAEFSAGVLHISGVASIKRNDAGRFHVEGCASEKYFQIRDLIYKQFAILEYNSAQNVVLSDMD >PPA06938 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:296665:297398:-1 gene:PPA06938 transcript:PPA06938 gene_biotype:protein_coding transcript_biotype:protein_coding MFILSLLGLVAALLLLNVIFITLWASSTSSQTAPIHQTSELVNETAVSSEIPVTTTVDLPTEITPVCDLNTGKIECEYSR >PPA07068 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1152429:1155431:1 gene:PPA07068 transcript:PPA07068 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLKNPFGVVQDFLAVCFYRYGLFLSRHPKIFSIAPLILTCILGLGVFNIRVEDDLRFLYSPTHSLSRFEYKIHQEFSGDSTNASYLSVTVEWRDRSSPNLLVPERAKMITDLNRYVLRNMTIDIGEETVNFGDKVCPGLPNCPLSNTIVEIFFDTFWSKKLREDPRIKIEYPTMNFFDNKFFLLTHLYGVTPGGPLGLQHIDMVHLIYMIPSYKEANRSG >PPA06959 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:390976:393215:-1 gene:PPA06959 transcript:PPA06959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pssy-2 MADSEGSSSQQRQEEPAAAAAERAVRRRVRIQEEVEQEEILPTDQQHDRWANAGEAADDGDVSHRARRRKKRRELEQVHFKMVNDRVVDDITMDFFYKPHTIIILLAVCAFSLYKAVWDSNNASTDWNVYFGAKWTLGLFLVVSALAFPTGPFIRPHPVVWRISFGLSVAYALVLQFTVFQSFDDVKKVLSWLDPEGLSNDQLVEKEYAVNCFDLTLERMWSHMDIFAFAHFSGWVMKALLIRHGVLCWYISIIWELTEN >PPA07056 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1046093:1047293:1 gene:PPA07056 transcript:PPA07056 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSSEESMLHSPTHIIHAYGPIAPISLGCAAFGPDCRWCWRWAAQEAGLIKPYVYEEDERVPENSAQGFISALGSAVRTIRSFFTRATSSLRPSPLSEPQPIPTLAPGSYSGKGKTM >PPA06914 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:173098:174042:1 gene:PPA06914 transcript:PPA06914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sedl-1 MSKEFYFVIVGRNDQPLYEIEFPISDKNRKRDDNRHLNQFIAHAALDVIDEHSLINNQMYLKFFCSLIIQALWVVDKFNEWFVSAFVTASRIRFVMLHTAKNDEGIRLFFQEMYETYIKLSMNPFYAADSPIQSTSFDQKATLYGRKYLV >PPA06911 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:163314:164783:1 gene:PPA06911 transcript:PPA06911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-elpc-4 MNFGDTVSIKGCSLKSRHLETGSGCAAVDAVLGGSVPNTSTVIYDEVSSRAYADVLLRLASSEGLHEEHDILYVSSPLDEDDLMEKLPSRSVEGSSESKKAAPPDESMQANCLETRAASSTKIYRYPSTVDVPFSYQGLTNILKELLNRPEYAKSKGPRPPNSPKPRLLRVIIRGLGSPLWEDAETVRQFIVRLPHIMRHAYAVLFASTNTRAMSEESSRQLETIADAFFRLESMNEEEKKMYDKAHGYWR >PPA07095 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1345916:1347091:-1 gene:PPA07095 transcript:PPA07095 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPFPWRIAFFIEKKLAVAADLCPDEESYCPEPTTCCASSATEFGCCPGGAFCCGDYSGCCPLGYSCGEGDRCIKNDNANSTTVRVMTITPRG >PPA07025 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:791654:798375:-1 gene:PPA07025 transcript:PPA07025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-37 MRLRAVAAVLLQLQLLRAARLDSGDFNKVLGQITKGNRMEQCPCVRPPEGGACISYDSRFQASHVEEAMISFVDLTLPDRERPTDADSLACVSAECRACFSMLFYRLMEIGLIPQGYRPSIPVVPQASLNPSHCPRLRFPSATDFSDSATSALAAVPPSAAIAGLIERGNRFARAAEAAAAASEAISKLKDQEAKNSNHHGQRGRKKQNSNQNNNRNNNNNNRNNNNNNNQVQVTPAQNMGQGRSGSSTPQPSRQFTVQQQLRVQQPSWQQQQQMAQQAQQARWQQQQLQVQQQQQFQTQQQQQFPQQQQFPQQQQWHPQQQQWNPQQQFPQQQFNPQQVLQTARQLNTIADTITGIHEGIHAARLLHRWPSGRPPHPGPDPGWPGPGPGPNPGWPGSGPGSNPGPSPGHGGGSSESHEDDGYHDHRRHHHHHQGPLQQFQRPQDMIPQPPQGPPPGTGLPQPPPPFFGNNAWINSLFQAGVQAGAGPTAGVPPPFPGGGIPGGGFPGGGGSAGGGVGGGWGGGGGGRWPFRRIRSSPLERRRRAILASQTGAKHPVEMEVVSPLISPLIPKELRPEPVEVSFNHDAEHIFSEERSVFAELVRDKRQNVQEKDDGVLGSRFTIACFDRGETENGADDKASGFFPPIVNELVCKKEDRCLSGWGRCVDKTRSVNVLRKVKGQWTAAALSSPGPKSTH >PPA06951 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:351902:354479:1 gene:PPA06951 transcript:PPA06951 gene_biotype:protein_coding transcript_biotype:protein_coding MREGGGDWSKQYTTPHDPKLQKCVCVMQPDTTLLLKFESEEANKEWYEAIKQTCIPARAVRLGRFVCPTEFFGTS >PPA07039 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:895812:896494:-1 gene:PPA07039 transcript:PPA07039 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVRRAHGGRVYHVDCFKCAACSKQLTTGQQFYIVPDDGNIVCMADYVQYAAKG >PPA06880 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:16533:18666:-1 gene:PPA06880 transcript:PPA06880 gene_biotype:protein_coding transcript_biotype:protein_coding MCAQRAPPNVHVIPYMPQEPISIKKCDASIESCFYFRYHGTRTSRDWPVHGCAIFANLPDECKGGASHYEPSWTLTHRGIQYEGSIKCCGNDYCNEIEEAMFVPVHFDHDEASVFKKIITIFIGLVFYDHLPNFTKQIRRLLNMDSQELDGSDKQVALSMNPLNLPIIPDNGGPIAQLQYTGPNITGFFASMNSMLEVIREIEKWRPDHEAKKERDLKREERRAKTGKKRHGREAFTVFREVPTRLTDLVARLIEHGPYEFPFDPNELGDLFDKAAEILGDEPSVIDVDFGVHVIGNLEGSYM >PPA07018 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:737211:738336:1 gene:PPA07018 transcript:PPA07018 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTPQISVQLPISVVVLPHVNELSGVKEPDTGLAPPALWDIAADKQAMQQEQRLQVARCTKIIIAEGQDHSLTMVLTEATAEDDCELDGDHTEYNQDHE >PPA07060 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1078114:1086381:-1 gene:PPA07060 transcript:PPA07060 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRFLLEKAIEARNVSPSEMKSRRLRNSVRREQYYGSVELVVSVDPRGRIRNIGRFRLEPSSDCTMDGPPVSTLNSIVLENPQEEMRWYWKFFLGNEHQNFCGCDPLTKDPIFLSIVVEEEIDDNKLCRAILWTSKGPRRMCIPIQPARGKDLSVKTVIQRFPGFGDFNRTLTPITNPKIQKELTILEDQEGSVNCKFGVIYAKADQNTDAQMLSNEHGDESFERFLKILGQRIELQGWGSYRGGLDTTTNSTGRESVYTVYGGHEIMFHVSTMLPYSKENDQQIERKRHVGNDIVNVIFEATDDPLRLNFSPTMMKSHFTLHDRPNLQYPSQVFSEDSVPAFGPSIPHPNTFTDPARFREFLMTKLINAEKAAHNASAFVEKRHRTNDTLLKDMYIEYLKDCPKGFNRVTDAVIRHIRSPVRKDAPRESIDFCKFGELIKLEKMLSGEVVDAVKMNVCRRQPWDKEIMLRNMPSYDVIGSDMWGHEALLVATEEHGVMYVADDKNVMIFDAACKVQDLIILEAFGLCLVRADKGKDGYAAVFSLNELRNALLTQVPIQKKIAHAHKIHGTKGCHLFARIEDGLRLKLVVAMGRKICLFRWSLSALRRDKPGDNLAEHFTLLTSHSVSEEPVAMCVYEKRVISSVVKAVVLGRSHLFVYDLNDGTEEILAWDVPRNTSTIIMKAASDGESDEFAMLYQNSTLLFDRNDGRWNWEQTFWSTNLRDFAFRFPFILGFGEDLIEIRLVVNGNLLASMYMPSVRLLSLKRDIYFSVERAFSSTCAPPVSTGSNRSSLIRRDRHQTDTKRWDIYRFKGARLEMAGGTEECSGASNGGVAASLTNGGSFTPQIIRRIDDAHGRTMTPTTECNEQQSRRYSTSRKGRSRETTDDDCNETDEEGG >PPA06961 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:395213:405460:-1 gene:PPA06961 transcript:PPA06961 gene_biotype:protein_coding transcript_biotype:protein_coding MREQVLEMFMHGYDSYMKYAWPADELMPLSCAGRVRGVTPSRGDVDDSLGNFSLTLIDTLDTLIVVGAYDQFEDAVKKVVDNVRFNTDLTVSVFETNIRVLGGLISGHLMAELLRGQQPQRLKWYTDRQLLKMAVDLGDRLLPAFNTSSGLPFSRINLRHGMMDHLKRQKDTCTACGGTMLLEMAALSRLTGNPVYEEKARKAMDFLWSQRHRSSDLMGTVLDVHNGAWVRRDSGIGAGIDSYYEYCLKAYILLGDNAYLERFNRYSQKRKCCAQCELCQHYDAVMRYVNKGPLFFDVQMHRPTIATRSFMDSLLAFWPGLQVLKGDISEAVQMHEMLFQVVQKHKFLPEAFTHDLQVHWAEHPLRPEFIESTYFLYRATRDPHYLEVAKTVMDSLNEHVRVKCGWAGVKDIRVMSHEDRMDSFVLSETFKYLFMIFAEPDDLIFDPDNYVLTTEAHFLPLNLGDSKENPLPRRLILDPDERLSDAAMRSVRTVLPLYGVPKSKERLGEEARAVRERTQSVLEELNALQRHADSHRNPQQVCAQNAERLRAIDFNPSNPAQLRQLEEMGITVTYGEDSRLLLTHQQTADGYPPLSCVLEAGSLQPVPGGAYHGPAPLSALWGAPWLGTSVVSQAALNQMTSLLLMVIVAWVLLMMAVGGVLLAMAAAARVGEKRELQQLQQEEEEERPQTSVGVAKSPELAQWGYMFMTEIQELAKAGAAESAPAPPAEPIYVQLLTTPHLALPVLTGSLALYGSKLRTQVSSRITIANPLRACSILTNPKEVKDAIVIVERSDCMFIEKTRAVQAAGGIGVIVIDHNPGTTWASSQSFSMSGDAKTDDDIVIPTLFLFRKEGEYLMKAVEAKQARKEDVRVGMGKVILDADHWFASWLAHLQRKGRKPLMEAPMCLHGDGLVARVVKEGNGPLYVQFAFRFGPVTMDSEPIEHQGIVERHVNHIGSIAEFESNEERVIMHKP >PPA07032 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:851111:857656:-1 gene:PPA07032 transcript:PPA07032 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lin-61 MEVSHHPYVPFDGSRVDVLGPPVWNWHGVLDMMACKAILVKRGKLNLAKFAGLGAFKDAPMRRHLLPVVRGMMMEVELRLDDRMRKEIGGWVKPVWVAECLHMIGYYILARWLGAEENDVFWIHGANAHLHPIGFANNNETAGYHLAPPEHVFSRHYGVEWMEDVMKSTIMRLTLSSRFDQRASEICWNKFKVFDRVEIPHDDETAVLMPAIVTKVVGRRVLLEYSVADIDKDKLLEKGNMWKDMNDDLIYPVGFAATLGLPMCANKKYIAHVGPIGKALREGIRHVPYGPNDVRQETIDQGKEGIKHLDSFGWKEGMVCEMMDILDKCQSALKAARVIKVLPRGFLQIGPEGEDITTDSLYLHQTSPLLFPCGFAEEHDIKLMGPTDGKEEEEEEGKEKDKFDWDDYLDDHPAYEMAPDHFFCQTIESDVPFKVDDRLEVIDQVEKLLCPGTVKAIKGRLILVAFDGWNKNDEKNENEREDYDHLYDFRSNNLFPCGWAEMMGHPLQKPMKNEERETELYAIEVSDEDEYESSSDVDIATGMGNMELQEDDESEEESESSSEDESPQSPDRVETSDDDDIIFLN >PPA07118 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1459913:1462094:1 gene:PPA07118 transcript:PPA07118 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVIPSAFPSLNNETDDVRSTLLNSTDEASPTAPTVDSPVDKSIAVNPVLEEGPTLEQGNEIEEDDDGTYEEVLSVSPPAQANSSDLDKYSADELEIKLNEAKKGLKQAVDKVVSEFIRAQSAPDQMSAEEKENQILELRRRDEESLPCTYSRKCAVCATESPVYRATLTECGHVLCLSCVLEMDHKGRLLCPFCRKSTGFVKLHEEKGDDEPMLSGNRCFFPLFHQHSRAAAVLHPRFLARLINAVPLSRFILPNISPSATRITTCRATVPTSATSPPQTTPSDLVKLFSKAGKVVSIHAPLSNGRPLGFAYCQFKNEKDSRKAMSKLHAHTLAGHVLSVRVA >PPA06975 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:463543:466833:-1 gene:PPA06975 transcript:PPA06975 gene_biotype:protein_coding transcript_biotype:protein_coding MVEENSVDDGAVLPSVLIVEMGSMVEENSVDDGAVLPSVLIVEVGSMVEEISFDDGAVLPSVLIVENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEEISFVEGAVLPSVLIVEEGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSFVEGAVLPSVLIVEVGSMVEENSVDEGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVEVGSMVEENSVDDGAVLPSVLIVETAHQISCVE >PPA07081 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:1247275:1248102:1 gene:PPA07081 transcript:PPA07081 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDFVARNYHGSGCEGRTGCECSFMKLSLTPGGKYRVYAVYARSTPSHAYARNVVIREADNGLVCVQLIGNVAALAHHRKANTGYILDNSNEITAPVTITDNNPESATGLAERTLSLQSSVLNYSAFKLTPVG >PPA07028 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:805921:808357:-1 gene:PPA07028 transcript:PPA07028 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCITVPRSYFDLSSVIDLTVRPTVTEKTAATVAKILRGEKPKGWDFQITGGFRGSGDASVVYYIHSAALESKSPKAKAAAAIPASGDRGARLSLDANGLAAAVQIAYGVEVPLPKTFNRDMDITLQGMFADHYTSVVVPQWEREICRRALALDVRNPSSSSMVELLRLLNAIWESGYIHVAKRVVVGVMADMMHLGPYTSTLDFVQNTVLPSFHESGMNPKNLPTVLASLASHLNTIPGVKKVGATPEEIVCKKTVQKGAEHTPTTPHSESTHVVVPDCEIGTGAASAIEKTVQKGAEHTPTTPHSESTHVVGPDCEIGTGAASAIEKTVQKGAEHTPTTPPSEPTHVELEMPPLSYRIPRNRPISIRPPFPPLDPAPSSELPYPARSLP >PPA07063 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1104750:1111027:1 gene:PPA07063 transcript:PPA07063 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLDMRTTVVLRIAILACLATAYAEDSTKIASDADKLEPKEPPANADEEEEQVDEPESLFGEEMIEHLKEEKKRKEELKKKNATHIPSSNNTETFSTDETDSVESATHTTDNTAALNGTVNVESATVQSVNENDNNVTVQTSHWNETTMDNKAGSNGTINGTGSAQNSTAYSTKLPDKKETIPTSNRTETFEDENSCTEFESLFEVEPKEFTEEESTSNVTSSNTTSPHVETDQTENAQSTTIAFVTRSTEANSKVNVTTSSIPDATDNTETPPTTSVASEEDPEPEEETDTGNVVNEPELTTTTVFVQRETSPVTINYGEDPQVVVKKEGVKETVTVEIDGIKYVMVTEEKDGSGTKKAIAYEEEDRSRRKRDTTSDQATKVERKGRRPGNVYDLVVKDSSGVREITTIILDENAHRKSGAKKTFIQSYDAMSGTDYIACALFTSRSSIHTFLASAAKTTTTKSVPISKMGKTGKGGKRNGTTIKPTANDADDAELAWINAKLDKAKTGETVGVGEYSREVTSTAGTLYNIHALGLSCSPLKQPYSKMDSFSAFDPREESDEER >PPA06973 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:454730:455557:1 gene:PPA06973 transcript:PPA06973 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPERQERPISTFTPYDVYRYPRIHDTPSTSISPAYSLTSVSTPVYDSIANRNDDRGARRLSPGTRREEGTQRGGHPPTDADQNNNNI >PPA06965 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:427849:430066:-1 gene:PPA06965 transcript:PPA06965 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRELGAKRPISKPEGAHSGRPREHKPRCDARERKQRAEALKKVGWQTTGFKVASPDGNDEADSTSEKKSVKETTSVRSSRKSSASNVVKSFTDKSSSKGTSKGSSEGLEKRSSREVVERRSSREREEEEIKKVAESKRSKIRQLLDKTVEDKVEEKTAKSGLFSPIVGSPSGSNEKIDRVVKSSKEFSPIEASGSGSNEKMNDEPKLVKPKQDFLAKPMTSKNSPQKNKVPVSKTGTTSNKSQRRKTKEERRKEREKKAEVRRWNAAIAKGNVFRPRKDDETIDEVQVDWNE >PPA07006 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:670818:675834:-1 gene:PPA07006 transcript:PPA07006 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLIISAILLYYALRLAVFLKVVYRQHTFLTKATKATKIPGPPAVFLLGNVLEFGDTAFDATATLLRWADQFRAKGHNLIRIWVGLRPLVIPLDGEALKPILDSTEELTKGDDYDIFIPWLGLGLLISTNAKWKSRRKMLTPTFHFAMLDGYVEKMDKHAQVLVKILADKVDTELDIYPYVKRCALDIICDTAMGTDLNSQHDPNNPYVLAVGEFNKMARDYSLTWYYWIKPVWYLTKRAQEVRLLDTLTGFTKKVIADRIAKHHSGELKFEDKKGKRKAFLDMLIEMKETNALTDEDIREEVDTFMFEGHDTTSSGMGWTLWCLATNPDVQEHAYNEVSSVFGGSDRSITVEDLKGMPYLEKVIKEALRIYPAVPQVQRKVINDFKIGNYIVPAGTDIMINQLLVHHNAKVWGADHWKFDPSRFDVDRTAGRHAFDYVPFSAGLRNCIGQRFASYEEKLVIAWILRRFTIHSDREMMSNTCGSETVLRPILGVPVTLRVRPALADA >PPA07016 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:725709:726901:-1 gene:PPA07016 transcript:PPA07016 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLILAAAAVAAVVTQSVAEVKLRKVLDYIRSSGQEKMDKIIANTSNDTQSVAKKIISTIGNSSMKGDEIKNQVVSLYSSASASVQKELDGVYDRLKTVLTSWVQGKKGAGMMWETTTKLRVYLLQL >PPA06905 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:135995:140754:1 gene:PPA06905 transcript:PPA06905 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cul-4 MSDSFIDEEKKPSEETGGAGNGSGLRFPIIRGSSKRSLSLFSDISAPEVLKRSKMECKIIDEDMMMDELDDDLDEMPPSPSTPVPNFANSPVALRAIPRSTGTKKIAIKNFRKRGNSENNEAVEWKSLEDAVSAIHTHQSIQHSLEELYKMVTNVCTNEKASEMYDKLLALSKQHLTNDLNDKLIAVKDVSPVDFLRMVNQLWNNYCAQTLMIRNVFLYLDRTFILNRPEIMSIWDGSLNIFCEVIVNNPYVRERLVKDLISQIADERNGIQVFEGAFIDSSRELYQTEGINLSRDLEITAYLNHVKRRLDEEFDRIQVYLDVGTKKPLISVVEETLITSHMKAFVSKGLDSLIDGDRLEDLTLLFKILDRVGIDGLTLLKTHFTDYIKKHGKAIVMDTNREKEMVPDLIKFKNKLDRIVSTCFGNNDKMIQSLKDSFDYCVNARQNKPAELIAKYIDMKLRSGNKEASDEELDQIMDKLITLFRFIHGKDVFEAFYKKDLAKRLLHSKSASVDAEKAMLCKLKQECGAGFTQRLEGMFKDMEMSKDLGIAFKKHTEAEAKERDEQLCSNAEFNISILTMGQWPNYEYAEATKYCSVQFGVEIATLPKDLCLYLNAYQNFYVNKHNGRKLQWQHSLGHCLVKGTFGRGVTKELQVSLFQTMILLLFNDPAKKSWTLEEIEAETRIDKKELNRSLVSMACGRKDYRVLSKFPKGRDVEKGDRFEVNDQLSVNLYRIKINQVQLKETEEEHAQTEEQVNQDRVYAVDAAVVRVMKTRFPVNATDLKTRIASLMEREFITRDANDPNTYHYVA >PPA07037 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:872204:890519:1 gene:PPA07037 transcript:PPA07037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-usp-33 MHAAEREKDRIFVIMDHFPHDSLKRRAALKRLPQINDDYSAGDVKRWRGRCQCFGKPSICDACQAKPNKNVNKAGTPGFRAPEILMRLDRQTPVLDVWKHPLFRPVDDCEALKQIAQVLGSKTLEELATDEGFHLDIKPACPGIDLVKLMKSLRPGLQALSQFNKLCANCSKFIYDNAKTAYCICATSQEDSLNGLQNTERHALEVLRRCLMVNPNSRYTSQMLTDPPPSQYLADCGMARKVRGKRSRECDQNQCEALSSDKDDSMHPHDSSSGSGCVAAVEADDDTTRNARDAHQSDQFGPVNFTATYELRDPTQELCAKRGKTCDSHDTKGAMEAIRGLEKSRCSECQARARWLCLACRKTLCTKNRAADHLTTHLKDSDHRVYVHLSKGRVMCLACDVELEIKDQSRDQRLPPKVKEEETMQVDVESLIPSVSTTMAKASKPFKKMSSVDTRGNAVEPYALQSRPIMSNIEDEDDDIRPKGLSGLYNMGNTCYANASLQALVNCPPFSDYFRRKSGLSAYTGGSGQSSSPFISHAFMQLIQKMWSPQRIPAIYPDNLLYHIRNKCPQFSGYTQQDSQEFIRCLLELVHRELGRPVYAHEEAAATAWKRKRQNNNRPTNEREKETRWRRRSSGSGSGSGSTGENAGDSGWSSDGDTMLSGEEEINWKHVSDVFDGLMESSIRCLTCNNVSTTPETFEDLSLQIASPSHHTPTIDDEEVPGGETDTLGEPIYRPHFWPHAYDANDSPLAKLPSIAVGVVTWMWSWARWLTSLSSWFVPPAVSLEDCLAAFYTPDRLIGDDMYSCGKCNKLRNGVKSYRVTKLPEVLCVHLKRFSHDQVAGGAKMNTRVTFPLAGLDMSKWATETAGESEYELCALVCHEGATMESGHYVAIARNEIDGNWYEFDDSTVTKLDAAYVLTKDAYVLFYQKKQDLRQERVRDTVRQMMSPRAIASSPLTDRHYISTEWLERLSTFAEPGPITNYDFLCPHANLLPRRSEHVTVICTPVPTPLWRFLNQTFGGGPSVSQLHYCAVCDGRAKGLIEKRKREADNLRALERSMQAMEARSPALLYSHHIPEPSCLSKTWFGDWEKFINDPAVEPPGAIDNSSLLIKSPEGKARLRPRPHCLKVPREFYLYFQSIYGGGPEVFSIDGDQPTEEKAAEVVRLADLAIAAERQRLQQLQQEEAEAAADSKEVSDLERQ >PPA06990 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:548869:550460:-1 gene:PPA06990 transcript:PPA06990 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTIAEPYRLQKCEYPGNVVACGGEAPVEGSGIEEGSGSGDGSGEEGSGVEGSGIEGSGEGSGEIDISGACESRADGFYALDTCSEQFVGYGLLWWRRRMGCPASLKYDEKAQICDYPGNVAGCADAEEGSGEEGSGMEEGSGEGSGIEEGSGEVSGTGEEGSGAIDGSGSDTLDGSGSYPVSDVIVEDKQEIDTEETIVTPTGRVVEREQDVKEIEAKDDIIDDNNSVVVEEAKEEDMVEEFEVDDNTEATAGPSSTENSVVAAPSPTDAAPATAAAVPLYDDVDARPAADGRQPAHDRRRAEAASGDSARQRCECANPRFWLFSSSGPLIDGPLQSEAAPDATVAAPTTTPVGPTTVTAAAAAAYAASC >PPA07008 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:686102:686460:-1 gene:PPA07008 transcript:PPA07008 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRRWPLLSLLDNSFIERVASCIVFGSAGCAALLLTKNDELYALGTTTCCGGAHFCTFNPNLI >PPA07110 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1402697:1404989:-1 gene:PPA07110 transcript:PPA07110 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVEGLDDLKYAPEELDALWGEGRDEPSKKVIKKKVAAPPANLRAAAEKKKAENPLFERRPRDFTIGQDVLPKRDLTRFVRWPKYIRIQRQKAVLLKRLKIPPPVNQFRTALDKQTASQAFKLINKYRPESAEAKKARLQARAEARAAGKKEEVTKRPPMVRFGIQNVTRAIETRKAQLVLIAHDVDPLEVVIFLPALCRKFKIPYAIVKGKAALGTVVRRKVAAAVALTDVNPEDKQNLSKLVESVNNNFLERADEIRKHWGGGIMSDRSNSKQAKLEKARLRDLTGK >PPA06920 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:215531:216982:1 gene:PPA06920 transcript:PPA06920 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLKAARSSVTLGMRRSSITHGKALPLAARAQVAKPAEPGFYAYTRNWSRDKTFDLSRAPQKGDTPMYFFLRRLGHAYEAWPLFVLTGAWLIVFFYACYISFTKTEIWLDRSKSTAPWDWSRIRDKYTRLHTVVFESVALFGLQKQDTHKRLEIMEVLQDEMMEAAKKRGTRN >PPA06888 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:49271:50763:1 gene:PPA06888 transcript:PPA06888 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIIESFESDFKCLNRRQIRMMRVIADSELARRNQTVAVPAGTTSTSTTEAVEKDGCLWRKAMDPAKLARDTVSRKANAEEVVVSSFMVVIATKAQLRTKTGRVNLRLQATQYILRYALQAISLLDSIREAIENGSQKKLEVDVIDFVFTSIRPVCTDLFYLHLWKELKETMVKHKFAYIDLEAFDKRCQTIFNSPP >PPA07091 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig15:1334482:1335381:1 gene:PPA07091 transcript:PPA07091 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPLLLLCVASALAASSDKENTACGGGRYCPGTQTCCSNPSGVPKCCPYTMGTCCVDFTTCCPYGTTCLGTRCIRNVADNSTIAGEKTLAVKTAEAMKIPVTIKP >PPA06901 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:126520:127947:1 gene:PPA06901 transcript:PPA06901 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCDKLKPPKQAAPFFQTLKGNSFYLSLFVIGIIVVGILVLLAMCFCCSYCCREYLNGNGNGLSNGHHHHTHDDIGAMKRERDFARRPRPVPQIV >PPA07073 pep:known supercontig:P_pacificus-5.0:Ppa_Contig15:1195883:1200311:1 gene:PPA07073 transcript:PPA07073 gene_biotype:protein_coding transcript_biotype:protein_coding MDKCFQLLARLSMTSLGQTPSPPSEREDGAVAAPVVTSSMRKVASEPNVAAAARSEEAPRPSASLAAYAAAAHSPRASPSPAPPAKLASNGAPKPALPPRSALSFRKIGDHVVRPGSKLCFEWAESGGCSAGVFCHFEHGEGATRVEKKVCGRMLRGLCRGEPACPLPHGLLPHQMPICEHYLRMKCKHGPDECGLVHIKHNEKVPVCAFFNQGKCKKEDDCTFRHQYRSDVVSAIGVHAERAPVGGGPSDAFVVLECAVCETLAFQVGPTAQGSRAAHCCSTCSSGLAAVVVVVGGPVAGATGCSCCSSSCA >PPA07151 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:82287:84544:1 gene:PPA07151 transcript:PPA07151 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKGSSRQIDRDCLVCGQNTRIAHLGIDACRACASCQAWTRSGVPLNDRRLSTRKKLSGALPELATASSSAEQIEKDDSNSPSEDSGERTMCWARLMGETQSRSEPPHPLEISLETGPFLPATIGSLAVANRILLTALLEFASSVFPEFGQLEKKEKWDIVVNNFYRVRLLEGCYRAGIIYPDDMNKKFAGYTTWMSIDNIEYFYSDAKGDKAKAVEHIQHSTIRLPDVRKAREVIQRVNPCLEEFLVVLCIMFWSLGEMTVRDEITRIGETYTEAILKELHAFYREHMKIDDYATRLGQLMMFIPMFDRSKDMKEHFEVLHLLGVLPADNFTYLLQKSN >PPA07152 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:84667:86594:1 gene:PPA07152 transcript:PPA07152 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKGSSRQTDRDCIVCGQITLELDAAMTTPVAQILGSVHPAEVRGLNCKLCRYDRIVALLAPLQTRTNHLLLGEKHRRAIPLDYRPCNADENRKATARSRPSALRPFYQADFAALIRAIRMLLTAALEFGRTTFPEFAQLSQSDKWALATNFFYRFRVFEGCFRANKIFLDEPNIYFVSYAGYSKIPFDETFLSTAPPGADTTGAMAYMRTSEMVSYFKRTRERIARVKPSEEEFLVIAVLMFFTYGDLSTSEHIHGLGEKYRTEILKELHAYYREELGMDDYATRIGELMMLIQMFEKTEDLKVQFEVMRLFNIMTDDNFVYQLKKDIGVQ >PPA07176 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:213266:213823:1 gene:PPA07176 transcript:PPA07176 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLLALLSTPSAATNSPTNDDTPLLEPSPAITLIIALLLGMSDNSFNTSVLCALVIPENIAQSLAESVIFFVAPMMSMTMHFCLASLFCLFAIAFYWRAATTLRENETEVLIHAKIHVDNN >PPA07141 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:60957:61401:1 gene:PPA07141 transcript:PPA07141 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIERASTTSPVDEQPPAKHEEEDGEESDHAEEHHSLFCSPSTNVVDSLILTNHLSYKLPVLPIRAM >PPA07195 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:290817:291409:1 gene:PPA07195 transcript:PPA07195 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFPVLEVAQQAKARMEMREENEQRVFAAGIDPEEAADCSCECCPPRTSQEPNDYCCRSLFTFDTVRNGTKLRDGLVANMEEFGQHSCIIKDPLFRNYILTQNAARSAAETFAMMSGEKITDKNK >PPA07124 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:226:1939:-1 gene:PPA07124 transcript:PPA07124 gene_biotype:protein_coding transcript_biotype:protein_coding MITFVNNCSGQVQVVRQSSGANAGPTAVQQAVLAPGQTSTADFSHADNVFTNGFDGMNCVCPRHFSPFSQKQKPIFNSSNSNTKESTLNLLITTTRPVLKNIPTYAKK >PPA07147 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:74293:74809:1 gene:PPA07147 transcript:PPA07147 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNGVSKQKNRSCLICGESTRIAHLGIDACRACAVFYRRAKKGHKYTCRSRPELCDTHAEIRACKRCRFDRIIELLGESTSRPRASLSSEEISKPESPKTNSPSTSSKAGAADTPLLSRLHAEYK >PPA07190 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:274696:275953:1 gene:PPA07190 transcript:PPA07190 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSKSGEPDPNLPFITVSPPGGEELKLDHSVIDEQRRLKNYHARRVRYVRKWVDASIQERMRSLEKQNEQLRQALCYLHAELRRVQQQAAHRNDAEQTTMMQQMVKEGFRKFSIGQHKVGKKRIRVLNYFK >PPA07155 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:94345:96391:1 gene:PPA07155 transcript:PPA07155 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKGTSRQTPMPPRIPSLQDRDCLVCGETTRIAHLGIDVCRARARDGSHDFACRSITGQCELGKSLNCKRCRFDQILILLERSGALNKKRDLEDDSPVEDPFSRTPRPILERVKTHYNTMSLHRLSSELNARRDPPHPLEISLERGPFYSADFAAITGSVRILMTVALEFGNNAFPEFAELADAEKVRLMSSPSAATANFQWELAQNFFYRFRMFEAMHRTIKIFGDDPTKVFVSYATYFTIPFDEKFFETAPTGADIPGVLAHMRHGEQEHKLRELKDRFYRLNMSDEEFLVVAVLIFWTTMDLNVGDATAALGERYREEILKELHAYYREEMQIYDYAIRLGELMMLMERTKELKEHFEMLRLYNIMTDDNFIYKLQKDLTIS >PPA07139 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:50994:54287:1 gene:PPA07139 transcript:PPA07139 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFRHLVLGATVASSVAIIGSLVVIFSLVRDINTFSEDVMADLGTFKVNADNAWKTINRGQPAGSSFRHDTLLRFTRQIWPAFPVGKAYATAGGAAAAGGGGGGGGQCNCGPSPSNCPAGPPGPAGAPGEKGQDGMPGQAGQAGLSAMAMMAMQMMGGACVKCPPGPPGPAGPPGPAGGPGMDGAPGAAAASGGMDTPGPAGPQGDAGPDGQPGGPGQPEPAGVPGMRMMSQPGPAGEPGPAGGPGPAGAPGGGSGPGPAGPPGPPGPPGNPGGPGQPGAAGAAGNDGEPGGDGAYCPCPARTGASSAMAAEGGSSAGGSEGGSSGGGSSAGGSEGGSSGGAEGGSALGSGGESGGGSTGRSDGGAMGGSGGAAAGGGAYAGGSGGSSGGSGGGSGGAAAGGGAYAGGSGGSGGGSTGGSTGGSGGGGEDH >PPA07179 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:225921:226648:-1 gene:PPA07179 transcript:PPA07179 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRTQMQGAFIFFFLPLVFILTTIAVDVGNAIPGPLFAVLRFTSVMLLELNAPQFGLVFILRNATHRKILVRRLKKLFLVDRLRPKLRSNAQPALTWFRRRKKITTVIDQPYGNACSKT >PPA07148 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:74927:75755:1 gene:PPA07148 transcript:PPA07148 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTQLSSELHARTDIPHPMQISIEHGPFFPVDFAALTVGNRILLTSSLRFGNEAFPKFNTLSEADKWKIVKRFMFPGRILECSYRTMKHFPDDQNKSFASYATYFVANSDTNFFGTAPQDGDTASAEKYLHSPQFLAIMPEIRAALTRVQPSYEEYLAMFLLTFWNIGQWSCHVIVFALRSKSINN >PPA07125 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:2742:6521:1 gene:PPA07125 transcript:PPA07125 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIMVNLTAPWAWILKVINHIGAVGSLLGKLIISVNRYGVLRSPDLAENSSKAITTTYYIVYVVLSVLLMVLTSRSLMQLSEKVGEGNAKRQILRHHRIMFIIVSICVASHLVKALHQAVWCVSTLMGDPELADAIYPYYSYANGFATYAAPVVLIICSAKVRGLFLSRFRSYSASVNTTGSAFRSNRKDICQDGWIKKMTVTD >PPA07127 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:12037:15001:1 gene:PPA07127 transcript:PPA07127 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKPEIIAGYIWNYRRSVGRTYACRSNSNECPIGPDGVNCRKCRYDNIDRVVRESGVLNLGKSSESDVSQDNEPSSSRVDAETTQATMSEIRFISELNLRPNPPHPMEMKLRENQFYPATVMSMNTTNRVFLSAVMPFATSAFPEFDGFRDEQQWSIIKNFFFRFRPFESTWRADKAFPENMDRTLGSYTTYIASENADIFLSDCPNHNVNIEDAKRLMWNISKEAFVKNRTFMARLKPDLEEFLAIVGIMFWTLEGLQVSEEAVHVSERYRTDILQELHFYYREELGMEDYAARLGELLTLVQIFEKSEDMKEFFELLRLLNVFSDDTFGYRFIKE >PPA07137 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:42766:44023:1 gene:PPA07137 transcript:PPA07137 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSPLVYTINCASLMLSVAQFCVASSIFDFIVVNRLYYAANDEAPLIDPKYAIIYFIFPLLSSAISLWTLTVPNMPRSIQAFISRHPFSVAVAHGTLLGAASIAFAFCSLTSAQLSLSVGYHAYKGVPLQFEGSSVWYLNRLRSSTVLFGLQSILTLSQIGLLYMGLKCRYTRRSTP >PPA07175 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:211292:212922:1 gene:PPA07175 transcript:PPA07175 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIWQSLSRAGICTVFFMLASLVSPCVLSALGSKYTLLLGSLLFTLHLATFQYIHYLSYYITSATIGIGYAIFYSGHGGYLTEHSTKKTIERNSTLTFALATSCMIAGGCVLALTAHMPETTALASTQSNLFTSHSVNKTTAPTGKMRSHRQLSMEEIRMAYGAIAAVCLIGNIIFLLIPPKNVVNSIAARSKQKRVTFVDEMKKIWTTFTDARALQLVPLFSFLGLTTCFWVGAYPTTLIFSRKLSGHIYLPAMYLILKGGVTGKNARDSHTEDPGLARHCSQMREGEGGEGRDGDRRM >PPA07149 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:78286:81057:1 gene:PPA07149 transcript:PPA07149 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKGASKQIDRSCLVCAATTRIAHLGIDTCRACAVFYRRAKSGHDYVCRSSTGRCPTGKGINCKKCRFDHIERFINQPTAAHEQPSSFSAQPTTDERNSSRHSSESSPSAFTPVPARTELPLMERLKTHYRTMCKVRLQSELHARPNPPHPLEISLETGPFYPGTSTAVANGNRILTSALLDFAACVFTEFAHLDSKEKWNIVVNNFYRFRLFEGVYRAGIVFPDDTSRMFGGYTVWMSVADMANFYSDQQQGDQVAVLEHVNQSSLRMQEIPAARELLKRVNLCHEEFLVVITMMFWSFGEMSVRDEVAQLGDRYREAILKELHVFYREELRLNDYATRLGELMMLLPVFEDRECLVCGEKTRIAHLGIYACRACALLLSNLVNRKCRARRGPEQVCVLIDAQQTRDTGRCVGPG >PPA07133 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:26536:29523:1 gene:PPA07133 transcript:PPA07133 gene_biotype:protein_coding transcript_biotype:protein_coding MCFARLMGELFSRKDPPSPIRITSKNHPVYPATFASMNHANRLLITCIMEFGSSFDEFDSLTEEDKWSIVVKFFYTFRMFDNSYRASKKFADVPNRSFGSYTLWLSEDIVEKFFDDYNDPRGDVEEAKKIMAHNCSRFPGLGREIVSRVNPDEEEFLAVITLMFWTTNGLGSSDSLLRISDQYRHQVFSELHLYYRDVLHLDDYASRLGELYMFVPLFERSEEVKQTFEVFRLLDIYNDNSFTYLLNKT >PPA07169 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:183761:184233:-1 gene:PPA07169 transcript:PPA07169 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYYPYMIGENKSETGSSKSSTSTLNSFIDSASSQKRGSITSTTSNDSFVLNIRIDEKPGRHSINAKMLAHGKLGTSKRSRFFRKSTVFLSITNCTRR >PPA07157 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:110613:111356:-1 gene:PPA07157 transcript:PPA07157 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAIIILLLLVSTVAVVNTGPSPQISCNHFAEINDDSTRKNCRSQMISSGPIDCFIQCNSFSFTST >PPA07131 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:19868:20994:-1 gene:PPA07131 transcript:PPA07131 gene_biotype:protein_coding transcript_biotype:protein_coding MHHNMRIYVKFPTVSVSASSIPEKFFGRFKIDRSENFDEFLSAKGVGFITRQLIKLASVTKVFAKGEAEGTYVYENLSSKKDVKYTFKLGEQFTAEGLDSTQHEITFDVKGDEVTEHHKRVGNPDVSPETYHYTISEDNSELIMTMTNNGITCKRFLKRE >PPA07186 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:258764:261399:-1 gene:PPA07186 transcript:PPA07186 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGVLEFIVVEKLPPRTFLFQVDDGTIFFRDSKQGDRLFVKWQNQEFEAKMPCRVSADISAFGNAVFFKSEEVEAKIYKAEFTPFGGIDISYVRDVLEDERMECDGVMSRVVKGKNFVYRLGDDLVCNGVLVEGTHAGIVIRDVHRAPKDSCTPAFRGRSVHLLEVSNGRQLTARKLDDTKILIEGPASSELKLYSSESSRLLYFEYNYKLHVLDVDAMEFLPPVTITGIHSIIAIIGVYEGVMTVAGRKDTADYMMSAELPGGYFSKKEAGTRDKGHIRRMKVCSKLSISFG >PPA07184 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:249301:250493:1 gene:PPA07184 transcript:PPA07184 gene_biotype:protein_coding transcript_biotype:protein_coding MYETELIRLDRLINCYTAVVLGFLPNFLLKYNKRRHSRLNDAIRRHALDEYSLSLRVQLKENIWSLEKVEFGLYILTLGVLFEVLIIFIPALCFAHSMDTIQLWTCAANIGLAM >PPA07174 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:205505:210657:1 gene:PPA07174 transcript:PPA07174 gene_biotype:protein_coding transcript_biotype:protein_coding MREAVYDVVCAFLLGLGNMFLFMGYDTQLAIVEPVLRSVHDRAPDTISAHAGFYGAGMCTVFFMLASLVSPCVLGILGSKYTLVLGSLLFTIHLASFQYIHYLSYYITSAAIGVGYALFYSGHGGYLTEHSTKKTIERNSSITWAIATSCMIAGGGVLALTAQKPSEISTIAGNSTDLGDLLINGTAPVAKARSYRQYSDEEIKIVYGAFVGVCLLGNLIFVLIPTKNVKNSIAARFGKGRVGFRDQMQKIYDTFTDVRALELIPLFCFLGLSTCFWVGAYPTTLIFSQSLSGHIYLPALYLVTFGVGEILMGILISIAANRIKNFAQLSSLIVGAVLFMVAMVLALLSTPPAATNSPTADATPLLEPRRLPIRISRSGQYWRADPRRLFERNALLRRLVRIGGLAEDRMKLDNLFGLPVEDFLERRRQTQLAMSVARLATSLLSLSVSTRRSTSTSPSSRPLEVDVRLKRRNAKRGGGDDSRSDADDPIIALVIALLLGMSDNSFNTSRTVICALVIPEHIAQVYSMSKFYQSLAESVIFFVAPMMSMTVHFSLISFFCIISIIFYWQAAKKTRRAEKEMKGNKKVKISKLELDKE >PPA07171 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:188018:189774:-1 gene:PPA07171 transcript:PPA07171 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSKHQKLKIAVNNVCIQAIGYTLEPYYDRMDDEDVLHVFYNDEPAINGTEIKNAGHVSEDSTSVKKGHTKGVLLFDKDSGSGIWLVHSVPKFPQADKYVYPETGTKFGQQFLCLTLDTATLAQLGTVLYYNHPDIYSYRLPQWAEEIAPDLVQVLNKKYNKDPDNTNLRQPIAVKGAENTKMEVFAKTHLFNDDLWAAAVAPVYGPLEVETWRNDQVHLIPTDCNSTTPVYDGQEIKVGGSAQFKYTHDHSKYARTLDATRDKVVCIGDINRMTSQYVRGGGTVCIFDDELWKAYDTIKEIPSCPPDEENH >PPA07126 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:9072:11063:1 gene:PPA07126 transcript:PPA07126 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIGATSKDRSCLVCGGKTSVAHMGLDVCRACTVFYRRSIGRAYTCRTSSNECPIRTEGTNCRKCRFDIIDRVVKKSGLLAQCKSSESDDSQGPSTSSDDVMIGQSISADATNKCTYECKLQQFYPATFGSMTSSNRIFLSAAMTFGSTTFPEFCNFKDNEQWTIIKNFLFRFRFFEGTHRAGKAFPEKLDRTLAGYTTYMAGENADNYYSDCPSPGSHLEDAKSNDSPISRLMLQMSKTVWVENREFVERLKPDDNEFLAIAGIMFWTFEDLPVSEEAIRLSERYRTEILQELHFYYRDELSMVDYASRLGELLTLVHFFEKGDAMKEFFELMRLMNVFSDDTFAYRLIKE >PPA07145 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:72214:73035:1 gene:PPA07145 transcript:PPA07145 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAKRNTRECLICTESTTVAHLGMDMCRACSVFYRRYQSRVNRFVCRIGGKCTGKKSCVGGEYLTGGICATQLMPDPASPSRAIEGGV >PPA07160 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:117950:118539:-1 gene:PPA07160 transcript:PPA07160 gene_biotype:protein_coding transcript_biotype:protein_coding MILFGASFSILIPPVVFLSAHAMRVLKQMAVFSTKTQRMTRRLFHLRSGMVFFAVPLFVDIAAVVVDMRHLLPGPLFAAARVGTIA >PPA07163 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:126608:127224:1 gene:PPA07163 transcript:PPA07163 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNKSSNKQPVAHHPSMTEVQRLIDRQNAINNEKVLEALEKLPKFNLKTQDFGSFVDEFNNAQAVLNAKLEVKTAKRVFESLIENEERRLYGQIPEELKRNDDWDGYICYTQTCATHKQASEEYDFINNIK >PPA07193 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:287181:287876:1 gene:PPA07193 transcript:PPA07193 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLIVLSLAVVSNAFLSSLFGGGGGGGCGGGCGAPPPPPCGGGGGGCGGGGYGGGSSYGGGSYGGSSYGGSSYGGGYPSGGGGYAAPAPVYSAPAPAYAPSFAPSGGYATAGGAGGYGGAQSFGASQGGYAGAGQSFGGSQGGYGGAQSFGGQQGGYAAPSGGFGGAPLGGNAYAGK >PPA07173 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:191432:192536:-1 gene:PPA07173 transcript:PPA07173 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRLFFSLAIFRSVAAISCQNQYNFDVPWFASYKLPKQNGEPADSADGYGFYYLDSTSKSTLKPSPVSLKMQHNN >PPA07178 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:223468:223963:1 gene:PPA07178 transcript:PPA07178 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRYGLEIERDYLVDIAFRLNTIFPIISTLCIYPANHYLIIVGIPSMKFEVRAVYVLNIVGLNASQIVHMAYDWTFCIIVRAYPLPPYGLFYCEGILSALGVSKHVIMTR >PPA07128 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:15471:16008:1 gene:PPA07128 transcript:PPA07128 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDMYRACTVFYRYSVGSEFLCRSNTNGCYIGANGVNCRKCRLDLIERLLKQPITTKVKPGSSGDSHSSSDSCPDDLPIDRITLSSGHRPVLKRLRRSYQ >PPA07168 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:181811:182226:-1 gene:PPA07168 transcript:PPA07168 gene_biotype:protein_coding transcript_biotype:protein_coding MIGMGRYLPYGIGEKKIEEQTPKISDSSLHFHSKSSQKRSSISSTVSNDSFILNIRVDENHGLHVASSPIKRH >PPA07135 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:32211:36181:1 gene:PPA07135 transcript:PPA07135 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLGMTKKTYRDCLVCATATNTAHMGVDVCRACTVFYRRSNGKKKAFACRSGTSRCTVGKGLNCKRCRLHHIEQVLKGSVASCGGEEESSNLWYANDTPKLPIDQSFGRDTHGFHDLPPNTSSSLTCTTSNIPELPMLSRVKIAYEKLCFGRLMGEQFARKDPPSPRHLCSADYACPVYPATFGTMNHANRVLVTCILDFGACAFPEFSQLTEEEKWAIAVKYFFTFRMLDNSYRARKRFADIPNRNFIAYTLYLSEDIIDNVFDDFDKEKGNVDEAKRIMLNVCRTKTRKGRAVIDKMNPDAHEFYALLVLLFWATNGTGVRDEITRISDNYSLLISRELHLYYRLFHCFLRVDTKLANTSVKKADLSEEEFHALLVLAFWNIENTSTDEQLLALAVRYLALVLAELQRSCRRRYTECAARIGDLTGSSSCCRYTGFYANTFTREPRL >PPA07164 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:136240:136938:-1 gene:PPA07164 transcript:PPA07164 gene_biotype:protein_coding transcript_biotype:protein_coding MELVIYIGLSTVAIANVVGFAVFCRDVNNHAELQKKPELEWLGARGGMHVIYSEPGVPSDFVHEVQIFAGSFAIFLPPLMFFAAHALYTLNRNASLWYVLTSLVIPSLIDKL >PPA07194 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:288434:289561:1 gene:PPA07194 transcript:PPA07194 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVLLNWKINRFLQAQEAAATVPSHIRGLLEQPGLQIHTSNRVFVLEKIAGLIEAGAEKMSIVSSVNHPIAARLYSGELKISPLADAPISTRPNILLFSDSTANEAIAMLNEPNCWRAISLRIGFLNGDLGKLPEFLTAFDIVIADEQGLNLSMRMIEEIVATKTRGTSGEKAIEK >PPA07183 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:246038:248322:-1 gene:PPA07183 transcript:PPA07183 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDSLFNGLAAFTHMLHLPTMYEITSTFARFEHESEQEILKSSFAKFRAEDDLSHLQMSVGVHLGHVENLDEQAETVDAHGAIHFRWTNPHYAWNTSAYPGVGVPLGRDKFVLPQEYYNLIEEGSQLTIKSDGSMIVSIPFSLRIACNFVFTSFPYDEHTCHLVAEMRHAMELTTHVNDTVIDIFNDIPNKRTGEFSYRLRESSMQKWHACIDFKDCDAFYHLRMFTFQFVFTRGSSWRYRWFLDLPLFACALLTQSTFILAHDRSGLAFSALSISAYAYMRTLGQITKLVPASSDGLPLVDQTAAIVHCALSSKNYRSLRVDLFGARHSNRTAHSNNIHRSYFR >PPA07140 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:56834:58831:1 gene:PPA07140 transcript:PPA07140 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLADNGLRESGTGCVPGVHGFLQEITCRLKELERKLEGKPLDELLRASLSEKGESAPTEDSYSESAPSTSTASEASCMTNKTAAKRSILERLEQGYRAMCDTRLTGELNNRTTPTHPLDVIEGKYEIIPGTFRAIDAANRIFLTALLQFGSCTFPGFSELPRDDKWTIVTNFFGRFRAFDAGYRAERAFGHLSEKTFAGYTLSFDGSMSPNFFEGVPVSDEKEARRIMEDKFNGDFRTGRKHLNDAKLRHEEFLAVLALMFWTTDGLPVSEIASKHSKTSRAAVLRELHSYFRDDLGLDDYAPRLGKLLMLLQIFEMFEKLPPKTIYEYPPKTIGHRP >PPA07167 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:171433:171877:1 gene:PPA07167 transcript:PPA07167 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIVRSMSKSEMLVVAGDFNGHVGRKMDSLEYMVGEDMVYPYHAISPSSSTKNCLTCASPN >PPA07191 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:280291:282095:1 gene:PPA07191 transcript:PPA07191 gene_biotype:protein_coding transcript_biotype:protein_coding MATADPVSPSSAPVEFRVPVTYTDMFFGEWNQEKTRHFMEHTHIWVSIVVTVAYLLMVRYGPGLMANRKAFDLRTSLALWNFGLSAYSGLSLAILWKHFTKIYYSSGILGTVCNNDDLYTNPESGWYHHSVTFMLGQAFYTQFVPFVRPGVIINLGVHTIMYFYYGVRAWGVKTERWVSKMITLTQIVQFISSSIFGAHFFHALFTGNLGDCAAKIDAVSVIGGLVVGSYLYLFLQYYRDAYYRNDSPTKKKHSESSAYESCRGAR >PPA07177 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:216395:221645:-1 gene:PPA07177 transcript:PPA07177 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSMDNVIHRDAATVEQGYTKVMLRGVPRIARISPTPSDSVVKLQKQDVDLRGAGLRLRLTRPSGRRFRQLLVIMKAKFFSHSAEQKIKAVGGTCVLVAKGTITCVDSARKLSFEKYRDQHVYKIISTITGVDAIRIAAFLEGVLASGFVMVITAFYILMMRMHQLTIADSTSRWKLSRAAQLAIYLCLAGICAINLAGFVIFGTDVENYEELVQNPELAWLARRGGAVMLFGEPGKASRFRIEIIFFLISTILYLPLLLFFMAHALLYLRKNEKV >PPA07188 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:266781:271994:1 gene:PPA07188 transcript:PPA07188 gene_biotype:protein_coding transcript_biotype:protein_coding MVSILIESLRVRDSFGFIHESVRVLIVRTYGEEMWSNVLVRSGFESGKENIVNHYYKDSDTYLLIDSVSVLTKMTREQVWETYGGFLIEYTMEVGWDELVRSMSPNLKGFLDNLDSLHYFIDHVVYKANLRGPSFRCEENTDGTITLHYYTGRPGLYPIVKGVIREVARRVFEIEVSLTITGRTQRSVQMTIGERIEEHVIFQIKLDNQNNADNFIARKTPPVLESNENYLRMSSLDFATALPYHFIMDEECKLVFAGRELYNHLPRELLVVGTPMMRLFEITRPQIALDFDNICNFINAVFVLQARAGPGDSYQRNANSESSADDISHVQGLHLTLKARDKTPLIKGQMMMLSSNKHIIYLCSPYVTSIPELLQYGMRLTAMPLHDATRDIILLNQQRLSDVEVNLQLEANNEQLETMARDLEKEREKTDALLKDLLPMSVAEQFLKNEDVEARQYEEASIMFSDVPNFAFIVTKCEPKQIVSMLNDLFTRFDRIIGFNENVYKVETVGDCYVTVTGVPEHIDDHAEILCHTALGMLWESREVKNPLTDEPVLVRIGIHSGPIVAGVIGGDKPKYCMYGNSLSVASSMETHSLPGRIQLSAKAHKCAARGGRFEFVSRGRIPIRGRGEMETFFLQRSFKKSIWEITKRPRATESIPERMKVPYDVKLVVSIPLFADPAVHSIEGYDELMDVCQPGAIENKIAEMRTFLIRPDENVRSKTCSIC >PPA07144 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:67719:69611:1 gene:PPA07144 transcript:PPA07144 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKGVSKKMASGEGQYIHMPNQHEKMPGRTRYDSWHEAADHNRNAELNCKRCRFDHIDRLLKQSGATVHLDVPSSNKHTIPDPSISQQEGCPKAFRKYESDVRDRSHSTPSTSATPPSGRTELPILDRLKVSYRTMSRERLISELHARPNPPHPLEISLERGPFFAASFGTLTLSNRILLTALLEFEKGVFPEIAKLELKERWDITVNFFYRFRLFEGVYRAGELFPDDLDRAFGGFTTWFSPKGMENFYGDAPAGDKAGAQEHMRHSSLRMHEIRAARELLLRTNLCHEEFLVLIVLMFWAFGDIPVGEQMTQLGERYREAIMKELHAFYREQLRLDDYATRLGELMMLLPRTHDMKEHFEMLRLLDILPEDHFTYQLQKAD >PPA07172 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:190457:191122:1 gene:PPA07172 transcript:PPA07172 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGCREQTCASCGYPAAKKCVYQWSIKAIRRSTTGTGRMRHLKKIQHRFKNGFREGTVAVSQKKRTQSAASN >PPA07130 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:18656:19353:1 gene:PPA07130 transcript:PPA07130 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMFEETFRSHRVLMARLKPQHEEFLAIVDIMFWTLRNEELHMSDYAARLAEQLTLVHVFEKTEDMKEFFELLRLMGVFTDDTFSYILIKQ >PPA07136 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:34413:37243:-1 gene:PPA07136 transcript:PPA07136 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSERKRGSSAAGMYDPDGVLIHKGKHFAHGRDAIKEYHTPFTVAGDTTYKIIEMTDDRYEATSDHIIVTAVIKTIVKSSRFEQILRKKGEKCWTTRKLRVSSYSYPIVSQCETTRRGPRQVADPCSALRITSSTRPLQFGQHEGQIADGESKQLLVRRRFHARRADYLGSWISSNRLAHGSQLTDIPEGEHQKGVEFLLTEISLLHGGVGQFSVDSEKAVEQTAGCVDFMTLQALADLAGAAKEHAQLPGDQQRVIVADSCDLVTDSRAV >PPA07129 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:16100:16690:1 gene:PPA07129 transcript:PPA07129 gene_biotype:protein_coding transcript_biotype:protein_coding MSELRFIGELNLRANPPHPMEMKKQENQFAPATCGTMSAANRVFLTAALPFASSAFPEFASFGDEEQILSIEPTRHSQTGSIGENLTMGGYTTYISREIVDGFLNECPNA >PPA07146 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:73082:74165:1 gene:PPA07146 transcript:PPA07146 gene_biotype:protein_coding transcript_biotype:protein_coding MSYARLTGEIYARTDIPHPLRISLEEGPFFPGSLETMTLGIRILLSTSLNFGAEMFPDFADLREDDKWTIVVNFLYRFRHFEGCYRSNVHFKSDDDKNFSSYAGWVTPELNRDIWKPASPSGDASGALKYLERRDMFKHLRDMRQAVARFAPCHEEFLAIMVLMFFDELHNVDAIIPIGAIYRATVLRELHAFYRDALKMENYAARMGELMILMQKQRDFKENFEALRLFNVVPADNFIYTLQKE >PPA07182 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:243709:245768:-1 gene:PPA07182 transcript:PPA07182 gene_biotype:protein_coding transcript_biotype:protein_coding MLWFSLVAFDWIFVVFIRLYPCPPYGLWYCEGMLCTVGMSKRLIMGALGTAIMADVITFYVLMMRMHQLTISDPAQRTIYASLTISCVANLVGFVAFTGDVFNYEDLVKSSKSSKTQLITRRLFITFSFQVMLGATFFFLAPISTIITTSIVDFSAIFPGEILALMRFMSILFLELNTTQTVIVFLVINARHWKLAKLSSVIRRPSTFFYAEEGKVRRVSVVPW >PPA07185 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:252473:254134:-1 gene:PPA07185 transcript:PPA07185 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKLLVLSALVAAAGATTSHGPFTEDFVKFLEKNPERNEYTLSAYKEYGTSGTFGGRATKDSKIAQQPVVFVHGNSDSALHHSAMASGWTKSVEHFKAHQYSGAELYGLTYGGRDINHSLQSSITCRNLLGLRRFIEAVLEYTQAEKIDIIAHSMGVTLARKAIKGGTMHLAGESCNLGGPISDKVDALVAISGANYGMCMCLMAGLSETPACGQAGYAPGACGNKNATMTACLEAEATCDGEADHASVLRAVNAVVDEDDNEDDDDEKEAAFVASIWSNDDLILGKDNLVWGRKTSHVAGSDLTHGYAGLDHFQSKDDTVRDQLALVSKHSLHGGRAKRHH >PPA07197 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:303163:304132:-1 gene:PPA07197 transcript:PPA07197 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHGPTTVYSLCELPHELDSKSIPSQFQQIPFSVRMGGDGVQIDCFWIGAKYDPTSSLFIFGGSLMCDNSTGKWTTDAGYEVPPLMSCLSTVVAFQSWPGNWFNADAHSLPGKV >PPA07189 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:273434:274037:1 gene:PPA07189 transcript:PPA07189 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKPLKDLDRSSAEFIEHRRVKNEKARRSRDTKRWTQQAIDARVKALEEENACLRQAMMSIGAELASLRQLQIAQQTAAQFPAASAAQWSSSKV >PPA07134 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:30930:31879:1 gene:PPA07134 transcript:PPA07134 gene_biotype:protein_coding transcript_biotype:protein_coding MSHARLIGELFARKEPPSPTQTSLYNHPVYPATYVSINHANRMHMSCILEFGATCFPEFRVLSQDEKNYEAMMSHQTQRETSCLGKAEARGERIFRYDITAVLGHKEVLHFDNYALRIGDLFSALPVFEV >PPA07192 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:282822:284734:1 gene:PPA07192 transcript:PPA07192 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRVHELLRIIRPEMTLASNRSPVSYSDVFFGEWEMDKMQHFMEHTHLPISIAITTAYLLMVKFGPQMMANCKAPDLQHALVAWNLALCAYSGISFCLLLPYIMQSYYKGGIIGTLCYNDDFYTNPVSGYVAWLFAMSKGPELIDTVFLIVRKRPVIFMHWYHHSVTFLAGQIFFTEFVPWARYVIIENLFVHTIIYFALRAFGVKTPLWIPKAITCIQITQFASAFYLAGHMFYFHLTEGLDNCNNKVDRMWMGCGVLATYIYLFAEYFHNAYIKKQSPTKRKATKRQMRRNSNERRGCSFAHYS >PPA07158 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:112510:112937:-1 gene:PPA07158 transcript:PPA07158 gene_biotype:protein_coding transcript_biotype:protein_coding MLLANVGGFVLYSKDVSRFDELIKTPELSWLAARGGTLFLFAEPEEKSEFVNVH >PPA07156 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:105957:109548:1 gene:PPA07156 transcript:PPA07156 gene_biotype:protein_coding transcript_biotype:protein_coding MFEIFTHGFTFLNLLSCLAFITTVGLFFCGIPICRQIWKRGDTKEISGAPFLMGVVGGSCWFAYGWLKKDYTVLYVTGTQILLYSVYSVFYWCMTKKKLWITLKVLAVIGLCCSLASAVYFFGIKVFHPLGIVCMTLNAADFAAPLAGLKTVIRRRATSTLPLPLCIANFLVSSEWFLYGILVKDFYLITPNGIGCMFATAQIIMFIVLPRKPGMRPPIVKLYRRIASCCCKIDDAAEKDVESDVEKVVPADPDAPQEDKLTRAHRWSKRVVANMAGEIESVFTKVGAYDQFGYSGKLNRLEGDETPPGEAAGAQTNPIFTAEEMAEKLREEARKAKETSNSLRPHPSIPLRRVASSPDLSE >PPA07142 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:61990:63882:1 gene:PPA07142 transcript:PPA07142 gene_biotype:protein_coding transcript_biotype:protein_coding MCETRLAGELANRNEPPHPLSVVDGTYAIAPATYGTMEIANRLFLSALLQFGASAFPEFAAFDGKHRWTIVTNFFTKYRMFESSYRADRAFETDMAKTFAGYTMYFSPDIAEHFFDDCPNEGAFREDAKRAMDTNMKTTLRGGRTHMRRINLRHEEFLAVLGVMFWTTDGLELSEDASRISQGHRERILKELHSYYRYVAESRRFGPALRDDAIVECLQ >PPA07166 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:155088:156529:1 gene:PPA07166 transcript:PPA07166 gene_biotype:protein_coding transcript_biotype:protein_coding MITKTRTPGKPFARYLMILQASITLVDLNFGFLASPIAMSKHQTVPSSTMSEKTRKMQRTLMMVVQTLVPLTIQLTPLLVYAYSMIAVALTPDMNNCIFCFQMTHAFVHTAILERLAQERPVCVSYVTSYACC >PPA07154 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:93853:94154:1 gene:PPA07154 transcript:PPA07154 gene_biotype:protein_coding transcript_biotype:protein_coding MSISENIIRIGEKYRGLIEKELHAYYRDELKLDNYAARMGELMMIIQTFEKTRDLKEQFEVMRLFNIMTDDNFVYQLQKDLVIPKS >PPA07165 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:150033:151408:-1 gene:PPA07165 transcript:PPA07165 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPGFRILAISKCLFDNTYFSIRANNQTISAQAFYTSGIFTIFASHHFVLIGRLVLPASVRVNTEELSASSNDKAETIELVVNSTSRVTLPVAERPRTIKEGKVEVNANDAIIVNMRQNLVRTDFSDMNVDKLLTLLLICFQANTARQLMGKNKKNLITHLGNSCEYI >PPA07138 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:46494:46968:-1 gene:PPA07138 transcript:PPA07138 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDETDRVTGACATRTFTCMSAVANNPNIELNRGFGVVQDDEDGVRDGVATFTVSCNTEGNAWLYMGVAITQVECAAGRQDDGRMRMFICDCFHNDYYYYYYVYLFH >PPA07159 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:115536:116097:-1 gene:PPA07159 transcript:PPA07159 gene_biotype:protein_coding transcript_biotype:protein_coding MLFATIFFLLLVCTIHVESTATASQIPCNYVKENADDTNDILSQPPAAHSYSTFHELLGMIKQLLC >PPA07198 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:310277:310940:-1 gene:PPA07198 transcript:PPA07198 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLLLILVLAFAGSTSALSKLTNELLAEGLLSMEEYGLPETVCLLSPLKDGSARIQLCPVTEGFGPRSVGCFAVWNGTDVLQQGCYSNQEIALRTQCKKGDCSADRNDDGVVFCCCFGALCNAART >PPA07181 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:238399:240427:1 gene:PPA07181 transcript:PPA07181 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLWYCTITIAHVIFDWTFTVFVRVYQFPPYGLFYCEGLFSALGLNKRIIMGLLAWSTTLAITVYFMLMMQLHQLTVHDSSSRWKLSKPIQIWPELLFLLISIIIFAPFFALYVTHALIYLKKRGQLLLIMDSAQFSLVFFIQSSIHRKIQDP >PPA07196 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:300926:301853:-1 gene:PPA07196 transcript:PPA07196 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPRSTGTVSERHDRVCPYTSCSYEKCARVTYQCESSLVVTMDQRIVPLSTYNDDVCRTQNERQLRISKGLGENLPTVVPLPSTAPGSVPLPQPQTQYTRHTLSLSHTQSPLRAVIPSSTRFLQDDDNFWRHDRRSSRNSIICIAVAAGKQIDARCSFAPLDKSPSISGSAQDNKFRKC >PPA07187 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:262149:263140:1 gene:PPA07187 transcript:PPA07187 gene_biotype:protein_coding transcript_biotype:protein_coding MILFAVTDVMVSSIHLILMPMGFIPSDYKTAFYRPHMLKNVGVDIAQVELGYLATIIRKPSSHLSHTALWQMDTVVAISGGDALSGFTAVIIFICSFLILRRLRIAKYYFLSKCSLQSNDNQLSQHQLLKALIVQV >PPA07143 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:65251:67502:1 gene:PPA07143 transcript:PPA07143 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATSSHAVQEPRTALSDRVAINLVQSFKSCRNAHPWPLEDLLQVHVLDAIEQRAAMPAQSAASVGDQSREWVELYQQRLIEFQWDIVVNNFYRFRLFEGIYRASQVYPDDMDVTFAGYSNWASVKCLDEFCSDAPTGDREGLKEYFDRNNGRMQELPATRALIQRVNPCHEEFLVLIAIMFWSFGEKSFRDDITQVGHQYHDALLKELHTFYREEKRLDDYAARLGEVMMLLPVFDRSHDMKEHFEMLRLLELLPEDNFTYQLQKAD >PPA07199 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:316594:318068:-1 gene:PPA07199 transcript:PPA07199 gene_biotype:protein_coding transcript_biotype:protein_coding MSTANIEEASISCPLRAKEQDAELALVISDMQRLAKVENFNRVVSKVRPISITTEKLVCELIIETEHVNTKVMGPYALLIAHHCKGTLHGGFSATLTDIITARAVRVSVKDRGLASVELSVRLAPIWLSQRLSSDGRTARLP >PPA07170 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:186168:186948:1 gene:PPA07170 transcript:PPA07170 gene_biotype:protein_coding transcript_biotype:protein_coding MTFMGSVSGTDELARRYADQYERTNDDAWVTMDYKDNYGQWNHRTIWLMIIFDGLAVGQCFLAILLSSLTFYHIHAATAISPTLKSRQRRLLIALCLQTAVPVVCVYIPYLGLISSPILALDLGLIAERLQVFQNSRRKY >PPA07150 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig150:81399:82152:1 gene:PPA07150 transcript:PPA07150 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKFAGYFTWMSIDNIEYFYSDGKGDTAKAVESTYKPQYHQDARRDLSVRDEIARIGEKYSDAILKELHAFYREHIKIDDYATRLGQLMTFIPMFDRSMDMKEHFEVLHLLGLLPADNFTYLLQK >PPA07153 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:87613:89184:-1 gene:PPA07153 transcript:PPA07153 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLCGFIIRVTPSISKEYSNLLMVVATQFDVYAQLVLDPQYTKTIFCVYQDAPLLSIYFNALWGYVAWHNSGKMVGMCFTRERLTPEWDPLIVEHFTSHVFAFATSKKHKRENKVISSNNDDVANNCGQGHL >PPA07132 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:24004:25720:1 gene:PPA07132 transcript:PPA07132 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLGCTKKSNRDCLVCGSLTSTAHMGIDVCRACTVFYRRSQGKKPYVCRSSTNKCGPGKGLNCKRCRLHHLELIIRQSGAVEKVACANSPASNNEKTTVDSPVAEALLNHMMPSTRCAFAAAARRAPSSLQRKVRDRDRYSREYAPPMSELT >PPA07161 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:119614:121211:-1 gene:PPA07161 transcript:PPA07161 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFHEPWIHSEMDLLYGLHWDRDYLLDIVYIVKLIVPLFSLFTVYPASFYLLLVEGPAMIRAIRAAYLAYFAVHLYFDVVFNILMRVYALPPYGIFYCEGILCTVGLSKPIVMALMSFAIIMCIPSYVFLILRSHQMVVMESTSRWNFSWKFEQL >PPA07180 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:229510:233368:-1 gene:PPA07180 transcript:PPA07180 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPGFRILAISKCLFDNTYFSIRANNQTISAQAFYTSGIFTIFASHHFVLIGRLVLHASVRVNTKELSASSNDKAETIELVVNSTSRVTLPVAERPRTIKEGKVEMALLDGTFDAIGTESILSKVMHKKVNANDAIIVHMRQNLVRTDFSDMNVDKLLTLLLICFQANTARQVAHVLCDWIFTILVRPYTYSPYGLYYCEGWLSNAGFGKPLIRGSNSFQGILAFAIISIITTFYILMMRMHQFTVAGSNSRWKLSWPTQLIVYIGIGAICTVNMVGFVIFGTDVDNYEDLVKRSMSTKTQVLTNRLIATLNLQTQGAIVFFILPLAFTLTTSVIDFSNQISGPVFAMLLELNPPQFGLVFILRNKTHRQILIRRLLKLIAARFQQEIPKINQHALTWILDTYVKCFKNINLKIG >PPA07162 pep:known supercontig:P_pacificus-5.0:Ppa_Contig150:124272:130315:-1 gene:PPA07162 transcript:PPA07162 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERPGINRPSGLSFNFGQLNVNESKPSSSQPSSSLSLPPLDIQRPKLSIDGSSTAPPVMTPRTPKDLHVGRLKFPGDDGEYHITARDLEEDSQIGRGNYGTVHKMIHRQTSREMAVKKIRSLTTNKKEQKRLLVELETVMNAQPCENIIRFFGALFNEGDCWLCMELMDTSLDKLYKKAYDLGCPFSEAFVGHITVCTVSALSYLKDELNIMHRDVKPSNIVMNRHGAVKLVDFGIADTVVRRAGTKCAFFQEIRYPGAYSDGCELVNSVATSHVGCKPYMAPERLVQMTNHQIYDVRSDVWSLGITLVEISTGHYPYSNWGSIFEQLAEVIEGPAPVLTKEKVPADYTISYLHFVNLCLEKEVDHRPKYHTLMEHAFYKKYESASKQPDTLRDFGDFVKRIIDKIEKNEDNR >PPA07201 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1503:1296:2054:-1 gene:PPA07201 transcript:PPA07201 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVAGGIAIDDEAVQEEKEDWKKCDLRRDVYSYITRQMPVLEKEIRKRDMWDEFVQAQRRCASYYMSRNGNKWIH >PPA07202 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1505:156:919:-1 gene:PPA07202 transcript:PPA07202 gene_biotype:protein_coding transcript_biotype:protein_coding SATDEDFSGMKMDSTVTCEDGVWRGNTMSGTTPTTFEALKAYYTCIPTDCAPIIADDDACGTNSCDKELLNPTDHSSCQDGHTLYVKADGKPAIAIQGSLTCKDGVWKGESIGTGPFTGINIQATCDAPCTPTTAASCPNPGDCKAASHPSETSIVCEPSEEYVLLVLRQKNTLSQNGEKSSALTCTAGSWTGTVNGNPEFNSKEPLA >PPA07268 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig151:346615:348684:1 gene:PPA07268 transcript:PPA07268 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLIEPVQRTMGDTTFSMGSNRTKMTFVEETTVGLQSGIGGTQVAQKSLSAFVRPIGQLGQIQPGQNQLGQGTFGRVFLAVWRGGEKIAVKKFNHNLKDSFEQLRREVEILKSGMMSNSNIIRWLSESTLLNMIRGIANGVSFLHSEFEWIKGKNGKNIMAHRDLKTKNILVKSDLTCVIADFGMAVINKEDGVKLPTGKIQSGTVRYLSPEVLTNTIKNDIEHYKMSDMYSFGLIVWECTRRTDAVVQNVWKPAHSMEESLAYYDCVPREPTQEDMIDAVVSKGFRPKFHDYWKHNLIMVDSVQVFWCMERLIVECWQTDPTTRISAFNVKGTIDKVATEYNIKLPTD >PPA07275 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:385801:390244:1 gene:PPA07275 transcript:PPA07275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rack-1 MHLNKRKSIKLVVPTFDKKMSADEQMKLKGTLEGHNGWVTQIATTTQNGKTTVISASRDNTVLVWDVDAYGSDEASIGRPVRALTGHSHFVSDVVVSSDGMFALSGSWDKTLRLWDLQTGQTTRRFVSHTKDVLSVAFSADNRQIVSGSRDRSIKLWNTLAQCKYTITEDCHTDWVSTVRFSPSTRDPVIVSAGWDKVVKVWNLGNCRLKTNHIGHSGYVNSVTVSPDGSLCASGGKDGSAMLWDLNEGKHLYTLPGNDVMNALTFSPNRYWLCAAIGGTVKIWNLEDKQVIEELKPDVTTGKNSTPPICTSLCWSQDGQTLYAGYSDKIIRVWQVCELFNRLGLPEGTRIDLNEHELRIALQLVSGEEEGTTWDEIGGAEDIIEDLKDRVILPLQLAGASTSSLLNPPRGILLYGPPGCGKTLLAKAVARASGCRFINLQVSNLTDKWYGESQKLAAAVFSIAQKLQPTIIFIDEIDSFLRDRQTHDHEATAMMKAQFMQLWDGFSSSSDKIIVMGATNRPSDVDAAILRRMPAKFAIHLPNSAQRKQIFEVILNEEQISSSVDLTKLAASSEGLSGSDLKEVCRIAVLRRAKETLDKQKKERGDFGVMTVLNRDPLEHCDLESALDHYMRSLGQMVNFALD >PPA07252 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig151:246897:249759:-1 gene:PPA07252 transcript:PPA07252 gene_biotype:protein_coding transcript_biotype:protein_coding MANPTPSGMKSTGNDKDKAVECVGIVVEFDEDRDSYDYVLRPGDWVKMVWRRNRLLAALSLRDADAGKSEKQLWSEDFHCVGRPRYAYTNDMRVGGGTKMMWITWMSDRSERDKHLQRTGYDVVWKVALDQGELMYANGRGENHMGVDSGVASQITPITPPQSHSNGESKEDSVTERTRKRGSFPDENLNRVGIISGHKKDTPFYYVWTPGAPPMKEGQIVVRKVEGEEKIHVCDWIHFRVSRHHEESVFMNRCEDDRFEVVDWKPVAIRDMFMTLENTKVEGGEVFYEDDAFGWIGDRNNFFTADYPIPKGTIIKFEGVIRRVKPRFKEDSAWMILTRKVTYKGVNDSEKKEKKPPVREDKRNEREDRRNDDRSYDREDRRDEYRSNGREERENEEYSRNERRMKKDRRDHYLLQLPRIVGREQGSVIESIAIVEGLGTSGPEAFVWLIDAAAPGVVNGGSKYLKIGDVVYGKFRLQRNGKWGSTATVTPGELPSDMEISVRRDKVFVVAQIIYDAEREEYRNPWFETVFDMEHKMPSDPLDKEVYLVELTKVRNGFNINKMLNN >PPA07254 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:261884:263967:-1 gene:PPA07254 transcript:PPA07254 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSASNERFVAVYIGEKRDDEVDRPLRIGQWVMVSAELGEITKIMEIKDYVPTELDVKIEFRRSPKNSELYLARCSDLSEDILVPESLDTSAFNLEEEYGMIITTATQSEMNVYKSETSGETRWKLFLEQEEVFEEVIRRTGIIVGVSTSGQTNYVYTPEEPVGMDGHITAGLGEPLELGDWIKFDVRIWQ >PPA07237 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:188312:190819:-1 gene:PPA07237 transcript:PPA07237 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVNACREERLRSSMENAFPSLEWAIVVLVTTIVLLHSQPVSINNVYAYPEPFNKVFTVWQQRIVPSEDFQEQLVCTRVNLELSAFNLPPSSAQSNCPSGQVCITSEDSSVGHCCPFVCPLASHVDTKYSCDPTANQNSRCPSDTHFCHLLSDGQFSQSVCCRRPCNAMAPNALYANNQCVPRGQLNSACTTNAQCGGGESMECVKEWEDEEKNLPMDGFTPRMENDRPSRKEDKGEGIRRGSDDDLLVRMKRGVKRALRPLVRNTRVVNEPVKKRNESPRKMILQPLAPVVMARIPKREVQRDGEVPRAAMPPKMVTTTQGTTTMKIRMKKEIGQCQCLSGFTPAVDALTNPRSNPSQMCIRECTSMDLARDTSCFKTVPLGGQCFIQKQCPMNAGCYRGRCMCRCGFELTMRGKCEPLPPPPTTTPSPRQRVPSALPGVPGGDILAIFQKFLTGG >PPA07230 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:158180:160652:-1 gene:PPA07230 transcript:PPA07230 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRLDLADILADIPQQRALIRLFNEDTNQLRDWTNQLLDGVERLTTAQTLVTEAARSVGATIASFKDRRFPLDDVDLDMPQLTSRLSAAIIEQANGMELLAQQLDNCVRYPISKMQKELDNLVEKTADKYESIQSFHSVGAESCKTAEYTSILSEGQEKMQSVVRAEQISRKGSAERLSILTNRLNIDDFDVSPGCTVSEASEAERRYVFIVTQPSRVPDRQLTFQACSNKDLIEWINVIRNLSAMSSPVSDLQRTEESELDISCYPMQFDLLTIGQLPSPSSLKQTEIHRHFEVRFLGSVEVPKVTSGGEQAVQISMDKSPHPVHLICSSRRVLLKDANDERTLKAFFDFSDIALWMVSKKNENHFALITSMEYDSCVVYSKQLKEGRMCAHFLLKKLQLHFKRFKLN >PPA07244 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:210898:213855:1 gene:PPA07244 transcript:PPA07244 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTAHLEHFDPRNYDDILDIYEAIKVQLPDEFKSVTIGIICGSGLGTMATLLKDTFVLPYSKIPGFPIPSVQGHKGNLVFGYLHEMKVVCQQGRFHPYEHEMNLALCSTPVRIFHLLGVSTMIVSNAAGGINTTSKFGDLMIIKDHIFLPGLSGFSPLVGISDDRFGVRFVSVHDCYDRTLRRMAATVADKQGVPYTEGIYVMSGGPQYESPAEVRLFKTVGADALGMSTCHEVTVARQLGIRVFGFSLITNIANLDADTSVVVSHEEVLQTADEAGERATNFIGEVLLNISKMPTHKPNPPNYERRRPRKN >PPA07235 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:179526:182552:1 gene:PPA07235 transcript:PPA07235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-twk-9 MFLVDSLRSGFGKASPFALHIFLVFGVIFYTVTGALIIRYLESPERIKASKKSNAVETTKLQFETLNLSGMSGADLAKLDPRVHECVLSSLVGIFSTVGCDDDAALDHTAISTIDDCYRQIVPPGGMKIIDDTKDEGTTTTEETIVEEEEEVEQSKWNFENALIFSFTVITTIGYGHIVPETTIGRVFVIVYGIIGVPLTLLTIADLGMFIAKGLHYLLRGISKLCGWIVKIKINSIVGFIYSNLQKYRGRERVSSRIEEKIVNGEEKSTERSKEEEAEDDEKHEPRKMDETLALCILFALYLLIGAHVLASYEPEMGFFEAFYFNFVTLTTIGLGDFVPKSTDYLFVTLCYIGVGLALTTMAVEIMADGLKRLHYFGRKVKSAANVFVWFGGEKMRLDRLIKNLGDQLNIPEDELLNFQVETFVEQAIKVEEGEIACLRRPVVMRPDRPFSYSTIRKSEDSARIHFVDSTRSSKLAGDTVGLPPLGEETERSLRLDALNRLRSRRMDEGNPGTDTGRKSRVSVQ >PPA07273 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig151:367077:367441:-1 gene:PPA07273 transcript:PPA07273 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAIPHNGPHSSALYRSLFVSPPSPSPSSSSFSSSSSHRPSLPSHHHSPSIPSSQSVGVLMGHRVIPLLGRRMMKPEKGRPPGSRAMSVDDPG >PPA07272 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:364240:365770:-1 gene:PPA07272 transcript:PPA07272 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVFTRLTTKDSALLVCDLQEKFSYRWTFRQTIIKFPEVVTVAARLVAAATTLEMPIIGTEQYPKGLGHLVPELNLPEKTPIFDKTKFSMCIPPVEQLLSPTPKSVILCGIEAHVCVLQTTLDLLEKGITVHVVADATSSRSAVDRAFAFKQMEKAGAILTTSECVILGLCGGADHPKFKQV >PPA07245 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig151:215160:219025:-1 gene:PPA07245 transcript:PPA07245 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQDLILIPTPNRHTVNTTEKELKIFCDAEFKKNTFQWFIFNNEYLGGMVKRRGLTTDEKKLTEEGKSYRVVCRKMSAAVVLQRDDGDVQVWLSKEKKIEVLDSSDCPNNAVEGTPIKLKMDSRHRVEECTVIDRPLKMSKVGQTMFLDIFYFPEPGMAFSLYFGAIPIDPEFTSLELDRSYLLYVTWDKRRCEMIILKQSPPRIIFHSNSEETNQLRREYDVYQSTLVDGMPGVRNEEQPRKEKITAEIHPMERRDESKRDEDERSMSDRMSSISLRMDSVSLRGMKMDDGRSSVRSSTVYPQVYEKLRSPLKLGDYVKVELRSRDGSDTYEVEHLEILNDKPSGINILIQDGNLTMTCDVKFTHRLADNYISWWAGENWLLGPIGVEVVRGDANMVKRTERMTVKPLVPGFSLALEKLGVGWVTLKDKREPFLDGFQDLKKEEMIPIEKKEVPEVKKEIRVEKKREEWKRQEPIDGWYTGIVTAVTDESVFVASPQLNEDAVLYQRHSEDVMGLWIKFHCLQLPGDPSDRYRIDPKTFSKIEPIYETRIKRGVPEIRLSLSYGGDEDDGRPMLNSTMGRTDRDVFGRFLVWIARYKK >PPA07267 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:344419:346508:1 gene:PPA07267 transcript:PPA07267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-daf-1 MRRLLIPLLLFFVFSSEGKPFDDDENLFANLNKTLLEISQTPVKELTLDELSAAMGKHIPPEHRDAAEELLQYRDDRPIGALMSNLQTYGTYTKEMAEEFSRGAMRALLDKSYMLPSSKKLGPGDHLCRCNQRQCATISNELYAIFGPEMASFCRVDKYGFCMQSTTRTANNTNQLDLYCAYSYATDHQKSVHDTNEHTHRHNCESTKTEGKKCCKGSMCNDVPLPTMDPLETTMEAQIRELSESVSTWKVVSSLLGVLNVILALAFAFVVALLCKFDLEELKKKLAAVLSIHATKPDDSTCKTHSRYFK >PPA07291 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:634541:635754:1 gene:PPA07291 transcript:PPA07291 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGTNATNSGSISEGRKVNDASGEAQVTKIAQGDEVKQSLLDSKDAFILDAVNGGIYVWVGKGCTVEERSRAFQWGQTYLYVSNLPSWTTVTRVLESVEPQMFTQWFDDWHGAKKKAEFVPRLFQCSNETGKLVIEEIAGYEQEDLDGDDVMVLDALNIIYVWVGAGANKEEKKEAEKTAQGYLNNSEVKRHKKTVIETVFQGEETPTFKKFFPAWDEKMFKNSARSAANMRKLLFN >PPA07253 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig151:253596:261391:-1 gene:PPA07253 transcript:PPA07253 gene_biotype:protein_coding transcript_biotype:protein_coding MHDTIWAVISVRPHKEGTVTRNPLVEVKRAVLPTPAPSNPVNNVAPRGNQEDVLDWRNCRNSASDFDTAGEVKSVGSRSARNEQSKRYRAFVTGISTIKTYLWVCDETNPRRSTMYILGENNTLKLGEWIEGDFKRVYITHEKRVQFVCVEDGWNLIESPVDIDTRRSRNDDGFQMVVVRVNVSYSAEKNCMINKYIGEIKDPRYLIPRDIIDREMFTAVITLSRRHNTSLYDWTIERLEPGSTPELQCRSEVEKKVKSVMESRVTKERRRKGEEEVVDKMQTLRVVKDRSRTDPPIVPGDWVNLKMRMQNGIQSAISASRSEPALTTIKHEGKIYVRWTQRSEIFAHRKLAAAHELDGNWLLTIDQMVQRPDYQFRREYNDVFVHREPRHFYILCYEGVEKPPCEVYVTNVNGDDRRSKNIIKMMVVDFTRKTADMTRINDNGNSGFIFTHLKILISDDKGLLHKVPEGHMCNFMLIRQKPTEPWMTQWRVHEVHAIRRPPLPKKIAPKQPENGVTEAMTRLSVDTTNRPSTAQPVRSKSVEADRLMDRRIESAPVTPPSPPVLPSTPSTPSTPVTAAPSNGLFDDLDIDEHIAFVEAYCNRNDAVYLWIVDDPQQAVIWGCKGKRKPLVGSFLRGYFKQQADGRLYCNMEDYEPCGAPANIKVFDRSGHAIVRTDVLAEKSTKGESLWISKYLGLVEDVQRHMPRNVLDRERFTVQIGKRKQDKDFVWTIEMDVSKEQSHSSPQNGSAVKAVRKTSVPLRSPSSRGNGVNGGSITNGMNGGYSSNETSADTTLNDHSGASSLNVTADIDDGEEEELELPLTPRREPSPTETLSPLDLPSPGFDSRKTSVDEHEDHYSSRPPSRASAVDHPSGNHFYQLDEHVDNVWNDDTMRAREEPDPVMEIIETFANGSGLLDDPNVADIFSRNMDPARYHGLMQLSDKFRNPSRDIEASVL >PPA07255 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:264300:266603:1 gene:PPA07255 transcript:PPA07255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-arp-11 MAQSGSESTRTYSRSSTGVGGGSTRRSLSSMSTTPLSLQHAINIDGNKPAVIIEIGAQLTKIGFAGEFSPRNIIRTEYMDEALIVQRDLFNGVDETKKSSLSRLFHFFKHIYFTHLLTVPRERRVVIIESLLTPSHIRRAITVVVLEMLEAPSILFAPSHLMATFPFNTNTALVFDLGWTEACAMPIAEGITMLSQWEAVGKGGKAVTKRLKELLEKDGIVEDLDGSRRPLSQGDWHVIDQAKLLEDILVRGVFVTRMSRADAIRIGEKPDPQPSEMILPMGTEMLVVPGHVRELAAEVLFDSSSEDEPGLHEMIHNIVARCPLDLRKKLFSRLLVVGGLAHMPGLLPRLKAEIVRLGETRQLKTTDSVGFYMLEKCRMESWMSWLGGSMFGCLSDTLTHRSLTKEDWMENRRTPMT >PPA07221 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig151:131327:132237:-1 gene:PPA07221 transcript:PPA07221 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSCWIHWMTLSELPNNHSKTVQTIMIKHHKKSSVFGLADTDDGFVEYSDGKDGNQPKSSIEIPTEAVCGSSGTHSFFSIHRTTKLFLGGMNYRKLAVKSSWFGSSDAEYEQSSQSSAVLYSNFAVDDDYDDVTEEEALPEACSSQQSLLADQPVLTATVLDASDGELKFVSRDEQNGGKMATITIDLTDVR >PPA07232 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:165286:170597:1 gene:PPA07232 transcript:PPA07232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-smg-3 MNGYVHWSWADSPGMAAQWITVNDEWLAEVKKKCEGYNESRKILAEMKPLGDQELRKMDSTLKKTTAVMKKIKMLNKEHAAALTADLDKINLSKFVEEMAVAVADTKFKISDLPNVVSLCVGISARYPRFSEQLLSQFRKCIPSKKSETITNPAKLRVDIRLIAELTLHGVFGKEGLQSIGTLLLFINGTEKTDHPSHSIVTSLFSALGWELAAFIPQEIKDSKKTVNIEKADLPVHELMTEDRKRTMAEMVIIYWKSIGQKVNGLCQKINEKKKAVRRQEKTKGDATSEIKKNLEELREEWKKWREVEMEMAIVVGDTSLSKEEDDSADESDEEAIVGLAKALEDGSLSLWPDQDARSFYENTLALRKIVPAALFKDEANGSRKDDSGMASCIDDVDVEMEGGDEEEEMDKSGKSDDYESDISDTMFVDADDFEEEAKKKKAMEMERVDEEMKREIVYAENSTEKKEFMDIFLSELYSMTNRDNIDNAALEYVNNCLNTPINSRRLKHFLVKCARPDILPFYARFLATLDQVMHDFVSEVCSKVLERFRDQLAHYTKYTSGERIATTIMIGELIKFGVIPRAEGLVSLRQLVFDFRLPAVEMLCTFVENCGFYLFRNPDSHSKMKTLLDVMHTKKKRIKDVRLHNLLDNAYFSVLPPEEQSASSRPSVPPILRFIRRLVTSITEETIVAHLKCIRKLDWTDSLISEYTIALLSSPWIVSIECLPHLASLVGGLNELPKHDWIAVAVVDNVLETIRLSLEKPRSLNQEALACVVYVGELYNFCVCNSQVVFKTLYQVISFPDADPYYSWKDLSRIRMVISLVVTVGEFFRTSRKSTSSMMCFLAYFYRFYWMKREGWTANAPLRQLGVQESFPKDVESSVRELHKEMLKGRREPKSIEDAEDAVRVVERNFKAKIMFSVRHLTMMKGKGQVWRRDYKH >PPA07213 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:75695:76801:1 gene:PPA07213 transcript:PPA07213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nhr-274 MFHLAIVDAVEWGSQFSIFSDLPISLKRQLLKEYSIGFMLVDQGYNTSKQNDETLWILQHDKVYMCTDYMHGLPEPDKALPNAETKAKLHPDFVLECIKCVGCPMREIQIEQFECVVLKTLLLFECYSVYPEERKPEIAVIKERCLNSLAAYEATEHPHDGIERIGTILLMIANIRVSTNKY >PPA07239 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:195689:196794:1 gene:PPA07239 transcript:PPA07239 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKITDFAVRNAANIILDRILSNVRNPKLIVHLYCNHLGHEYCPTKDDRFSNDMKERLCGHESSRRQMKKITTHAKKDLKELGGDQNYELCGTCHQNHPHSNISKYDNVWKCVWCYLETKEDELLEKEEKVNEKRSNANGSKQRNSPNKKRCGTM >PPA07226 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig151:144865:145762:-1 gene:PPA07226 transcript:PPA07226 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYILIVNVAYRIHRTLAIHEKSMSVKTRQSHREIIKGLIIQACLPTLYVFAVSSYAIQQFNIYHALPLEYIPHSVGDITILLSPFVTLYFVRPYREYVEMASKHIVKMIKIRES >PPA07218 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:122029:123933:-1 gene:PPA07218 transcript:PPA07218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-36 MYEPYMSPEQYDPRKELKESARIVENYMNKRAKTAWDAKQSMETRSPTNYTDEEVNNKNSRHFIRYMSAKKGSDATVIYPHNHLGEKDDVNETRHFEWTVNANFYQLNTSNVASAVHVPTPLYDRDAQLLQKLDWSQIDVLYRSKRDEISDLGFQLFCSESGFMRFFPATTWYWENEEAKLDLFDCRNTEWYINSATCSKNVIIMLDMSGSMLGQRFEIAKQTTEAILETLSHNDYFNMMTVSDSFPSRVLNLSSFQFSKEVKFLDSCNGTSGLLQATMRNKKDLRERLDFATSEGKAEYEIALREAFAQLLQNEVIIS >PPA07280 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:418449:420707:-1 gene:PPA07280 transcript:PPA07280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-elo-3 MARHDYWPRYGLENYTLLLPFEDSFDGVKSTGWMQQNWMHSLTASAAYLAVIYTGQKLMEGRKPFALDTPLFLWNAALAIFSILGFLRMTPEWIWSWTGENDLKYSICVASFAQAVSGFWTEQFALSKAREEEKLNESSPFISVTMRRDMGRELVRNGVMKSVKVAELLDTVFIVARKRPLLFLHWYHHISVLVYTWHAYKDHTASGRWFIWMNYGVHAVMYSYYAIRSLKWRTPKWIAMFITVLQISQMVAGVTIGISVYRIKSSGGECQQTWENLGLNLGMYFSYFLLFCNFFYHAYLKKANGFFMNTKQ >PPA07259 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:281664:285451:-1 gene:PPA07259 transcript:PPA07259 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPTAGGLLLFISGSAFLACPFYRLTLRGLLGAHWVLLNALTASSQFSLSSTFFLLLLATVQVIWEAVAFPGRGENELCAFYSSLTVLCLAAADVLVIVLTQTARLETGLILITSLLLSMFLVHTMDRRILSAKDQGLLNSSDLLINPLNPLDTFGSFPLVHLAALILTAAIQIPLFEAPKILLMAIYITRSLATLSIIFIPANFGGFVQDDGS >PPA07279 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:416662:417706:1 gene:PPA07279 transcript:PPA07279 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWRGTLTQPFSVKLFKGIWNAHPRYATKTVMVVNNDVDGAFSVLNRLMESEGLLQIVRRTQFYQKPYMQRKQLSIQASTAIFNEDMQGKMRFLMRKNRADPYPGQITT >PPA07290 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig151:633184:634467:1 gene:PPA07290 transcript:PPA07290 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTMEGSLDPMLYNVGKEEGLCKFKLEKIAPSHHGIFYDGDSYIVLNTKDGADAWDVHFWLGQNASIDEMGTAAIKAVEIDQALDGFPVQYREVQGHESPLFLSYFKKNFRYETGGHDSGFHTVEDILKDFQPKLYRCKGKRNVRISRLSLNLGDVFILDVGKEIYVWMPPQSGRLERIRGMERAKNIAETERQNQSKVVLLASSFYL >PPA07266 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig151:340431:342771:-1 gene:PPA07266 transcript:PPA07266 gene_biotype:protein_coding transcript_biotype:protein_coding MQFENDQEYKEDGLRGAGQPLTKAENPDGKKTLSNGMQRVHRILDDGELNQGKATRWTELEIRGRLKNIAPALWKFTHLTALFLNGNLLTRIPPEVSQLANLTMLDLSNNKLRSLPAELGDMISLCHLYLNNNQLRVLPYELGKLFRLQTLGLQGNPLSPEIAKMYHENNGSQKLLQFLLDHLANCLHIER >PPA07222 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:132897:133712:-1 gene:PPA07222 transcript:PPA07222 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVEEERTTAEEERSTTVSTTEEKTTTVEEEKMTTTEKNEEERSTTSSQVTEGERTTTSMEWTTERTIPTTKTTTVTIPTIPTNPMTTIPPTNIFYASIVLEEVTIGIEKNVDESTKDCKIVFEVKAVFSSRPSYKIYIDTIEYPYGTPFQVVRHNVGEVLNVTIASGTEKITCVELEIHTSNCTVLELDDCPQFTLEENPLLVQFKKIR >PPA07219 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:125701:128714:-1 gene:PPA07219 transcript:PPA07219 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPKDLTQVETVTPPCESPPKSQQANLGTTPGNDATGTVTPQSSVKKKDQSNKTKENNTEKSENLMIETVSTVPDSLMERGNTTKTKDASKYTPPSRKKKGKRRTLMTEKTAKTLQSPIKKTQIEKKEQKLSPSGLREKGPENPIDEDIIEHTGEYPSDDYEKKTRDTRDTRETRETRETRDIDETKTKEDKRSVDGQLPTPPSIKVDSTQCSHTRKISHNVLMCDNQERGERKKKSKKEKKSRRDQSEDNEKEADVIIQEPIPPVEMAYLPVKEVDQPSSVVPPATVPTTSTVDKPPIDPSTSQEKKEDEEDEMKIDPWIPFDCSVCKLNAKCYYRELRYSTPVFFMRDPFTAPPRVRGRKPVLNDFVVIGALCEICNQPSCLAKSCTLYFGGHFCSPCVGRERRRFPEKLVEGVAKARSVLSSYVDPALKDEKEEKNDEKIKE >PPA07217 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:120350:121552:-1 gene:PPA07217 transcript:PPA07217 gene_biotype:protein_coding transcript_biotype:protein_coding MLITDGAPQRYEAVFKKYNKEKKVRFFSFLIGEEAIDFDQVKWMACNNAGFMVHIANMADVEEKVQHYIRVMSRPVGEDAALTTINDAMWSGVYRERLFSPRALVLEENDRAPNKTYAQMNKIASKKKIHIRRQEARSRMFVTTVSYPVSVNGQFMGVAAVNIPLTEISQLAAPMMIGGRSYYFMLDRNGFVMFHPQLRAMEERQDKSRTHKPNYNNMDILELEVPEDSQFKK >PPA07251 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:242842:244141:-1 gene:PPA07251 transcript:PPA07251 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLYTQNHFYDRNKAGSREDLHKMNTNYPYYSSCVSSHPHHNTHSFNSSHPSQYEHTPNYHPIPVHHNHYSNHPPSHSVSYHGFNGSQSFNGNDQQENIHPTYYSNNGEYHGWNPLPPNNGYPSWNPPPPYKQYSDHNGSFNEEMYRGDGGRRGEKKMKNSQFEKRNSRRRFNSKGDSYSSSSDPGGLTEWEKKEFTALIQDLWQNQRFAQEFKKYNVGAYIHIRDLLEKMKEKEDDEKERRDRRSTRR >PPA07208 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:46690:47912:-1 gene:PPA07208 transcript:PPA07208 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVIILTLLSSVACTLHRFKTIVEAGQTDCFTVDIKQVTVGVTASYMVISADSSHDIHFDLRGSQNSYYVKERKQRGVHTFDAAQGEMSLCLDNSYSFSADKLVAISIHINDDIVPLSEIADKTTQSANTIQRALKLAQNELYDRANVELKHRTVAENNFESINFWGIVNSCVMVASAMVQNKVD >PPA07209 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:48093:49409:-1 gene:PPA07209 transcript:PPA07209 gene_biotype:protein_coding transcript_biotype:protein_coding MRFISVLLLTLGIAFAGEYDFTIEVPAGKFQCYFQTVDNTKYKTLEIDYQVVDGGDLNINFMLMLGANVLAQEAMKTDGSHKVELKESGDYQVCFDNSFSYQTRKVVFFEMFLFDEKGQLDELDIARLGAQPDGGFVKKMEELGISIESFHASAQRIKNELNKVEYHQSLMRAHEARDRAIMGANLDRVTLWSIIHTVVLIGVALIQALVTY >PPA07207 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:26886:32503:1 gene:PPA07207 transcript:PPA07207 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSGASETSSPHYKFNLIPPPSSPTGSDDAGWPRARSGHRTFVDNDFLYVIGGFNDQPADVIMKELWKFNLVTHTWTRCNIPENFPRTLASFACRSIGNGSFIVYGGTGIPFGTELDETVYIGRVVGDDVQIEQIHPIGNKRGCYGHVLVYDPVRNKTFSIGGTDGTQFKLDVHALYRNDATGRWVWEEYATYADDIGLYRMEAVLENDSIYVFGGGTTQRIANFETISVFNIERKVYEIVHAEPDPVHGFPIGRRCHSLVQWGRQVIIAGGCYDDARTVLGCVWSFNLDTHVWRKMDDLPNPVFFHDASITAEGQMIIFGGVASAPRGRVADLQTLWLGPPTLFSTALAKMKKITNVTLSGSEHLLSDRLNLTRHMSSCLFNGSSCPHSRCCLNFANPYDLIAHIEDFHCNIPPINVIDSEQNKFVRPNSIYNLFPGGYKPTPLPKLPTKLNFECYKKRTTSVGSLALSRMRGSESERTDDGEDGDGEGEERKYKCTIDGCDRRYKNLQGVKYHMRSAHGKPEDTMTNGGMIIGGGGGGGSIPTGIIPIGTTMNTLDGTIAATVGQVVSSSTGIGLAPPTPTKYAMSRPHKCPHCCKRYKTTQGMNNHMASSHGKQAVSSTGSLDSSANSSSVNQSVHEILARTAKEVQAQEEMREQQALLEGKTVGAVLQSGMAKHAQLRTLINQQQQQQQAQQQAQQQQLQAQQQAQQQMQLQHEQAAAQQTQPLQPQQTATTPIAPPQSPMSQQPATPGGGGGGGGGPPMQSHPHPHHMQQPQQMQQQQPQHMAVQQQQQQLQHPPQSMQHHQQIQQQTYYQQHAQSQQMQGGQAQYMPQRTVREEMMEKMEASRGAGGGGIALPPLNPSPQRRMRVPPINMQYRDDMVSSSMDPPESGISNFELLD >PPA07215 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:110179:113022:-1 gene:PPA07215 transcript:PPA07215 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-set-23 MDFDYIPCTVASESIDEDLLECTMEGCDCDGECTADSSPLLECHDSCSCVATGCRNRRVQFGVKKRVEIRPSEGKGMGLFAMENIGRGEFVCEYAGEMVSKEEVERRKEEEGGSRQHNYVLTVKEHCADDTLHTWFIDPSRKGNIGRFCNHSCDPCLGVVVLRVGTIAPIVGLFALRDIPSGIELCYDYGESALEGGEGGEGRKNCLCGTTQSVKMGRAQRKSRKKMNKVDGTALRDPTANAYREMPATHNRDPSTSDQETPKAVRELLKRIEEGKQKGKVIKRTFPKKQDKVTSSLAAVGIQKRGRESIKQTIGRMHEKINTEVDEARIMRGVVIQAKAGVAGKDSKQIAKEYKEMDETARAKREHKLLKRKKEEEEKFGHARDKTIKRLQMIEDERMRDGSKAGRKKMNKINREREKRKEERVILEKESMLDAKEVIDFGERYDAPPTFKGKLKCRIDPISAKAGAKSTLLVHSMLGEKRKREEGEEKVIDIPSSEASKKDKKEPMTALMMAERQRVIDAYRKGKRDRNGGVTGVRALKDTIDL >PPA07256 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:270710:271228:-1 gene:PPA07256 transcript:PPA07256 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIQNGKDLMACSQTGSGKTATFLLPIMNSLLWYTDPSLITDVPCKPQARILAPTKVIPIGRHYCRPLKQTQYLVLDEADRMLDMGFAEEVMGYLCTFNLIRVGSLVELS >PPA07286 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:500784:501949:1 gene:PPA07286 transcript:PPA07286 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVSSPSAAPKITTGIEHATALKKVNPKPADWSQYKCSEYLHFNKHSFYDPELQMASHRVAQPTNKKPDVAPSFKKA >PPA07257 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:273302:274915:1 gene:PPA07257 transcript:PPA07257 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRGAVENDIIARRAMVLVFRDISVKVRRRFPNLESIIQAGFMEEEEREIFEKVELDNNLNKYWLPINWASNLAFRLRDTNNVKSEPALMQILVEFKNFRTNLQTLCNFDWVPVPVAYPQLDIVFPFMTILQFVFYVGWMKVAESLLNPMGEDDDHFECNSLIDKNIATALAIVDDTFDQVPPLKMDRFKTQIQDPLYPENAVPKGPHGGPQFTGSAAHLVLAPKDEQVHMVPVKSEPVIEEEF >PPA07214 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:77819:82936:-1 gene:PPA07214 transcript:PPA07214 gene_biotype:protein_coding transcript_biotype:protein_coding MRILYIIEQNCTNDNCMLLWGKTKDNITRILAQGCAKGGSRYYPGPDAPKMQNECPSGSQISPQPNPILMGEGNPLDAHLKPSRAEDYSPEAAPKEIEKTTESPNRERPPDGGRELRDWLATTVFVRRLLCGDKPDQDNMELLGYKDSKRKYHHTSGRLNSIAQVTILTEVAQGYKQEGEDLIRESQAGGIHQIANWKYDGATILGIGSFGAVYKVDMKPDYLVDAKMAALKTFSHMRSTHFFNESRTLRYVHDFKNHPNIIQYLGRAVDCRDTLEEATWRIALELCEGGCLRDWITYTHIALAQFLEAASGLLSALSFLHCEETVQTSLLSSIRGNSTDSGNPDSRSNSRSRRSSKQVIKKKFRIAHCDINSRNILVRNAITGNIVLADFGLALTDKEKRQEEVQIREIGTIRYLSPEMLQSLINLGDALGSLILADTYATGLVLWELLSRTVELYDDDSEVPLYSPPYENELREMEGSTANRFEAMLNVVVRRGTRPSWGGKWFDLMRREERAMSRDEESGYVSTSTTENSRGKTSKK >PPA07249 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:231834:236552:-1 gene:PPA07249 transcript:PPA07249 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLPEFGSVTMIRDMKKEAAKYTGNIQRRSFPSMNSEDGGQNGMNTGSKSMDGLSERPIEITDDDDDYRNSSTETSAVRPRSKLGIVTLVFSGRVFITFKGGSTICRNVTLSLGDVVDIRVKQTKGVDCFDMVEMGNDTVYCTAEVIDRRKDYFLLDTPIIGVAVLPKREAPRSMKEGDVWRVKMLRKKDKNGTPSFWWIMAVNGKRLGTELSRRKEREEEERMERETVDQSNSSGYSSFNSFMSTLNTSPDEWTTIIITGSDNSFWFGSCAPADVIRISMKKFNRYVKNLVRGSFYRVKLSRGDNGDVKVNDIDRDPVYPKDIEVDTTKTLQLWCYSTIIEQHQSSFIVHNPLIGNAILPLNSVTSNATNWMVSDAAFDRTKKEYEDKKEERVFQRVDEFKEVQPLKKEKSAKDGRVMDKMRHLMSFPIILIVGYHDKSRRFFSSSRCGEAFLNEYVWIGLNGDNIIEKAGTYRARIQLIDGKNGDMHRVLKVYERVAPWDGVIINRNCGIQLNAVVVEKRRSCFALETESFGLVTYPFDSFLPTDIKVGNNLRVNLARHKNACRDKMNEERRIRKEKDEIEERRKEVDEEERLANEWFEGVVTCISNPINVLVTFKHGTAIYKRNLGRNISLSLGDIIEIRVMVSKKDWFLVVDIGYDKWTREDLTVEPFMENKCNVFCVAEVIERRNTYFLLVTPIIGLVLFFFKEAPRGMEIGDVWRVKMLRRKDRQG >PPA07262 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:294667:296106:-1 gene:PPA07262 transcript:PPA07262 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSSTEEDEAADFLADPPPKELPIPLPSKPVESEEPIPETKADPKPEPEKVPEPKPEPEAVSEPKPVPEEPKPDPETVSEPKPDEKVIPETDTKPVPESEPVETKEEEPVEIKDDAPEAGDTVESETMKSIPDEPAQEPESTEPDDGDGKESNVDPIIEKDPTESAVTDEPITEKMKTTVMDEITTRISLSTSKPLPGPVPIPPDHDQIDTTWKDTISTTMESATSKDDSSSTATTIIIIIAVLIIIAVLVVVFFVCKRYRKKKGSGKGGKKKKMKQTNVKVTKKKPDDTNSKASAKTAKSISEMKKDGKTPIGKNIDKSKTVSSSSKSTKSGKNEIIPMPPLEVDSTLNDPPSSPVKIEAEQSIFVCYGNQWREVNRLDSDFSFSIIESPE >PPA07210 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:49799:60447:1 gene:PPA07210 transcript:PPA07210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gex-2 MSNETVTLRDAVLNVELLNEVLLPDDQPSIEAASKPLLCKADFDTNFIDRNAFITGVSKYMEEATRHADFQNMLLEGLDHAGNLYTWRCCSRAVPMAKSNDQPNRTEINLTVIQVLKPEVDKLFSLMEFSKKSSARFVEEFRRLCHVEKRKDFVSEAYLLIIARLLNMFVVLDELKNMKASIKNDFSTYRRAVQALQGSTAPHAVADLNGLSFFLAQQNNIKDDLKNDISLIDGHEELFADVINICAFMYENRMYLAPSEKHLFVKSVEIVPLYGDMQIQPFSFVKRSPHYDASKWHLCHHESDSCHVKIVDRLRSIRGEHESFVVQLAKVNNELNLTDRVSSSQNEAQQLHLTSLALSGVQLLCSWTADVVETISWKLLHPTDSRGTRDCPEDAEEYARATKYNYSPEEKSALVEMVSMIKAVQAFLTKIEPTLSHAVRKNVYAELQDFVQNGLSDPLHKAAKGKKDILQSILQSVRDCCLDSLSGSHADPRSSTEKMSKKTKKEFSSSSDLRIPRRAVPPSPGTTQLYMARTQIEALVSDKISGGKKVLKKELEQKSVDRFKVFLVKSFHWPSLFNLLDTMNEACELSQLWFREFYLEMTMGKRIQFPIDMSMPWILTEHVLSMSDGSLLDSILYQVEAEVNLCFDQFVYKLSEAVFTHFKQIASCMLLDKRFKSECQQVGVMIRTPPSFRFETLLAQRHVQLLGRSVDLNRLVSQRVNQTILKALDIAIWKFESEQLSSIIANHNVSQPHGRVTLHVFWELNYDFIPNFAYNGSTHRFVRPRHVFRHTPNREKAPPVAFSYLWGSKSLNIAYANIFHAFEGFIGMPHLKAIARLLQYRGTAVILEELLKMAEDVINNKIRRHYHEVLSLMPKVCKLPRTDYGSTGALQYYVHHLEQVGKYKDLKTEMCHEWRELGNILVLCMQIEIALAQEETVDLLLAAPFTNHIPQPPAKTVAEQEKALARLEEKYSRIQLTKVVEKYGTPKQVEMARDAELMTKERLCCGLNIFEMFLVRIKDIIRKDQIWSGGHPLNGVMWIDECMELHRVWSAVQFYIAHPPEENEKLAESLFGDSLQWGVLTMIVLLGQHRRFEVLDFCYHLHRMQKTDGKDEIVTGIHLSSMVDRIRRLQLINNQILSILPNYLTSTDEFSEERGAHSIIIDPIRSAKKWQEIMFTGFWQEALNKWTTMSKTMPKLYEPPQDRQEVKADHYEGKVKFFDDLNDASHVKPSFSRLDSNIAMKGESDEKKITVCCDCTDGCIGKECRCRQASSDNVELMITHRDGRINVKELNGKQDDEKSWRFPQ >PPA07248 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig151:226309:229974:-1 gene:PPA07248 transcript:PPA07248 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLIVQLTAEFAKIWSISSQRLITYSRDDVPEGVHEGDWINIKFDSNGVLQGINGTEECLGTRRDERGKMQVSDVFLVNSSRDVAYSEMFGMVEVEKGPEGERWTNEETTKDNCIVMWMTVSSRAKFSIEKQSMDKTYSKDGKYSKIFKRMMAQARKLDFNLTEDKGTNAFNETIMIVGYDPKCRRYFTYSHCGEAFIDSSVWKNHGQLQRGDVYRVQLCVDDSPLPNSVEFKVLSIKKKINGWDGVEVEVTEKEVRVELDGKVVDERTNCYALETIPFGLVSLPMKQSFKWLPDGVHIGDYLRVRINRFKTLKLGYPSKWEVISVKQKLLQPMEKKGDWFEGIVTCISGNRVYFTFKHGNAMYTKNFNVDMDLSLGTIVDICVRDSETKSCFEVIDIGNVARRREDIKVEKVDDKDRKMFTVTCPAEVIERRDGYFLLDTPIIGLAQCFFKNCPRNMSVGDIWNVNMHRQKDKKDVPSHWGAHSFEGHLSRPSSEISSGMNEMGRIEEKYEITISSDQMEDDKEWGTKRG >PPA07288 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig151:625467:627683:-1 gene:PPA07288 transcript:PPA07288 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQFNQGDITNDFFNPLIDYPTEFLALPGPRAPKRPCNLTNEEKKQAVRNVLRYVPKEHHSLLAKEFAAELEKYGHIYAYRFCPDFWIQAPELWGITARSKTCGAIILMILNNLDPKFRLVLRYLEDMANDQTLVLYSGHPLGVFPSSPSAPRMTITNGMMIPNYSTKELYNKFFAMGVTQYGQMTAGSFCYIGPQGIVHGTTITVMNAGRRYLGVESLAGKVFVTSGLGGMSGAQPKAGTIAGCIAVIAEINEDALRKRLEQKWLDEYTKDLDKLIEKIKLYRGMKIVVSIGYLGNVVDLWERLAAEDELLVELGSDQTSLHNPYLGGYYPAGLTFEESNVMMTANPAEFERQVQSRFDL >PPA07274 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:368106:375832:-1 gene:PPA07274 transcript:PPA07274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pxf-1 MPPPIPPRPDRFNNSSSSSISSSTAPPVPNRTHPRGLPRSYPVDFPVDVPSTSFTTVASIAGENHRSQVFVNGVSTSSPAPSSSSSSIPHSQSTSDKMDRMERDEADEATLVRQVKHRREKSNSIGGSSHSTAASRAMRVRSTASSSTTEDAPSSSHGLADSEDEDEESCPSHDSFMELKDSVRECLEKEPGMRNSDDLAVLLDFMQHMAAFASLPVSIKRQLCLKMVFAVVNEAGTIVMHNGERLDAWSVIVNGQVEVVRPSGERVEFKLGDAFGCDPTPTQQYHQGDMRTLVDDCEFVLVEHKDFCSIMSTLSEHIEKDRDGLTGEIVSETERRTVGNQVGLVLIKGKPDKLIAHLVDERDSSIDPHYIDDFLLTYRVFIHNPCTIFEKLMYWFAEPNYRDKVARIVLLWVNNHHNDFETNDEMNKLLTRFEGALERDGMHSQQSLLNIACSVKARPREITMSRANKDEPLPFVLVGGKELNAGIFVSHVDSDSSGDKLGMRRGDEVLEVNGLTLKYVTLARANELAKGSLQVRLMLKSNILGFKELVARNSPEVMSSSAAAKQRTKALVGALGQPRGSMPNVKGSPISLPSKAATMKNGGMMDKLFTMLKSTRLEGSEETDERSTNELRSTRSNPDLANTTISQYYGPVRSDSPEHVLKIYRADQTFKYLPVYKETTAQNVVQLALQEFGMTSEGSLEWSLCETSVTTEKVIKQRRLPPQMENLAERIALNSRYYLKNNSRSDPLVPDDLAPEILKEAQCQLLNLNAHVVACQLTLQDFATFSSIEPTEYVDNLFQLESRYGWARLSEFERWVGSEVCAEKNVGKRAKLIKKFIKVARYCRDLRNFNSMFAIMSGLDKPAVRRLHASWDRVGSKYVRWLEEVHTLVDPSRNMSRYRQHLATVSHDPPVIPIYPVLKKDLTFMHEGNSTYTEKLINFEKLRLIARAIRAVGKLSSAPYEIAAMAERSGGALNDALLHMNTFEYASGTVATMRKSAKGATQPRKKVYEQALMVRKVKTYLAELKVVDNESELDSLSLEIEPSTTSNGNGEKATGSGGPPQRRPISNRPPSPTPSSLSSQSNASGPQSQPSSGTAGGRGGFIGTKFGVESPQAVQKMLSLVQQSKIKERGPPSSPHTSHQSNTLGRSSGMGQAPPPHDTRAWFVSHLVMVIVTASIPLPPLLLSLPIRPLPHLIIILHPITLFILLLLFLLHSLLM >PPA07289 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:629851:632394:1 gene:PPA07289 transcript:PPA07289 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFFGFGQAAYVTISPSLIADTFNGERRALMLMLYYFAIPVGSGLGFIVGSKVASVANDWRWGIRAPSFLDAICLVLLIFFVHDRQVPAAEHEIVIEGRATERSSYKNDLLSLAKNATFMTSTFAYTAVVFVTGTLSWWIPSAMQHVQAMTKNLTSTKDLDPNDKARTTFIFGIITIISGFSGVAAGSVLSNLLSSGKWCFSYCRTPRSDPIICAVGTLIGVPSLFAVMQLIPINMYVAEVFMFVCITGLCFIWATNVNLYISVVAPNKRNTANGIQILLSHVLGDGSGPYIIGVISDAIRGPDDHSPGGNWSSLSKAFYVANAILIPAVILFTIAAITYPRDRTAFLRSTNGSMVEIAELATTAENGGATNKVTPSDKTRIPVKLTMTDPALTSSPPRSPPINEKTAIGE >PPA07220 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig151:129678:130128:-1 gene:PPA07220 transcript:PPA07220 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTLGMPWILQYFTLYAPTSTVFHYLFTIVNGSQLLEVLKRERWELNTQVKMKNIMTMNWTGKVLME >PPA07212 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig151:73773:74447:1 gene:PPA07212 transcript:PPA07212 gene_biotype:protein_coding transcript_biotype:protein_coding MELDMESLRVSVASFSFEEPSSKTLNSTAREMEDAKLTTNLDVLVDFVDCRNAFKYTTA >PPA07216 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:113254:118637:-1 gene:PPA07216 transcript:PPA07216 gene_biotype:protein_coding transcript_biotype:protein_coding MHDKKKLPGRCIPRKKLVERLLFDMKSTAPLRGKWTSNEDKMQHHEAHVVYFTSPSGMIRFHNVTLKNFSYTDITIDFMSYASTTDTFQDKYEHFIAETNRKSVDDKYYRRAIRNDGVIVFDINYMSKIWYKEETLSPFGLKENATMLYSAYRSIKLGQATLGVSGMELGYDAMVKILSNHGCRIQNESKWCVLLDEHGYVFFSSIEGITHYANFYTKEGDRHLGKWFGKINRVAQRAMELLVEKKYYIKYKYLDHQAICKTEKPATMGASRAETWLRSIVKLLLSTLLRLLKQFDAFTAFGIVHNMIQPVEAYTSSFHDIRESFSCTKSSHFYFANRKEPRSANDVNLVDNLKSERPCGPSKCSVNMQAAFVDNTNLVMVWIQQDKGSANCYDESQCPMQSSDIKFEWEKEANSTTAGWQVPDDERCMRQDPIRPGGFYRCTNRTRESEEGMTSKSFDPYEWFSYYYAKMGREEATKILLDPLIEVGTFLLRDSSREGEYSLSVRESANENDPKAVRHYLIQQAVDDELNKCIKIGSQCFEDIPSMLNYYKMRLLENCSLTQPYRNMQVTKMIGKWRFVGESDADLSFAQGEELEVMGEHEPGWLRARNAIGRVGRVPADYVGEPEYEPLPKGVPLREMVDRTDVDLDTMNPWEKATQKRSFPCWARASFSYVPNIFDKERIAVKKRDIIYVLEVRETGWAKGRVYGEGVIPMENGEDEPVEDNDEEVVLEDIYADPNKYFTRKDCSHIGVFPSSLLVFCKEEEGIPKREKSRFGEWKEVIGSSDEDSDERDGERSRWNY >PPA07281 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:440675:442458:-1 gene:PPA07281 transcript:PPA07281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ceh-48 MQLTISTQLNLDPTTVANFFMNARRRGHDRECREEYERNEGGTACSTVSSSESHFDSLFSSDIKMEDEVDLLHSSINLSSLNGKTRNLADALDEALLEEDDIDSHSLHLPDEVLKGDHDGLIVSVDEQAILEKVMNGHRREEAKMRRKEEEARDQLGEILQDELLVEDEPEDDDLLPPSTHFESLNPLVEGNDDHLDELIRGNLIEEPHQEACVSSNRCYSL >PPA07287 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig151:502316:503134:1 gene:PPA07287 transcript:PPA07287 gene_biotype:protein_coding transcript_biotype:protein_coding MESILTKENLVEWEGMDEGQLTIKVAKTAEVAAKQMEFSYDSEFKRSLASVMCKRWPNASSSLRKGFCIILRCLCREKKVIDCLLSRDLMENVIGSTGLPSCCEADLPEEEKD >PPA07263 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:311639:316461:-1 gene:PPA07263 transcript:PPA07263 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDENFQKLLYDLFCLWSGVQRHYDPPITDNAEQKAMKVKGMICKLLTEIDARVLRIKTKDPSEAKGTDFYEEWTMLTWNVLCITSRLQIHPDSMILVSAEDRLIFARLNQALIQLVNYSRDHLPDLGNTSDSEYRRVKESLDVTMGTLHNLYRMINTLDPTVPSTVEHFSSSLNEFAEQILAPCIENFKHFCNQNCDRLWLVVRAAQIDRMRSQQPLLDEPIMALAEFYSHMMHSLAVLAARLQGFRYELTQSRKIFGSYDPVSPLSEMVFSALELLMADCVLSTEPSTNAILVTNNLFQMNCGALARGVVFKDFDVQVVSEETAEHIQSELRSHRLLQQPSPLAPVPSAALLAMKPTSGTKRANAANNERMHVDSPNNTAHKKSDVNSKDSVTIYPVYNAKNRYWLATYPHLLCTTRQKGRTSVKNSYEDLSPALLDKSNSSGKRPIFYFHIRATMFSPSGKFACAHTLSLPFTIATRRNQDCQVQRMMSSYTASIFWLYGCNVQDGLLLQWTDIGMRWEQFKHLYKQHFSVNAEVIRPLVDEDFDLLQYKLQCQDCQSGDAGARINGAQRIVTFKNMLCPHLRYECGSTNVRFSVWRGMLELLQIFHDKRNNVHKLWEKNFLHGFLEFDQVDTMLERHYSALIMRLSFVTGGTICFTVKSTAHCATPGVATRPMHLEPLDLKKLQGKCLKDYLRDIADAEKVKYLMKSNGELIRISDVLAMMREGEVPLSVENERSISSNVTHSGDIDAMQHIRFTAMRIAVVTCKVKPPSADLVEMEGENGLGGRLSALLSPNDDFMRELIQLCNFHGKSKQMFPQ >PPA07204 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:7505:10160:1 gene:PPA07204 transcript:PPA07204 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSRVTRSGWREGRRWTSTNTPVKKEEKKIDPISAYTPFGGVQTKESKDPFFYSDRKVGSGYSHFSSSIYAKRPYIWPPLRKLFNFNFVLVIGLFAFLLFDFEGIYNEMSAQFKPQAGELKGVEGAENSEGEEKDAKEEEKKKKKSKNGFRERRIIEYEDRLRTYSSPDKIFRYFATLKMITDIDGKAYEQVLMTPEDFVRSFTPGVMQPRKYGLDKFKLYDPTKKKHVFSDVDSIFYQLGENGLITYSDYLFLMTLLSTSPKDFEVAFQIFDINGDGALDVNEFRRVQELVMSQTMVGQKHRDRHSIAKTSPSFQESSNSVLERYFFGPDRKGKLAAAKFLEFQARLHRDVLRMELIRRSEEEGREEGVQLISYESFAHMLIQYAELSDKKKRLMLKRLRKRMRKTMDDGITFDEVQAFFNVIFHIDALEAALHFHKMAGEEKNRPFNHDPFLSAGMSMSARDLERIIKKISNVDLPEDVVFVAVTIFDENGVSEEGEGEGGVEGHLSLLRANPLTNKKGLNLSMDL >PPA07250 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig151:237203:241489:-1 gene:PPA07250 transcript:PPA07250 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAPTTAHEALQSVMDEILPSSSSSDEEHEAIPSDFMRIGILMERENIDGWKVFILDCTEQSPKVCFKVDDWTVVDPDDSVIPVRAPSGAMMLDVAVKAEKVVRIGDKNVTRLHSKRVLDVYDSNNILGRLEMGEVVRVCIKWSRLDGEYVQTRNEWVVMDLGKGEAVIPESKEEIRRRKEIDKALTEFRFYEYANDERDWRDNRLLKKYRKLLQESILEDERREEERLKKIEAEKKIEDEVKSLVLHKSALDEDTRRNERERNELIRHKSSLIDDSSTQEREDEELTRHKNSIHTIHTDPIPFERERYDYNHNSSYYSRGGRGGNYNRYGMEPEPVLSTLRFDWEDEPYGSHSESIQRSESPIEVIPPIIVPKESSHTSHMESSSNLTAHSLLVPNANSTAISMDSTANLTFYSANTSFSTVPSSDATQVTVIRRNLPQFGQNPNLSQNLNLTRNLNLTGSSSTVSKDSRDSGRGSSGRAHNGGLDRELSPLAQSALVIRTDGQMCKIWVSSLGASLDQSIEEIPEGTREGDWLSIELDDRGMIKKILKTSSLLPSYHPQEMDVFKVWDWFIVDSRYRKAYSRSLGFLDLCYDFVMPPREKVEKLSFNLFAVLKNDRLVIGKQPINERNGDEGRRGKIADELRMEIANSPEIVKFEQDFNLRNGKEITEWMPEADSVFSTSACSISGDLSEYETN >PPA07258 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:275513:278503:1 gene:PPA07258 transcript:PPA07258 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRFRRLSHSSTFTKSRRSDSVAAIDDYGSKKNSIPTKETGFSQTCNGRLPDPTDFGNSFDSSILNSPFSATNSTVSGFNYSMPGIESRNIHHHRAEHLKRPPILDEIVEEDSSGGLSREASAHHSVLGDSQIISQQYPIALGGTPGQISPIPEEDSDSRAVTRTPSATFNLNSPHSSNEVLNEEIPQRPGEVILDPVATFTISPPMSPQQIALFECLQHKCDRF >PPA07260 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:286947:289813:1 gene:PPA07260 transcript:PPA07260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-map-1 description:Methionine aminopeptidase [Source:UniProtKB/TrEMBL;Acc:H3EC12] MAAVMEGRLCQGIDCKQPAKLRCPTCIKMKLPDAFFCNQVCFKDNWNIHKTMHIDADLDYNPWPYFKFSGGIRPHRQSPRRAVPEAIVRPDYALHPEGVSFGEQQARNNRIVKVLNDEEKEGLKVACKLGRECLDEAARAIAPGVTTDEIDRVVHEAAIERDCYPSPLGYYKFPKSCCTSVNEVICHGIPDMRKLEDGDLCNVDVTVFHRGFHGDLNETFFTGTKVDKDSQMLTRVTYECLEKAIAIVRPGVKFREIGNVIQKHANANGLSVVRTFTGHGIHRLFHTAPNIPHFAGNSTPGVMQAGNAFTIEPMINLGVHTDDRWPDDWTAVTSDGKRSAQFEQTLLVTDNGCEILTQRSGGKPWFYEQMALQK >PPA07224 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig151:138313:138905:-1 gene:PPA07224 transcript:PPA07224 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHWQFSMGVGEGTITSRVIENEKVVAFNLINDQFQFMEIEIYRVFGSKIDSSKEFNIMFDQSFVLTMKVGFTNLALRFDVSSEGEVAVLYKNCEQFEMSEKGDCYGGMDNGLFYFCEEDGLQSDSIDK >PPA07206 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig151:23433:26444:-1 gene:PPA07206 transcript:PPA07206 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYKAICELDELSVDDLVIDYAYLSDDNFLLVALLTSKGNVQIVYEVGSDRPRKRLVSIPSIWGRPIDPVALCIGPEAAFLALALSDGDDVAWGATNLFVESSSVLVPVCSSANLVLRTPTSILSFVSRTCPTPILVYSNKAGQVLIVDLLTRKLAIEVQAPESVHKIDVFHSTYGVDLLVTSFTGAQWVVPLENGDRGLRETLGVLIPSDLRALEPASANVVPSGTMAVSVSGKTLFCLTNNNRVSYKLRFGLAVNSLNGDRPIWEGVSTEAMTEWPSLGIIPLRDKSGYMPEALIINERGIVRITPDNGSDTVAWLAESILYSDFPSPGTLKEVGEYLSPGSSHSFINRVLNLLLDRFSKAKQSYVAGQLTKIVTIAKNQDMSFDDLVALMEKFKLDHLLLPQMMARVESNGRDGCRKRVLPLVVRSVESKWKTPLEADCILRSRVIVSDFEGTESVEGKRWEYSITRIFCVSCGR >PPA07285 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:499939:500578:1 gene:PPA07285 transcript:PPA07285 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRTGKYCERLNGEKGKMILRSCKEILPVESHEKTFEKIYSYYFKKGEEKFGKLAPNDIRHIEFVELKKTFSK >PPA07225 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:140564:141785:-1 gene:PPA07225 transcript:PPA07225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-spp-7 MSVEGGGFPDGQSAGALPVVLGVPPPSRHISNEMQNYMRADPESAAAVACFLCRQLMSASGQRVGLSQNQLQTSLENKCKALPVELSEHCSSFVNTFLQEIYFSLNYDFSAKNVCVALNICDKDLEITFETKAERELKEKEAREKEERKMKEIEERGRREREERERKEREEKKKKEEKEAEEKLKKRRKGITDDVEEMNKDEKRLNCMFCDRMLDNAKKYAETAKADIESFASTACAKWPRIRFAETCHRMAEEKITKLNKFVDEQVMISSTVQ >PPA07283 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:445931:446506:-1 gene:PPA07283 transcript:PPA07283 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGRKRRGEVMEEYNGSYATLTPLQPLPPISTVRGSEREVSSSSRGHEDLHLGKYKMKRNASRDDGDEGEDNGDGPSASSSSFFFVPPTSQPFSYSSIKYEYDGKETEEGDHSSPHHG >PPA07211 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:65193:70219:1 gene:PPA07211 transcript:PPA07211 gene_biotype:protein_coding transcript_biotype:protein_coding MRWHSIILIAGVFLVVESAKYSHEKKSHFTSVEDEEERENEKTGENGHLFDVKKIVKGTIDAIGGKGTTPKPDGLYSLFQILTQIASDAGYIEPSTTIVPKRRKKRRNHKAKKYRKVSEDGSYEGTYVRVEDEEDEEDEDAYKPRKKSKRRHHQPIYLPPNYVPPPMVSSPPLQSSLHTQQLPSIGVPIQPIVRQQVPQMDPLTSIFAPLPSFTFAPLTTPTTTTTSAPPTMAPLSIQPLQSASPMGDSVGAQYAYQPVVQADGKTYYHRVLVLPPTHVGDPSPQIIMPTTQQMITTTTQTPTTTNSFVTEGPTTTTEEPSTTEGLKRKTKIRRRLIKNTKTQNPIPAEAIQQLPQSDSPVVSSTMRSVAITFSPNLELFKTTAPSVEISLQEQKEVKIKPRTHGTRTHSVGKAIESGTSNEGLTNQPSLRYVKDEETDDSEESTRSSLPTPPVPSFSPKLITTQLPSNEEQMKNNEVFSVSLETGKKGSGTLSVPQVTALHSIANRRLQVEEDYLPPIEEKKEKKKGMRGKKERKEERVKKVESTEIEDIKEAVEAVRREKKEKRFHQEEDKKEEEKKEVETEEEEEEAETTTQKPKRRHHKKKSQRTIEVDTPKKIALSPPEEDGEESVIPTASPSSSSFRRSLTPALIDVRELNTFTPYTNSPSIRHIHHAERLAILRKSLEARARGVKQLSTQDKEEDDEDKNEVEESKPSTPLYSDLFPSTTTEIARKKKTRRHRKRKTTVTPTTTTTTEVPTTTEEKEEESYELNDEDYEEEIKEEIKKFCRIVPFLPQHTIKIHGLPPLQQSLRRN >PPA07261 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:290655:294127:1 gene:PPA07261 transcript:PPA07261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tyr-6 MFLTEKQASYLKAIEKCTRENCVRAGVKRPKRATPRKTIRREVRMMSREERKAVFEAMNILKNTEVDNITAWDLHTLVHYPDSAPGAHWGPAFLPWHREFLRQFEIALQKERDIAFLPYWDSTLDQGLPRPADSVLWSDELLGNGNGYVKTGPFKDWETNVLMPLSEVPVKRLYRSTGGRPNDRLLSPRDIEWIESRGNYSDLTFCHDKTFESMHGLSHVWVGGFMFVIRVSPNDPSFYMHHAFVDSLWERFRQKQQTRDQRESEYAKKTCSKLQQLDAQMKPFGITNRDGLSNRYTDEWYEYEPIRHCSSDRPNCDSTYLFCDEKQWVCRSKVVLGGNCTGFEGSAICYKSTCIKACTYFLCLPSILGSCRLPPRVELAKRLQKTPSNSAEEPHKESTSRLARGVVWTKTLLIDEDGRGIRDTLASVTVDYGEGPTATVYMNHTLEYPELPGMVYIPLPKVHGDDRLRITVTARDAYGRYCQPQCYNSTVERHHVCEGTLTLSSSLSHSTPISFTHDPNSRRFLDADLSVHPRLVSVTPPYLVFACSRKMITSGMIWSIANSEKPPRDSEEHVFIRVSLSRNDMDDLEVESSSLLGRDTWKSPVQFATSPVDPSILFVRVPNPELHPEGVSVRVSFLHHGSRIGCIVKCTSVSLTLHHLILTNS >PPA07238 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:192576:194249:-1 gene:PPA07238 transcript:PPA07238 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSRPTVSKDQLASAARNQADSARVIQSSSSFTAGKLRYYWGSQYMPSQDCGTTDGKRNKRQINSYPYTTPVIPRIESTVPSQSETGVKGSGTITSFPPPAPSQSVKGSTTYPSPPSVRGSGSPSYPPPPSTPPYGRSSLPSSFTGTYTGGDVYSNVSYHTNNNGDNGNFSDYKDTLAYMNMFYVNFTDPAESLNYVETYLPDNFTSKNYSNSSTMMKDAMSNLPLNVSDRATQLAQTMMNGNVDKSINDWYNDLKDEQTYNDMIGNTNESGPVETFVGCSKNAARAICTRSISDLDSSLYSYIFNSAVPNEIAWQCPDKDYYCCEWECCKEKKWSVAGIIFCVIFALLAFSCCICCCCLCIKGKEKEKETLVVMYPKPQPQAYFISVPPRVYY >PPA07205 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:12420:13403:1 gene:PPA07205 transcript:PPA07205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-74 MKTTLILISAIAVVALCSSKDGDENPKYWRAILGDICQLPSFPRATADPTRYVECVRQSDIMSDRKDLGIWLLRECLPGYEFVASSRRCKTARSIERQQALCDSSNSTAYNFCPSKVAASIQIEEIREAPNQCACPNGEINCVCPTPEILAPVSVPINSDAKRRSPQSTQYPLIP >PPA07271 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:361124:362622:1 gene:PPA07271 transcript:PPA07271 gene_biotype:protein_coding transcript_biotype:protein_coding MYYVSETDMLKAMRMALYDEVIKTGNFVAADNFTALYEFVNLLSNHFPVLSFSTDLRAKKRRSTSTILKNSERARMIFVHMREYLEEKSAQKFVSVDDWKRHFDDIEQVFNHPFPANSSWQHCQGSNPSTRGYTCGLWTTFHALTVHTYIDTIKDEEVDSLKPLKAIQGWVKSFFGCEHCKNHFMNMTTKQFPMNERRVRHPHDMMTYLWRAHNIVNQRLHGDATEDPQFIKTQFPPPFLCPTCHSGGAFSRRQVRNFLLRYYGSIEPHNRVDRRRLV >PPA07223 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:135348:138057:-1 gene:PPA07223 transcript:PPA07223 gene_biotype:protein_coding transcript_biotype:protein_coding MLETDAIWDDEPNVITIKIREIADDSVEGHVEILFTDDGRIMTEVDGCNQFEIYSDQDMSGSIQIPFSADLNPLNKILSASVIEFKQIKSIVDCQQIPFSLVHQTIREHVRLMILMTIFAGPQQAEYKLSWEWEKTMGAFFFLKEMEYVNIDGAAEFHTLDVLNGLIREKSFFVSYGDRVTISLPQGFGELFVMHFVPQSSGIDVPPMNPQVLNFDLIADLDSAYLYVGTARMDLQVYWNEDRPDYFDFNIKDYRRFGDVQLLINNKDSGKTDPPIVIPSDTPHETYKMHFSYSKNSRSLKGFVTEEVKEDEGEILERSLVFSWIDDAVEDPSPFPFLVSFTTMGKTIEQTTPLYAYSIPFEVDSPLIFRVSDSSLKSSLFEFSIIIGETTSRVIYEECDQFSIGEPDGICFGTTENYLCNGEKGDDKPLLPFLTCNPTDEPPLTDLRAFFIYQDGQKLFTWDVMMTKDNVTKHTDLHSLRW >PPA07277 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:397933:408419:-1 gene:PPA07277 transcript:PPA07277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mon-2 MCFRLRFAKDPTVINAASAAVRQIVASVFERVVQEDGMKSSSFSVAVESTTDLLSRVPPPTLRPCAADAYMLFKDLCLLVDGEPPVWLSGLQEMSRTLGLELIETLLHSFPSVFFKHDEFTRLLREIVCPLVIRLFSPNIKNTNLSSLTSTALAQARAIANSTGSADRTNFPLAMRLVRLIVILVNIYHQKLVTECEIFLSQLIRFLDAERTNWQRGIALEALHRILIHPSVCIWLCANYDARSSNAVLRNMLNGVTIFLRQSFKRTHLSSGGDDESETGQSVGLAGFYVNGVWIPFIDELTAKKSFILLESMEKLEPSILPDGYSVSRAFRIVVDASQALMVAYDELTHKKGSALEAAAPSAEGDGETTRKDVQLEVSLLHAMQPPLVSAIVIMLDTSTDETMSESLLTALSTLTLISCRLNIPSARHTHLYALSSASLPSCSGGYVARCMGVPIPEELSIDLDKSVAGSAAAAADHLVYHQVVATGVPLPCSFTPPVLLNQQMILTTKNVQAARVLLTCAETNVPSWGESWEVVMAACAHIAWLLAMRPSLNGGGTFVGRRNDEEVMPSSSNGTSGGGAASGGQSGSVGNTNMVTTAAASTDIPVIAEKLDKIVECTKDLDEVGLHHVIAALCRLSSDALIVSANGRDPSFFGISKLLHVGMANIERFHICSHSHLALRDWAALSLTTLIKAALKTKTTMEEEARQQMVLGPLSALSLIAIPDVRKRQIECLMSILQTHGALFKHSQWATLVKVLADVVQKDQGFTASLINHAYTALRLIVTDFIQVLPVDCVEQLVETDALFGRQQADQNIALSALTQLWTISDFVFRRLPKMGPDAAEKIWLVLYTCLSELCVDSRPAVRKSACQTLLQTVAAHGHALRVPTWSHMVWKIIMPMLDKVKTMTKNASKVREESDLLVHHSRDTQAKQWTETAMQTMQGVVKIYNAQRIGLLKLDDFGLAWSQLLQYLEWGTEEENAELSLACLKCFHELLLGKSGAQTLEINTTAKGVGTPREDACKSDDFVPPLTPDLWLVSWYSWERLAKGLVKERDAPTGKETVYLPGPSHLTTLLHIFPSLFDRVKKALKVEEMGEGGIPLLLEKVVLCSTPSEYSPFVQPSASSSLTPTQEAAIDCMKTIYADECQESSILSPALPSLIRLLLKFASLAVRPSQVKMRIAGKDFGDWARAAVLPFAECAMRMGVEYYAASAAAAAIVRERIVVDVVASLSSPLSLKYECLSESSWKLSANCFISTLKTALPLARNNPDHYISLWKEVADTLNNFLFTKNINVRLSPDERRRDEFIDCQMIDLLRTEILPYSASLPTVFITQVISILNKGSICHMDSTDVLDSFTERHDLSRACFDALLSMTRETQGGRGLGKAAIVSLVDRCRGVLTAFSGDAKSGGEMRLPRTRVMEVISALNAVNALIERMSLRSDAASSDLYSQLVVLHPSLVDLIPTSHADPEIEKSLIITLKSLRD >PPA07227 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:147686:149375:-1 gene:PPA07227 transcript:PPA07227 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKARMEERVVLRRLTFISVSISTAAVLSAVIAVPMAYSYLQSVHSGLREEVTFCRQRTDGLLKHYTTYESTHSRSPRAALRRLRGSGGYSTGPAAAGGGGGGECCSCGIGAAGPPGPPGSDGAPGNDGSAGGPGAPGADAAANAGAPEACFDCPAGPPGPAGNAGAPGPAGPAGNPGAPGQGGGAGQPGPPGPPGPPGNPGSAGSPGQPGGPGQMTMAMGPAGPPGPPGPAGPPGPAGNPGQSMPSQPGPPGPPGDAGSDGAPGGPGSAGSAGNAGNSGGGGGCDHCPPPRTAPGY >PPA07203 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:5162:6305:1 gene:PPA07203 transcript:PPA07203 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAEALLNPFGSDDDDFECNWIIDRNLKVALAMADMGAELAPPLEKDSNWNAAEVTPYYTADTVAHKEKGPVGSASLLNIRSAEMVSMEKLDDIRRNSSFLVRLKSLQNGEVESKEETSPRSRRNSNELNGSIRKRKWSISSETSISSSIDLEADKPRKWVGRAKRPIVRNYSDIVEDEEDEHNRHEENNLAVIEEEHTARRRRVMSEVMSFNSRIYSFYTENIRYDFRLKWNINV >PPA07231 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig151:162266:165078:1 gene:PPA07231 transcript:PPA07231 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLSGDVDVEEVIIGRNAEGRPSGEAFVRLASKDDVHQALKKNKEHMGKRYIEVFESKPEEINRARGGGMDMRYGGPPPLRDIAPGYPLPLMHGPPMPRMEMMDGPRGPRGPPMRTPPRGMWDEGMGGGYPPMDRTFPPRPSRIQDEMYEMYEGGGRGGPIRGTPPSMGAYSRAAPYDAPPRPLGGGGRGGGGEDVVEEWNSPTKILMRGLPYDANAQAIERFFAPLRCYEIKIGFNEERRPSGDGTVEFGTISEAREAMQRHKQTIGSRYIELFSSHDIPPAIRRVRFRRVGGAASIPPAPLTTPSHRTNPAAPIPTGRPPMSGPRYDERQSMGGGGPPRGQRGYEEEGGAYGAPASRGPPSQRDGPPQGGRNGGAYSSFPSREYSGAPTDYRDNQGGYGRSSQDPYGPPPPPPPPQDGSGYGMYEAKPTKREMYDEAYARTATGYEGYGESAPQRGNDPYGARAAPSHNGSGDYPGYEGYSQAGAGGYGGYY >PPA07228 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:150746:152700:1 gene:PPA07228 transcript:PPA07228 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEDIATAARDGESASHIYWKYKSVFDFMKIIESVTNAGVKQCDVTLNHPGLLDSLRAEKFNGAFAEPFDFCGFGLIYLVGIKSYAMTMTVPASDNTLRITGLPPMTAFVPGMTSTFGEKMTLMQRIINTVVVAMSGEFMAAQWKKYQSTFDKVDPQFPLMEEIINNSSLYLVNSDVISDFPRITTHKIVEAGGITLGLSNGTLDEYWDSILSLRPFTVLLSFGTVAKASVMPDEYKKSIREAFKRFPDVTFIWKYEDPSHGISKGIPNIIESTFVPQKELFNDARLTAFITHGGAGSMVEFLHAGVPVIVVPLAGEQSRVGPLIERLGTGVKVLRKDLDNPDVLTDAIHNIVYDTKYKSAAVLISGRLKDQPFSAKERVLKNMVFMAKHGPLHFLNHHGMEMSLFSMYAIDVYLILLISIIILISLFLFIIYTGIRALLRIVKKRMEKRNIKKEK >PPA07246 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig151:219441:224094:-1 gene:PPA07246 transcript:PPA07246 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAADKKIGNTRSRACLDWMKQTMKSPLVMYPRAHEKVRGLEVGSYNEYLGRVGMRENGVLNDKNLNIARLYRGVCGIVKEIRGISSIPKPTIWIVGGIDYADRDLHTGRWFEGVVTKSSDNLILVSAPQIPEDVFLYDWKTDNRMDPEEALGRWIRFQVDIQRHFDHGLRYRAINNFKEIDSCYKTRVNKGFIEILIRCGWNGKMEDSRALLHSDIGLIRDYHGVIDTMNENAKGEYQFWVVKHFRQNHSARWKLSIHDNQPKRIEEIQSVKRQRDEEFKEETPDTESISNKQSVKPSIDPSKNEEVECVEWNDRPSDHEVEVKWNKITIKCNAYFVGQGNGWFILQNDYLGLLGMKANGLMVEMAVRVGCLYRVALHRSKPEDGLSSISDPIGWIVDDINFADRVLIRCRWNGEMEDSKALLHSEIGPIRDYDGILNTMSEITKGEYEFWVVKHFSVFVEPIDTQPSSLKSSKTPKKDGRVLEMMLRLMKINCVHDSIMDADRETAMKIKNILDRYAERRNCS >PPA07269 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:351245:353298:1 gene:PPA07269 transcript:PPA07269 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGFRHPPSALALLKSKSNVLSKQILHYLGLLFRVTFLFPLRVLLMTFTLFFLTGTAVIVLIHPGLSRKTMLYFGVTFARLFNVSTGLILDFYNKEHRPKSSGLAMANHLTANDIMTIYSDCEEIGYTATGQSHGGFIYPLEAFGGKLTPTLWLDRACNKDRASFQKQVLDYASQPSTYPVLIFPEGFCSNNQTVLQFRKGLFGGDVPIYPLALKQLTRFGDSFWLEDTYLPYLLRCMISWALVIEITYLPEMRREENEASEVFAKRVQNAISSFLERPSSPYDGGKSSHTSHPKQFIYSATPCQFDPPQTTCRSSSLCRKVEKSDQSTFRISD >PPA07240 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig151:197135:198273:-1 gene:PPA07240 transcript:PPA07240 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFIILSLLPFSVFAELDPAVAFVHAIKRQALYVCGTYPNQYYSTTQCNSGTTNQCTNGGRFLGIGCYFDYQCTPYSAGAAAKCVGGCCCTDPGNGGTNGFGYCPSGQTSQVSCITNAGCSSGQTCNNGLCCTTTGQEYASKKIHLLSILQFSIFNINPLPHQMRVVVWVLSPPVVPLKIVVHSCVPLPITVVSANSEEAADCVQLVALKDTPALPMDTVAHLVQMVKLLSECVRMVSVLRDSRAMLAMSAAETVDTTLITNHICKLSLCIS >PPA07233 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig151:171782:172306:1 gene:PPA07233 transcript:PPA07233 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTRSETREMRGVRYNDGGDEVLLEEDTLFGKDESEMEMGMISRASRISDEEDDEFIISIYIGNFSTISQFHLICTEYFQWKSGEIQ >PPA07247 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig151:224569:225388:-1 gene:PPA07247 transcript:PPA07247 gene_biotype:protein_coding transcript_biotype:protein_coding MDSINRDCIFENIYLGRMILRNMNRRVEEMKKGTFDKAGIQWIVEFIDFSQIRSPTSNFERTASKSHDLPSPATPLSEEWSTVIVTGCDRGIWEATGAVVDKVLIPMGDNHSIEKGSIYRVKYFPDSDGTNTAYEIDSVPIPNTDIQLLPGDLNRNV >PPA07241 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:199692:201388:1 gene:PPA07241 transcript:PPA07241 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDKGTPCLLIRLTKLLGFFPMSDAQDLDSEETRPSNTHDTCGEKIKFKCTATHSGVQEDPDDKSDTKTNITMIGPDGIPFCHFPYWNQKGYITPYIMIRPEKPLPNGKTVINCAPVLKSLKKLSNGRENKIDITFIVDGSKAQTEGQSQNDRIKDSLNFQIR >PPA07276 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:391681:395884:1 gene:PPA07276 transcript:PPA07276 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTDSSGRVITSLSMGLRRTKEDELNEIKIVPRERMIHGLRDANYKQGQEQVVPAAITTINSVKPRRKPRVEQRRRPTSEELYSDIFPSVIESPHIQLDSPTQFTKLRDVVPSSIEQKPANGMNPNSNSLVRVFAAQVPTAQIDPIDITKSMEIGEQRDKDIEDPLSFEESDSNEFVAGPLPPVHAMDSKENSSSEKVEETPSSPPPSTTTMVVTETTSSPTHSTPAMAPVMMVTPQSPSTVVPVPSGSLLPRGKLESIPSSLLADIVGDEEGAVVPNSERTEEVVKEEIKKLVLQVGEKEAEEMKEEMKREEMRKMEEEIKENQVKINQKRAARDEIVEKSGSAPVPITRMNNYRPPPPPVRREILMEPRCHYDPNLWAMHPKSAVTSATMFARSTGGTCEECLKQCMASQTAPWTCRSVTYDTEWKICDLFAISATANPFHLVEYKGRDYFEYLPASPPSDMDMKKMDEFSLIHKNEEVIKELKPCEVRLHELQMELAKLKGLSRSVHSYAITTAAPSLPFRQSGVNTEEYSANPLSSSDMMSVDGGVAEGSASLSMQKEAAKRTSDAMVMSSKKKELNMEEITPIQFRRRVGGKNRGGTRKMKRAEERMEKVDDEFNPRVGRITETHVHSDKNPMEAHKEYLREVKLSKVNARASAVSTSIGQTLKVGEMLGTDDLSTQLQLESQDVKNTEITGRTSANINMPKGGIKVHKIPHSFSINSGSSAEGEGYEKKNLVPPSPIEHESIGSKPILFDENHPEPLSGDQNKLPPLIDHPTWAILAASNRDQNTPLGAVVTSDPTSCRGHSHHVFVSFAQTDVVRIGQMKDCPVRTVEECARACDGENAFECVGFVVDILGCHLLSTRPDHRNEASFMRNSGATYAEKICMGGGSVHLHGILGAAREHLLIGTVTNMASTASLSQCM >PPA07270 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:359481:360544:1 gene:PPA07270 transcript:PPA07270 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQHSGELLRESLLRRVTNEYAYNRYPDWPAFHHIAVDATTTYGDLWNGISSMANHMVIYFEMADGMGAQFLLDMASRANMVGAKRALANSPLTSMLKVPYFPSVALFRRDHQQALYMQPFSNRTYADIDAFVTADRIALHQQILTTTARPLLSTTTAKPVIDCNTNPESSDRVSSGVEWETSPMDTDSVYPL >PPA07265 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:323413:324426:-1 gene:PPA07265 transcript:PPA07265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ccr-4 MPRDNIALCAVLKIKDAVYNNRSVMRTPMPSSDNVVGSPLIVCTAHIHWDPEFCDVKLVQSMMLAHELWKLVEDVSETHRIKPHQCPTLVCGDLNSLPDSGVFEFLSKSGISRSHPDLKAFKEDAVLEKFSHTHDGANYTHALRLDSAVESGVVAFTNYTLDFKGMIDYIFSTPQSLARLGVLGPFDMEWVRNHKILGFPHPHVPSDHIPIMAQYALIPTNHQRPQPQIQHFGNQHSGAFGAVGR >PPA07243 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig151:203937:204596:-1 gene:PPA07243 transcript:PPA07243 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLSLISLALLITISLTEENIDYDVQLPEKRFRGFSTPDELSVRFFNYPRHTAKVVHEEKNTQEKRQGSFRHNQFLNCMLSLRDTARCNEMF >PPA07264 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:318608:320657:1 gene:PPA07264 transcript:PPA07264 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDSPKKEKGVKSSKKKEEKGVTDSPQKGLPSKWQYLGAIIVSVITAVFLTHLIAASPIRAVEFILDDPPVLEKNLRLTQSSIILENAVYGPESIAEDPKTGRLYAGLKTGLVCEIELNKVTNEGRILRAVRLTNASDCDGSYKSFPKCGRPLGIRFSPSGSLLVVDAYLGLFEIDLVKEKVLILIRGGRHIDDSFSSTPIRFLNDVDVLPDGRIVITESSSRYDDRDFMYEMLEHRPNGRLLVFDRKRDTLREMIAGLYTPNGIVVHRGKILLAEMGTARILKFSPSANSVSVFMDNLPGFPDNLRKSRDGRSIWVGVPVMRSKEDNWINQRPDLRELISKLFSLQALEWILSLFTPSYGCVLQIDADYPTVSIQLDRKWQESHVQLRQKMAT >PPA07282 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:444161:445217:-1 gene:PPA07282 transcript:PPA07282 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVTFDEYGNYGDGEIGSSDVSPPSSSIVPSLSISQQIALALSPQRTSPDSSSADIEELNTKELALRISSELKRYSIPQAIFAQRVLCRSQGTLSDLLRNPKPWSKLKSGRETFRRMAKWLQEPELIRMSALRIAVSHHSLIEPIENSFNEI >PPA07278 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig151:410364:413168:-1 gene:PPA07278 transcript:PPA07278 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAWRERETDIRIQYATEALEKNENCAMAMVLLAEEQSETIVDCENMLRKALRSAEIQYKKPPSTSHQYHDGSVEFYRQGANVQSYIRRRLAMCARKQGRLREAIKMFKDCHKELAMQSVYAVQENLIECYLELCSYADVQSLLVRYDGYVADLREPRSAVLVYTSALLKARAVADKLSIEQTRRGLSIAESNAVEAIMRAFEYNPHVPYYLLEMKSMILPPEHYLRRGDSEAICYSFNHLQHWKRIDGALHLLQCTWKGAWHVVSVFPKKESAIMNVLGCFLCLIICVSSVIICHYPATFQEFIQAFQTYCTAVWTSFSNGLAAWIPENVVELLASKSRTDSATVENF >PPA07284 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:448411:448823:-1 gene:PPA07284 transcript:PPA07284 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWLTHFELSASFSHKLFGNEDIDAFSFVLAIPHQSSTRCEMCVSYTVSDGIFWDNNGGANYLLEWVAREEKPLQ >PPA07229 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:154577:157108:-1 gene:PPA07229 transcript:PPA07229 gene_biotype:protein_coding transcript_biotype:protein_coding MLETPVDWIEPYANGNAKNGVANGSNGTEESSKNGDHESEDELFKKEEEIEECRPGGAPVLTKLRRGVLEIGPTMSRLRSNTFSSCCLDRLVVMHCSCGEVVKREAYGTHHRMKHPTRSSAPGSPTTSNGSVPPSPLTLQPPPISKLASLPPKMTPPRMIQKRSSPKVVSPPKILSSNNNIEEKKPRLTPPSTVGTQDWKVLSSSDGGGTKLRIVRINPHQSTVTPPSAKESVERIQENNPMMQPLPAVSTVTPSPTGSEERIISPRDRRAAHREEIRQTRPTIRAKHRISTLPVPVVAREEETRTSIPSSINRIPHPHTLYQSQPSTSYPPQSLHRPSTDHPSSISSLHPPSQSPLLISTSSSSSMGPRYTVHSPSSSQMVHPPPPQQRPSPTLTYTNEHGQKVYLMPRGTAQMKRQMPMQHPQQQPMQQMQQGEGMVYGGGQSNGQQGQRQTIVIVLPPGTARNRNGPLVLPPGTALRFGD >PPA07242 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:203222:203787:1 gene:PPA07242 transcript:PPA07242 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSDGTPCLLIGLTKVVGFFPMSDEELETGIRHTKRDNACKALLRFNCTANHKGANNLPDKEIEFIVPDGIPYCHFPFWNQKGYIAPYLMIRPKDPLPKGKTVITCFPALKSLKKLSKGQDNIIEIVVNREN >PPA07236 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:184950:188098:1 gene:PPA07236 transcript:PPA07236 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPFLFSSLFIILIDSKGSPPSLRPTWIRDKEMTMESKLVAPPRVPFLPRRPTVFFTHPLPHPLFFRSPTVTTPKRRSSSIARRSTAAPVTTTLSPIIVTSTTISPSRTTHRRTTPHSIPTTLIPPTTTIPPITTLSTPRSGMTIRSFEKITVEKGRTRRTRVRAEVLLKGKVVEEIRFKRDGEVDFDRRKRDIARRAIFSPSVQHFVSKDRSTVVTTSNSETDDILVIMQDTAPGTTSVFSNADRKIKKAYEEGLNGVEEIFDEIGSSLVRDLPHAGEAFEAAKKDLNKKMNGFKVEGAKTRNIAVRKAIELAATTDDGTANAMKESMKEYFVYDNSFVMMDGLLYSMPVVYSIVQSTTTPIQNSVNQMHGAVENYVTESLSGLREKMPPLTKTTEEIEKAMKKLLNDLTEKTNKKISGMISYETLDAINDMNPTDTQSVSVIQTGPGFSYVTLSSSAPSFSKSLNGTEERAKNAVDEYRKELEPYINGKMLSPEIFGNVPSHTEKTKSLNELLDKLSHKVDDFLHKDHPKSSVEAAKAIQSKPEEKEKLNEAMENATMYSYVPMLYNGWYTLAPVAFTQASDLATATSNQLNSFKGQAVNYAYSLLMDIFGQYQLALVPIEQAVSNMTETGSNIDSKLHETLPFAIAQATTEMPKDKKTALQQIVYSYVPTYPFFG >PPA07234 pep:known supercontig:P_pacificus-5.0:Ppa_Contig151:175860:178473:-1 gene:PPA07234 transcript:PPA07234 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLRFVTTNDDLWSQLVFVIMSFNPPSRSQPAPTRSQPAGAGSPHPFASPRPRNASPRPLSSSQLESELNTSQEIIIAAALAVRNSVKKRSMSVDRRDRSLDRSRLDAPTTSTPLRSRSPNAPATEVDSRSTSVPPLTRKTSVRALFTTSTPKPTEKVNNRIFDDEMERSRPTDAELDAAADLRAISQPPPDTPGNMSMTDSQTAGDRSMNDTHMSWGAESCLTIASIRTARGGRSHNGTNAKAAAAARAYWTDLLKCQRDWYIKVTTTRFSSKSASSHVLDAYSELKSSLCSFSPKPDEAVKAMELFAVHNTRLYVFLTERGIPDSATALAEFVHAMLTKYLANPSHMHENDRAWLLTKHILELVVVIPSSTDILLSIKSTISQIQTEFIRIWAASHAERDLRFIAYSLKCLDGIASNLSARINHDSCTIVSQAYFC >PPA07292 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1513:352:1688:1 gene:PPA07292 transcript:PPA07292 gene_biotype:protein_coding transcript_biotype:protein_coding VKYAIYYDYFKSMGMSLFLLFVAGMVISTSVSMGRNFWLSDWSNDNQRSVSSNATQTMTVGVRLGVFALLGFSEVFLLFFGMLSLLFGGVAASRNLHKPLVHAIFRAPMSFYDTTPFGRILNRIGKDIETIDIQLPFNVQFFFSCILQVTSTLVIIMISTPMFGVVVIPLAIIYYSVL >PPA07293 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1514:60:808:-1 gene:PPA07293 transcript:PPA07293 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVNTVGKSAFVEAVGRASDDAEGYLDFFKGKITESHLGKELLEKVELTEDNASRLEEFSKEWKDASDKWNAMWAVKIEQTKDGKHYVAGIGLSMEDTEEGKLSQFLVAANRIAFIDPANGNETPMFVAQGNQIFMNDVFLKRLTAPTITSGGNPPAFSLTPDGKLTAKNADISGSVNANSGTNSNVTIAENCTIIKR >PPA07294 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1516:563:1638:-1 gene:PPA07294 transcript:PPA07294 gene_biotype:protein_coding transcript_biotype:protein_coding FTVHPPHGGMMGDGEDNEEDPLGDNAMMEEEEDQMESKRILRGRVHRAPSMREDSPHIESDTSPHSSPNRRSSPIHRPPIRRSSPIPHSLSTTLQKGRTHGSVEERDRNITNNLEKVAANLEKVVDALPETSNDFDYKYVAKDIVDLHDANENLLIFATRIDEIIFPNERHLRLEMRDPRKVKWLYEKKNLPRSRQNPKDETSEE >PPA07295 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1517:39:636:-1 gene:PPA07295 transcript:PPA07295 gene_biotype:protein_coding transcript_biotype:protein_coding VARVDWPTVPTEDVGADDDLNPNNRMYRTEVATAVNDCLLRARATAELAVSSDMDEIITPMNLKGKNETLFNIIDDYRNRKLKNGAVPGAFHFRHSYAYVE >PPA07296 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1518:289:1156:-1 gene:PPA07296 transcript:PPA07296 gene_biotype:protein_coding transcript_biotype:protein_coding RSTTTLQWTQPSTTTLQSTQAVDHHSSADAAVDHHSSADAAVDHHSSVDAAVDHHSSVDAAVDHHSSVDAAVDHHTSVDAAVDHHSSVNAAVYHHSSVDAAVDHHSSVDAAVDHHSSVDAAVDHPADAAVDHHSSVDAAVDHPADAAVYHHSSVDAAVYHTSSVDAAVDHHSSADAAVDHHSSVDAAVDHHSSVDAAVDHHSSVDAAVDHHSSVDAAVDHHSSVDAAVDHHSSVDAAVDHHSSVDAAVDHHSSADAAVDHHSSVDAAVDHHSSVDAAVDHHSSQEWDPL >PPA07339 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:317092:318946:-1 gene:PPA07339 transcript:PPA07339 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLIPFQKRRTKKGKGKKKPPSAEDEGESGMNAPDVRSSAQQGGGPSATTIVAPNPTAAPDATPAPDDPTTTTAAAATTAKAAAGGGGGAAATPGTGVATTPRTGVATTPGTGIPAPPGTGVATTPGTGVPAPPGTGVPAPPGAGVATTPGTGVATTPGTGVATTPGTGVPAPPGTGVPAPPGTGVPAPPGTGVPAPPGTGVATTPGTGVATTPGTGVPAPPGTGNTSGGGGGGTP >PPA07310 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:51057:55124:1 gene:PPA07310 transcript:PPA07310 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRYFACRNKPIAPLTLHATYVNCKTNHTHTHPLLFRHDECRDSFLLLFLPFLRFSIDNQRNGRHYCRPLEQTQYLVLDEADRMLDMGFAEEVMEIMEKGGLRGKRRILQVFKQKTLIFVASKTMTDTLGVFISEAGTPTTTTHGVREPVVWTIQSDNSGALARRATKFAEGTLVKCKIAYGECAFFQQKKRVEKVILIGRHYCRPLEQTQYLVLDEADRMLDMGFAEEVMEIMEKGGIAGKEDFVENGEFVRNRVQVFKQKTLIFVASKTMTDTLGVFISEAGTPTTTTHGVREQSIALATLVVWEFDVIHRLHDYEIILPLIRQLAKVLRPSTRMMEGMMAASQEETNGADGDSHREGTESTASSDSPAPPGYGWNTRTVPTKDLEKAYKNVIKKDPNEFEFYKFNFQLKSLLVFIV >PPA07309 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig152:46707:50408:1 gene:PPA07309 transcript:PPA07309 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCIMNPSEIKTKDPTDAGTTSQHLQYWSSCSLAELEQLYSTGADYCLWDEPGMTIEEDEAVCGNGIVEDGEECDCGQLVRGCITHTCCDATTCKLRAGAQCASGACCDMKTCLAAPTTRMCRDAKNTCDVPEYCDGVNAECTVDQHLPDGLTCPDDENAICYGGRCGSRLLQCKEIWGEQARPSADSCYKDGNLCKIPRSEGMFAGSGEEVKVKVTRDHLCKEKDKMCGMLRCSVGDFDEAKGHITGWHYTFSTKEQCKVMFTEYQRDYKPHYFMGMTPDGAACGDGKVDDIRFLIPKYEMGTSSL >PPA07336 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig152:292509:293809:1 gene:PPA07336 transcript:PPA07336 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGICTNTPSGFSCSCPPSFSGSQCELQLSCSSNPSATEACQNDGYCSEEGDCICPRGWSGARCEVDEDECERNPCQNLGTCINRRGSYMCVCLDGFEGEKCEKNTVSGIP >PPA07303 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:28044:31794:1 gene:PPA07303 transcript:PPA07303 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFYILSQLGYCQALLPGLVGGVGDTLGGVGGGVGGMVGGVGDTLGSAGGGLGGVVGGVVGGVGNLTGAVGGVVGGVADGLGNLTGAVEGVVGGVVDGVGGVVGGVVGGVVGGLLGTTTTSTSSSPTTTTSAGRCAPFTADGVTYANRCVTKDIAATCTLDATKPWCTCTAGWTDTYCSVDTKSLSNLGGNSSGVIDVINEGKNSPARLIASLPALLSFLTDEQRVQMSYTVEEMILEATYEEKPLNIHESFTLFNDPSLGNCFTYNHFNATQRYLARGPGERYGLRVTLDFQINEYVPWVEAVVVASGSLFILSDGVRLLLIPSSLPTTQQDRNPHPSRTGRRVMVWRTRAQRRLQHTPGFVFDLQWDSIYVQLRQQFYREPREQDSFSSHCDCDNERRPIHWPETPQNDYLERRTAF >PPA07330 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig152:245022:253486:-1 gene:PPA07330 transcript:PPA07330 gene_biotype:protein_coding transcript_biotype:protein_coding MIAARIRLPNFFFKTHHLVGRFLGDRGRAGLNRRELGHGALAEKGLKHLVSPAFPFCVRLACQVLESNGSSSMASVCAGSMALLDAGVPLKAQAAGVAIGLITDEQAPDEKYEILTDLGGIEDYAGDMDFKMAGTTAGFTAMQLDVKTTGLTRKQLHESIVRGQSAIDRLVRRQDEEIARPREQFKASVPVLESLQLETYKRGILFKGGAMAAKQIEVETGVKISLDDDTHVCLTAPNREEMERAKTMIKELTAESDETQIEFGKMISVEIVAVHDGGISVKITPNGKAYYVANKQLHSTPIQHPSAIGLKVGDRVTMQWLGRDSTTGAVRMSRRTLQGAAGAASNAFKRGKFMRLMKLKQPDYSKKYFEK >PPA07325 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:142392:143207:-1 gene:PPA07325 transcript:PPA07325 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSSSAAERLMAAKPYQGGYFQQVGSEEAHTVESFERRISGTDTTEPKREFSTNQYTERRTYGRDENGELVVKIDQDN >PPA07299 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig152:9568:11483:1 gene:PPA07299 transcript:PPA07299 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDASFEELPLNIRESFTLFNDPSLGNCFTFNHFNASLQYQSRGAGPRYGVFTFIHPIGQNIYLESVKHTVQPGNADQIAMKKSSFKRLRGLFTHCAAGPSSAQSFYFPGDYSVDGCLRSCYQDSVYRSCGCMDPSYARKPGVAACNFEKLACIEEMSAKRGDPYHWPECRCDVPCDEIEYRYETSQAMHLQPQSSEH >PPA07312 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig152:69185:69772:1 gene:PPA07312 transcript:PPA07312 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFGDAPHTERHTVAATAARIRRTSRMLAGANEEEEEAIPSKLQCLRPEGWQQIEKDGELLFPRVAIDVFHS >PPA07350 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:388287:389665:-1 gene:PPA07350 transcript:PPA07350 gene_biotype:protein_coding transcript_biotype:protein_coding MMRHLSIFLALTCIGLLFAQSDDDVSDVSFEEEAYAAAHARNAARVKRVLLQELGMSVEEVGREPAGNVEQTKQAFGDSDRGFSFFNEDEAFNYVNIVGHSAGNHSNVVSFEFGRQVLKNRIESAKLIFFLKT >PPA07324 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:138141:140712:-1 gene:PPA07324 transcript:PPA07324 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGNGYAGQHLLKPIKPFETLPLHIPRIETGSRANSRTENYYPVREVNYPMPNNYNNYGGRRSAASAASPRSITIQGPGISPRSVDSLPLASPRSTSSAGSKVSVGGTPMKKVGELKKTRLVSVYDGRPLSPYVETVTYEPRYGGPRRSIEFAHEPRSVSRYEENWGRTVNGYDRSSRSNSRLSFGSRLSASPQPARPMSASSRRTSAHDECSMLVYNPLYADY >PPA07332 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig152:259386:260414:-1 gene:PPA07332 transcript:PPA07332 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGKVDVFKKLHGSALFQRGQTQVLSTCTFDSPSAAFHPDSIAQLLGSQRKKSFMLHYEFPGYATNEITVVVHIPERAFPYGGRVAAVSRSLDLLEVCARCDGIERAVGGERGWAELRRGRGKELLDMVVQRDIAQNDMRIFMDGA >PPA07345 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:368020:368667:-1 gene:PPA07345 transcript:PPA07345 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTSEALEFIDSTRTKACTLYATDKIALPIVKAVDLLERMVKGDADEMIIRRIDYLNT >PPA07342 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:344600:357506:1 gene:PPA07342 transcript:PPA07342 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIAELMDLAEIPMMQDYGDHLKTVKQEDVQQLQHVQQADQLDLEDIEEEEGERKRLLDCLFPGERRTTHSQLHQLQPMQPMQQLQPLHFSMAPLAGENSMLAPRPFLPMPFLAPPPSTIMSMGQEMKDEKKPILPRPEIPPPSHLTMDAQTRARMFGKKFIGPAEVPHPIFVSVSMAYRTPFLTYRTPFLTYRIPAHVVNCHRCDASMRVCVRKLKYADHVKEYPSYRCTRKGCQTFKSMRVVDQPDGQRAPPTPKPPSARALRRAAAAAKKQARAAAAAAAAAAKRPAAEATRAATMALVPTTQQPIMIPTAAPPPPPPPAHLLPMANPYAGIVVPRAASLADADAAPPPTTTLATLLGAPRPSATHADRPAHASTNEHPQYSTAARSEHADLLMGGGAPERGGCNWMTSTTMPGRPSMNAMMAPLGAHSVSHGAQSVSHGAQSAHIDPPKTKVEPRRRWSRYDLCTQQQKDAVAELLRRHDEELQLQLQPEGARARLAKIEEQQRVDAAAAAAAAEEDQQQTVAQWLAKCAKTEAPKRFDAAAAAEEKPAAEFGGAEEEEEAPPQQQQPPISTLTPPPSIKAVFITWCSSSELRETMLAFHTGEFAAGTDDHCGRRGHAHLLDSTHLLHSSALCAHVGTPPLFLHSNGAHLHSTLRAPAKHGWTGLGQSPVKTSLTAISQAPPPPHHRHQAPPPLQQAPPLAAAWQLPPLTPLDVRAFQLPRDMLTLSLSLTGMLYGPCLTGMRKGVSAENRNVDAAARAADAADAAAAGGAAVPGAHEGRGTAEALEQICSAARTLAAINAMTRHSTASSSSASHFGFPNPLFSGLGAQQSLQQLQLQFPATAQHPQMQFPTAATVQQPQLQHMQPEASPAKIWYVVDSDEDLEEAESMEDSFDYLGTRSTTRDWARTAATTSTTNEVVRMDDDDEEVIDLASRDDTQSDGYDQNFWETSSSNIRNVNSTFDTIKAIMSGDLAMSFTET >PPA07300 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig152:14867:17710:-1 gene:PPA07300 transcript:PPA07300 gene_biotype:protein_coding transcript_biotype:protein_coding MRNENDPLAEKQKCDICKKIFFNSETLNRHKIGHLPDDDERKAKYKCDICGEFIISEYKLSRHKQTHLDENDPEQAMLLQKLSCPKCDKSFRYETFMGAFSGESLKCEKCDETFTCKLTSRSTTANNGNSEREDEEMDDISESDEGFYKLLTQKYRLEVRRGKIRGLGRRHSDYKKDQFNDENDANAEENTGETAGETEHSGELEEIKYQTKDQRSVSVFRRLTTVMPSLS >PPA07334 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig152:278464:279344:-1 gene:PPA07334 transcript:PPA07334 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSDIKISRDEYDLMHDLNMHSGLSFASSTYDIVHKDFDNGTTLLICSCLWWKR >PPA07321 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:123547:124758:1 gene:PPA07321 transcript:PPA07321 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFMRTESVARSFPTLSRHSLDVGSMRRAHALLSCIYNGGTGSVSGVGSVTIMVTCNAAGTAWVYMGIAITSVTCT >PPA07343 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig152:359038:359197:-1 gene:PPA07343 transcript:PPA07343 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLVQPWQCATCRVQYAREKELKDHLMINRGHISTKERKKLEEIRMLF >PPA07305 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig152:34820:37226:-1 gene:PPA07305 transcript:PPA07305 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRWFPAAEKSTMSALYTSGIQIAAGSSNLIGSLLCHVPVLGGWPLIFYLFGIKMKYALIGTCWLVIWLIFVTDHPTQNRFIRADERKYLEERVVAKSTVYACLFCNFTTSFLVSINQNFLPLYFKEELRLPISMNGLFTVLPFLTQLIAKNALAHWADRIKSSGKMSPTTVAKVFQGFSSYGSCIAILCLALLPSCHRPWIAAPVMVAYGIVFAAGMCGFMTSLMCIAPVYTGTLFSLSMACGQLSAVLATYTGLPHKWLIIYTLGAVNQLVGRKQTLLSSQNRSKKHSLKMSSYRN >PPA07351 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:392321:393281:-1 gene:PPA07351 transcript:PPA07351 gene_biotype:protein_coding transcript_biotype:protein_coding MFNAGSARGIACPVDYSRTGVERILSRPLAATAAGLRQCSPALFSPFSGTTSPGVSSRELRNGRLMVRGHTADSGLYKEGFPPPLALLRKSGRH >PPA07340 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig152:338801:340176:-1 gene:PPA07340 transcript:PPA07340 gene_biotype:protein_coding transcript_biotype:protein_coding MFDEPPAADGTRAQTISEQHTELKNARLKLFAARAALDEQPKLIEQAVDQINLAAQPSTGTSPGAYSLYTHNQKERAKLARQGRPAVELAVPDMRCFDSFNAASLF >PPA07318 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:100103:108139:-1 gene:PPA07318 transcript:PPA07318 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIRLNSIRSPPPLLSSMAPPPVIIPTKSARVRKASQSTEREKKIEKREEAGPSTSAKRDRSKDKSAKNSPKSPPSSRRNRSEEKSLKRSARSPSAPTKELATHGTGLNGTYWPTTPISSAPRSAKLSASSKIENKNDTPTSADEEPEKKSRVTFSIEGPSSSKSSAEMKGEKRNTRSSSADTPRASRSNGETAKKDRDQSKSPKTVTPKSNGETTKLTLKTKDHSKSPTSKDDKSKKNDLKVTPKMSKLSLECKKDRNESKSPRKNNNGPPKSPKKIGELSKKGKDGKPAKNTLVKSRRSLDGDASTSKEDNDAGTVKKRRKKLVKKPEDRKRNTVSESSESYNSDASEKEKDPYEQEKEWNQHYPGRIYALNNCKPKEKVEGEKFQTRVERVLSGDKETKADWNERLVRTGRISGGVFEFDIIVGCTDPKASWVSGEAQQKKFKILIVYKGMVRGEWRDFCPRWYEFQPLIREWVNMQIQFDKVEIVLREECARRGQRYEDLYPARYLPTAGSKGTWGNTPLERLRTLQMARQYDMNDTNREDGVPPNYVADWSGVVGFIVVILFHVVRIKAVASYLTDKFTNYPIYSRRVEKKLQSGNIERSDEHCKAECPCSNPSLPGSCTCHPKKTKFNRRAECGGSCRCDAETCSNRIVQRGRQMPVIIMRHHVKGWSSRLFVDIKEGDFVHEYVGEIVTYHENRKMDQTYALDQSDSLWDPSQPANRKDQHKARKHNKGKTESGYCHRALVANEARFNAHGCKPKLKTVVTYVDRQSYGLHRTAFFAGQDMRAGEELTWNYHGEGLEIAMNNGEGFPSGILPCCDCGEPDCPITKNTKPYVDEDKEPEDDRDSFLDSDEEKELERELLLNNNRTSRMEKRDQSAQLAIHSHNSSSVCDNSFRSEPTPPYET >PPA07307 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig152:41689:43143:1 gene:PPA07307 transcript:PPA07307 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMSKENIRTHTHSLLFRHNEREFSIDFHPSIAVFTPTEMKHRSKYPSSFCHFQGSNNDGFHTWLSVCRPDLIEMVMHTPHASFTLEQVNGTFILISNGNDSCDFLTVRQRKRRASSGNFIPHLQLLPQYYKEHSHLLSRKRYVEYAMFADYTLFELYGKNEVETKNRMVTALHMMNSYYDSLNIEIRLVHLSIIKSPNEIDLHNKTAALHSFMKYLATNCNNLHYDSANLMIGGRHFPTEIGLANADIAHVHFVG >PPA07348 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig152:379570:380775:1 gene:PPA07348 transcript:PPA07348 gene_biotype:protein_coding transcript_biotype:protein_coding MYDELLSTKDCKKGINSFEKRDRLRIHVLHVHENHRPHVCSVCSKSFSQSSSLNKHLRVHSGERPYKCPFCTKSFTASSILRTHIRQHSGEKPFKCCHCGKAFASHAAHDSHVRRTHAVEPH >PPA07313 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig152:74655:74943:1 gene:PPA07313 transcript:PPA07313 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKHHRGVQTTTNTDNYFTQLTNEWEGPAKNKRKQQDYRRRHTADGVGKRETIKL >PPA07331 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:254060:258083:1 gene:PPA07331 transcript:PPA07331 gene_biotype:protein_coding transcript_biotype:protein_coding MRISNSARSTSSKQSDTKRRKKSWSLEGKKKKDQPKSRQQIKAMLLAKEKIKEEDLDAPPNSDAKDDSLRIVEQHKFMEKEEYAMKQPQIALKRKVKKKGNRRAETVRVVRKNKSRSEVPKGSREESGSATPTDTTLFKERASANNSNANSPDNSDRQREREVRRRALDIELRRRALVDRTQERTLDRSNRSTTDHSTPMDSEGDSAPLPLAREPEPEIETRRSPVVVQRSTVEKAGASRMVKLQPQQQKDQLDQRRMSNPRTARSTMGLASSSAAVKSTAAGVKSTGETSTQLALEPEPDLDRVRRQKEAAFMKRFLTALKLLPNTAPPRPITPPPRSEDSPSDSSKVAHSTRIDL >PPA07327 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:197309:207037:1 gene:PPA07327 transcript:PPA07327 gene_biotype:protein_coding transcript_biotype:protein_coding MADTDRECPLCFNRVSKWAFPQMLGCPDHASCIHCLIRYVELEVMENRVEVSCPECPAKLHPTDVRAVLRSSAGGEAALAKYEEFSVRKCLLTEPDTRWCPAPDCGYAVIAAGCAACPQLRCSRPACGTLFCYHCKDIWHDSMTCEEARRTRGIFAVSAATSGESRRGILRGSSIALRRGSSRMSSEAMVLKPGDVKSCPRCRALIVKMDDGSCNHMVCAMCSTEFCWLCLKEISDLHYLSPTGCTFWGKKPWTRKKKLAWQLGTLIGAPLGIALIAGLSVPGIIFGVPVLVGRKVHTRFMHHTKVRRRLITAACVAGSLVVSPVLAVMAVGVGVPIMLAYVYGVVPLSLCRNGGCGWSSQLIGDEPSEDELWTAAGSEAEVVGGPEGAGPSGLTGLAASSSGDVASAISLAMGGGGGGGCGGSASLASAGGAYATERRAASAADAASLAPATSLHSGFSVWTARGKRSQRKKEIVAPRGRITMRSLRRQRDVQHGRSETRAATEQRERCPEIRSTLSFLSGFRTTN >PPA07353 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:409693:411786:1 gene:PPA07353 transcript:PPA07353 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEDSVVAHLRSLVAADLTPYYDTHFNLLRWIQYSIKSVVCGVISSRGVSETCTGAILTVTLFPPMHAHDTIGLAHEVTLPPLRRRPEALAPKVGPLGLSPKKVGDDIAKATGDWKGLKVTCKLTILNRQAKIDEPHRDRKEVKNVKHNGYITFDALLKIARIMRPRSMAHKLEGTVLEIL >PPA07319 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:116881:118303:1 gene:PPA07319 transcript:PPA07319 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVKMEPCLSPKDSAKEGEIAKTYLSPQRGGDAAATRTGRESEKDKDETSTADKKGDKEEANTVSELVTDFGKTQTIGKKKRKKDETTGTGTERQTDKDSERQTGSGPEPEAIPVIPDSPIAADDKEKTKRKSRSGYYNNKASEKSKFVTRSTQEKRRRSIADFSAESPEEEESDAKGRSERDDFDDGAPRVRGRGRGRDKINAKVITAPTQNDPTQTE >PPA07320 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:121763:122378:1 gene:PPA07320 transcript:PPA07320 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPATCSASCPNALLPVPGTFLLDTPSTTPGGCSVRTLLCTNGPITGGNPRITYNGGPGFVSAPSSVTLTVTCNAAGTAWTYMGIAITSVSCP >PPA07311 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:62146:64770:1 gene:PPA07311 transcript:PPA07311 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSEAEIIGCHRKKARKLSPGDVIGSIYLHALGVTQVMLNAANDLEEIDYSEEQAHNVDAGLAIKEELEDELDMPLPLKIEQRANTFDYGEDPIRKQSVKNEIKFKLSKSSGRLTCTICFTQANAEEERCPYQCNECGKRFSQIGTFKRHAKIHLPDDHPDKNKFGCEICGKTFSQKGALQNHSETHLEKEKRSLPHQCPQCDMKFAQACALKAHMIVHLAPDDPQRNKIECEVCGKLIAASNSNDPRREKFKCDISEGDPLKEKYKCDVCGKCIVGNPSQLARHKETHLDSNDPEQARLMRPFECTECDKYLRTAADLKVHMTLHTGRYPYKCERCGKGYNKKSRMKKHFENACRSRERKHNFALKVKKEEIIE >PPA07297 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:1114:2615:-1 gene:PPA07297 transcript:PPA07297 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSTPPRQSPIRSSSFPLLDPNDHLNDDYMNILPKRFKKEASIRVENQLFEENTISQESQGSHLSEYTPSQDVNHVSEEDTDYSDNEGNYEEGEAVKKKKKKKKKYRLRLADFAKLLGIGFPSERTMRRMIRDIGCPAIENVFHECQKEVRTMAKNVAKPDGIAVSIDGQYDHPGFNATNCKVTVLDANLKVVLAASSLNKKEAEIDGKSIRMESVGALRAMRELVADGFNIKVRVTDQNAMVDKKLREHPDTASIEGAYDWWHVQKPLKKIWRTEMKSSPILSQLYSPFFNHLFYCHKKASIHGKR >PPA07298 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:6722:8437:1 gene:PPA07298 transcript:PPA07298 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAIDDGGGGGGGGVGGVKELPYDPGLGYVDPNPNAQPDAGGVNQPNSMVQPEQPACLDDGSGTGNQNCVVPADPGGGDPPTDPPPTDPPPTEAPTTEPPTEEAPTAAPPTDTPNPGGGEPATDAPTAAPTDPPGGEPATTEAPTQAPPATTQATVPTTTTTEKPTTTTEDRGRCGQYTADDGKVYADRCKTKDTKATCAMDTSQPYCTCSAGWTDTYCAVNMQAFGNLGGNSSEGLVDCIDFSNSLLAV >PPA07304 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:31975:33709:1 gene:PPA07304 transcript:PPA07304 gene_biotype:protein_coding transcript_biotype:protein_coding MYTFVHPIGQNIYLESVKHSLPPGIVDQVAMKKYSFKRMRNMFTSCAASTAAAQSFYFPGDYSVDGCLRSCYQDSVYRSCGCMDPSYSRRDGVKSCNFEKLACIDAMTAERGDPYYWPECTCQLPCRDDEYIYETSRAILTQSASANASTSDIVIFLTTLDVYSQVEQWTFPFSRVLGLTGGFAGVLLGASVVFCFEVFLLIVKTAMIGCGNLKMVNALKMKKHERNHRAT >PPA07347 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:375237:378408:1 gene:PPA07347 transcript:PPA07347 gene_biotype:protein_coding transcript_biotype:protein_coding MFPMRVGPNGLPMVDPASLLWGSLLMQQHHQQQQAVLPSPPASIKEAPAVTPKVVVITTSTGKEERSIQPRAVNKEVLLTAPAGFLLIDIKIASKRLVSLICCREAGFGVGEEIEMSASLRAALRACAEGHLDASGALVRPLAAGEELTVCSADVPPSPMTSSRDSTPPKGSENGEGFSCERCGKVFSYEYYRDKHLKYTRCVDQGDRKFPCPICPR >PPA07341 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:341181:343467:-1 gene:PPA07341 transcript:PPA07341 gene_biotype:protein_coding transcript_biotype:protein_coding MPLERNIGLAVARYNTSDVRLSRADDARRSRARCLSVSSFCGSAHDEMADDVHSMENMLTKGLNVLLHPSTTDYPERKGKAQLHIPLGYVSDGEIVPGREALRRVRKKCRAATIDDRLGIETPRAAPGASMAPKKREGNWLKRGIGSFSWS >PPA07337 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:297564:299766:1 gene:PPA07337 transcript:PPA07337 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLHVAAQCGDDKCMELLIAHKFYKDPTKFEIVDLEDRTVLMLYAAKCTHSIRGAELLLKAGADVNYAGDKKRSHFYRGRTALHHAAQSNTDNAKMIQFLVSKNANKDAQDVEEATPLWLAVNRDNFMAVDELLKAGASLDFADQKERTPERLAADKGYASIAERLRVARARCPIIGLSSFNFPSRSTIIQPHQQPRIIKKPTIKKMKSPTPSSECTTPSPPGLKASTSSGPSFLDSPHSDQGGRHSSSSEIGSPLYTPSFTQQPLQHLQTMQPAAMRPSPPYDTHDPNNGFYPSLSLPPLALSQQPPACAYATQNDPRYQQYQPYNPPACKNIQYNKQIT >PPA07326 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:144570:145601:-1 gene:PPA07326 transcript:PPA07326 gene_biotype:protein_coding transcript_biotype:protein_coding MIDEDHLDTMLELADRFIMPQILSKCELFLATVSDYGAAELLYLADRYRLHLLALFVLNQIHSEDELVGLMEFEGFEMMSASMRAVVWKRRFQVSRPDRVPDVISDDVIEYAETSCNRIVTV >PPA07352 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:402603:404021:-1 gene:PPA07352 transcript:PPA07352 gene_biotype:protein_coding transcript_biotype:protein_coding MIKHMTQDLKTMGIHTINLRTDNAGYYHSASVMATVSTIMQTTGVRIATWSFSEAQSGKSSADRYAAYCKTIMRNYMSKGKNIENHDDMFIALTSGKGLRGMSVHLMSVDFTPQPKTTLEGISKLGHFEFQGDTIHAWRFRGIGKGMSKSRLTGIVSSATFHNHGGKLSRSGLKTEDEQKIRNGEEPHYWFFPTTKITTPEPDDVDDEAASGIAPTPIDASSPKSLFVCNQCSSTFIRHASLLRHLDVGRHKIRPEKISMYDFALGTFKRVLEEVQQGVGIVLPESFKEFTEAPKNPRPKGWALRSTKKTGRYDPVARKLVDDLFEQYFSNGKKLRPDEAEKKMRERNDILPAQRMTFDQIRNRITTLLSQKKEHQRKEHGNRQRRYVKLIDDFERDLAEEGMSLDDIEEEVDLERPLDEDDLIITSDEIYDLVHSNMEFFDNPSEPVFSDFGEFEQ >PPA07315 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig152:81889:86584:-1 gene:PPA07315 transcript:PPA07315 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVPLNATMVSQWLPIWTWQNMPEYNCSRVRPVGPEWTTARGVQHLYFGGWSLIWGLVTEVICYIPCIYALWCERRHSCYRINYLIMLSKIMLWLAIDDVIALMCNSVCFGMILLEGSVFCSRPWFFWIVGCFGLGSWCGACFGCLLLVTFRLFEVMNLSRRFEASANYMLTAATCYVVYFTFLTPPVFPSSEFKAMFYDPFIGDLPGEIYVNWPQIGNNYLVVLTSATLYILLVIIVVTKQIFVQASLICFCNVTAAMEYNYMNFFPTPPIVIELGQISWQLTHGMPPFIYLLLNKTVKRNCRRLFGLEKKVIKDLTLTQGRYPFECSICKKGYGDKRVKRELNKHMDRHAKGLVKESGAAPSKSGNEDVSDAANRKRGKFEESDDDQDDQEEKGRRMMNDMSCLRYICIAQ >PPA07349 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:381652:384826:-1 gene:PPA07349 transcript:PPA07349 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVPNAPTKKFEVLLNRDAVERAIRASAVDKFFSLTISAKANDWDELLMVKGRKYHEPHMGVVLELKFPSAALVAKRRVRRSGGGVIGKIRGGGVHIYQQCNKEQNTCCPHIKLFSWKSLGFNNIIAPDSFQSVVCRGSCGDEFEKTEYKHTVERQKIVTGLKKHCCHPVAYEQQRVIFIDNYSDNK >PPA07301 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig152:20118:21648:-1 gene:PPA07301 transcript:PPA07301 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDNVGSTIRKALLNIILPLEKESLDIAEATDRNNGEFNDLCALSEVRDGIQDVENEQLSPIRATRHDDQESSFSDSDNESGKEDEEHMRNRKNKATKKWRGLDEDARCPFKCDVCGKKFTQKAAVTVHKKRIHLQNEEEKKPFKCEKCDFRTTYKTSIKLHMRVHLATDDLSLEKFQCDICDKYYNSRGGLRKHKKLHLDIIK >PPA07335 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:281841:284124:-1 gene:PPA07335 transcript:PPA07335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lmp-1 MQYTTVLLLALGLAGAGAVKWGLKDTDGKYCVIFSAETIDAQLNILTAENVTETISFKINATAGATDGNCHFDFNGHPANQINARFYPNGQTPASATDAQPWRLTLTFTTDNKDVAFKLADYQLETSPSALFNTTTQVFTKASSELDLHASGTNGYKCSTTGFSLSDDSRVDVKDARVIANARLESDSFPEGQKMSPYLQ >PPA07322 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:127376:129069:1 gene:PPA07322 transcript:PPA07322 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKPALIKSVRWVGQQACSATCAAGLLPVVPPMLNVNSISGACAVRTLLCIKAAPLPPPPPPVSTITYNGGAGSISAPGAAALTVTCNAAGTAWTYMGIPITWAICT >PPA07317 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:92649:95658:-1 gene:PPA07317 transcript:PPA07317 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKLCDGVLLQPGSLSKEIRLGYIANQASSVFYGVFLCFAIPCGMMFLGVYFGTMGFPHPRTMAFCRPVFTTLHNLDSLPLSLIFLLKNQTYRRAIVAFGVVIPDPPFEFLLLVMHQKLVQNTPSKVRFKKSSSRSITASVTNHPDPSET >PPA07344 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:359411:362818:-1 gene:PPA07344 transcript:PPA07344 gene_biotype:protein_coding transcript_biotype:protein_coding MCWLASYRLSGREAWGRKGGGGHVIYRLLPVSSFLRPLEHFQFLRKSEEHFQRKDGRARRNLDDDDDEGEEEEELEKEADSIFHQTPTTITSQNTLQLRSTGLGTKIPARMECIIAAIPCTICHQLVKKDNMARHMCVVHDEPVTAETSTSKAPSMPRLRLPCPICGQMCADDPHLHRHMRTHSGEKEWRQPVSALRFEPAISVVEKSTHQV >PPA07302 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig152:25055:25727:-1 gene:PPA07302 transcript:PPA07302 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRCWDMLAEILAFVKESMTPNGEYCTITLSPKPSTAWTRGSLIIDSKGAIDSRSLREDFKEAFGL >PPA07323 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:133900:137462:-1 gene:PPA07323 transcript:PPA07323 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFDDQIASWYRSPLDSDDILAGASLLVLLVLFIPLYGLVIHEIIGFRYLLSAAVADLLCMLQYAGLNGIAILTKSRLVSRDGRHWLQMYIDYVWYARCFHLPLIAWSRLHSILFLYSFQHQSRLFSYGACLALGWIAPLIFECAIHWQPFMTVFFFEPAMYGMLSEDFGRYLTDGQSTMILSINAICGFLPFLFYGLAVILLIKHGITSTSKLQRVEIMLILPCVVASVVFVVGQLAITFGTGSGKWFTWSICALFFVNSALQPLLLIAFSPFVRRGVIRLLLYQQQQELTSKVSTVSRTSMSERRRG >PPA07328 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:211599:220047:1 gene:PPA07328 transcript:PPA07328 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAVAAAAATGSSSSSAAAGPSISHAAAAAAASSSTSSSNPDPFGIRTLLDNMKQMVAADEADCEPDDVYRPEPLARSRDSGASSMSPAGRDLESGVRPPPPARQVSASSTRGSGSGGADADTPTPARRTLPCRLDVVKHRKEKNSKSTAIHAKIVAPSQTRVFDAPDADDLEDYGSGEGEDGWTVLVFPSEHATSIEEFTATKGKIARFVVIDCTWFQVGVMTRLPQLKSTVDFQPASRDEKLPCVSLRSYATAFWRPQHNHDDSHLATIEAIYYAMREYQELGLKQPYNGEFDDLLFWFFVTMGKASVDALKEEKRKRQLETAEKGEGEKKERSEITFPSCATGQSLLFVRD >PPA07338 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:300800:306586:-1 gene:PPA07338 transcript:PPA07338 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTVARKVTAFALVDGAVQDVKWTRTNLGTCINRRGSYMCVCLDGFEGEKCEKNTNDCIGHRCDPGSICVDGVDSYSCQCPEGRGGVYCNETLACRPDTCTNGYCLESKCICDSGWTGDRCSIDIDECALSPCADGSTCLNKNGSFECLCPEGRTGLRCESATCGISLTTIVAVVAFIVFVAIMVGVGVKRRHILDAPVWMPPCKEAAKEFTSNYYSNNILGMNGYQGFQTYGEPSSKMPAPVFKTPADPIPLSASNELELAAMGDERISPEHRPLSSQSTRYSRTPLHWLALNTKKSSADIESDCLLLLSFGVDVNAQDMDGNTALHYACENARLPIVRRLLEAGADPSIDNELDMTPLHVAAQCGDDKCMELLIAHKFYKDPTKFELVDLEDRTVLMLYAAKCTHSIRGAELLLKAGADVNYAGDKKRSHFYKGRTALHHAAQSNTDNAKMIQFLVSKNANKDAQDVEEATPLWLAVNRDNFMAVDELLKAGASLDFADQKERTPERLAADKGYASIAERLRVARARCPIIGLSSFNFPSRSTIIQPPQQPRIIKKSIIKKIKSPTPSSEGTTPSPPGLKASTSSGPSFLDSPHSDQGGRHSSSSEIGSPLYTPSFTQQPLQHLQTIQPAAMRPSPPYDTHDPNNGFYPSLSLPPLALSQQPPACAYATQNDPRYQQYQPYNPPACVYQNQQ >PPA07333 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig152:274128:277968:-1 gene:PPA07333 transcript:PPA07333 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRIGSSSAPCLRGVQKRKATTSSGYSASIDQSVCIDSGADSPSGAPALELRTGHLARFADAAVVASRGESAVLATVVTKASNGVGAAGFTPLTVDFRQSAAAVGKIPTNYLRREMGQSDADILISRIIDRSVRPLIPGTVADQIQLQCKPLATEDDADPVTLAVNAASAALQRYP >PPA07329 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig152:227436:228648:1 gene:PPA07329 transcript:PPA07329 gene_biotype:protein_coding transcript_biotype:protein_coding MHTVHEWQTCPLADDAPEETIGVDRLLSTVPLHRDGYIIAPLGNVPLEKSDRKLDLEQVRAIGESGKGKAPALKRGRRTTQ >PPA07314 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:78168:81111:-1 gene:PPA07314 transcript:PPA07314 gene_biotype:protein_coding transcript_biotype:protein_coding MANIKEGGYSAADGRLFMLTNLQIKEEIEELLEPGQINHQLTTSYGNMVKEEEVEVEDEQDVKPSLEELENPIIPAYNDDAFPSTSTASQKPPEMVLPKEEEEVNGEEVKIGEEPEEYEEDQTDDFLGSQDEDISQESEQDVQGNNSKKLKRRPAKRKMRLKCETCGKICAGSWLLQRHQKTHLDDNDPEKKTFKCEICPRAFLERKQLQQHKLLHLGNALTSFKGEGVDVRQQKPRRFVTSATFVKKPKREEIQKSSRLKCDECGKTFAKKKALEVHKQSHQNAAQPMKCGHCGRRYLQQKALDKHELSHRPMPLLAETYEEPEEHGSNIKTEYDTVDVKDEVQDEMHDNE >PPA07346 pep:known supercontig:P_pacificus-5.0:Ppa_Contig152:371605:372048:1 gene:PPA07346 transcript:PPA07346 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVFKLANKTKSMTIMAGKPALNVLRTTICHRIQKPINLTAWARGKPIDIRIGVCRVKMQGSLPTLTMKIDYAD >PPA07306 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig152:38969:40624:-1 gene:PPA07306 transcript:PPA07306 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALNKTQGMSIICMVNSTISSLSLDGCPLRNEEKSAAARGYEAFVELLILGTLDWSPARQSTLFSASYYGSLTTMVMSGPLADKYGPKRCLAGAIFVLVTMTLAAPSLARLSYWAYYASRIVVGLGECAYI >PPA07308 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig152:44070:46562:-1 gene:PPA07308 transcript:PPA07308 gene_biotype:protein_coding transcript_biotype:protein_coding MQHAQIVAQFMRHNEGDVGPARHCDASNPTAEVNELSGVKEPDTGLAPPALWDIAADKQAMQQEQRLQVARCTKIIIAEGQDHSLTMVLTEATAEDDCELDGDHTEYNQDHE >PPA07316 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig152:90844:91917:-1 gene:PPA07316 transcript:PPA07316 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVALLGMNVVGFAIFGQRTKKADEILSNTVTDETMRLQFYGVAVCMALPCGLMFLGVYFGTLGIPHPRYMATCRFLMYT >PPA07354 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1520:3573:4202:-1 gene:PPA07354 transcript:PPA07354 gene_biotype:protein_coding transcript_biotype:protein_coding FVQASQQKEDLTARLDEQVKNEVSIRDLIEVLDHRKYDAIKLTFKQVTKNFSDVFRELVPAGSGSLVWKTADRTQ >PPA07356 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1523:764:1174:1 gene:PPA07356 transcript:PPA07356 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSNPLRPAILKLFRAGIPRHDIVLRLAIPKRTVYDAIARYLELGSEEDRKGRGRPATVSTPRNRERIRKRIGRNRKQSMRAMAKNLHISNTSVRRLIKSQLTLRPYKYLKLHGLNDRQIKLACLQVDSRGSPGTE >PPA07357 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1524:81:595:-1 gene:PPA07357 transcript:PPA07357 gene_biotype:protein_coding transcript_biotype:protein_coding MPVCVSPTCKKFSADRGLFHQFTSREPFRSQWLTALTVDDSSKASLDVTLSTKPGKHYVCVSHFDDDSFIAGTRILKKDAIPMSQRISPSYSTPTVSYSPPPTPRGNLLSSTPVARPLRPPPSFRLAEASIPPCCRLLLQEGD >PPA07358 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1525:3235:3955:-1 gene:PPA07358 transcript:PPA07358 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAKEGVSFPEILLRRLSQGTYPLASINANLVIPGAAIVIVPDYQGPNVEVSRILRESLKKYGLSILILTAH >PPA07359 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1527:4089:4503:1 gene:PPA07359 transcript:PPA07359 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFDPRPCLTVADLWAWEPPGGAARAELIVVDGDERLPPHPTAVPPIAPLKP >PPA07360 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1529:47:952:1 gene:PPA07360 transcript:PPA07360 gene_biotype:protein_coding transcript_biotype:protein_coding IYDVRASNVEDEFNKVRSLIKDYPYAGMDTEFPGVVATPLGESQGQCGLMFTSADKDEVLPRAEGRGFRTSWRHRSLDDRPERVQVCEQEIWHIPSLDPLEQFAFSVLPQLRKLGMHTSLVK >PPA07405 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig153:299552:301134:1 gene:PPA07405 transcript:PPA07405 gene_biotype:protein_coding transcript_biotype:protein_coding MDARFAIVEYSPVAHPQLYLFYTSHTIPSGLPSLAHNSSGDSEESTSESEEDEEPEQAVNQSKI >PPA07385 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:159543:167834:1 gene:PPA07385 transcript:PPA07385 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRARPRWSISHPHPHPHDDTLDRVHFEADATASASLAPCVEKRYGRRSAPGAPSAPATRLAVVGGGLSARAQSLDCLSAGKREMAEFLASPSLSHPVSSRMGTISEEPREISASCVNLSEEEPVMLRGLKSDPDLVNGVNSESKGPAGRGRQRVGQPNEAFGQRRNEGDHYGGTLLSRSTGPYPQIVLPSSGFWMDGVSLSGQHLDEPPPEAECTLHNNSCARFKLETDDTSHCYRRHFFGREHHDFYALDANLGPLILSVRTEVISSQTHFRIMLRTRQGTVHEIVPSGALGDRPSASRMARLLCDEVTTDKFHPVAFPGGSELIVQYDEHVLTNTYKFGVVYQRNGQVTEEEMFGNARGSDAFEEFLSVIGEKVQLKGFQGYRGGLDTVHGQTGAETVYTEFRGREVVFHVSTMLPYTVGDTQQLQRKRHIGNDIVAIVFQEANTPFSPDIIASNFLHAYIVVQPIEPGTENVAVTARDDVPFFGPTLPTPSIFRKGQEFRNFLLTKLVNAENAAYKSQKFGKLAERTRASLLEQLYQNLKERAEFYGLSILETTEATGGSTTSLSLFTTVKKAFGATRTRSVSQDQAPTPTPQPIRAISMTTPSRTHIVHKRSAASEKSSSSTSSGTGSLRQSPNEECEHHTCTAATSPTGSSSHALPLTHKNVKSLQSGTISRNLDWDLSSIENEEGDEDGSERNHDSDTGMESMSSDNHPANRLSCSFCADDAMSTSGGDVKRLETLVADVDRLQGEKAELLKQNVSCKTDIKRLKDRQCSLADELDRANEEILRLRKLIKSRASSDASQTTTPVVHRTSLTINSQ >PPA07403 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:293053:295602:-1 gene:PPA07403 transcript:PPA07403 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVSTHCSATATVVKLDDDVAMNLRALTHRLPSLHSNGIYGVRWTRQPVRRFNTSKWYIPYSQYPFEVFPPYVSGSSYILTTETIRRLLGRIKYHGRYLHIDDVYTTGILANSAGVRVIDRAKWWNFHPNATDYLRKGEVLFALQQPGEDIIGLYKQVHAMTDHHIPAYPPDNFD >PPA07415 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:341352:343514:1 gene:PPA07415 transcript:PPA07415 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRHTVVLGTLVALARSSCTSIDHQACDELCKTDSFWYGHCIGWDGTDFSCTCFEYRAPLSGDACRPKQSDCMTKCKTGGKDGGFCYPRNDRGAERNRTSCECFKSLPPELMVWVTVVEGKRPVLFKCLPPELLRRRRRHAASKRSYKIKY >PPA07398 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig153:258219:259192:1 gene:PPA07398 transcript:PPA07398 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAYLYKLLARSECIPNDLAATAMPSKPKATSLLPEPYEISNELEDGDKLPYDLMKD >PPA07377 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:79172:79480:1 gene:PPA07377 transcript:PPA07377 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRIAEDVSYRRRRGVSPKTWRIAEDVVHRRRRGVSPNTWCIAEDVAYRRRRGVSPKTWCIAEDVSPKTWCIAEDVAYRRRRGASPKT >PPA07381 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:93991:94839:1 gene:PPA07381 transcript:PPA07381 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVAKPDGIAVSIDGQYDHPGFNATNCKVTVLDANLKVVLAASSLNKKEAEIDGKSIRMESVGALRAMRELVADGFNIKVRVTDQNAMVDKKLREHPDTASIEGAYDWWHVQKPLKKIWRTEMKSSPILSQLYSPFFNHLFYCHKKYDDMRQAYMEKGIKTEEERRTGKTEAKG >PPA07364 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:14713:15850:-1 gene:PPA07364 transcript:PPA07364 gene_biotype:protein_coding transcript_biotype:protein_coding MFAQLFAKEATTMTSTHNSVFACEDAEKAKKEFDEKWHTAFHHENPHHVDRSPEEKEEKPVDPHADDPYYCGSLEGRNPIEKRKESMAEEVAKEEEAEDNFCYEGNTNCFERADLAY >PPA07417 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:347952:348134:1 gene:PPA07417 transcript:PPA07417 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLKTPLPTAARGGTGVRYASQKSVDLLSTKVDKMMSKLEDVLNMLSSLTPSITPPSTVQ >PPA07411 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig153:321253:322282:-1 gene:PPA07411 transcript:PPA07411 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLFALTNVKVENSMEIQNRKHMKGETQTYIDFTQEEESGERNDFIELASIVHDAGFDADAMEFYKPPSSKPPLNLDDITTL >PPA07393 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:202980:214942:-1 gene:PPA07393 transcript:PPA07393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-264 MNLDCKKSEEHSERTGEIAASLTNIVERIAFVSPYERPWTAAERTWRRDWHPALVAPRKAWALPAVPAHFDVLNFYKYITKTHVEQGKLDELYKDLHLPTRTFKELTRASLRANLESTAFDSEADRTSALLATLLDDAVLSLVKARPQLAEQRISYKSKSEAFWVRGGFSFLYDHQEFNEVEVTQKIWNNQKYTGDDRRKLGELAFVHRDELAASVRSVKGLEPMMRESESTDVFWKLDEGKLKDELYSPRVFNLWPDADPLWQCPGYFTDSAESHQHTVLAVKSLAGLPERITEHWRMRGEEAKETALECATAQAVATAFANLTATAHTQGFTQYNDVDAPLTTQLVLSDGRNFYFAVAQLNTLAINVELKDFPNPRHNSLRIEGPFPLYDEVNDGRTPAPFPQVGIKKAYVPAVSSTHLAYKAWWELQIVIEVKIRILNAMV >PPA07409 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig153:309024:311418:1 gene:PPA07409 transcript:PPA07409 gene_biotype:protein_coding transcript_biotype:protein_coding MYNDRFPFSADKPGSKVNKKEVVEAVTILETPPMVISGIVGYIDTPNGPRPFKTVFAEQLSEDFRRRMIKNCAQTPRFYLWVQKLLKHRNKKAHIMEVQLNGGSIADKVERVKERLEKQVLIDQVFAQDEMVDTIGVTRGKGFKGVTSRWHTKKLPRKTRKGLCKL >PPA07388 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:176393:183699:1 gene:PPA07388 transcript:PPA07388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3ECD9] MREAIEREKEAFRPPAALSCARNDVNQSNKHSEFFNSAFVIDNYDLLSEQYRKELDSGAVKPLPIESNVISDPNITANNDDLSVGELEAALKSEEEQAANLTDAIARAKGVLASKEAEAAGPLKEYDEAREEWERLNAVYVDKSKARNELDFEVGNATKDWNEANAASAQINATVAVQEKIETELKTLEKVITDLRNNTALLAEATALEAALAAQISSLKTTVTGLSTAKVNAQNDLNGIQPIFEGYEIKYNGKRCELSADAECPEIIRGLNTANATFQAAKDKFAQISEQHRVAAEKLKQMQADQGELNQRIQKYTENADTRAQKQSAAEALTKAIDRLALAVARRGAYPDQGLTADKQAAFWALATLTSKKMRRDPLHKELVDMRNHLSDLEDQLERCNARVGALKNRIQAKSAKEKPGSAGVPIWLIVVIVFIVIIAVLVGAGLLLRRRMKKNKKDPANETKPLLVPTDSDAHTKQDLPGQTPAFTTTETPSANNPLNSPCTDLLERTVIRFDEHTKNYVYKSKNDDEHIRSPVFDVSGCSYATVDLHDDPLTFFNHSQKPLPQPLLDKFGLIQPTEPRIQVYFVRVLWLMTLDELARADRDKVEMEKKEVPEDDAKPQTPITIAKKSKKSNRADASILDVDTTQRSTSSKRDNKRGRKNPPKIDEDLRRNGESRNHDDTSDSCTEPREDSHRSKGKGSRSRDVQTRNSKPKNAHRRSDSALALKKYKHDAYGPHIANTDVNRVKEMFDAEDKSRPTREDLSKLLRDIVSTVQSGELHPFQEDMQLLVGVLLQARDEFQKEKKYIELEPCGVNVVVCDMLLFICRIFGGIESEAFKKQKYIFLGDYVDRGSRQTEVIMLLFILKILYPAQFTLLRGNHEGYEISVVYGFYRESRDRMHDGDHMIGTKVHEYFCHVFSYMPLVCRYGDYVCMHGGIPLPSDLEKLEDLNNEKVFPPSIWDLTYHLAACAVTWADPKYGISSYEPNARGVSHYFNVTHVEEFNAKNKIKSTLRAHEVVLSGVEAFGNGGLHTIFSSADYEGKRNDAAVAVITPTMKLKFAVITALRGDHAATTSSGATEVTCDDLKHFLEKADRNCFYTSYKYAKNKVQIEDNDLTIMHNGEQGGRVVK >PPA07380 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:90354:92431:1 gene:PPA07380 transcript:PPA07380 gene_biotype:protein_coding transcript_biotype:protein_coding MNREADNVCSSCKNCGSAVTGQPLAFPSPIRPSLKPTASSTPSTLVFKSEQSQGLAKELLREDYLKIVVDKIDAANKSITQDFVQIERLQVFKQKTLVFAASKTMPDTLGVFISEAGTLTTTTHGVREQNQCEAALADFRSGRRPFSTQQQWPRGAWTFRESTILGLNGPETIKKYEEYREKLAKGVDIRWCTKHFIAGETLPIDLRSKPLLVTTHLREELQPQRLNERYRNPIVCTTPVASDNEMDMDEGMGREASKNG >PPA07413 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:325113:326438:1 gene:PPA07413 transcript:PPA07413 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLRKFVQLNEVRQKRSNDLRALRVVNKVVLKQLSARLNNLPCIFRHIRTEEVHCWSPHVAINQSIIKLLRQWNVSKLHLELADYAPANLSTDDFLLQLADSVDVLHIQQSKPFQYRKFSSTDNGERLPNLDQASYERTRGISWLK >PPA07407 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig153:302416:304906:1 gene:PPA07407 transcript:PPA07407 gene_biotype:protein_coding transcript_biotype:protein_coding MYQCEECGKKYTRLFNLNLHKKTHTAAVNREIHKCETCGKVFISRYGLTSHKAYHCDDEEQRRPFPCDQCGKRLTSNSTLKAHKQNYCRNTMEGQKFSCDLCDKQFSFKYNFEWHKMWHLPDNDPQKKILKCHSTQRSCRKNVKMQRNEVDSDEGSVDERSSGASSTDEDEDGDKEEIDPLRICDV >PPA07404 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig153:296333:296658:-1 gene:PPA07404 transcript:PPA07404 gene_biotype:protein_coding transcript_biotype:protein_coding MKNENSITQNQYEYMFCLFFFTGRPENAIEEKMQFMSFRQNLLDESSAFKDIVVGDFTDTYVNMTIKVGDSIK >PPA07376 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig153:74650:76996:1 gene:PPA07376 transcript:PPA07376 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLNCVTRIMLKEEAYAKYDQYWVVVEIVLDIVCNTFVIVKFIQSGRVIKNEEKRPIEKSYYLLSLTNFIMHTATTGVHTALRYDLIPQSALTITFLFSNTILDATVLLPTVFVLVFTPSVRDDFKKKCVDWTNA >PPA07374 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:66167:68837:1 gene:PPA07374 transcript:PPA07374 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAIKNFLAFIMLMHNLRFSGMGFFPEYYNMVKNGWWLPLQSYLTRIFYMLSLMFSFILSLNRVTSLYLHEKLNDKIWRHFFFLYCPLLFLFMAAILIDIILNKAEYIQAFDGDGRMIFRFMNYNLTRNWDSYWMVVECVLDGICNVLIIVKNSLYLIGFASFIFHTATSGMQIALRYNVFPPATLGYAYLVSNTLTSVSHLHILSYRLKITEDSIRAMCAKSSDEYPHFALILSLSASSPFQIYDATLLLPTVAILALTKEIRNEVKRCVTFTFTKEHSSSTMVKSTAKNQ >PPA07387 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:174079:174397:-1 gene:PPA07387 transcript:PPA07387 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATYTLMKSCTTLRIYYKRALAIYETQGDKYSEKCKEIKSKLETKVVETKKQAST >PPA07383 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:105255:105983:1 gene:PPA07383 transcript:PPA07383 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRHATVKEPSVLTEQRHTEAAPSSVAGCSDKSGWVICCSENATIPLPPPSMTENFAPKMREALERERMTSTAAVADVPDNASPDFGTVPAVVDPNEPDGAGSAAAAMLLGAAAALAAANY >PPA07414 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:327042:330641:-1 gene:PPA07414 transcript:PPA07414 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWCADNLRDLAGWQASNLALSCSSNETAKLLDGTIRQIVSWRDCDQLDGISKTMERMKESDPDAAMVRAILLNMEAFGTGTSVEVNKDFKELVERTEELSNSPTINNRERQHLKASCLWARGVKSPSINNRERQPCLWARGYFPEAQNTWEDILAEHPNDLIAVKFAHDSYFFSGDAQGKRDSVVRVLPKWRKDEPCKSYLYGMLAFGQEECGQYDEAESNALTIALPCPAQKSWKRTHY >PPA07362 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:7337:9624:-1 gene:PPA07362 transcript:PPA07362 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNKKNTAYYQKDTVYEQTKAAADGAYEEFERARQAFNENVRPHRRHDDEGPLLPSVAQWPGQKPAYVAFNENVRPVDPLLPSVAKWPGKKPAYVWNSATHNVKDSSEAATKKVEDAYDVTKNCASDYFHDIEMARQAMNESARHAVEHARESCASSAKAASEKANDAYNGTWETFEHAGEALSNTATAVTEGAKEAVQGLADSQPVKAAKEAVHSASEAISNATK >PPA07406 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig153:301482:302328:1 gene:PPA07406 transcript:PPA07406 gene_biotype:protein_coding transcript_biotype:protein_coding MRYPFPCDQCDRRFHADRRLRKHVKAVHSTENDPKKRTFECNQCGNSFASKVMVVNDSSVASAGRHIMMVLVSNVMRNIISMMKNNGGRSNRNQST >PPA07363 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:10475:11208:-1 gene:PPA07363 transcript:PPA07363 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKLECKTALSHSAMHNVDSGTKLAEAASKKANEGTKHTVDSYYAMDIENARQALQDNTRGAFERAVEAAQEAAKAKEEESNMFYEGNTNAMDRTELGMHSNF >PPA07390 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:188561:190513:-1 gene:PPA07390 transcript:PPA07390 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSSYYEAIKDGWWLAIQSYLARDRNVICRMRRENDEAKEGGAYFKLTPKLWRHFFILHLPMLIIFTGLLSIDVVLNRAEYVQGRDSAGGIKYSFVNYNLTLNIDRQLTDMEFDSYWLIVECVLDLICNALIILNFI >PPA07384 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig153:147868:148936:1 gene:PPA07384 transcript:PPA07384 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKWALSLAVRSPFLARACTAMIQVCTVLIYRSCKKRNSVHCDALAEAERRMRTQGGLFTLSGDGQHDTRSSDFLSLLERMQSQRLDDQRCEMPEIHRSKIKDQHLQRIRMI >PPA07410 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:313457:317055:-1 gene:PPA07410 transcript:PPA07410 gene_biotype:protein_coding transcript_biotype:protein_coding MLACHNHWHNALNYIEEGRYVEPLEMFDNEIGRRARRSQGCLDIVDAASILWRLELEGVDVGKSVLLVPQKGDVLSRHPLSRWTDLPDLSPHLHDHFLSFNDAHYVFSLHRGGKEADAHELVRSLNGFVTSGPANYNRRVATEMGRALCEGMLAYAEGDYAKTVASIMPVRASIHRQGGSHAQNDVFTQTLIHACLKTGEMEHKEFARTVLLERALKKSHSGIAERLAKQLAESHL >PPA07378 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:82915:84607:1 gene:PPA07378 transcript:PPA07378 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSLRYSQTALALLATLFLSLGIMLSLNATGEGLFGRHKALEVVTFAFAIVTMVGVGVFTGVFLSEMLFWVLRTNIETIDELFQYLEQLDMQRAARPRSRRASAV >PPA07397 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig153:241469:241884:-1 gene:PPA07397 transcript:PPA07397 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLPPQSIRIDSYNTPRFSCLPQVLRRQFKLRFLDNNEFHPNTEYGHTIQPYRIAQ >PPA07379 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:85098:85439:-1 gene:PPA07379 transcript:PPA07379 gene_biotype:protein_coding transcript_biotype:protein_coding MFGERTIFGHYSVSYCASQEHCPFEDDCRNVTIHGESCNLCCCRGDLCNPAAAPTFLLSIAIVSTVIAARL >PPA07408 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:305728:306107:-1 gene:PPA07408 transcript:PPA07408 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFSILIFVIDTEIAFKNAVKQKRAQLVERRGTPPEPDAYLESLLLPVQLDREFFLSEYSLTD >PPA07396 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:233265:236109:-1 gene:PPA07396 transcript:PPA07396 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFIYTLVRLSCVCTSVMTMDMITGVNGAPLSPDTTLPCATEIGREFVRQYYTLLSERPQDVHRFYSHESVFIHGELEAVGQQNIERAIDSLGFDECKVRIHTIKGSHTHGQGIALQVCGEMQENERCEPRCFIQTIVLCQQTPKKFFVCIQWFSQNSKFSNSCFNVLEKEKSVSAVVAKLQQPSTIMPTPSVNGHVSSSPVAEKAAKAKSDNHHSKKERESKPAKAEKKKDEAPAPAPETTVVSELIPLETQASAEVPPVPMKGEPKSWAKLVGGGNDTALAAVAFTKTTLSKRENHHDKADIKQCSNAQKEEKKKVGEKADDKEASFGKISRKATIGSKFGSKGRIAGRSRLTTSIFINFKIIL >PPA07366 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:18220:20929:-1 gene:PPA07366 transcript:PPA07366 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGASMPTKDPGTSELAKAFFRLLVLSDNDYTEATKEGHDPTKKVIEDAFEEVKKAREAAEDARCLEAQMEYERQQAAKETTPEPNLLAGHALSGRTKRSDTQGAVAMVEEAMEHHEHHDSPTKAADKPVKTSSVKDTRNPLEPSKELISDLAKYESDEENFICEGNSNAFVRADCNSRRC >PPA07389 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig153:187368:187767:-1 gene:PPA07389 transcript:PPA07389 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLSPLQRLPALVAEPRLSHLERIFDAAILLPTVAILALTKEIRTEVRRALFSCGRFVSTNVEKLGTSWSR >PPA07367 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig153:23098:25295:-1 gene:PPA07367 transcript:PPA07367 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLESIVLIFVVQDPIHHPTKHILSADFGDDVEKERPGVQLRALNAMDYAKEATKEAAKSAAEQEPVMMAGRAIYMRTKRSDAENMNVIDPDKPTLAEKAKQEASPSAPAGSWSRRTAMKESEYAVRLETAKAIEKAKEATNEAVKSAAETVQTAYDGTRGAFAMAAESAGTTAQNAKEATSEAAAKAALETANKAADAASEQAHAAYEGMQGSFAMAAEAAEKTKTEEPASEEERVLLAGPAYSGRTKRTDSAEVKKKKTIDAINEVSKLAEEKVNDTAAADKAEEVVDGANGAGKGLVESEPAVTDRSSFETLKVFGILGG >PPA07361 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:1614:6351:-1 gene:PPA07361 transcript:PPA07361 gene_biotype:protein_coding transcript_biotype:protein_coding MADTQRRDDDTHESAARIYYRAQVALDSAHEAYERMKKAVEEDWRMGVHDDEAAKQRRDSLEHAHDALERMQRTQKAGGDWHPAVDDEAMKGCSKVERMETAESFMREQEISDAFEGTKEADETYFAEIEKARQEMNHSLNDADEIYHEELEKLRKKTNNAAHGALERAAESVRAAKDASEKAKADIQAAAEKVFNEAAAAAVVEVECIRERRKSVIEKAEESVMHTAEAIAEGAKNLAHGLVDSEPGKAALHAVHSAGEASKNLAHGIADSEPGKAALHAVQSAGEAFGGAAHVLADTEPASAKAAIHAVQTAGEASKNLAQSAGEAFANATKGTPIN >PPA07386 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:170274:173076:-1 gene:PPA07386 transcript:PPA07386 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSHRIAFPYTLMNFKATGLAGVGIETGVVRNGNSLRMADCEDTVGCTDKVCCDYAGTIELTTTPSATTTTEATTTTTSTEATTTTSSPTTTEATTTTTTTETPTTTQQPTTTSSDAELAHALECTDNVETVKCEKAEFCYEYLSSYFRYCDESRLCPKAGVYGSMYCFLPEQYNPSWRSTTSTTTTPTTTTTEASTPTTTEQPTTTQQPTTTTEAPTITEAPTITQPTTTTTEAITSTTFREAPTTTQLPTATTEVTTSTTTTEAPTTTPPPTTSTATPTSTTTTEPHTTTSTTTTEPTTVRNTATARYLRGTLDAIPRVANDVDSTSSDDSPVTDAGCSARIHDAACAVIVAAMLA >PPA07370 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:43333:44391:1 gene:PPA07370 transcript:PPA07370 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVASLSHRHQSSLPHGHRLYFNQTRRRILAGILLIALSVPCIAMHFVPVDPRQRSTVASKRIRNSFANLSIQLSVPNLLILIPASVIMTGLYSDKLVPFGHDLSCWRNIPSLTTSDK >PPA07391 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig153:190783:192230:1 gene:PPA07391 transcript:PPA07391 gene_biotype:protein_coding transcript_biotype:protein_coding MFKIDGGLHSTGMIGDNSYNYRDQRADKYPTEGVLEVLFPRKNEHAAIGEEDDWSAWDG >PPA07392 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:194059:197003:-1 gene:PPA07392 transcript:PPA07392 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNSTDTSSTNQSIQEGRIGVRRRVRVGPGAAEGVQYDDMFDVKEDLDQWEAISLPATEQLIEAIRPIAVFNGHSHRPCTKRWNRSGGSLIRPFTEWTVNSFSWRNGAAPTLLLVTMSRENPALEPLVATCYLPNEHFCIFLYALTGVVYLIYVVIFVKRKVVERRYSAVKQR >PPA07416 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:345104:347190:1 gene:PPA07416 transcript:PPA07416 gene_biotype:protein_coding transcript_biotype:protein_coding MARARRPTVWIRGGRAFATRREADLYRTKISKKSTIKTSTGISRNSAPPLLDDHCRQCGKPFKGKMAVLMHQKKPYLRAEKRTCCPVIVCGHNFKDESRMEAHIRRHVEEDVTGKLETDDEGDVVERRITRVTKRRIGNVFGQKQEKNVIEKSAQPVKQTMKELLERSASVLGIDWRNAKPVKQSHEELWKKCKCTRIDWRKCVAQYVREEEETVNGRREARRRDEERECEEEDEEEIEEEMEDSEEELANERVAKWLEAMPSNQGLEEEIEWIEIDDDEENNESEGSPVKKRRAMNGDDSERTAENTNERNSTSGDEEGEDESHATTEQEAITVGMAVDRPQNTEHYDADYETDTA >PPA07369 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:36194:36962:1 gene:PPA07369 transcript:PPA07369 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKENSAYDQTKKAVDGVYKDASAKVEQAKEATNDTVKAATDKVNSAYEGTKGAFQRAGNAVTDTATSLTDGIRGTAEGLADSKPGKAAKDAMHSAGEAISNATK >PPA07402 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:281820:283826:-1 gene:PPA07402 transcript:PPA07402 gene_biotype:protein_coding transcript_biotype:protein_coding MIGMINLPTEGPVDFYAAPVQKHDDSPPRLQRIGRPTALANLGSLPEVSSSSSKPPPSPATREKYRFSLGLFSQRKRQPSHGYCADGRKCQDGRPINAANPSKLWDELFMRKMVNKIQDEIASPVDHLNSMEKTFGRMAVLNRQTSRSEPNLCSEDKSTSARFCEACSAAIDAELAEKKSRANSDLVRNEEDKESESALDDLMSSNLLTPPMSSAPVCAAVGGVRTP >PPA07399 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig153:264189:265827:1 gene:PPA07399 transcript:PPA07399 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRIGLRIEALSNLPIDIPSHLRVKAEIELRALRLVNFQSQVRNEVMGALKRDTTLETALNPYAYRRTKRQSLREARVTEKLEKQQKMEQEKKRRQRHADMLSAIMQHAKMFKDFHSFERNRSKI >PPA07375 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:70391:73000:1 gene:PPA07375 transcript:PPA07375 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEQSDFPGPLNVDTDKLEVTYNPSMPESSFSPLSSGSNSSQALLMLSRTDDETPSKPTLRAAQNRHLDTGVCLLLVPDHHLRPEGPDCSNGAGRPGFPYGTAPPDWPCRSVKSAIRRIFRALSTIKGGLYFLPCVNALRFNVDLMTQLENRMEDGVWDRENPSKLPVGGEDAYKKYSIEYALALARGTNDSSTDVDRARSSLQKGSFTTLSKSMHRYLVRRHECRVLLFALVFGEFKSVILEVANHTAGRENRVTTEREGTLRDSGTQRKEPKKKSLLKVDSSPMKVDSSPLDVDSSPAKYENYTPTGEYREAISPVIIIKRIATSQPVHY >PPA07382 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:103232:103529:-1 gene:PPA07382 transcript:PPA07382 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPVLLFVLSTIPLRATLKCYTEVKMEKEIESTPNAEREEKTCHDSADKCVKADAQVWNVCL >PPA07400 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig153:268642:276392:1 gene:PPA07400 transcript:PPA07400 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARKAVITWHQNNDRERKKEEAKNEKLRMQRLMQEDEEGYRQLLDEKKDKRLVYLLEQTDEFIRSLTGLVKAHQAAEKKKKKEEKRINKNEQHSEEVHVHVREIATGKFLPPDETPGPEELEMWLECHPEYEAAPRDDVSDDSDSEEEHEARLGNNRSAIRDCATARKFDPQNLKAVIRGTECLLELGYAGDTLKWIDASLKGYDVIDDGEPLLKAVGDLRDKAVREEEKEERDRRKLRAEMAKDLVAKKRLLSAIEERGLNLLPRLPLKAPELFEWSLIEVNMPQLKDHQRVSFTESGSLQWPLLIQYPEDDTVGGTTRWVPAVAPADTVPRVSPKRIKVLYHTTTPPET >PPA07368 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig153:26168:26910:1 gene:PPA07368 transcript:PPA07368 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGSAERWCRAEAVKMVNGFAQFHGYVCAAFLRTFSRQIQAEKDFQERVKHK >PPA07371 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:50799:52023:-1 gene:PPA07371 transcript:PPA07371 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKNTEPLSTAGSLKRPSTRELQSYMCGPRSSRALRALADRSARRARQRMNRLDSL >PPA07412 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig153:323758:324207:1 gene:PPA07412 transcript:PPA07412 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVEEWKAKFGGAQHVIIQAQHSARIGSLLATIGAKFEVVNYIHKRDNRENARATFVESTYQTLEKDNIKLANEIDQLKDRLIRAELATC >PPA07395 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig153:224619:226221:1 gene:PPA07395 transcript:PPA07395 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPPMGEEERAALMKKMDDDLEAFMEEMAAKQKSEKKERKPFDFDEFCRDIDQHPAFMTDLGKAENGEYSEHIQALQALKYDTGETEEEKVETAEGHKNEGNKHFKLKKYRWATEAYTNGIKVMCADRKLNSILYQNRAASQV >PPA07394 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:221659:224019:-1 gene:PPA07394 transcript:PPA07394 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGIRPAARLIPSVRFSSTAVTETGVAVSVVEPPPPPTTTLHDAAKIKPAFNRKVHRIHTSKHMLQDEFLNAGDLTDSARLYDYKVNCVYGEVEGVQVPRKCYEAPINAARPGTAFAFVSMKNVDQAQKVFNACRKDDSKASVIKASIPSFGFDGEVSVTVSEPKLYTGPRAGSGFIRRGGPINGGRGVGAHLRSEL >PPA07372 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:58418:60562:1 gene:PPA07372 transcript:PPA07372 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKLVTPGTPADAILIAVSMMFMIGLLCVVWFVFIFGVIKVFEPIWDRITTFREDRSESRQRESELEEFRTAYVEHEKQNPTTAIVRRGAACGSAQPTQAIYKDMNISSAILILAVLNIRN >PPA07365 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:16808:17321:-1 gene:PPA07365 transcript:PPA07365 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKTESESSKDLAYEYLDIEMARKTMSERDTRNPLEPSKELISDLAKYESDEENFIYEGNSNAFVRADCNSRRC >PPA07401 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig153:277168:279485:1 gene:PPA07401 transcript:PPA07401 gene_biotype:protein_coding transcript_biotype:protein_coding MTECDEHTMVGTLLTEIASYLQFHAQSSPPSTQVLSSPAEWDPEHKYKMDAVSFFVSDQFDEYLMEVYPWTDFRTAFSYPGYQIKQGLPVLMVFTNEKRDKSFTQLDGNKFTVA >PPA07373 pep:known supercontig:P_pacificus-5.0:Ppa_Contig153:61717:64041:1 gene:PPA07373 transcript:PPA07373 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATQVAFYSALSIGVPIIICAARCVYILCRKEYIKNAFCKIFVASLISNSIAFLMLMHNLRFPGMGFFPGYYEAVKNGWWLPFQSYLTRIAYMLSLMFSFALSLNRVSSLYLQEKLNEKIWRYFFFIHLPLMILFSATLTVDVALNKAEYVQATDANGKITYRFVNYNITRNWDSYYTILECVADAVCNFMIIFKLFRIRMGTMKRTPNSLYLISFASFFVHTAKTGMQIALRYNVFPNSSLQLAYLFNNAIYDASLLMPTVSILTLTKEIRVEFQRSITALTQCFCPVDEQTITVSPVSTSHSTTVTN >PPA07418 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1531:33:514:1 gene:PPA07418 transcript:PPA07418 gene_biotype:protein_coding transcript_biotype:protein_coding LLKHRNKKAHIMEVQLNGGSIADKVERAKERLEKQVLIDQVFAQDEMVDTIGVTRGKGFKGVTSRWHTKKLPRKTRKGLCKL >PPA07419 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1539:123:928:-1 gene:PPA07419 transcript:PPA07419 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEFEPIEQAMAAVAKMRLREEVEQQVFSSKVDQEEAADCKCQCCPPRSTQEAADYCCNALFTLDLLKKGKLLRDGLMRKLKEPGHHSCIVKDKLFTTYIMNEAAALSSAEPFSMLSGEPITDDNKALRYGSYRLFVATSVGHLGKGVRIRLPSCFIHAVRQKWPSNTYTGFAQSEINDI >PPA07471 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:420820:421987:1 gene:PPA07471 transcript:PPA07471 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKSKEERRVDDEDISLKLESYMTSHSSVVRTSDPARSIYRRVQIADSVVHCASSFCNVRFTGEGSTIVMHIEHRDGHSTTVSIDSTTFDELVITWNDSVAIELENFDKTTTVKHYGKMHIPNLTDNFHKEVAQ >PPA07451 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:303427:305277:1 gene:PPA07451 transcript:PPA07451 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLASPSRVYSQSSELVEEVRKCVAEEDFGNSSLVCDPHGRLANTTVEKLQALLGGLSNKIACVCPDGCRRADGSSGYVGLIHVSNSFATRNLSADMASIFRERGLGNSTCNHGLLLAYMKDTQKLAVSLPSLNLSVEKWSRI >PPA07420 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:105:4060:1 gene:PPA07420 transcript:PPA07420 gene_biotype:protein_coding transcript_biotype:protein_coding KPAATPSVPGGSGILIPGSNEDTTIATPEDDTTVKPAATPSVPGGGGILIPGSNEDTTIATPEDDTTVKPAATPSVPGGGGILIPGSNEDTTIATPEDDTTVKPAATPSVPGGGGILIPGSNEDTTIATPEDDTTVKPAATPSVPGGGGILIPGNNVDTTIATPEDDTTVPAATPSIPGGGGIIPGSNVDTTIATPEDDTTVVIPVLPPVVIPPAPAPITDPPLKPLPTTSTTTTTTTTRKPTTPTTKKTTTTTTTTTTTTTTTTTTTTIRPKTTRADRIPTGADEARKGATAPLPPLSPPPSPPGSGDQVKASADDPNVASAAIMQNVMIGSMVTLIILLLIGGIILLYFLVFKKRNKDKKRKKKSEGSTGDEEGGTEGGEGEGTEGTDGAEEGQEVIVLPRKRSRHGIPIVFLKKRTKKKKKMPNGAKAFRIDSKDIAFKGPLKMKKRFHGDAKHDLEGSDPSNKKVKDVVFDPTLNEAVAIGEGVEEVQQSYTDASFSDTDRSEFLKTASKKSKKSKKDKTEAAPKSGQFAPGSSE >PPA07429 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:68738:77759:1 gene:PPA07429 transcript:PPA07429 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLSLPPVFDFGSFPGEKQQAIRLEFVAKDETSQTIVNVVCRTKKKDEDGVARIDESRSSVCLDRLVWHVHSLCPKVTGKLSIVVLETRTEWKERIVFSLRKLFFLLNDLPIVHLEVGAVHWTVFTDLRMDFYSTFKNIHELVFLPDEMPEVGDHNAEKVDYHRTLLIEWLPRLRTTLRCLRVFTFLKVDSELEGVMSKCTKLKTLTIGKLHSYRDHPELVTIQHLDLDGQGMAYSSDDLRMCRKVRALFPAVRVFRIINAFREQERRERSRKNAEKELMRQKEALDRRQAQLEENQKKKEAILAKAHAATSRTANKENNKKSFAFGSSTPRELAYLQTLSKEAKVYDKKLRPEPLSPSRLRPAAGAAGGSTLTTPNAMTTSVYVYSPPREVRPSPRVVSRIRSTVTSSAPTNHGGIGGAMTQSMHVSSTSSSAFPHSQPRPALPTRAARPPTGPTPTRPPRAAAPAAATERKREPVARRTAAAASSPAPSPAGRSLRGARGGMTSTAPVAPSPLTVATNQEVSSSVSSLPADNTPPAPPSEDSDAGFSEGSYERAGSEKSTPVHGGVEQTIEEEITPRDEHPAKAIAAEAVEEEVAAPAAAAVVEEEAAAAAAPLLSPPTSLHAAEVVELDYSSPFIDVAQTPVAEATLVEEEKKKEEQSELPEETKQDTVANEVKEEYLTVPEAVPAVEEPSVPQEPIVTTVATETPAVPEDTVAEEITVPQEITVAHPEERNLLVDFSQASVQEEKREEEEDRTPLVEEVTPIGERAMSLADELGGLFGGGKMEDEKKEEQSLIQIEKVVFDVGKKEEKVEESLNQIDQVVSDGEKKENKVEESLIQIEQN >PPA07479 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig154:463104:468902:1 gene:PPA07479 transcript:PPA07479 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYSEAPLGERINGQLNMPSERRGEENDLLHRLLRLAYNTTKENEWKCLLARLTTVLPALNLHYECLPYIVSFCPKDEAIELLLMASPDLLQEREEEKKEKEREGRRERRRREDREVKRRVGDVALVMEECITVAGISSFSRVCEENGRDAEQPLEDMAKLAIDSGVMSLARICIDLAAESSLPNRSLFLFEPTFTLPDCLEDTKKAAVIATRDAVTARSLRSSTQAQNEIRRILAESRYDWHLSTSSEARAALDEEENNYLLRFTQWTSFSKLLSNREKSLNGALVLMQKDEDSCQRVVDRLRSSISTRLCSTRDLLSNGVREDVRCAELLRIFKEEKDVSTLPSSLTSLSSSSFSQSSSHLNEDCLLRVGVALAKWSRRMEEGKKGREEGRMESFSTLSERDLTHKRVEEAVRHLEGIRAYKPALRLLNQWSKLSSLSESSSIKAKISLDEARIRVHMGEVYVGLHVIEEIKKENQGDERILARSSFLEADITLEHSRNREKSRQIVKKALTLLRPIADSLNGEDARLMHEAHARIFDQDDDFE >PPA07431 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:169724:176914:-1 gene:PPA07431 transcript:PPA07431 gene_biotype:protein_coding transcript_biotype:protein_coding MQFGLGIPTTHFHKYETNEVFCSFILKSKSDESGASSIEYTLDPNKQRKFTYDDLWGKFDKRCDPEQVKPLDNLDESFLQDEMSQIDTYAYPEISCESNKSSLLVSYQDKEPVSLGTEGKLKFCSLCTPLESLTCPGCSPTSNANSVGGNCVTESCPTNEWLLESGESGEIYSEICWNESNLEMGCPSGKECSNVVNGTNSAELSCPDGLKISHSSSGKAVVAVSLKCDFFSGKYKDENKNVVEQGANVYCDKASPKKDGNSTGGADPNDPSSAQTSLIIGGGVVLLIIIVVIIVCVVKKRRAAEEDRKNRQPRTIPLGSAENPSRLGEADSNKDSVVQSVVSLKAPPPPPPINQLPPLPEAPKGPWLQRDRTKPVVEFDEENFRKTFTEEMLYTEIFPNIAQYNAVDRYQIWKRCAQYEYYEDRLEDKRPYGHIRQVFDFMAFSVATQMDDVTMWERFFRFIRTYDKIVSIKWPNDTKNLSFRLKSCLAKLVRPFYKELGMKMMPNEDETTTWMRFFAVRALLWLGDLNVIEELNKMASLPFDQLESPIRAVFKDIPRSVTPADTFWAYRGACEYSPKPTLEWAPEQTCASCGYPAAKKCVYQWSIKAIRRSTTGTGRMRHLKKIQHRFKRTTGTGRMRHLKKIQHRFKNGFREGTVAVSQKKRTQSAASN >PPA07457 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:328401:331829:-1 gene:PPA07457 transcript:PPA07457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-snx-1 MIGDGSDMLEHEVNLNDDDSQKNDSGRNLVEDDEDVKSPQRASLEAAIADDDEDAIRNSGTNGLSRLVLSDSRPAEVACSSPPSSEDDAPLVGAISVSIRSYEKRGEGMNAYIVYLLVTEVQGVTGYSRDHYEVWRRFSDFLGLHEKLAEKLMGRGVVLPHPPEKSITALTKTKTTDAGASKDVAGTRKRMLESNGKKLRPDEAEKRMRERNDILPAQRITFDQIRNRITTLLSQKKEHQRKEHGNRQRRYVKLIDDFERDLAEEGISLDDIEEEVDLERPLDEDDLIITSDEMYELYEKCTSSF >PPA07452 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig154:305955:306647:1 gene:PPA07452 transcript:PPA07452 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRNGDQFVFFYAQPMTKPSKSSVTLASYRGGRSFVQLTDEDMIKLHEIARKGDSGDDAMALQFLLNNYKDIVEAPVTRAESWTRFSVVEE >PPA07437 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:210537:211577:-1 gene:PPA07437 transcript:PPA07437 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPDDLSAHTPRNMAGEPKRMSLIEEIQFNDPVARDYDAEARETQRSLKQIDPQLEESEEHPDGSRRQTLIPIVIETMPDDEEEDDDDSLSGGLTHRLVKSEAAAADDARHKIPKQRGQIGMCTLHH >PPA07433 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:192480:195062:-1 gene:PPA07433 transcript:PPA07433 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSVTPQSDWFMDELFPPQSSSRKRQSNEVPEASPVCKTVKMDSERIIPSNTVASPPNSSLSYPDLVNYIASPSITACYKNSTVLTPPSSTASTSTVDCIDLDDEEEQEEENPWQYNPGSLRLPEEDAPCRMKIEEEFRSLPDLEQECGPFFRALTEDCLHMCLRVWSCADFRLKVRRGADHTIETLECLREHSWLSGSIINDVLKMLCSDKTLNPYEFIALNSDVFTQLLTKKMTKRAKTKLRKLFDSSSFVLIPMLEGGNHWTLGLVDMKRREIIHMDSLSSVNGADERQSMDMVVELMEELYPDSKDSWDRHLIDSPQQSNGSDCGVHLILNAYCYLGCKPIEYPHEAVRYMRYRLVNTIVHVPLPVKNIEMASVSIGTKIES >PPA07477 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig154:456999:461525:1 gene:PPA07477 transcript:PPA07477 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSSLHLVNFTMRRMGDVMEKLTEAAENVMDVQTMIYKYGHMVLPESRARLIDKMSRLVEEEEDGRSFANHMEEIVIAHYATEIPLEYAIHYMDKQDDLVRVTSLESLVSRLQLESDRGEMTGECEELVKACLSPSRWEDHADAVKIVMRWPELMKRCLTTQPLLCSIMDEMAAAIDIDTVIKKEIKDEEMDEENYDEQHKDYIDLENLWSPMQREISRVCSRLRVKKEQTEGQFSCSMADSSLPTSLLLPRVDWKILQREWNGTMQSHAIKIIVEYGKRCEEIGLELRFLHIIEYLIGHNSTDGSGKQLRKISPYLFLLEPLAEQAVWSIHRRCSSHSTDFSRASMQWSRIRNLLRSSVSPSHLRLRPVLKGHQSKMFEEKDFDPENLSVLISTKEWWERAIVRWENIEKQCGVIEIAGDSSALATLRSHLIDAYRTLHEARCVPETAATVLPKLATKGMGEMARSR >PPA07453 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:310734:314137:1 gene:PPA07453 transcript:PPA07453 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPISVTPMPPLPPSFPTSSGLSSVDPSSTSTPSFLFDELFLGKNPPFVDLFTQPVIGLIAAILLVLIALAILLALFLARFCCCCAKRGKKETYHVTPVPTYKSVDPIYVVTPTSGDHRFGSDALYSTPYSGSPMPLPFPPPPGASRPITPASNKNTRIRIRPSGAMSMSNASDSPPNGKTKSTSSKRQVGERSSIEEDPDYAAVPAGESYGTMRDVGEKHTRSSHNHRSSVHSRAFSH >PPA07475 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:442792:450147:1 gene:PPA07475 transcript:PPA07475 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQEAAVLAIIDRQKRVLVCKRADHLRSHPGEACLAGGKREKGDASLVDTALREAQEEIGVDRELVTVQYELEPITSLSGMWVHTIVGTVADEPALSINTDEVAKTEWIPLQSFLKNDHHWNMLHGHYNVHGFDFPEMRVFGLTANLCILIAIRELEMVPEFDINPALTTDIMQSLSPAEILARFFQYYYENELVEEISHLLNAHFSNIISGDNEQEYNGGQLLHDLITCSIQEIVRNEDKGIDSKAFRREVFDMAVKFVAKAILADSIEADQGKITPLADHPWKTVNTVIDGLGLRNEDSRVWIRHRPATCSELLRMLRMLLHQWGFTYRVQLVAAMEYTIRGIAAAIEFDSNMAKWSMPWSEIKKCSAMEQSWLLLEEYASLVAPKGCPIHIPTGTAPMDSVLVELADQLVVKYAKCSTSTRSVPPSPGMCRLAARALVVLHRLQSTGSTDEEEGGGGMGVGCSTQLASFTKMKLTSQFASQFGSQFSSQAGTQRSQFTSTQKLVRRRKRKRDVKMLQAMASLDFKILLNNCTSAHQNEYPTDWARFVIECMTQVFEGHVQDLGSDVADLMQLTIASTKNGVSEELIPSIARLLCTVMERRNEEMSEERRTGVDTPSTSRRSSRGSNGICSDGAAVSELWSRAHSWTHMPTCFETATRLMIECDRWLLSHEESSMHQPELRWTRMIEVCGQN >PPA07476 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig154:451373:454243:1 gene:PPA07476 transcript:PPA07476 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLVRTQFDGEKEKEKERNARPDTLIREMVEAVVEEVGRDSTNDLTQLRRLCVLQRLTTRVKKEKIKVDEPTPAGASSDILNESILSVRREMEVEGMDGLAEMRWASCQWFERHGVNAIDTEILLRLLQHEEIIDGLPDMHFHSLLLRRDNERNEKDRERLTALASIMRQFMMDYPEAEKMANIMHYYL >PPA07424 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:14532:21870:-1 gene:PPA07424 transcript:PPA07424 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGLPKRGVEVVAIDGSTIVINLGSEPRKFQFDGIYGEDSLQDEVFEGAASRIVNGTLQGYNGTIFAYGQTGSGKTHTMANWTIHLLLFEELNKKANSMPGNFTSNVSISFVEIYNENIFDLFVMSNDKLKIGQGSDGNVVVKGASEVFVKDLNDAMEVVMKGWESRRVAETAMNRSSSRSHALLMIKIHTVESHGEISTSRSAFLNMVDLAGSERVSQSKVEGERLKETANINKSLHNLTLVIRELSEDKKNGFVPFRNSVLTHILKDSLGGNARTAVMINLHPDKIYYSETLSTLNFSAEVRRIENKVVVNEDLKGDSVIAYKAEIRRLQDEMATMQNKNEEELAEIKRQLEAWKEAANKYERQLAVARRNAVNAAIVARKDGQGAALAESSWDKSMAEVAESVRHLPITSIRTLHLKELTEKLNLAEFEKEELQSQLDDERKAKGELQERLNRYMEGVMSPNRRPRPNSFSSQEERSGVSSMLGGPLCGPLEATFAAFPSQESKKERRRTRYTPAKDRQSNFFRPVLDVENNDHSHHQAHNEPDNVEERREMELEMQMNTQKQIIDEKTQESESLSRLLKDAETRIAEAEEKMMNAVKSMDEEKKKGAQLMEKICRAEKAIGDEKEKVIELEKKEMELKEQLEEATSQICRLQSDSDLLKSMHSDELRELEERLTGNLSDKERKEVDLKKEIDQLKDEIQSTIIQLRNKETEKKGRIEMENVLNMFKNRWEEVEEAKRTKENEEAMEMSFDGYVKKMEKLEKELEEKKKEIEEERKKMEELGTINSNRMGELQSQMGDLLITKENDMRVKLAEKDKDVETNVD >PPA07469 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:407276:411725:1 gene:PPA07469 transcript:PPA07469 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQQHCHSALSHASAHHTTFHTTGPTKAQVAPVQTTQVASISQRELPHLPSLLIPPTSVTPKLPYPTTLPHTTMTSAAAPTEPLAVQVMRAVLSSRLPAAPDQRQAIEDALAVHDALCVRVAVGHSECEARCTRSAPVELWSVAVEEEREGGPLALPGLFLLNAVRSYVHFSQLSAWLRPASDTGGAQAPAAASYELLLAAPAAALLAPPPDAAVVEHAFPLAADASYSRRHPLGRRLLVRVSVRFVQRARPLCPTGLCLQLGRLPTDEWLLPSPLPSPTGHESLLGEACPSPALFEAAAAATNAAHHMARGAKHKASEPALYSPTGPALKHAHFGEKENEEEEGELVIELARDECVCDDTVVEVEEVEKRGDEELLVDSKDEELRMTRQKKEEEEGRKVTRRAMIMMREKEKAPLMKRERKGLRRMIGGWEECVLAGAMEEKKMPQAGYALTIVAASAPPVRLRLATASLADTRATCVARTTVSECERVRDVGQDRKMIRRRGLQVHPDGQLQMSLLNAEGTPLSCLSIPYDVREMPSGATTILRHRVRLASKAAAAASLAKAPLRYLVQLSMARDRSGRCFVAGDITVVFTTDASVDGANVEMDPTTGVARAADLLELRAETTIAPFSLKSPLVVSLGEA >PPA07438 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig154:211683:212100:-1 gene:PPA07438 transcript:PPA07438 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLPDRLQQRKFQFIDKFKQSQSRRLYSSDDDASSQGTARRCTYLFLY >PPA07444 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig154:254254:256605:1 gene:PPA07444 transcript:PPA07444 gene_biotype:protein_coding transcript_biotype:protein_coding MENKMLYRSKRDKLQYFANLKGSRVEHKMEHLACFSAGMFALQAKNEASPDARKHYMTLAEEIGRTCHESYARSKLGVGPEAFQFLNENEEAMTSRDKYYILRPEVLEGWFYLWRMTKDEKYRRWAWDMAEALEKNSRVGAGFSGIRDVYASTPDHDDVQQSFLLAETFKYLYLIFDDDSMKLDEWVFNTEAHAFPIRN >PPA07474 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:431163:438090:-1 gene:PPA07474 transcript:PPA07474 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEYDVPWEYARRAGGVRLSSMRTSVNEGGGGGTMPMVSTTSHDCISSSSTSSLRYPPPPIEHRSISREEMAEAHSHSLALIRPEVRVEGRRRSSRGGGGGEEGEEGARRRRIDETSIRRDMDRVEAEKILQERATGDFVMRWREDGISAALSLRAHEGVLHLKIDRVGDRWIVGEGARDIVYYN >PPA07447 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:264924:284682:1 gene:PPA07447 transcript:PPA07447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fnci-1 MSSTQPMATQGGTQRANSTIAEYIRNLNNQARKILGNDASQVDVARFNKAIEQLTANDCARLSKLIAHLFSESASDGCKHLVETAQLFFIILGVTTVEKEVSEARQFYFSSLLDHIFSRKFHLSAFVDLCTLFSDQSAKFPIDQLVTVLDTVTAKVKSADETVNEQWRECVSILISRLLESTDGGGDKTGASLASALLCRWLREAGSTTVFASVVAIIEKCGATFVLRSYVHGDLQKALDSFESLNENEKKVTLILLISAQECSLRTIEVMDKTEDESKSEKGVRERLVKALINVWSSKVEGEQGHGDLSLQPNDVLNPSIVEFHRVIQTHAVIGKLVMHLFKNDRARLLSSQFGFAALISVVATDRHGVAALVELKKCIGQLFRADNELMSTGWVAECMGGVTEELTTQLRSFVDRLVRNVDVWHLLSPPLLRVAYALMETPQGSFPLAISDGRIVNGPKIWMLAAEVIKTVVVAKPAVVSVGPSLLQLIEAISSAMSSNAALVLVDVLVEVVREHSADVLNNTKVLESISEYASRLRRDVSISLVRSLLPIFHQRVHLREALMLVLKKDLLHDKTVACAVPLLLLLFHSLNRNGGGANASQRSQFSQSFATFSSQSLAGMGTSRPRDAALCMQIIGCLRRCLTQSASLKAALYMGLAHAVTRDTAATASPCLDLLLGHSTSMPEWKAAEVVQSTDSLCHLKEALPQFIYALNSVTNTLVSAPVDVGGEQRPDDNLLEQATEVLNGWAKRAADRELDELALDKISSWNRGTAEGRSTILFGECIKGVYDALIGYLWMRVSVLDGTQELQWLNAILGKKKALRELMSDVMVKRKEVRGKGGDNGEKAKEVQIDEKSCEVPVPMSTLADMLEELIGKEEPRQSLDGLSLLTWAVEKTKMTAESLDKTSNTEVHERTSTGSVIAVAKQLLILYKGDATECGLWLQGVQAASALKTHTIVAYSHLLNWLLSRHTKRIEKIATIYDLWDVSKLLPALLGMVRSADEQEEEGADRDKERKKAERTELETQIKTLLKMVEHLLSFSTSPKAPSTTFKFCVNKLLKGDSTAVNNPVLREIFRLMRLAAMRSPHEDGCIDKFLESLGAQVMSVLNDEVLEDTLDCVSPLSANAIVDFLFTTLEDDFDKMRALTNFTAHYASDEQMGTVVDVSKILGAVASRAVICIRRLVSLMNLHEKDFAKDKLTLLLTSCFTTLDEFFKKLHEVSKPFKIKEWKMIPSAHSLVSALSRLLANVDENVGQKTKEEEEKKKKSKRKYVKTKKEETLFIKFVRAREGVQSRVLILSKTLDDAKFNLQVKNNSIGLRDFRLDHKILAESIKRAAEMDKSMADTSVAGQPEKKKRKRKSNDEENARPGNDPSSGFIRFKVENVSDLPPKGVYSPVKFDNTFNHEASGWGFENMCSWEDLLDEEQGFSKDNKIIVEARFTLSNIVGIRTISPIDYSDPNVPSHDITFLIDGEKIHANKGILAVHSPVFSAMFYGEFAEKNNKEVELKDVDRKAFIDMLNLIYPSYEKISVSNCESILKLADQFQIDVIIDQVEKFLIYSTEFIAVKKLHLSDQYRLVQLQDHCLGDIESVDDVLDLKETDGFKELADNVKALLLEKVLKIIKET >PPA07462 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig154:357742:358181:1 gene:PPA07462 transcript:PPA07462 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVMKLRSVKASLRKEDPDFERKRLEMAPSDEQHSCTNSPAFKIEVIN >PPA07472 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:422724:423593:1 gene:PPA07472 transcript:PPA07472 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTWGYNEWSRKGGINLISLFCLIMSLSRCPSSATFVVEKRKKIGCFSGFRPVFTAIRSVLPGRRIPRHSSSSSYYVYLPAPSTIDSADIVSRPLSPYYLIDSDESIGLNDNIVEDDNYSLRTIDIFNQLESTRASRDIFSRPPSPSQESIGLDGSTVDDDNVSRRTIDIFNQLESLSSEPAAPAAGAADLISRPSSTAPSIPSESSWIDGLLEEDSEKDSISSNELFDGPINFDPLSSQSTLFRLVTTSY >PPA07441 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:223029:235328:1 gene:PPA07441 transcript:PPA07441 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYQVESTPRDMSKEGSSSDVSKGNTHFLKKLPAGVEASNVLIGEVDFLQHHICAFIRLKTAAVLGDLTEVPVPTRFIFVLLGPQGHAQQYREIGRAIATLMSDEIFHDVAFTARKREDLVDGIDEFLDQVTVLPPGEWDPTIRIEPPSQLPSQEKRKQVGKEYMDYTHMEEATGGASKDDAHEEEGHGNDPALKRTGKLFGGLMLDVKRKAPHYLSDFTDALNLQCVATICFMYFALLAPIVTFGGLLEEATHQRMAAMENLIGGALCGVLYHLFAGQPLTIIGSTGPVLVFETIVYEICSKMGIDYLTFRFWVHIWTGGIVMLMCITDASSLVSFITRFTEESFATLIAVIFIYEAIMKLLKIKGTYLGDTVVLWVRSPISAGQLDVIEYSKFDADAICRCIPAEFNMTDTHREKVSDLIVAKSYNITMLANGPDYSMVKLDQCREMYGKFAGDGCYPLYDKLLMSIMLALGTFTLSMVLKKCRNSCYFPSRVRQIFSDFAVMIAICVMTAVDVLVGINTPKLNVPSSFRPTYDGRGWIIPLFHESNPLWTCVLAFIPALLACILIFMDQQITTVIVNRKENKLKKGCGYHLDLFILAILIIIVGWLGLPIYVAATVLSINHINSLKVESESKAPGEVAQFIGVREQRVTGIVTFLLIGLSVLYPQITVPPSQMTGALGKIPMPVLYGVFLYMGIAALGGIQLFDRILLLLMPMKYQPDTIYIRHVPIKKIHLFTAFQCACLAMLWIVKSIKSTSILFPIMLVVMVAVRKAIEVFFTKNDLKYLDDPMPDFHLRKKEDQKRKLKDGEAIEIDLDENQATIHAVKTEAHLHIPMNSGNVIKIPLASLKEPSHQINISKEVNNSGMWKHIQQTDSKNSLNKMAPKTDKEDHAMLAQAEEDEDAIMIKVIRPSPHGSTQNITQDEQKPLLTKRDGKSGSPQDSP >PPA07446 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:263076:263733:-1 gene:PPA07446 transcript:PPA07446 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQTSIPKSVRDPAAPKSGTLESTVSTSPHVSQSAPESRATKGSGGMRDDGERKDISATLDNPVIEQPIHPVQSNQVEVEEVEVMNKSQTPLDSIMQEMGGLSTDTSSEINEVDSWLRGLTKLPEEEELTALREARDKVISWEETC >PPA07434 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig154:196503:196762:-1 gene:PPA07434 transcript:PPA07434 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLTVLPRHRDSPLVAAPPSKDEMQCNYCDKKYKSKKWMTKHLEEKHDVITVE >PPA07448 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:286007:289963:1 gene:PPA07448 transcript:PPA07448 gene_biotype:protein_coding transcript_biotype:protein_coding MSKETDESTIPQSLFTYKQTFNHEYASWGYLEFYKWEDLLNEEKGFIKDNKIIIEVRFTLSNFIGIRTVSPIDYSDPNVSSHDITFLIDGKKIHANKGILCVHSPVFSAMFYGEFTEKNKKEIELKDVDSKAFIGMLNLLYPSYEKISDSNCESILKIADRFQIDVIIDQAEKFLIDSIDFNAVKKLLLSDQYRLANLQDHCLGNVKSVKDVSDLKKTDGYKELSDKVKALLLEKEKNLGYAGKKEKEISVVPSLKNTAMVYPDRLIAMWRKQGQQFTSRRMRSFVRHICIVATCINDLSDEDLPYELEQLTWRRIDAHEYAIDRHSDEMIEYYYELGLRVEKIAMARVAYLNGEQPTFTHALEIPYDEEDAHPVCNAAKEHLVEESIKKVENTLTCPDCQKSGLDLRGFFIHIRDDHNTTARINGI >PPA07465 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:373809:376149:1 gene:PPA07465 transcript:PPA07465 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRDRMVHEFQQFDLNDLRVNGRQRVHASVELLKTLTLTVAEIALRLPGLLMLELWWRSRGATFDEMAEGMLQGMPSMEWVIAHFAYVTPSWVPYLMGKGAPWSSMVDNVY >PPA07460 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:347655:350730:1 gene:PPA07460 transcript:PPA07460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mex-1 MAHSFRRSSYGGGNSSSYHPHHNPRHGGYNASSRHHRGAVAEDDEYYQYATSTHHNPHHHHQHQHHHHHQQHRRSGGGGGDRYASDAGLRHDRGYGGHRNRAYYEEDDVSHAQHQPPQHHARANSGHRQQSQLQLQVLQQLPPQQQPVADAQEVPETPGTPPSIMHVGMKIPITDFFPGQKGIVAYTPDTELPVDENEPIEAGRLPKKKRTESTTSSASGRRESTGDAAVSALEQFECEDVARHKRKEEAYKTALCDAYRKSSECSYGKQCRFAHGEHELRLPPQPRGKAHPKYKTQLCDKFSVYGYCPYGPRCQFIHMMKKGLPLVEYEKKVRAGKISPTRECVEFIDEDDMESSYTRNNGRPFTVYQVDSRRYSKAGGGKESRRRSYQDEYGRGGSSRRDDDYEPTERIHRHRSIEALNADAPRVTYEKIPTTPKRAPVRPRELSLIHEETGEHERIFSSDPVIDRLGLQYLLIISRSRSPPSSTSQPSSSSSSARHPGWVTHLMQGCGAHLAPPAASPRTTMKARGPPKMEQIEENEEGDYSEDHRYRKLDPRRSHRA >PPA07432 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:186254:192223:1 gene:PPA07432 transcript:PPA07432 gene_biotype:protein_coding transcript_biotype:protein_coding MIYPLEESNPSSFCYLSPAFRLPPPPPPPPLPPIPSAAPPCVVPVAAIPLPPLSALPPPVDCVRKLQLSQCTLRCEGKEENSPPLAEKASIPAVFEASATLFQLQPEELSAAETLVMLSEEARIVKNSYTPIFHRSPVAATAPSASPTDELESPPVTAPLLTTEQLRQIVLDLEKSARERDQKKQDDANRESNVSPMKCAESIVDEINMNESDEYVSSDEETNMDVDAADEYMKPVALTTAVNAAVGRPVCQIPPNQDKAFLDEFFVESPFDRGGMRVEEANSSVPQSMDTEEPTEYLTKEQFEQEFNKFAHTIDQAKEELLCRGANCERFSLPVTFFRSILASTAAAIAMQLWNQSKGGTGDNTPAPDLPSTAAPAAVESAQPLLQMSPPAAAQSIPDQMTRELTADMLMLVLAELQSKSDAEGAFAARQRKRHETQIRSVLSLPSRVSPTKQKNPAQSQPVSPIIDVDGSWEEEPSDDEKEHDKTVEYRLEEQTDAADAAAAALSDAAAAEEMMPLQDCGSNEFVSRAELLEHREEHAVNGGQAPPPEATPLEATPPETRSPSADVSSIFDLMSINCPAAPPTTRGEEVQAPPPHGALTPPSENDVVATGAKPNLQELEEMGALPCIVCYKMLWRSERNAHLVMEHGLRGVKEERLTEERKEADEGKKGRGYRCPRCPETFWLYDDVCKHVDYYHQHDYRPRCFTCGDEFRMMTDLVEHIRQEHTE >PPA07454 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:314667:315473:1 gene:PPA07454 transcript:PPA07454 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTLPRHMPPPPLMMMPHPNGSMMGSLPRGGMPYPPPHMMMHPGMMMPPPLSPRSEASGSLRTGSIPLHHGSQGDLPFLDPKRKMETQTREEMIY >PPA07463 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:364819:370168:1 gene:PPA07463 transcript:PPA07463 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRQSLDRRGGGERDGHRESRPARDSHRAPPLPVRGRDASSDDGTPPPSRRGGTGERGGGGGGSSDRPRDPWERKQRAPVMRETTERRRGDDSALMENETPSSIVVVHAQFGARRRKGQEGHATEWSVVIVVVVVVVVVVDDDDDFTRLNIGKTLASASERSLHPPSSRRSHDSNSSEQNRVMRERERGGGRGGEGGRKRRGETEDDRKRDSGGKRERKSRSPSSSSSGSSSSCQDDDDDENGRRRREGSTSGSGSGSSSEDEDGGGKKGKKSPSPALPASADDWRSYFGSYHRAATLGGTVITEAPTTGESTATQITETKKSSENGSSGGGARKRRMSTSPSPPPPPPPPPPPPPTEETVVAASGSPRKGGGADRGRERERREEKEAKRRQSDGLTPSPLRRATAAAAAVDAADAAPVVVAVEEPLPRGASRRRGKPHTPSASPPSDSTPAPQPPPPPPTAADAAPAARQATPPHREKDREDSGKKRRHDEERKGERKEGGEKGEKVPEKKKKRKEDDPDKTARRLELKRQLAEIEEKLKRKREAEAASSLISSST >PPA07423 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:12503:13759:-1 gene:PPA07423 transcript:PPA07423 gene_biotype:protein_coding transcript_biotype:protein_coding MALSATENEELKENLATLGSHNNTKQKQHMMGRYVEQSRLLNIRVTQLEGVLHRHGITIPQPEKEEVEGDASRDTLGPVENAPIARAGPSTVPPTRRVRVTPSSSVTSAASAAAASAVSSKTSIRSTTRTTTRKLKGKDDDPPSNDVWM >PPA07468 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:403728:406983:1 gene:PPA07468 transcript:PPA07468 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRAKLTCVIGFWYFWKHHEIYPDFVQSPVWNRRIPLRESLERADMLERRMQLDVPEFYVGSIVAVTTTAAYLGAKEHRFVGICIRREKPGLLHQFTLRNVIDGQGVEVMFELYNPTIRKIETLKLEKRLDSDLSYLIDAHPEYSTVDPHMEPVAHPAGTPVPVNQMKVKLRPPPWTRRWEVIGPAGVANAWEDATPWYKRKFHKTKVNDYEKYDLIAAYRTGSTLEHELKVEEEMQEFERQRHAAGLTRRRIFRSASAAK >PPA07445 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig154:257687:258239:-1 gene:PPA07445 transcript:PPA07445 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVRRPGCTPVGIITIEDLIEECVQDNITDETDIHRQEKKKPQEENPLMEEFSAISPSSTLTATAP >PPA07442 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:237027:244622:-1 gene:PPA07442 transcript:PPA07442 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVVGSVYLLGRGALRLGEAAAVASGGCRQLAAARCCSGEPPKGPRSQGPNCKSCGKPLRSATNLPSSRYLMCDSCSKLYMGGAVEESLQQRLNLMKPEQRRSPPYPTQVAEYLDKFVVGQMQAKKTLAVGVYQHYRRLEHNVESGAVSAASMAYKTPETNIPRGVLYQETQGGMSSLSLGEMQRAGAQQGAQQQQQQPAAPSRPMFRALPDKEPPLRLEKSNIMLVGPSGVGKTFLTQTLARVLDVPIALCDCTSMTQAGYVGEDVESVIQKLVQAAGGNVERAQQGIVFLDEVDKIAASNDGHSHAYRDVSGEGVQHALLKLVEGTMVNVKSGRKGMGAQQETVQVDTTDILFVASGAFTALDKIVGGRLDKKSLGFGSTSGTRRISAEDKQSEMAKKRDALLAQADQGDLIQFGIVPELVGRFPVLVPFHSFDKESLVRVLTEPANSLLAQLKLQFAIDNVELSFSSEALDEIATAALERKTGARALRSIVESALLEPKFIVPACEHKAQFMVWRSGVMAV >PPA07436 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:198716:205699:-1 gene:PPA07436 transcript:PPA07436 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tax-2 MRRLVYPSREKGEQSDGDVLTCGVTHQARNGLLIDNSNNNNNNNKNNPILSSRLSFLVQDRLHLLVSEVKRRTSDVRETLLQEAEEEEISSVTAKPLERQSSLNSLIGIDHELEDLDEKGEGRKPPIIFKRTVNPNSRLHMAWLSLQTFAFLYNAIVIPLRSSYPYQTKVEDRTIQNLIYWLFLDYFFDLVYLADMLIWKPRKQFMKGGMPINSIRETSFKYLKSCEFKVDVVSILPTDLFYIWLGPYPIFRINRLLHFKAFGQLFDMLDNSFSNPYAIRVARTLSYMIYIIHVNSCVYYVLSALQAFGQIAYKHNGKYYLNKWVYNNQGNSYVRCFYFTAAVATSTGNNPAPTNVIEYIYMTFSWMMGVFVFALLLGQIRDIVSNANRNQEEYRRKMDAALYECQRLKLPPAVTARVRSWFIYTWEQHKTLDEKRLIEKLPLKLQTDLALSVHYSTLSKVQLFQDCERALLRDLVLKLRAVIFLPGDMVCSKGDVGKEMYIVNAGVLQVVGGENNQTVFAELGQGKVFGEISLLAIGGNNRRTASIRAKGYATLFALSKQDLQDVIKYYPQAQAILKKKAAEMLKKDKKAEDSKITVAPEPKMLKVVAQILPEQSETTQILKKALKDRRPSMLLRPDGETPDFSDLSDISDVEEEGEESAKRD >PPA07430 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:164874:165845:-1 gene:PPA07430 transcript:PPA07430 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRCEHCKSLVLVWEELGEKYTNSDKVLIAKVDSTQNELLGKLKIKEAHPYTVA >PPA07459 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:342979:343759:1 gene:PPA07459 transcript:PPA07459 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAYDSTVPKQAGSDLTAAEEQEKHNSIESNRQKGSLYNDKTVDSEGSPMLRSARDKSKSGPAMKSTARRPALPAISEVEENQPATSKEPMPPVSIND >PPA07449 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:293886:295648:-1 gene:PPA07449 transcript:PPA07449 gene_biotype:protein_coding transcript_biotype:protein_coding MNREWQPYLGYIKDGKLTVAVKFSLKNIMGIRRIARVDFSDKDEPHDDIALEIGGENYLSLHSPVFDAMFFGNFAEKKKKIVEIKDVDRQEFVELLKVIYPSQDKITDTNYKYFLSLADRFQIKLVIDKVEQHLISTTKLSIPEKLKLADDFRLVKLHDVCLDSFITVQDITKIKVCIPARRAINHSLIRRKLH >PPA07426 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:31271:34127:1 gene:PPA07426 transcript:PPA07426 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAMESALSGHVGGGLASMLLKGLSDEEEEKEIEVKETKEEEKKHVRFEEQAEYVQEDSGEEEYEEGMILEEFGDATGDFTKRLAAMRNGLGGGGGLGPNSQARHATHAHAALRTAENDEQRKKKRVKDRADRATVEQPE >PPA07428 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:66628:68085:-1 gene:PPA07428 transcript:PPA07428 gene_biotype:protein_coding transcript_biotype:protein_coding MTIICFIWTVSLCAGKKATSSKAKEGASKKTASIAPVAPPKPAVPPVAPAKEATKDEKKETEPPKEEEKKKDEEKKEEKPEEKKEEKKDEDKKEEEKKEGEGEKKEEEGKDGDKKDGKPELKPRDMGMDEKQKQIAKGTTRNKADYPTMKDIESDWDSAKDKRYKKDGDKKDDKKDEKKDEEKKEGEGDKEKSAKDKSGTKKEDKKSKKEGEEKKEEGDEKKDEKEEKKEDGEKKVEEKKDEEKKSKKEKSKKSEKEKKKDEKDEKKSKKEAEKSKKSKKESKKESKKSKKEEKSKKEEKMEDEKKSSKDKKSDKDNGGNTVLAQPTQIQDVKEADGDKAPA >PPA07443 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:245034:248990:1 gene:PPA07443 transcript:PPA07443 gene_biotype:protein_coding transcript_biotype:protein_coding MTACRLRETHVVVFGFIVLMCICFSSIFYLPKNEETIFSLELHSGRPGARHVNVARDQKALYEKIEKANIPPPPMPETIKESQIADMGTSTPEGKRNKIKEMMKFAWDGYRQYAWGSNELKPISKIGHSSSVFGRGELGATIVDALDTLWIMGLTKEFEEGRKWVEMSLDLKKNARGDLSVFETNIRFVGGLLSAYALTKDGMFLRKAEEVANLLLPAFETTTGIPFSLINLQTGRASNYGWASGGCSILSEFGSLQLEFDYLSNLTGNPVYSQKVEKINNVLAQLDKPEGLVPMYLNPHTGKWGSRDFSMGAMADSYYEYLLKTWLIEGKKNKETIH >PPA07455 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:316653:319756:1 gene:PPA07455 transcript:PPA07455 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLDRSIGSTLPPSTWCWMLRPEDATPDRIRMTLKYVRCSRLFVSVHSDLPLESTLSSLYEAAARFAPDLDLRVLIDKFPPKVAFAFRGRYDGDIWEEKRRGEGRFGKTVVAGCFDRLHNGHKILLSRAAALSTDRVLVGVADGELTARKAHRDLIQPAIVRLNAVREFLESVTDVPIDIRLITHPFLPALTTRDIQAMVVSEESKIQGQQFNIMRVYRNLDPLYVEVVDVLENMGGIKLSSTSRRRAMLGKLLRHPNKKSKLVPYTIGLMGGPSCGKTTIGVDLRMMGYTVVECEHDFAMLHARLSENRRHDDVIFIVSDSLLSSNILSDLHEVWAVIARREELERRRKGREMEIPSTTTARRLAASHVVLSTDGPRDSTLRQLQQAVNLMEQRRRERNHPKERFEIN >PPA07435 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:196921:197586:-1 gene:PPA07435 transcript:PPA07435 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTDVSNKLMNIQDSLADFASIDHLGSVWLSGLEMMMELSNKGTGSDEFKKSVDSFTQPIRQNKARDDKRRSDSLRNAGCKFCSALSLAFNDLSKKAPVAKSKNENESRLVQYSPPTKPTRETRPLMKKKVILLKRRIEENVS >PPA07464 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:370841:372978:1 gene:PPA07464 transcript:PPA07464 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTDAGPADKVARLRVVNLEKQDSRVVKPKRQPRVNLAAKSLTKEERAQLKYAQFEPMYQLWLQYALQMMPEGKPEGDRLLRMEWLGAMLMVTEADNPSMVGLVGIVVLETKLTMQLITKKDKYLIIPKAGSVFQTAFRGKVLTLFGDAMRLRPSQRGRKSRLRQKLPFSLR >PPA07427 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:64294:64568:-1 gene:PPA07427 transcript:PPA07427 gene_biotype:protein_coding transcript_biotype:protein_coding MILGLLVIWAILARTEEAEEDDVGSPPVVDPIIDALMDVVIVDEEGVDAPPARILSAQIEPDVYVLRPVH >PPA07439 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:217754:218855:1 gene:PPA07439 transcript:PPA07439 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGEETEDKPSSSSGAGGKRDSIWQAVAPLYDVESDETRQARRERRMSKRHDSIELASLAPGTRVMFLLKEKSELPALFTEMGELGGNEWRETARWVKFEEEVEQGK >PPA07470 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:413212:416882:1 gene:PPA07470 transcript:PPA07470 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSVLSLASTQDDDVASIEWGVSTAASYNTEDEGALLNWAALTPPVAYEDDVSEKAPARTTHSPRTPPPHDDDDDDEPETVEVARRDATASRRSIGEEFTARVHEILHESKAAIKADRALVSDADEDDDDEKAKEDRVDSEEEGVTTALEIGTVAANSAKAPHPATPRRPVEAATASRAPSASAPTRRPAQLPQPPTPRPGGACMRMPHGGGGMAPPLPPFFPAPHHHRSMYGPGFNHGPFGPLGYGGPSMRPFNSLVGPLPPYLAGGMGGGLGRPPHLGGAVLPPLPPRAYMGGGPPASLAGAAGGRAGGGLYGGARVAPHHFAAAHHPHPHPGGHPHHHHHIQHQQRTFSLPSSVVAGERRRAGTPRRDSESSPRGALATSSAVSTVSSSPHTAPASLERPNYGRGASQSSGGSSGAVAGGRDASSRPPPTMASLGIGHAASSAMTSSMGIGPELTPYPLVYVSPAGSILCVLNHDVVVEMAVDRSVRIVLHDNFAAFCNARGTSSAILHPTARILHTEEYVYTKFIANNEKMAVFGEQGVLFTMSHLSEAYLVNSSAYPGASAVALDQLQFPTMDDDYTIKMFYTEAQTGPQDVMWRMAYKERSEIL >PPA07425 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:21756:26422:1 gene:PPA07425 transcript:PPA07425 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQYARLVSRKYRGPKLPKINRPRRPRLLAWAGPAAFYPNRFYEQDKWYKARIDKPDLIPQLHIIDPTLYGESLAEYLQKEKVEPTNIGFAKKDEKRIPKISSDTQLEKLSRTKKLRIDMSRVGEADQRVIAHFGVFEHLFNDNVYFDRTQNFEVSIESVGADGFNTIVAVNLDGNASEQSGEILHWLVANIPDGKSVSEGEEKAKWIQALPFLGTGFHRLTFLLLRHSEKIDVTDFNSDLGSRVFSTAQFYKKHEEKVTPSALNFANVAYDEALHAENLQSPLYEYEWNERMKRDQKEFPLKPMPFDLYFDQFRDPKDVQADLLRERFKKVSIDDVTPPKFLDDNYVENKKKLDAWNHAKLLEKNKPDSPCFSLARLRQKEITHEDVDVCETEYGAQMDVFDEITRDGSCCRKKKKKLRETKGICATSVSRKRLEPKARS >PPA07466 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig154:377235:378692:1 gene:PPA07466 transcript:PPA07466 gene_biotype:protein_coding transcript_biotype:protein_coding MNAILDFVHSRQVESTAATVISVSVLFFSFAFLALSLQHVLRLYAHFASIILFGVAHSMSTTYVTLEESSGVEELKLDDFVKLERHGFHFLAQLLLAVLQATLLGLQSDIGRVCLAFYTAPIVARMCSFPLSNLMFGQ >PPA07458 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:332599:341163:1 gene:PPA07458 transcript:PPA07458 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tat-1 MMHQMMLSDDSKKSDNNTTSRKIVIGVAEGITNKIATTKINPDTSPYGPQSCSVPLCVIILVAGLKEVFEDYKRRKSDKDVNNQLILAYDAVNGWREITYSNLQAGMIVYVTKGSSFPADLVLLSSSEPAGIAYIETSNLDGETNLKIRKGLPQTAGLVHRDKLTKELHGTTTYCEQPNKRLYSFQGNMQLARGAKLRNTDWLYALVVYAGKETKFMLNSVRTKRKNARIHQLANYLMISQFGFLVMATVSYTYFSRSENVFAFIRYVYDNTSVPYQWNKSSEKFELKDAYTPIESVAPVNEMLFISLINFLVMFSSLVPISLFVTINTIQLFQAILIRSDLEMYDERTDIAAEARSSMLTAELGEIKYVMADKTGTLTRNKMVFKNCSVGGVKQESFNPRMVLSDLKSNRRSNSEDLHQFLTACAVCHTVVPEKKGDTVVMHASSPDEYALVGFASAAGFKFHTRTPSMIMFTEELDSSVTERSFEVLQVLEFDSVCKRMGMVVKREDGSLRLYVKGADSAVAALLSQEQKQDVKRMLNHLEDFAKRGLRTLCFAYRDIDESFYIEWMKRYQLVLDQPNNCRSRADLMHQVEEDLVRDMKLIGASAIEDRLQEKVPETIAKILSAGMHLWMLTGDKLETAINIGFSCNLISNKVTILVLKSPITEEVTGQLRSWLAQIGERRLQKGLRTALVVESSSLDLILADDGLTTDFLRLALASHAVICCRCTPLQKASVTRLVRQYSSGCVLAVGDGGNDIAMIQVTEPFRTLFSSQEAHVGVGIHGQEGNQAAMSADFSIAQFKHLDRLLFVHGSLSLFRTNRTLLYTIYKNTFEMAIVACFSGYTGFTVQAYTDTIHMICYALWYTCFPALMIGMFDQPTSINVIATSSSHYAPMQNTFTIKEYIKFLSAGALHSCVVFFSNWCLVMVANVKALYESRSLNWPMVASLTFSVTTPLLVMIEQSFAFPALPWGNSGNAGLIQIVPTFRFFFFIVFIVGIVVMLEIMFKGCKLCYGTADDHMYGARDGDNTETEPATL >PPA07422 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:11291:11817:1 gene:PPA07422 transcript:PPA07422 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRFHGDATHDYEETDNAKVGVNEIVFDPSLNEAVVIGEGVEEYEKEVLPPSQTLIDQSSSSEPAKKTKKGRNKPSKKVGKGEEERKLF >PPA07456 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig154:320252:323887:-1 gene:PPA07456 transcript:PPA07456 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDRWFEQMQSQTDEQEEAMKRMHAISESLVHTRRDLAAIGETFSKSLSLLASCEESTALAPLSVPSHGDLSEAIGEYVSLFNSLKAAFGERHRVWQNWQTAQQNITKKREQKTRLELAGKTDKAAALRVETDEAVQKADQLEVQFGRISEEIKKEYAKFESTRKRDLKAIIVRFLETLMKSQEELLKAWQRFAPETAVIPV >PPA07467 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:386573:394774:1 gene:PPA07467 transcript:PPA07467 gene_biotype:protein_coding transcript_biotype:protein_coding MILMLSGSFVAGDVAGDPGGNRRDDEHSHHHARPRADGVARVRVGAACGQVVHRGAQLATAARAGAFELGLLLMVCTQTGLLGMKNEQKAALLGLVLFIVLSALLHTLFDLVNTQLQILATSRDMARFRHMRTLSTCCALIPACAATASTAYAYLPMDLWTCVLLSNCFITAVHAASAIVQYLIARAEATSESWMMADSANFACDVLTKLLEVGCTMFVIAVGSWVTVNGEWTVASFGVLLLQVVFNVIQKIDIMCELFRKRSEAQANLERLAVASDEQIRENADVCAICLQEMTEGQVRVTPCTHLFHSPCLKKWLSVKQVCPLCYSDMAVKKHASSRATSRSGSIAGEGVRATGVRRCGGGHGPGSGLRERELDRINRQLDGARDFWPLIGINYDSEDFESDGMRSAVSAARRAATAAAAASTISTGGGQAAALSKADSTSLRARAKALKHIDEFPFYAVY >PPA07473 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:423851:430180:-1 gene:PPA07473 transcript:PPA07473 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSMEAASVVLSQRCLARLPEVLRQAATRCSRLYVAVHPELPLQSTLDSIYARASEHAPQLDVRVMLKSALPHGVSPLRAEDGSLPPVRPMVDPAYKRVCLGGTFDRLHNGHKVLLSRAALISSEAIVCGVTDGDMIIKDTMGDDRASGAKDESGGGIREGRHRFLEECMQLGLTSCVLGNEDAIDVVSCLLYPITDPFGPSITMADLNAIVVSDETYKGGQAVNSKRAERGMSELVIEKIGLIEADDTLLKETKLSSSSRRKEALGTLLKPVNTQTRFRPYTIGLTGGIASGKTKVAEDLRSMGYTVLDCDKIAHGTYAAGSDLVKQIAVAFPSVVNEKEEVDRRALGNIVFNDTAKRLQLNALVWPAVLRAVQTELEKMPSDSVVFVEAAALVEAGWPKDLHETWTVFCARRHEMYEYDDIDESWWLGAEELRRVQQRDKLDEQQAEARVSSQISNRERLAASNVAICSDWEREVTREQVKKAVELLEKRRK >PPA07450 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:295864:297568:1 gene:PPA07450 transcript:PPA07450 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIDLSNDLMNIQYEISEFASIDHLGSVWLNGLEMMMELTNKGADSQEFNKAVESFTKSIRQNKNRDEQFLFNQYVYSRNSDPLRNAGYEFCDAISLAFNDLSKKAKEKQIKKQIALIRPVQQSQSSKATRETRSSKKKTIFLERRFEENVAQPIEPLRLLRCGDCPAIFKSNTARLEHRKIHAIPIKHFECIPFDINAEERVIRWLELSKEGENSEKTSHPCKDIYFILKYNIRNSDSNFR >PPA07421 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:6334:10246:1 gene:PPA07421 transcript:PPA07421 gene_biotype:protein_coding transcript_biotype:protein_coding MPILLGDSSIDEQYSLDEEIPPDHRDQQRIDSMGMKTAPTKRADSRLKGDPGKQGDKHGRIPPVKNQQTTVVKNDCEESSLHCPNYENDDNNKGTKGKKTVPPKKTTKASIIEKTTTNPDKPTTKTSPIVKSTSATVETSTETPSTVATTTTTEKPTTEKTTLTTEDTTTETPSTVATTTTTEKPTTEKTEVTTEDTTTETLSTVATTTTTEKPTTEKTEVTTEDTTTETPSTVATTTTTEKPTTEKTTVTTDDKTTETPSTVATTTTTEKPTTEKTTVTTEDTTTETPSTVATTTTTEKPTTEKTTVTTEDTTTEKPFPPTPPPTIAPVPTTQRPYPPPIPPYPPSTLAPPMTTTTNSGQPADASANIAVIAGSLIGLLVFLLIVATIVIVILVMKRKNRDKEDENEDNDGSQPKIIKIINPRQKGKRKGKKASKKSAIEGGKQFRIASKDINFKGPLKMQKRFHGEAKHDYEEAADTSNRRVTEIVFDPSLNEAVAIGEGVEEYEREGNSGAASFSDTDRSEFLKDPGDPLPVKRMKKSRNKASKKSDKSI >PPA07478 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:461572:462217:-1 gene:PPA07478 transcript:PPA07478 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRSPSSTTLIVEKRRKISCFSGLRPFINAIRSRLPSRRLPAHSPPTFYTALPSLPPATETPACLFSPPSSPISRPHSPSIQSEASLGWIDAIFEDDNDSLYSVDHSDSAIHLDSSFISSSEISPNDLIIYRPPEPEPAQNYEVEIAAAA >PPA07461 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:351699:353881:1 gene:PPA07461 transcript:PPA07461 gene_biotype:protein_coding transcript_biotype:protein_coding METAEPGLQDQEEELDYDNSPGKRAESRADGNSIDSEDGELPEEHTARDISDGEEEEEGEIASDGEIVEEKKRGSDVEEGEITDDDDDEIVQSRRRDAHSPLPRGAVPLSGGRRLRREEEKTDVCKHYLKGICTWGEQCNFAHPPEDQLHLYRSRGGSSTSTSATSLLSTSREGGGGTSPRRGGKGEESSWERALKEAKEVSIECMEAGI >PPA07440 pep:known supercontig:P_pacificus-5.0:Ppa_Contig154:221363:222127:1 gene:PPA07440 transcript:PPA07440 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNSLVGSFIEAGDVEEDDRAELTEILNRRHVHQYEQARRSGAGGEKEGGFLSAVRSISDIGKSFSHGKNLAKSTEEAAKAGINLELPKLTGRY >PPA07480 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1540:59:737:1 gene:PPA07480 transcript:PPA07480 gene_biotype:protein_coding transcript_biotype:protein_coding HSTRTTLPSSSATVNELSGVKESDTGLAPPALWDIAADKQAMQQEQPLQVARCTKIITAEGQDPR >PPA07481 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1540:808:2019:1 gene:PPA07481 transcript:PPA07481 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGALRERVRSSQENNNNKPKENNDETLSCERLSEYDEGCCSLTLWSSLRPCLVQWLDTRPNRQVCPVCKALISRYKVIPQYGRGGNETDPRDNVPHRPRVQRQEAPQGFPGFHLGDGTGQGGMHFSLGIGVFPFSIFANIFNSSMDRRPDAPNNTVHVVHEDQLLLEE >PPA07482 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1543:1112:1461:-1 gene:PPA07482 transcript:PPA07482 gene_biotype:protein_coding transcript_biotype:protein_coding GLITPGKSVLVEATSGNLGIALAFNARIKGYKIVLIMPSTSSLERRALLLAYGAEVVDYSSPSIQVIISNPAHSSRSQMYWCGNGGKSETSG >PPA07483 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1548:3345:4184:1 gene:PPA07483 transcript:PPA07483 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDQNTQQFAMKEQTPCGTSATAFNCNPDTAFLNTGESANWVCIQNSSWTGPNNGITNFDNFGLAMLTVFQCVSLEGWTDVMYWVNDAVGVEWPWIYFVSLVILGSFFVLNLVLGVLSGEFSKEREKARARGLFQKFREKQQLEEDLKGYLDWITQAEDIEPINEEEQEEEPPPPP >PPA07545 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig155:374642:377223:-1 gene:PPA07545 transcript:PPA07545 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVYQARQVIQIEEREDGRYVHALWARDPNRKGCSKVTRTVEKEDSFGAPALVKAFEERKATGRFILHDEEYVPEPEDPVELEKKRVEKERRLAKEEAKRHEKNVIVINHALVLASMYRHKLEEIVAAKCEYRNWLRAGKPPISSEFMKKDIDMEKMIGGLVKKFMQCCDAVEKELESSNGLELEIYELVNKKKFHMSIEEYAKMPRCELFPN >PPA07517 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig155:203245:204089:1 gene:PPA07517 transcript:PPA07517 gene_biotype:protein_coding transcript_biotype:protein_coding MQNRCSLGRSFAQPLGRRDSAAILTADDNIGIVMRSTEMLIPPPSRRRSQP >PPA07513 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig155:179652:181621:-1 gene:PPA07513 transcript:PPA07513 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFGRNSISAMDNSEMELASLDPATDTVDTRATVTQQQSEPNHSPILAESPVATTETSFGYPTFSLVKDFLHGSQSLVNSPPPRKRSRRISSNDLNDELDRSAPAVNAETSLGYPTFSVVKDLIHGSQSLPPSHFPRKRQRRISSNVMDDVINGSIPAVNKIASLVIFPQGS >PPA07505 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig155:137221:139091:-1 gene:PPA07505 transcript:PPA07505 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWIGRKIITVHEISTIFYHDVAGWSSKITIPKMVAGSVCYVGETAILKTSANAPNDCDNDLPEILDKSVERPITHGSSPTVTPVRYGEKPFACDVCEYTCAYKQLLNIHRLKVR >PPA07523 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:233150:235414:1 gene:PPA07523 transcript:PPA07523 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLEEEEDITFEQVLSQINIKTQVENVIYTLNVQFSELKTIVEAHTGFIKALRTKNEAFRIGKTVYLSDEEDRELKECEKLKEELAEIVDIIDLTEKYERFLELTRKILLSEDKIDELHANLDTITKKSREWIEDVQLSGPAICEELMNVSVAVKESKKQYTFSIECLERSLVEQMTTFLVEHFQKLRIKLLLKHREDIDAEHADQYRQQEELCMEWMERQREGDIKCEALSCELASLCLMRKRQPRESKYEQEEQMRELTVQRKLNCFDETVCMLTHPCVAPIRT >PPA07512 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:169903:173071:1 gene:PPA07512 transcript:PPA07512 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRLASLMEPLHQLHSSTYEVDKRQSLMYPYKMHYQNGSISEYKKEFPSKNDLDHSPWKRSQSLVNLPVLKSPACSSDSRDGYDNEKTMIERERTIITENEWAMRVMQSNLMNNVLERYGEKPQGEKKSDSPDGERRGRGKKKEWKGVVTDDSDRSLSSQRDGKKSGQKDERRNTQRKEFFLWGREYREERKEQDSVPLLFQEWPRIKVEEAGSGHLVKMVHNGIEYGDMQLIAEAHHLLKDAVGLNHDQMADVMDEWNKGELDSFLIEITANTLRFKDEKGETLLPKIRDAAGQKGKGKWICFASLEYGTPVTLIGAAVFARCLSALKGERVRASKELPQSDVDPSTLIKDKKFLGDIKKAFDSNPNLANLLVDNFFKDAVAKAHCLDKMKINSTPIVQEYPSHSFHPMSPLDCSRIPQRLRGVRMDNYISFPLLHH >PPA07491 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:71100:71731:1 gene:PPA07491 transcript:PPA07491 gene_biotype:protein_coding transcript_biotype:protein_coding MESDPRDKFPIAGNRLLVFTEEQIRAYTLPSLKNSRYKYKITALEGERIRKAALVKMASVSGEADVVLA >PPA07493 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:78909:80416:-1 gene:PPA07493 transcript:PPA07493 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDDMEKAQERIRDKVERQIPQDLNELSAKADNIKHQMNTRIDKEEEERYLAIRELQEAFSKLQTQAAIGGRDSSATVREASSHNEVLLPVMNSMRRDVDECKVAIKKLAESIATVKNVLDKKINTEIRQREHDVATINQRINTINTTRDTNRDTTRTAVSDY >PPA07496 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:92380:93746:1 gene:PPA07496 transcript:PPA07496 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-18 MERGGPMVRARSSVCLPRSRSVERFGKVGTLTSCVLRAFARFSSRDERSLSLLDHMAEWESDRANYYTPLYWPTYRYAARRYAYTDPIPHSLGFETPSFWSRYKWYTDWLNPTYWRRHRDPNYDRPLWNNWRPWQLDKVNVKRAIDMYRNGLIDFKTLDKKWIEPTALGRRGKDWNDVYIPAARYGAHRYFHSWDR >PPA07531 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:269266:282060:1 gene:PPA07531 transcript:PPA07531 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAKSAFQLKQDPMDAALFYLALRKKNVLTHLFKTTSNQMMMDFFQQDFSTDHWKKAAQKNAFVLMSKQRFQHAAAFFLLAGSLKDALQTILAKLNDIQLAMVVLRLYETDTEVMNQQMRDLLCREILDQTVEQFEDSKGRLEDDTALHKNAHQDPFVRSMAYWVLKDYSRAAHTLVVEANSLHVSSQADVSLSNIFNFYSFLRKHPLVIRQRLTDAGVQVGSTEKFLAVARRLEVLITPPERRLYFRTAGQHMALGCPMLALDVLSRLPKEIAMLGEGSESLRDILSQEVEEYNMTSMPLSAKTSVGLSMAPTKNEENVDWSAPTNVVGDDDLELGWSESDDDEEEEPKKEEIKKSDEKPLNGVHDDTRSLPPSSSSGIVDIIAQHMKFVASLRILTEELSTLASGYEVDGGQLRYQLFHWLEKEVDVLKRLCDYRTSEPEEPHVDEPIEDHVEDWNSPSALHEVISKDRALMAARYKIAMHRRKWLVSNQKLLRSFTSFCALHSAQNHRLTSALMELLLLLLELQKDNSSSSLRETLPDMNSFPLLVASVSSYKMFVSSPLAFIENQCYDLLMSISELVHVPKLDHSIKKVFILYNLCQGLSSCVYQSLSDVDYYNTNSIAPGSGALTRRSRAQTSIDDIRVTTQPGKWPGVENVVALLGRERDEDAPQLRLLLAECFVAITMSLFSFALSAYDARWLFRLSAHFVDNSQFANIFGGGGEKKLKAAPPARPPRPAAPSVHVDSNSIDKNPQVDTVAESLALRAKLHAKVFGIENHPVMVKKREDERKANEAAAAKVAKPIEQTIYRWVPPNKNIVQMYAEKIYEDLGDDVDCVSEAGSEDEEHPLNDDEEPKDYANPNSYAWTLMRLALVVQQSLRLKQFLVLSGFDLSEIPCISPRVNSVIKMLEGWAYQLREELRAFPDGCPPDLLPNKYADVTEETAAGPTLRKYRALVEPSNTPFENEGPHALPVKRLWIYLVRQENLQNIFIANVFAPLQNEKGTEKIGASGGANKENLPEAYKIIQKENEPIVAFSCSQERPGLLVVSTGRELQEMDISPIFEENANSTWMSNRTELDVALFGLRRDAKIDNDDYQLFTDGQTTKSSSAKMMFKRPINGIRRIDAHPSAPYYATGSSDGSIRIWEWGVGQPLFIPRHAGQHAKVTRISYSPNGSKVAAADGDGMVCVWQAGVSSDMKMPFFMTPG >PPA07485 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig155:14401:16787:-1 gene:PPA07485 transcript:PPA07485 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFDKSIIFSVALLLIHIQPLFSCGDHHHHTRQIRHSLLKAMGMNDREVGRVNQEKIDEAETLLPMLNTYRKKRDDVAHFLSHFRTKDNEHILSFNNSITSRSPTSATLSYTLGPLPHSSAVLVEVYARAKNEEENTIISTSVATLNRSERTQFMTVLGNELIHGWFNLESRAIALSIVVRDVETDKIIYEGENEQFVKGVKISLSLATPLSRRRRNAEEEEENKCKTNDGNEECCVITKKFTREELNLPNLISPSTLWMSWCNGQCNKESSFQSYFSRYSSLMNHDSKDGPACCHATEYDDMEIIFMDAEGQARSSILYDMVALTCKCN >PPA07511 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:167543:169220:-1 gene:PPA07511 transcript:PPA07511 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQEIAVSDAVLVPNIEEDNQEAVKQERSRRISSNDLNDELDRSAPAVNAETSLGYPTFSVVKDLIHGSQSLPPSHFPRKRQRRISSNVMDDVINGSIPAVNKIASLVIFRLYASTYKTDLPLLMNRNNMIPLKYQPFLYYLDVKRIVDLEALDKEDEKRLKEKLAEVENQVKILKNDWAHPSVGIRVGQLLRLAKEIFPNREFPYLPCAAVALPCDDNM >PPA07486 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig155:25688:27120:-1 gene:PPA07486 transcript:PPA07486 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKGNISQHLPTILTVVSKMKELYIEVTLLKVKNWDELIAPLVPRVNDIHLRSFSTLFRKITMKFEMFYYAERLIRTTDSDLPKIGRINNCRSGKGGKQLFYIETEAGWSDY >PPA07506 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:146265:146958:-1 gene:PPA07506 transcript:PPA07506 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKRHNRSLPTMGEIHKKMKDMASPGEHNYTNDEVNQLAESLRGEMAREMEGITSPSASSSSANDNDD >PPA07529 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:258864:261795:1 gene:PPA07529 transcript:PPA07529 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVVRIDWVSTEDGAHILTVGVAANIYLYTQISQDPAQRNIIAMKEAETNMRRPSLRKASSLVSPEIPFQRSQLVRWVCTRVLELHSADGLPPIPTCLTWARDGLLIVGMQSEMRCYCQWNLSAKSTEEKEDTKKTVLMKKQNASAPTLTISPSHSMLEQLNKKGKEEKNKQKMIFEIVNKAMNKDSFAATSAAEVAEAFAQEGLFEAARMANPILPQYHPKQLIVLLNAGRTKRVKAILLHVLKSLKGRRGEGKPNPLSRAASIRRMSRVEGSVDQPETTQVIQIDEGLDYDEIDDIAPLPLYSLMAADEATDQSRNENNATGPSYDGLFAREEDEDLDAMLADDDDGNSSAGGRSRLVSTSSDPGGNQGIKAEETVQVVFTAKHNRILTEYLTHTHLPG >PPA07533 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:288430:288864:1 gene:PPA07533 transcript:PPA07533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-flp-8 MRVIERVLQELLQRADITVSDEDLPAPEKRKNEFIRFGKRSADGEMEKRKNEFIRFGKRKNEFIR >PPA07527 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:243742:245050:-1 gene:PPA07527 transcript:PPA07527 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIAPLGKWPWQVYVRTPDSIDQLNGTKFDRLPLIRAGVVNIEEAAKDDFQYTNVHGVKKILVHSEYNMDSVVNDIAILELERPIKYSNTIAPICLTYEDQDVNIDQEAWIIGFGAYIGAYTSISHYCEWIEKVTEGEAKCAENEVEMKLLPEEDREKTEEDSEHSQDDEGDEEHGEEDEEDNEKEEKEDIEEDDSSEENEPKWSLHDIITNSA >PPA07542 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:331163:331694:1 gene:PPA07542 transcript:PPA07542 gene_biotype:protein_coding transcript_biotype:protein_coding MADERAVHEVNDAVDVAPAFELESDLPEVKLFGKWNLQEVNVADISLVDYITVKEKYA >PPA07487 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:32979:36408:-1 gene:PPA07487 transcript:PPA07487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter [Source:UniProtKB/TrEMBL;Acc:H3ECN8] MLYDPNVWLDAATQIFYSMGLGFGGLIAFGSYNPLRNNCVRDVKILAFINLATSFYTAVVVFCVLGYMAHNNMHTCIQGDMMKMVEVYPDLFPKLEDVQAAFTDDTWKELIADGFTSKYEKMKDVSAMCDYHKIITEAAEGTGLAFVVFTEAIIKFPFPPLWSFLFFLMLISLGLGSMFGTLEGVITSLNDSQLIQLKKPVLTAILCGSACFIGLIFATNAGQYWVSLFDHFAGSYGLMCVAFMEVIAVIYVYGYERFVRDIEFMTGEKVSQYWIFTWRFISPLIMFFLFITSVVSCFNKLPTYYTYDKETAKLRETEYPPWALWIALFMVILAISPLIIVFFIRKFKIIAAEPNIPAASKRLNATQSTTYMLKSEQSFNRMTESSVSVMMTETANGPERKPQQSSHSA >PPA07502 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig155:122072:124630:1 gene:PPA07502 transcript:PPA07502 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKAVEELVTDFLQLKGLNDVGLQLTLSTDTKPAKDRIVGELLDLVDNLKWTELRAKWESLNEQIFACLTADQCALASELEMDLHKLYLIRCISKGEKKRVLAYFTEMSAFVEDNKTWNEWMCLPYVAEPRDLEPFKRYFSKQWREVFALSLHNFFNVILNSRSRSLLTLLAERKAEQSSSGAISPSSSFSEGFFPEGETNCTELVTAMGSLDEELLDEFAVIAHLQVTIDVDECSIRLFKTGFITMHWPAQVGGLQAVSQDYHQEYQQEQDRLRIG >PPA07521 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:227303:229568:-1 gene:PPA07521 transcript:PPA07521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-atf-5 MAECEEIERRSPHSSVSHSSASSIAAASPLSVPSSVLSDDSSDSARSSPGPVAASTTRPTRKERKKMQNRVAATRYREKKRKEKEETRGVMEELEKKNAELKEKANAIAQEVSYLKKLFNEIGVEESRLTPSQ >PPA07535 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:293432:293819:1 gene:PPA07535 transcript:PPA07535 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSIMFLISCLALLSTIAVEVAAEHHHEQTFKACGAKLYTHIQNHNLCQPNNCTGITLNKVNWRKQLSLI >PPA07495 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:88993:90300:1 gene:PPA07495 transcript:PPA07495 gene_biotype:protein_coding transcript_biotype:protein_coding MADYPLVRAQSSLALARSETRPTLLSRTYSVPDLGQYFRWSDKYKPQWHTTRTYTPYRYRRDYDLSLEPRWFDRLIRGRYDDYWYDKYYYFSPLYRASYYPRWRYSYSDYLPNPYYWSPYSSYWTRYKGYWHDKEISPRYELLKRSNQVGYDYDYPSYYRRTYDSSFDRYLRSTYTPYRSYTYDSLSSSLARGLSMYRAGNTAE >PPA07501 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:119507:120335:-1 gene:PPA07501 transcript:PPA07501 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKTRVSSASAEDVMKQREKAREMTAAILNSMSTKVNRKSKKQKIIKKNIRLEYAEKCANYCRNGACATIDHQIGEEIVRRENTQ >PPA07534 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:290316:291865:-1 gene:PPA07534 transcript:PPA07534 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVGWGVSYKGETKGRNFNWTMIGIKKRTVAVLDVAHCEHARDKLPRRSDGLSLTDQARCGSPVAQPGLALPWPPPASPSSSKNA >PPA07509 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:152736:153283:-1 gene:PPA07509 transcript:PPA07509 gene_biotype:protein_coding transcript_biotype:protein_coding MLFMTIEILVAVLSSDDETTDEDDLGERLVQGTHHLLNIYLTHRDPNHWDHPVVFRPEIRFDGGEDYFGVDIPPFQDQIK >PPA07540 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:321689:323455:-1 gene:PPA07540 transcript:PPA07540 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHQIIAGALNKGEHVFGVGSVEGIQFTACAVGSDLVILASNFDRVQVIPAPQSTNDIEYRLVTSVSCCNDSGKIAATYGTVIRVFEPTHNITTKAAHNLNYRWFETQMIQATGTVNSILWAMDGLRLMVVCQDQLFLYQHRFLNGSSSPSRSSAPVMFCIAEEVGI >PPA07503 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:124833:131699:-1 gene:PPA07503 transcript:PPA07503 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYRSLTAGLTIGVRREPVAWYLMKVGDSVHNVIGEAYEDGGEKKKKKDESTVDEVASQADWKDLSKREKSLFISLLTTKILAIILVIFIYLCTLSILASAFTLLSSRGLVITVHMAIPMMIGSELGTSFVNGLISLTYAGDRESFKRAFSAAILGDVLNICCILIVLPIELTTAVIENISWKIVDPLISEQGLSFKTIEIITDPISRFVLEVDEPGLRNATINDDLLPSDHSFILKCSFPNGSRIYNCPYSHPFIHTTWSDDTVAKIVIIFSIALLIFCLFAIVALINNIMRGRVKEAVQHLIEKECPGKWKWATGYIIMAVGLGFTLLTFSRTLPRALQSNSVFSSSLTPLAGAGVISLKKLYALTMGSNIGTTFSGVLAAMAADPSRFEKALHMAVCQVIYNVIGTLLFYPIRPMRNIPLKLAEKIGDCTAHYRWFILLYLFVLFVLVPIVVISLSLLPPFITVSAFVLMYIVVGAVVIINVLQTHRPNLLPERLRSWEFLPIWMYSLEPYDKCLTSYLSRAPFVGKYFIRKNSRAAPPNGTTAHHQNGHSNGHDNGYDNGLFLRLANQTQNTF >PPA07525 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig155:238550:239410:-1 gene:PPA07525 transcript:PPA07525 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFPSLVEAQTTANKMRLKEEKEQRVFPPSVDSEEAANCLCQCCPPRSSQEQGDYCCSSLFSFPLLRNGALLKDGLLSKMKEVGSHCCITKDPLFTDHVLTEAAARASAEMFSMFSGEPITDFNKAYRYGSYRLFVASTIGHLGKGVRIRLPACFVHAVRQLWPSTNYRGFSSTEAYDL >PPA07489 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:51597:56146:1 gene:PPA07489 transcript:PPA07489 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDLDMLGNLDDLDSALFGRKIGGGPAKSQAAAKASTLDSLFSNDPPARRPPTASSTTKKPSVSFLDEITGDAPAKSDKRSIDDIFNEALPSRPSTSTGGGGSGGGLFGGPSTSTNTSGTASSGGLFGNPRPSSIGGASRGRRDREQRTETPQVTPEVSAPTPSIEISDGSGARAARLQDEIERLNREIVDIRRQKKEDEEALIREWKEKIERKDNELNEEIERLKTNHSKQTEKLKSEGAEELERMRETYSRQIDAVQSAVRQTRDVAGMMDKVDELSSTIARLAGEVTTVNDRSSIDKENSLRTREDQLAMREETLERERARLEEEKRIVYELNSRLRDMAKDQERTIEEEKWKAKDEWNRLSAEKEVFRQDQKFILERLERQKAEVESTKASYMHSMKKEGQCIGKREDFRGMG >PPA07541 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:327605:328049:1 gene:PPA07541 transcript:PPA07541 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKNKNLDMKKEKDEMKNWKKNSKKRPLRQQTHEEQLKIFGRDSPTVLSNYKLIYAELAPMSY >PPA07528 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:248473:254964:1 gene:PPA07528 transcript:PPA07528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rbc-1 MEGGFTEDMQMSFITLTHPAKVTGFEWRKTGRYMPRKCVQNSIITWCEDQTARIWKESTPPESSVLDLAGWYPSTQNNDGITFTNISESDPNLFYESKKKKGLRMVPIKRTKTRIMTKLKGLLPENRKKKLSTSNSEARELGLRAQITKTPSYSDFDTTTSPALYIKFHLAATINADTDCLLVPLMENGSRHQKTFSVHWLNNKELVFSQGAEKLLAEALQCESIESPLSDHSNEERSDVSSNHSTDTTSVSASNTDTVESNRPMSIHFDTFGDANHRQNGEDEGKSGVNEGEEWAKQSNTYSESVSSKDVLDVKLEMLLRQWNKSIDILFTIHPVDGSLITWTVEWLDDLHKSPMVSFTSRLPNAFPLTDAASLHSNLVTFNPHDPAYLEVLRRNANPAQENDRLPEKLAEKRNMNTVYLLTNHDNGSLNLWQLSMDENSLFSTILSITHMSRMCGHRFQISQVVAHPVLPLLLTSSQFGKSEKGQEGRDALSEVILWKINPVGPLCKSGGVQELARVPHKRENAFDYLGWIPAILPSFTLGTVCNSPSSCFVASDGTNLIIYQAVVDARGLLSEIFHSEKPQSPVPRVKEYKCLPSLKPDGMEATRGSTLSDAFKIVSTQSTAKPGCVLEIGKIDAAIKEHSSLLLLHVFNERLLIDNEGEENLDETSTRLGPVVDRSKDIIFTDRYFVVLIEKGATHDSFIMYSIDLSSVPTKHERPLSSISDMDDSGFLRSASPNLPSIANLFIDHVKVCHQRIDLPEGTRIVSAEPAAGHLSSSSLYPACKAPFVILTSCSDDVIRFWKCIRNEKEGTPSFEWKEWRMVSDSLPSELEVDGGIYAISAAHSGRIACAYDSSYQRDLTAGKANKVQVIVFECESSGGVEWLREDTLTIDNVAFPQLK >PPA07492 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:72462:73548:1 gene:PPA07492 transcript:PPA07492 gene_biotype:protein_coding transcript_biotype:protein_coding MEFERLERARDRSITNGHVDELFAAILTNQGNITLFSLINPRKKCTANVLKVTDILGMSSCVISPSGELLFLRNGGCEYQRSTIAKNTVDVENPFTNTAYPPPLGEITPRKALI >PPA07484 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:6473:8046:-1 gene:PPA07484 transcript:PPA07484 gene_biotype:protein_coding transcript_biotype:protein_coding MHSIDEVEFATKYSPAPLKETTDQSPQLSSTIDMNSTVNSKVNDEERKRKAAQSQALIDAWEKKKMNNLRPIGSQRETNGRTSVNVHECIDETEAPQELSGQSWDGREEYRVERESNGSQELNSEEDDGVISIVNEDVQEESEDEETDGMDEDITD >PPA07530 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig155:263805:265622:1 gene:PPA07530 transcript:PPA07530 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLAIADTLSHFSADVMDKLAQANAAMNPVAASVLGESGGYATATAGVETIDECGLRFLMAMKQHEYLLLCLPIKQRQQLRIKVRQLLDISKTPVVQFILTGVKSRLSSAHVIWATHSDTENELLNAVPAMQKTNFVWDDLRGIGAAWWLKNQASLRICVEKVRPNQ >PPA07520 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:220623:225657:1 gene:PPA07520 transcript:PPA07520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-epn-1 MEGKEEEKGGGQSNCLSIHNSLWMVREAREHGKVAAQCRENIYSIETLRDFQHVEEGRDQGMNVREKAKQMAALLQDEERLKNERTRFMLTRNRFKQSSGVGHETRSSTSAARHQLPTEYDEARPGSLGEEEMQMQIALALSKEEHEREEEKRKGEDVLYQLALEESRKEAERLSTQPNNTVSGSTLSQSALDDLLSLGLGQMTVAEPQPVQPMGSSAWDTPHFNDPWNPAPPTQAPPPLYPSVPTPTGNDPWSATAAPVTASVDPFSAWDAPAHNVQHNNLNSDPFASLSLAPMQPLNGASRMNTKTPETFLGENSSLVNLDNLMGGTAPATSKPFESTVLAAANPFLLGSTAPAPVNPFTANQRKSPTLNEMRAGSTPTIPPTGARTLLPQPLQPQPAPTNPFSGF >PPA07524 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:235694:238423:-1 gene:PPA07524 transcript:PPA07524 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCVACPTDCVCPTDLHQFTSKPHLRDKWLTALTKDDSEKAALDVKLRTAPGRHFVCIDHFDDDAFEETEFSRFLKADAIPLSKRSTPSYSNPTVTYNSPPPTPRLVPLSSTPVARPLLARPLRLIDAPVPPCCRCCCKKEPDVDLKKDVDWAPPTPTITNLPLSKYFIVSKASLVQLLSRCNSCPSGQNDLTFTEDAHALSCTCKCTSCGVQFIWSNSRVLPTANSSSKEKLREVNMDMCVGSAVTAVGTARLNYFLKAVGLNVVSKRTFHRHKNDYLLPAVTQVFTQAQEELFERVKDRLSKVACLEKALDILSDKIGGVHLISTHVTDRHSGAIKMVKQKYPSINHFFDPWHYFRNLTLNLIKICKPTYMQQIRDTWSRILINKAYDAVLKAQGNGTLASEMFRSSLLCCAGVHNFSNIGKKSHYNNTLKKHVFRNVKSTAKNAWRETVKNKCYQLRTSLSSTPYGTVKKEKEELQKKRDLWNSMNTPTVPHSSDANLDESEDEDDLPSSSQVEGEPSDDLLQEIRLLIEEDAINDQLREEENDDE >PPA07519 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:217571:219052:1 gene:PPA07519 transcript:PPA07519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-epn-1 MSIATIRRQVKNVAYNFSDAQVKVREATSNDPWGPSTGLMSEIADLSNNPSAFTEIMSIIWKRLNDHGKNWRHVYKSLVLLDFLIKCGSEKRDSRVESLKYFGGCLNF >PPA07510 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig155:157855:158901:1 gene:PPA07510 transcript:PPA07510 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFRRRNSIERDAYDKHIGRKFMSYAIRREVKAFTVLFHARLTVETEARREREREEFRDRVKRYFPLLARILRY >PPA07544 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:364746:365734:-1 gene:PPA07544 transcript:PPA07544 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSPLSLLLLSTPLLLSVVADEQAEYLYVAGRDFVYEGAVCRWEHFFPHMRNQCAQGWNVVRDGTTEFAIARLKATHEPGTWHNPNPFCCREARPFQNYTAPVACRWEGNAPVCRGTCEEGWMELTTAKSLIATGKWEEEFGGACINGEKVYCYHLAIVAGAVWMRPHCEATHCHTHC >PPA07488 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:37463:39880:-1 gene:PPA07488 transcript:PPA07488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter [Source:UniProtKB/TrEMBL;Acc:H3ECN9] MKADDRNQSVAHQFEMDDEHREQWDNKTQFYMGVVSYAVGLGNVWRFPYLCQKNGGGAFLIPYSIMMVLGGLPLFLIELGIGQRLRTGPVGVWNAIHPNLGGIGVSEAVVSYLVALYYNVIITWCLYYFFRSFTFELPWASCPQFNGTDVVECAKSSSPTSYFWNRNALQTSGSIGEVEGFNMHLLLSLIVAWVLIYLCVMKGIKSSGKVMYATATFPYVVTTIFFIRSVSLDGAMEGFSYMFHPDGLAMSI >PPA07504 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:133038:135838:-1 gene:PPA07504 transcript:PPA07504 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAKSGSVLYHTQRLQNRMAGVGFIVYAPASSRDDSEYSEFVDQLEEAYHAPVSGSHRYRFVHKICQWRIELSDETAQCAVSPKPCNEAKNQAYCAEQNNGFSCVCSPGWTGSDCDTATDPCSSLYCNNGFKCVPTADNSFAYCRCPRGFSSTDCSVKDQCFFAPCKNGGTCSSTKYGYTCQCDPAFSGDDHTQPGDREGHAIPSLTHSHPSLIMKSLALLLVIAAVALSQSVDTNKTGEKKVATAQPKPLATFKSLAQSGRAGGKKGKKIGKRCCGCCGCCNNNNCCCNNNCCKNCCNNCCCCKKCCCCYHKKCCCCNNKCCGNRCCNNCCNNCCNNCCCCPCCCGCGCGCGCGCCGCGCCRKKRAVDGAKKVKRSPVKVASGVKKCVKEPSTPVRIPAVMRNVHIQH >PPA07522 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:230347:232057:-1 gene:PPA07522 transcript:PPA07522 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFLPTSSVAFAAPIDTAIWTNSSPIAGGDPLQPPREMNFFSATAPLHQITAIENASVGFVEPSGDTSALSSSPLSYYSSYPVVPQPSYPYTDVITTQSAFLPPSGPSIYTSTSSTTFDSDFWDAPVIVQPKWFNF >PPA07538 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:314421:317804:1 gene:PPA07538 transcript:PPA07538 gene_biotype:protein_coding transcript_biotype:protein_coding MWESASSVFDGQKKDAGTCSGTKKTCGDAVASKAASKKAKAPLDVSVMWKKPEPPTKGHYYDEEKYDGRVAQAVLKKLEEKKYRVLEEKCSQLTGVCYEVADRVWRNPEGFEQNKWEMIRQIQLKGQVDYHFGRSLLIKPTELNWKTYDTKKWVINKYAVLDKEIQSLIGGITGSKAIPRNETDANGKEYQILLVGLGAPIIPNFMEQYHWMDMIVVEKDPIWNYFAGKWFNMRKHPNLRVLHADPISFMAFIVAQRHEIDAVIINTCKDYVDPRPCPADVYLERGTIEVIKNVVKEHSGMAGVNARSMESKHPIEVANAYQDSFPMCFDYPADHKQLTFERYKSPNTN >PPA07494 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:81704:87253:-1 gene:PPA07494 transcript:PPA07494 gene_biotype:protein_coding transcript_biotype:protein_coding MALIDLSEYPRRQPSQPRPDTRAVDALSKEIDRLKRQNRELLEHEKSARKRLEENQDRLHQKIRGGASARDVDDLKKYIGVYEKEMGNYGGELKKLRSHLDKQNSELARLSKDLQNRPQVDPNKIANATSQIDGRVKELQGQLSGLRQLMDKQQGDRERESRVYAENLSRMQENLRAQEKSKNDGVNSLARKFDADKEKLQDDNRRLAERLNATTNEVSKAVQDVNLKMKAEMDARNSQVEHALRAQSDNQAAFERDIMKQMSDQIQAQQKTIEALAQTIQSDRTKYKDRFTKVNEALAALEHHLELGNKKIDKIVNAEIQSRKLHEKGLLQKVSGVEEKLNNYISGINKSIDDVKAGKENVKMPNLDVDALRREMESIAADKNKLSMEGLLMLEEKISGMQTGDIKIDENRQFRPFI >PPA07543 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:362240:364286:1 gene:PPA07543 transcript:PPA07543 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHTRIKAYRFVAYSSVAFSMVAVFSVCVTLPMVYNYVHHVRRMMHSEIAFCKGSAKDIWSEVHLINGSSDFPRNRTARQASYGGGAAGDSGIGAESGSGGRTSSERAGASGASASMRANAGRGDGSGSSEESVGVFKEETAAGTRTVSSNGDGEDTGSVAASGGGRCDACCMPGEPGPAGAPGKEGKPGKPGAPGLPGNPGKGSTAPCSPIATPPCKPCPMGPPGPPGPPGEMGDAGAPGAPGTEGEGDAGIGEPGPKGPPGEPGKDGEPGPEGEPGAPAESGDMAPGEPGPAGEPGPMGPPGEDGKPGPDGAPGPDGPKGPPGPDGEPGADGNPGATGPAGEAGGKGERGICPKYCAIDGGIFFEDGTRR >PPA07536 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:299210:301297:1 gene:PPA07536 transcript:PPA07536 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKKWELDVIWIGQFDDEVLAKIEIYYCLDTSEKAYDEKSQIANQGQMKNEEDETWMDMRDHKETSKIWLPPNDNENEQSVIIIEVPHRKRQHCDDVDETLRRSKRNRTQSKRLCVDFNKSYTYEE >PPA07508 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:150015:151261:1 gene:PPA07508 transcript:PPA07508 gene_biotype:protein_coding transcript_biotype:protein_coding MLALARRRHPNQAYRRTHSILMPNQNIFLGKFKFTKVAVDELAQILDPYLNEPNPNGQALTKSDQVLIFLSSMGTNAFQSIICDRFGCSQQTVSNTIERLLHGITDPHVVERFIQFPIENAAWRRRTARDFSRIAGLRNVVGAVDGTLIPIQTPPNSNNQFRCRKQFAALNPTFIVDSWGRILFCDPRYSGSTHDSLVLESSTARARINRLTCPEGYALIGDSAYRNDARMMTPLSRPRTPQEKRYNKMHCKTRGKYLSHYRIAIDILISFLISFSVPFFLKSENL >PPA07499 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:106227:111442:-1 gene:PPA07499 transcript:PPA07499 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-slcf-2 MNLLPLFSMASSPTWRLIQVVVAINIGSHFMLYLDGVVDNLLPAAKSFLIKHYGSEDEGNRNWELMVSLRMYGLALGCLLSMFLSRRWGRKPQVILGMILNITGVLLTSIIMLIPGGLAAAHLGRFVNGCGQGIVQTAGTVMLLELPPRRCRGTVLATMTVFACMGELLSMTISLEEIFGNEARWHWAMAVPIFVLIPSLLLLLNAPESPRYLFMERRSELAIKSLAYYQSPTDAEKTISDMQAELAHSENDTDVNANSGVTKRRDSREEKESLLGSSPVRKSRIAEPRFLRPLFVGCVLHSLVHLDDWLWISYSTHIFERHGFSVTQSQKASLVMSLPQSILSIGLISIFDKFPRKTLLVVPTVASALIGAISIFSLRFSAKDHTDVSLSSCLLPFLAALDLCMAGVAGESAFTVVPELFLYQDRILGTAICGIAQSLFGGFLLNYLLSAINRFGTAAE >PPA07539 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig155:319679:320727:-1 gene:PPA07539 transcript:PPA07539 gene_biotype:protein_coding transcript_biotype:protein_coding MNEWEEVWRSRLAQPVQFIQFSPDGVYFATCGVEDKIVKVWYTDTPDAVTVLNSDPVSTIITREQDRGRSQSDDSYWRSTGSDTRANDG >PPA07490 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:61307:69412:1 gene:PPA07490 transcript:PPA07490 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lgl-1 MFRFLRNQFSPEQQRPDDLSDFFDFRQTTRSGFPSGASATAVDNVLSIMAVGTETGEIFIFGGSKAQWKTTVPNKSTVAYIYFAHGTGTFMVLCKDQTFHRYKMTGSKIDHHQIPPETRLKKITSCEMYQKKDMSDARLLIGTMTGNVFSIKMDTCELSEFILYEDTIVPSLPADGNGSSIDGISVNQKEPRRVLLVVDHKIVLLYDVNIHELEIMVKGYGNPRLQDVSWCSDGTNFMLAHADGGYSKWNSQEGQSQMSMTPEILFGPFPCTPIKKILNRSTKTGDTLIFCGGLPRLSYGDRYTLTLKRGNRVSVFDFSSPLIDFALISESNDYRKDEYFLSGYVLILCEQEFLMIDLSDKNWREVPLLALHSLHFSQITTSTLCAQVEEHVWKEINKASEVEFSAGNRFSGRKWPLHAGNEETRHLTVSAGEDSRQLLVTGHENGMIRLWAAGELTMKLLLSIKTAKEFAGYREDEQTIMNVQTERLPRDSLYDSEDSGDDNPTAEWPPFYKLGEYDPFCDDHRLSIQKIAFDASSGQIVVGGRGGQVIVYQLADTAKMTIPRGVVDPPLVDLEKLPAHVQRNQPMRVRSEELSYGVGYQPFTVGDSHESNYLQLKPATSITAVSVLSSRGLVAAASEFGFLVVDIRTKSVLVNCSLCSGQDLLEAGALDDALSRFKSMKKSIRQSFRRKKKTPKATTSNGTTITGAGAVEGGPSTSGLNGGASSNETSSRTAHSTPIRALNGIDEHEEDEEVVRPVERKIEARSERVTPEQPAWLIRVLTFSKASMLSNTIPSDCLWVGSNGGRILCYVIAPREKPEDSCRLIRDIRLEHGAPIVNILVQADPFAKV >PPA07498 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:97290:99143:-1 gene:PPA07498 transcript:PPA07498 gene_biotype:protein_coding transcript_biotype:protein_coding MMFWSLQFALSFVLLEHPLVQFLLFSLYCLIFIVAVSGNVLVIFVVARKKTMQTVTNIFISNLALSDLIVNFTSLWLTPTYTFIGRWVWGELLCQGLPLFQGMSIFISTLTLTAIAVDRYFVIVSHSPSINANDRMSMRRCLSIIVSIWVVSFSLVFPYAIHMKKVEIADCDMFICTEDWSNKSFRFYYGMIVMVLQAFYLPKVVSFKRYPA >PPA07514 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:182483:183359:-1 gene:PPA07514 transcript:PPA07514 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLIQPCNHDAASRLFNLADVEGEFNCVIVDNFKTSFYRALNPTENLIDNVIWQLAFHCIAFGIFVLILHTVNKCKRDYSRPARKQREPIRQLIVRYDMQDSALIARHLIHY >PPA07515 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:187295:189645:-1 gene:PPA07515 transcript:PPA07515 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLVVESNHRPRSNIARTYAHTETSLGYPTFSVVKDLIHGSQSLPPSHFPRKRQRRISSNVMDDVINGSIPAVNNQAPSTEPHFSCLRDFIDADTLVDEMIQDVCAEETAVSDAVLVPNIEEDNQEAVDDDNIQFIKEVMKKPKIDVIDLSSDEEETDSTTPIVIKIEENELMIDPPRREGKEDDSTEGNVAFKVKIEEEDEDVLMIEPPMKLSQRAALGNP >PPA07526 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:240954:243005:1 gene:PPA07526 transcript:PPA07526 gene_biotype:protein_coding transcript_biotype:protein_coding MELNNCSEAKKSDTDEEQETVDNNKSSSNEEEEVSSSDEYVPVKKPRVISHFEMEDVGGLYLPPVLHFQPDTVIRKRTPSHPSPCYKDPLDSFENPLSGNAVKFPDVPEVGELDYLKNLEHRGFDIGSDEEDNEDYFKLAKSAYSFGIQQPLSPVEFDDAGEESYFDEEMSCKDEEMNGEWPHLDLSNVQTNNTLCSPTSSSASSDNTETDSASYFDEEDTQLLEDFKLKDEDEPRGDHRIVNLNYNLYSRSFFDNVPKMDLPLSSRFGTVIHRSRVPERIAVPVYNKITEEQEWVTSSTTSSKFERSP >PPA07497 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig155:94652:95277:-1 gene:PPA07497 transcript:PPA07497 gene_biotype:protein_coding transcript_biotype:protein_coding MTATCWNPILYAWMNDNFREEFLRVIPFVKNKKAPRVRMISECQPATNRTNCSEAPVLSKTRKSVPNAKTFETVLLTTEADQHGSMSSTTLL >PPA07516 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:190435:191732:1 gene:PPA07516 transcript:PPA07516 gene_biotype:protein_coding transcript_biotype:protein_coding MNREADNVCSSCKNCGSAVTGQQMAFPSPIRPSLKPTASSTPSTLVFKSEPSQGLAKELLREDYLKIVVDKIDAANKSITLDFVQVERRNKKATLLRMVGIDLMNRPPTSMVESMMAASQEAQTNGADGDSQCEGTEGTTHDASRSCTRMCSRK >PPA07537 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:312476:312807:1 gene:PPA07537 transcript:PPA07537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-flp-11 MESANYQDKRNGAPQPFVRFGRSGQTDHMHDILSTLARVQELPGSGIGIPGSKSGPGILRIRTWS >PPA07518 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:208581:209225:1 gene:PPA07518 transcript:PPA07518 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKAVAARASGFSAGRERKLGRMRARRLAAARGDEKPHRYRPGEVALRDIRRYQKSTVLLIRKLPFQRLVREIAQDFNTDLRFQSSAVMALQEAAEAYLVGLFEDTNLCAIHAKRVTIMIQDIQLARRIRGE >PPA07500 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig155:117804:118530:1 gene:PPA07500 transcript:PPA07500 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDMAGQTIHGRNALTVPYNDALAAYQGDCGVSKADMGRGFEKLRAVALQNLNSLSTETLMKIVYDMATNGKSTIGSIASETSTNSSDSRKERKKLANRSVSSRYKIAREINPPFYYSFIVSVPQ >PPA07507 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:147749:149884:-1 gene:PPA07507 transcript:PPA07507 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGTMSSDSDFSDDDRKKKGKNKSMDSDDSDEYNRSLPTMGEIHKKMKDMASPGEHNYTNDEVNQDPHYETSVWNCEGDGGRFEPQGEKKSDSPDGERRGRGKKKEWKGVVSDDSDRSLSSQRDGKSPVKNLSMLIPVKKTMEETDEVKTKIYYVEHQSKSQKMDSDASEEELRVMPSEANRKKKQKNAME >PPA07532 pep:known supercontig:P_pacificus-5.0:Ppa_Contig155:283238:284532:1 gene:PPA07532 transcript:PPA07532 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHNRTTSDVRFVSHSSSILLTAGDATSEANVCLWDTLLPSCRSCVHAFGGDGKHFEGATCALYLAPSMTVISGGAHGDLCIWDLRMGQLRNQIKVFEPMQSVSSLVVDPSNDVIAIGNSEGDIKIYSCEPNPQLMYSLPGEHAAKTGFSFRQYPREYLVNAIEPSPL >PPA07547 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1553:25:779:-1 gene:PPA07547 transcript:PPA07547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cdk-7 MQHYEDPFDESQVKCLMKQLLTALEFLHLHYVIHRDLKLSNLLLNGSGILKVADFGLARTFADEKSIMTPCVVTL >PPA07549 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1556:151:798:1 gene:PPA07549 transcript:PPA07549 gene_biotype:protein_coding transcript_biotype:protein_coding EREASRIIDKAFSFMEGQKSPFKSVSSLEKVIEQTEDEEENVREEEERRERERNKKEDEEREEEMRWKREQERLAREEEELKREKEEREKPTFTAPPPPEASMREEKDEEEEG >PPA07612 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig156:454485:455291:1 gene:PPA07612 transcript:PPA07612 gene_biotype:protein_coding transcript_biotype:protein_coding METVQPFLRFVIKAKPFGKAWKYNKKEEKQYRHKPSRYKKVAEVRWASYTVTTFTTKPSADSSSHSHTMLMVFSILRFYELFFGVYCSIALN >PPA07588 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:258974:259481:1 gene:PPA07588 transcript:PPA07588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-spe-9 MNVSDSDQSILRNIPEEAQFDPCATSTQLCMNGGECTNDRGKFYCNCKDKYYGKRCELVANKAACAAHACKNGGVCYTTPDSQKVIDRDKLTDLNADIALYKTCRVRENE >PPA07562 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig156:145778:146119:1 gene:PPA07562 transcript:PPA07562 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQSQSRRESEHNGKDTVIEQSQIGNTLQPSMKTTAPKVEKKNAERKALMKKSLAMIDSLATSKPPPITT >PPA07570 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:186812:194518:1 gene:PPA07570 transcript:PPA07570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-144 MEEGWSALLVAAFEGHAEVVNQLIEAGATVDQPDLMGWSPLMWAVYKNHLECVRILIQSHAHVNLIDEEDGLTPLIVAAGRGFTEIVQMLVEAEAQVNSLDKFGSTSLIWAARKGHLPVVQQLLNGGAELDVQGMHSSTALMLATKGNYADVVDILLSRDANTNAVDNNGLSALGIAAREGYATICEALINSGAFVNQSDRYGNCILASAVRSGNANIVKMLLDKYADVNSRDSESRTALHLAIDKGFMDIVMLLLEKKPNLELKNKDGETALLRAVKSRHVALCQLLVNAGAKISGTDNNGDNTLHLSLRARSKRLTQTLLVNPSDSRLLYRPNKIGQTPYSIDQMNPSPILPHIFGPIDAENQMESILGYDVYSNVLADMVCEPSLTLPLTMGLYAKWGSGKSLLLSKLRESMMSFSRSWKDSLRLQWSWRFLIYLFVVVFLITLPIATVCSIANISALTITIFCLGLAVYVGLAFLYGAVYYGYELKGWMGATATAANQMALFKAKALLLFNLLTSYAPLKDDVDNDTYSNPVSFLFADYHRLSSIGGEQALAKIVSTLFEAAEEHYGVLPVRLFCAFHRQRAQQGRLRAFCGVPIILSATVVVLLFVAGCILMIFFLLHPAAVVAVASGTSTTTPSPNEVHDWPGDSLGLLISSCVCFGLFLILSIWPVLLAFVYTVSNMPRTRLNKAARQIHKLRFEGLMQKLQNEVDLLADLIHSLDGFTSSQTRLVVVVDGLDNCEQDKMVSTLDALELLFSARKNRPFIVIIAVDPHIIISALNHNMHSALSGTELTGHDYLKNIVNMPFYLHNSALRQLQNNLKIISHGGRVVKEKRESLADWKERFRRQDTFHGSHLSLRDADLPSRGAQSRRMTMVVGTKSLNEQLLSDDYFSNLNPRAVRRIVNALALTGRLMRAFEVEFSWLSLGHWISLCEQWPSRMCWLIETALACNQPHMTLAEVYHQMAARIPKKDDLMGLDRNPENFEGFLESPAVPTSEILTVAHVRRFVPCTSNLDPYLRKQIRDRRDSLGEESFKPPPPFTLPPNAKYLFHDETVWDFVDTPLVEMRIEDICGLLRRLDISQPRLDQIVTKFQSMNLNGLVLTTCSMEELKQALQVNLGDWTMIKMLIDTLKAFGTSVPGSRENRRRKMTALSLREEEENEIDGEEDYDLTLGASPAPDIRIDPGSRKNTVIEPATEHNWLMQSLQELDETDEPREVDDIFDRRRRQFDSTMKWKPIQKIRLNLDLDQERIYLKLRLLLLNQNQGIV >PPA07600 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:362861:368585:-1 gene:PPA07600 transcript:PPA07600 gene_biotype:protein_coding transcript_biotype:protein_coding MADIKVVPLGAGQDVGRSCILISMGGKNIMLDCGMHMGFQDDRKFPDFSYISGGGRLTEYLDCVIISHFHLDHCGSLPHMSEIVGYDGPIYMTYPTKAIAPVLLEDYRKVQADIRGDTNFFTSANIKACIKKVIAVNLHEIVQVDETLSIRAFYAGHVLGAAMFEVRVGCQSILYTGDFNMTPDRHLGAARVLPGLKPDLLISESTYATTIRDSKRARERDFLKKVHDTVMNGGKVLIPVFALGRAQELCILLESYWERLDLKIPVYFSQGLAGKANAYYRLFINWTNEKIKRTFVHRNMFDFKHIRPLSDYNLVEMPGPMVLLSTPGMLHGGHSLQVFKKWCSDPKNMIIMPGYCVAGTVGAKVIGGMKQIEMDGRMFDINLGVEYMSFSAHADAKGIMQLIRDCEPRNVMFVHGEAGKMEFLKEKVEKEFGLRVYKPANGETVSIPASLEMELEVPNELVEKSIIMDPTPSKRSCPFRATLLLDKQTSTLDVVSCESAAAQFQFQIHTITHSEYLSVKRDLSWDELHYHVHFNVDREAQLKKDGIEIFHGDIVILKNKDDARKIELMWTENTDSWYSPIVELIKKMEQSRSTVGQQVDQIGRGDEERVENNQEPESFDDSFKSCDDTEVITLGDSPLREEERSDQRQAVYDEMKMRTDWMEWELLAVRLKLADLVEFRRVVNIGSTKQRVYTHWGIFVGTLEGLPRIVHLSTENGDFDAMPTNVSDSLPAVHAKIMAGNDAEVRSDPLEVVADGDLVRINNGDDSRVPPLISEIAVHRATLKLGTRDYHILNNNCEHFVKWCRYGKHYSGQATFVKTLMVGSGVLATTALVAPGMASASLALSAMAVYSTVAVAGRQIERKKDGSRLISEEYPQVKREKTFGIIARSKIIEKMNGGRRMAIDMYKAVWKAMRNKRKIGLRSLTAQ >PPA07593 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:297124:303898:-1 gene:PPA07593 transcript:PPA07593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sax-7 MIQSAPYWPEGPPPNTNTSEGETVTFDCGTSGKPTPTVTFYKNGVAMDGKSPAKSDRYLIEGSRLTIYDVRKGVHGAGDNAVYQCKAENKHGYVWTNFYLNLLAYKPQLLTDPGEVEAVVGKDVTLECKFFGSPNADITWEGASLAGLEHNVVKANAHGVGKLVIKKLTHSADGEYTCIGKNKYGESKGTASLKVRKATFVVPFEAQEEERVAGGTISLPCEAQVDDRLEVEFEWRVNGLPLQEHYIENGHYKVGEDNSLTVYSPSQSDTGKYSCIAKTKLDSAEKEIKINIRDVPFPPFGSGIDSCKPSERSVVITYEHMEQVDTASPVKEFWAQYQIDSATEGGVWRTHPVPMLPVNGQEYIEDKNRISKGTFTIALQPFGQYSFRVIARNSVGDSSPTKTKGTCVTPPQLPDRNPSDVLPRGAYRPRDGSDSDWKEMDVNDPYAKKFTISLDDDDAQPFQPYLVQIHYWADDETTDEDADEYDEDEGESSFLRRRKRRDTVVISRNRRADSPDDEGPTDGSRKKVIHVGPTQTKATIWDLKPASLNKAYITVANGAHEGPKSETKSFRTLEGFPSPVRSLSAYPMNTREGDEKAVVVVKWNRPKHVNGNLTGYALEYCRTQGSKKTNDCKKQILPLRQKEVRLSGLEFETAYRFLVRATTRAGEGDPSSDDATTLPEITAAGESRMGDSNFNVWC >PPA07613 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:473949:475505:-1 gene:PPA07613 transcript:PPA07613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dpy-20 MTKHLERHHPEEYQVLLQKIRYSRQFKPNTTPATSSSPATSSTQQFPSSTMALNHLTHQPQHHLLQPMASDLGQQLTHHLPLSPDPSPAAPHCFLSTQHHLLKDPSSLRPEMLLIPTSDGLIPNVDPNSYHIPSDFYQTMQFDNSLFDNASQWQQRHFAPTSGYEQWMGADQSGSAMLMPDEWSQSAAAAAAVVQHQQQQQQQAQQQLNDIHLQSNTVIQSAVSGSTTASDVLDAAALAAAAAVAASDASSTSSTSSSSCVASTSLGVMRCHDSKPYMKRNRKTEHPVWEFFKRTADGNAQCSICNGIVKSPCSSNFMRHLMRHHSTEYNAVYAKWSQKRGPPMKSTSASF >PPA07609 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:442657:445719:1 gene:PPA07609 transcript:PPA07609 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHFISLKIVDGQAREFDLTLRHSSVATLLYHKDRNQFLMVRQFRPAIFVSRILKMEENRGKTVHDVDWKKYDREIGYTRELCAGLVDKDIPLIDIAREEIDEECGYNVSNDMIRWISSFVVDSGHPQHLFYTEIDDSHKSHEGGGNNHEGEFIYQVWLSEEEARNHLISSDPRSAPGLLYALEWWFSSDYKKESPIPSPYSIPSSGALSPLQSIRFTPNPPTTKFTPVRMLFDINGMTRTWDMAPCPDSAAVLIYNRDEEKLVMNRRFRPAVFIGRSRYQPENKGVAVESIDYSKYPQEWAYSLELCSGHRQGEETAKSCAIRNATERCGYRIEDGKLRHLTKAILGISQGGDKEDIYYVECGNGDKIDGWKDPQDFTVICLTRSEITSLLSAQLAPCPPSVLYALKWFLNHIN >PPA07621 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:510347:511144:-1 gene:PPA07621 transcript:PPA07621 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDDFLTHRSLFPYWRNIPHDHSLNLGSALGEVENTSEKFAVSVDVSHFKPEEIKVNLNGNELTIEGDHEEKTDQHGTIKRSFVRKYILPDDANVDSLRSSLNHKGHLTIEAPKKTQSLTQPRAIHITRATLPKLYVITSTCIPIMSRSHSDLYCTSSSLL >PPA07596 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:337498:338533:-1 gene:PPA07596 transcript:PPA07596 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEAASKIKAYRVVGYAAVTFSAVAVLSIAVTLPMSHAKGVWSDVFAMKALPSHNRTARQAYWEDAQCNGCCLPGPAGPAGTPGRAGTPGHPGAPGQPGNPGRPPSTPCEPMTPPPCQPCPAGRPGAPGAPGPAGNDGRPGSPGPKGPDGHPGENGSRGSNGNAGRPGNDGRPGAPGKSAQSGRPQPGPPGQPGRPGSQGPAGPAGRPGNDGRPGQNGSRGQPGQSGAPGNDGQPGQPGQDGNSGGSGEKGICPKYCALDGGIFFEDGTRR >PPA07557 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig156:39278:40309:1 gene:PPA07557 transcript:PPA07557 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSRRPATVSTPLAYEEKQFVALSSWANVILDSASFVSEIDLGTSKQDASRKLQEMLTKTNKKSNIMNEGKTGKYTDMCLRKKCLDYLKRADSVYQSIQIPNELKKMVEKGNISIKPNIEVYANIGVQTSILSLLFTLHPFWLFIAVETVCAEFNDHDTVSLDARLPFSSSSFAPATVRFIHDHILANAKLMSKKKFAIGTVK >PPA07591 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:285515:288557:-1 gene:PPA07591 transcript:PPA07591 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRVFDPRNSHLKGERSDSDRMAARERMRLNENDEEETMMRKSSSNSRIWRGATMGMLLLAMIGCVSAYQPDLSHIPSPPYFIHGADRPQTVYFTVEKTLDNNVQVDDRLLRHTLRCVADGNPKPTYEWTKNGKPFDPSMYSHSAERGDNSTTLEVVRTPSEGTLTFTNLSENDAGEYKCTARNDNGTAVLPPIRLEQTWIRHFVDSEPVTVKVELGDPYSHQCKPPASMPSARVYWILMGDEPGQFESINQTHISSNDQLFH >PPA07614 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:478635:479680:-1 gene:PPA07614 transcript:PPA07614 gene_biotype:protein_coding transcript_biotype:protein_coding MYGYQDMQQSQQQLQQLQQRQGAAAAALRQPSQQTLENWFTTAYAQPVQLEANAVMNAGAYGTMAPQTDAAGLAAAVAESASFPADIKNLLLSDLSVSLSLFLSLEDNSAS >PPA07582 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:240095:241422:1 gene:PPA07582 transcript:PPA07582 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLVTPIEVSRIKAQVGAPFTVEYVMDAANRAHFKIEQENFTGKHHRVVPYEGIVKIIAPMKGPKIEIVKVHIHIKSRASVLLYHNVALIEVDISKNSF >PPA07574 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:206631:209604:-1 gene:PPA07574 transcript:PPA07574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tsg-101 MSGVDEHKIVRDLRAAGSKYVDSAKGDVMAVMRVYKDLKCDSELYMYPDGKKRQAFKLYGTIPVNYRGSIYNIPISIYLWDSHPYYAPICNVVPTATMIIKESEHVNKQGRVFLPYLNEWRFPGYDLYGLIQMMVMIFQERCPLFARPSGGSSSSSSSQAATPYSTHPSSMPTPYPTDPTPYPSQSGGANSTPYPTGSGGVPYPTGGGAAPYPTGAATPYPTSAAFPTPYPAMGSGYNPQSQPTRAAPPVPPPPSDAIRSSLITAVEEKLRNRLRDKLGTTYAEMASMRQTQAELKAGQQRLRVAIETLEKQSKELDGMIDGYSSKKSELDKALADVSTGDEPSIDEAIDASTPLERQLLDAYASDLACDDAYYVMGEALKNGSVPSSEYLRYIRDISRKQFVHRATMRKCRIALGLATTSSLLDTLCSLLC >PPA07560 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:140513:141995:1 gene:PPA07560 transcript:PPA07560 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTLDELPSPLVHQRYKQRSASQPVFFFQSRGPFQSSISVEEMEEIDLDGTLDGIGPLKSFPSSSSNSIQERRKKSKPLTRTLSLASAVPVSTIEMTEQTKKLADLLNELYAFGKDEKRSSPSSYSSSHYSCTSALSDGSGERSAPINEETLNTMTLESLRDRAASLQDEISEINRHLVRLIRSCSEEVCAREEQAEVNTIIDN >PPA07598 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig156:355256:356309:1 gene:PPA07598 transcript:PPA07598 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGLDGTPNLPVIAYCGHYVCASHEKEVLDNDTLFKCKLCSKVTNPYPNSFLHDLALEDFYTAIKEKGYLCQECGKCHPRENSVYSQKKPWKK >PPA07607 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:432206:437239:-1 gene:PPA07607 transcript:PPA07607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nas-39 MRHWEERTCISFVPRKESDKHYILFTIDKCGCCSYVGRRGDGPQAISIGKNCDKFGIVVHELGHVVGFWHEHTRPDRDDFVDIFYPSIQAGQDYNFERAKGEDVDSMGEPYDFNSIMHYARDTFSRGLFHDTILPKPSLGFKGEIGQRVQLSEGDVRQTAKMYNCVSCGGSFFSPSGLLTANGSECMWRVIAPEGHTSLFSFQCSQHLVPHPSMVIQSSFEMVILLFLPFYILFVGAILHHECSSLQLIVSGFTLNSPIHHLSPLYPDAYPPNADCLWSIRVPEGKQVAIKVHFFHLESHKECIYDRVVIYEGSSEGSIPIDILCGQTTQGTHVVSKSSNQVTLRFISDSSVQKSGFELEFVEEVDECSLPDPPCHHKCTNTLGSFECECDIGYSLRPDGRSCESTCGGFLMTSAGEITSPNFPDNYPSSKKCVWEIEAPIGSQIFVNVTHLNIEGMKSECSYDYLRVGEREKMCGEHDQSILLTSKDHRLRVEFSSDSSVERSGFALTYLSDIDECAEDNGGCEHECKNRIGGRECSCHSGFVLSSDGMNCKEGGCFYQINTPHGEFTSPNYPEEYPRGTNCSWHFVTTPGHRLQVKFHSFNLEEHLQCKYDAISIQDGPEASRSSLGIFCGSVIPPAILSSSNQLILHFFSDTSVSRRGFLAEYESVCGGQLVADRTPALIYSHASYSDARYTQGRECKWRLISSSPSLGVRIKFESFHLEADLNCQYDNLRIYDSEEEDEETLIGTYCGETIPPSLTSTGRALTLILRTDDTVEERGFVAEYSEASQSSKEEEKTTVKPLIFPRHVALTTLTISSTRDNERECDSSLSNSSLALFTTTFGFSTPHTHTTILIVTIPI >PPA07551 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig156:7913:10833:-1 gene:PPA07551 transcript:PPA07551 gene_biotype:protein_coding transcript_biotype:protein_coding MMVCFKFRHSSTNQHSSEWIAGPNGYLYQFHIGEQSWLAAREFCLAENADLVSIRSKDQLDWILQHYAPIHPSFDERYVQIGLVSVSDDPLFSWVDGSEINPSFIEWATEPKEGNRCGLLRVNQKKVENINCDESGSTLHINRFICQRSTSVHQEQQRSSNYIWRKLESLLTYFGVSGEKEGVSHTKKDEEDDYWQAVETLTEEEKKIFIETAKNLTATVEPERTSVAEVEGSGVEEKSISEANSASREEKTIDENVIEKKDEGVNETPKKEEQNEVEETLTVLKDGKEEVKAETAILPERKQKREDDDELNKPLPLRELLDTEEGSGSSITENANIEVVPEDADLLASPTPSNEADIDKMIEKMEKLLDNLQGEKKEIGPIIIEKATPAPNATSTLIPIGPIIIEKAKEAIIDTKVEDSAKESLPEAESVEASKEKVDEKKEEVKKEDKDEKKKEEKEEEKKDLSEDEVVRLSAEVKEMLNDVAKQLDSIEESLMVEEKLLTNRLNL >PPA07594 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:334173:335803:-1 gene:PPA07594 transcript:PPA07594 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPLPHSLPFSLSRTVAMTEKEKEEKKDEKVVEKKPREKPSPSKSDRHPTSSSDSGNQYKKIKLAAGQLPKQQDSDTRRKKLNQLKEQFAQLKSQKKSVETGPTDEDVKTADVKRDDNPKLEETTAPPVEDKKDEKEKKVEKTPEAEQKEMTARTWNTNLEDAVRSENVACRHALSQTTVGTQSKDENSFKKFFRIISSTSSTKKKKYQGVKNPENDPPPIRSLPIAAPQYEDDYGVQQKFDGYTTRESGNDAVQTLKAQMESKNQSRDRCVRILHWTMKIALILGVIFSILGWIAVYLLTVRISNNEFDIVRTEQACSTQPLEYFPDTIAKNGDRCRKAGIPIADVPWEEIKGGKGRRRKLISGVLYRREAGDDLFENT >PPA07566 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:159044:161987:-1 gene:PPA07566 transcript:PPA07566 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRFVIPALSNATSVIVDNLSPHSRYAFSVRAENIAGESVFGPETIVTTKGIPPLFPTEMETHTSTDSCIKMLMKPPEQKYGELLFYRVELSVLNSNETIEKNITSPSSSLPPSILSPSSTPEWIDICDLLPSTFYSLSIQSALSHGLTPPKKIVIQTEEGVPSAPPPPSLHVDLGHSSIRVHWRKPIETRGPNTRYSFRVSASTSKGEGDKSGESYATTDVNIEKSDPDQIFTLTIVLSHLSHKSRYIVHLTTLQRSKINKTVLLASNHSIPHEFMINDGCMIMSSICSTDSRCLPLTSGPTSDTEGMEEVPVELFYGMCEDLSRNNNLKYSMLFDRINELSETETDGGTPSEGGEPMRDRYVNITATETSRSCDSPHAYIATQAPLPSTFGHFWSMVWQERINVVVVITNLVEDGKVRVNVINIGHLLRINHNSMEIIK >PPA07620 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:509586:510103:1 gene:PPA07620 transcript:PPA07620 gene_biotype:protein_coding transcript_biotype:protein_coding MFVYYSDEIRYTKRTLETHQDCPLQEMLRSISAKARRPAQFVIHDPTVRTSLLCRVFSCDRKWGKLKEMDLHETGKTRLMDIPSAKPNRLNFIVDYVNTVTEHGRPAPFDLNEAKITTE >PPA07578 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:223425:223625:-1 gene:PPA07578 transcript:PPA07578 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFLIAKELLVIQRRGGVVFLGQFMACTFYSLLALFLMLRAATKIVEWNE >PPA07573 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig156:204889:205896:-1 gene:PPA07573 transcript:PPA07573 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIKCVVVGDGAVGKTCLLISYTTNAFPGEYIPTVFDNYSANVMIDGRPINLGLWDTAGQEDYDRLRPLSYPQTDVFLVCFSLVNPASFENVRAKWSVIHLHLFFPCVL >PPA07565 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:152628:154093:1 gene:PPA07565 transcript:PPA07565 gene_biotype:protein_coding transcript_biotype:protein_coding MGAETPVRNVTSRIPPPSTSILPITKTPSMDRPISHGSQLKNAPVRSRRSSFASSNDVTRPLSTPRQSRRLSTADLPDLNTTSLSTKSSTSGAEIGETPHLPRYMMGTVSSNDEYPFMLIRPFSFLLFLFLFNQNPSSRKVVPTPSSIGSIASLNELSFSSDRCGPSKRGAEKIEGRTLRGGGVLKNIIHPPKRRRFDEIEEAVFKEKISDDEKMKRFEKKFEVMWLEYEKDIAPILEKKNEIEKENDQTINMKKETMTEEEETSSEKITTSEDGNTMKKKNEREEVC >PPA07618 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig156:502132:503052:1 gene:PPA07618 transcript:PPA07618 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPVTRQDGWIINYALLKLAPLYLALSILRLKKHNMESAIEYSKEFGVIPDLSPIEEKVLMAVAPLPNANFDLRMPRVGHITQTAYDDMIQFKINEINNSNNT >PPA07558 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig156:41224:43229:1 gene:PPA07558 transcript:PPA07558 gene_biotype:protein_coding transcript_biotype:protein_coding MELSRLVLSASGTPLNKAFDKVCFSRSYRQSFLEEYHYVMQSVRDMADGIILGRLIELLTDSSPLSIIGNMRNPPGISIDQILSAHRESCLDLMWRLVGVYVRKRAEQEPLRRESLCLDNATDTDEADETVMGAPLGGMAESAFTVYQQLAFQFGMHLSKWADLENGLLLSSIWQHYNPTAPDVRFYNGESLFDKVAHAADSDLGIPLALTALRDERQLGLFAHMFLSRLISYKRLRDSEMSDGDSDASSIVSIIRKEGVNLSEETERIQQRVRLESEKAISAKEEEEKRREEDLRRRFEEEEQEREEEARLEAERVRKQEEESRVEEVRLRGGKRTNDERKGGGRGKDHKVES >PPA07597 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:340508:342501:-1 gene:PPA07597 transcript:PPA07597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-49 MNGTEEEMDDGGLYVFSWIEVTLLCLLYAIIAFLGFAGNMLVVIVTLTRLRTRSITTYFIINLAIADLLTALLAIPFKFQAALFQVWHLPRSLCHVVPYVETVSLSVSVFTLTASAIHEFRTVFFPKQMRMNTNNARILVILIWLFALLVSVPHGVFHEVTEFPVGEDRTVAQCIPTVGDTSWWKIYNIYLVMIQFFIPMIILDTAYTMIACQIYSTTNQLSERSNLNGPPPQNVVANKQLMKMLMIVVLCFTLCWAPLETYLALNEVYGETSSISPFLPHSSTKVDYLCRWRYINVFFFFSHWLAMSNSCLNPIIYWFFNAKYKREFTRIFYCLRYGRIDTDVTMKFDTELESNMVESSKQRSFNM >PPA07559 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:134802:135606:1 gene:PPA07559 transcript:PPA07559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ric-8 MPPLHAVDVERPPERGDTMRNKIIRVIQSSSQPKELASQFLYVLSKRSVPRLIKYTGLGNAAGLLANSGLLGRINEGRRASDSEDSETEDYREVEEKVNPVTGYVREERPDPMADMSEEQKMYEAEKLMSAMDRLMGEGFIKPGTIGPDGKPMEVSHVLELVKNAKTPEGSDDDSD >PPA07590 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig156:280876:281430:-1 gene:PPA07590 transcript:PPA07590 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLLFLLLGGADLGTIFFHYVKQSDVKANRYYTCTAENVELKDYKFGNKFQLNVNTNKRRSRELFDL >PPA07571 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:199044:199324:-1 gene:PPA07571 transcript:PPA07571 gene_biotype:protein_coding transcript_biotype:protein_coding MNHNEHEFKMGISPSGRFYREEPRPNLFETEEMKPKRYKPCFYSPIQCLIRK >PPA07606 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:430507:432020:-1 gene:PPA07606 transcript:PPA07606 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDDGADLSEWMTNLPLEIKRKPITKIAIPGSHDSFSSSLSTSLPVANDASSTLRRAGAFPGVRPFIKRWAVTQSLSISDQLRAGIRYFDLRISNPPMCDDPDSSFRCVHALYGAPLLEIIGSVREFLEKHPGEVVFIDMNHLYGFDEDAAFTDMMQTILCVLSASQIVPPPNNSRSISEFTLEKLQSTGQNVILLAPYLGDSQPFTDILWSTRHIQSPWPDSDKIPFILQFLNRLSEEWRMQKNPNILLVYQGVATVQGKDIALHPFSSLERYVSRSMTKATCGWLTERSSEDGINIVIADFVCPEFSRLVTGINQKK >PPA07584 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:249362:252164:1 gene:PPA07584 transcript:PPA07584 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRLVIHWKPLDKYDWNAPRLQYLVRYRLKEPDVAWSEFVVEDAYANHTIIREMPTFRKFEVQVRAVNSIGPSIVEPEIVMGYSGEDVPVSSPRHFRIVEIFNFTSANFSWEPVSPEEMRGHTEGYEIEYWPVHDKAQSRVATVKGAVSHFVFTKLRSCTNYTAVVRARNKKYRSAESTPISFETPEGIPSEVSDALVRSVGARSILVTWKPPAEPNGVLRGYFLTFTDERNITEETYVLHRQLHYMHERSFPEMGYKIAVWAETGAGEGPKILKAVRTWPLRAPDKPRFKVMNVTERTARIDWIPTEGFLWRMPGSSFYISYTKDNSTFIQSAPVYLPSTTLYLEDLVPNARYLLWGVSRDGNLTSTSSEPIEMFTPTTEKPSHLNQESVRNAFWFLAVLVAVAVALIAVLVTCCCEQRRSGRYAVRRKEMEIGHQTDADEERTFLEYQYGYK >PPA07572 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:202459:203826:-1 gene:PPA07572 transcript:PPA07572 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRRKHEKVRFPEVSHHCPNTPIILVGTKTDLRDDPETVARLRERRLTPISQTQGLAMAKEIRAVKYLECSALTQRGLKQVFDEAIRAVLCPPQKPKKKKCVIL >PPA07605 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:429359:430293:1 gene:PPA07605 transcript:PPA07605 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPPSSSPIPPGAFAVVPKKDCPHVTAFISGVVPDGGVPVEGSCVGCRDRSENWVCLTCFNLNCARAVNGCSIGHAEQSSHPIVLSLSDLSVWCYGCDEYISHEVLFPFKLAAYRRKFGENPPNNII >PPA07579 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:226183:229666:1 gene:PPA07579 transcript:PPA07579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-phy-2 MMKWKGKEGEIGTVDVEERFAEEYSSRNAEAADVGPEFVTNPINAYLLIKRLTSEWGEVEKIMKQNEAPLFLKNITQRRIENDIKFPKEEDLSGAATALLRLQDTYQLDTHDLAQGKILSDKVAEEMTAHDCFEVGRSAYNNRDYYHTLMWMQEAIVKLEKEEPQSVEEAEILEYLAYSLYQQGNVRRALFLTKRLVEIAPNHPRAKGNVKWYEDMLDGKEMEGEIPAVINKRTDNDGIPERDAYEALCRGEVEPIDPAEQKKLYCYLKRDRPFLKLAPIKVEILRHDPLAVLFKEIISEHEISVVKDLATPRLRRATVQNSVTGELETASYRISKSAWLKGEEHPVIERINQRIDLMTNLEMETAEELQIANYGLGGHYDPHYDFARKEEKNAFKSLGTGNRIATVLFYMSQPERGGATVFNTLGTAVFPTKLDALFWYNLKRNGEGDLRTRHAACPVLLGVKWVSNKWIHEKGQEFRRPCGLTEDAYEHYVGDLEHNE >PPA07587 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:256703:257502:-1 gene:PPA07587 transcript:PPA07587 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTYADPVISIPVKIIFIGIVTLGSRAASIILHKHNLNHIKVMDRQIHRYKLSTRFQIVENCRAFELLRNVAIVATTGICLAAIGLFYSLYLLADPSWASVAGVITASYNRPPHIF >PPA07602 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:373658:378233:1 gene:PPA07602 transcript:PPA07602 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNDKTAMSMERSEWLLTPDGQSIAISIGCLFKNKEENDRHARRAIIRWLCLSQVLVFRDISLKLPLNWAGASCMKSRETGRLNGDNYLQGVLQELKNFRTSLALLCNFDWVPVPLAYPQLDLIFPMMTVLQFIFYIGWMKIAESLLNPMGEDDDHFECNYLIDRNIAIGLAIVDDTFEKIPEIIPDQFLDMPHAMYTESTAPKDGDPYSAFTGSVAHIVFVSVLAREDEDVDMVTVIPDAADETPLVPSRKTSFANRFGTTMKQKFGRRSSKFTARVVPTAVLSSMGGESPSTPQKQRMAAVRRASEVPRGTSWRMSTDWDEISCGSNGSNTDISIFANSAASKTKFDRSLASRRMSVPMSVITDRKTDGEDGIESNGKPGEEEEEHSQGSEESKEGTNPTTPNSTPTMMRKDRPTVTISEAPMEPKETPSSPPMTPKSILKNKLLVAEAVKKVLKEKRISEENSDSEEEKVSIKHVKISEKPAEKKDSSSPKETPKKIKPRSPNCRCCDGKLGLSCYLDIAKYNKNNKTGMGTTCGTPTSRPSLAGSIDFDEETGEIDAVHIKPICNQTTTESPN >PPA07581 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:234168:239451:1 gene:PPA07581 transcript:PPA07581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fbl-1 MGQLLIRFLLLLAALILASESAELSRCCSGGARHFSQTQTCSGIKSEGTTLACSRSASICCLRALLDDACDQGTIAAKSEQSCPANINSLGGGLRKECCDCCLLAKELVRRNEPCHPPNGFASSCLRSFNKCCATSIEIEGDDNLPSASNIHKASRTSSDSSIFHGDRCSSSKCEHLCNDRGGETVECSCRNGFDLSADGYSCVDRNECLAALSPCDPVHEDCINSHGGYYCKERLIPALYSTPPPPEKMRDTGRSIQRAGRLRKTGVKQRVKILEDDVTRDLKPEESRRIQSDGIACPVGWRAEGGRCTDVDECLLLMDDCLASQRCLNTPGSYKCIRTLSCGTGYALDSETEECSDVDECNLGTHDCGALYQCRNTQGSYRCDPKKCNEGQLQNPVTGECTSIEYINECLTTNRCGAGEECLNTPGGYRCQVAGSLCSVGYQYNQDSGFCDDIDECSLPNACEGLACTNLPGSFKCRCKQGFEFSERTKRCEDVDECTKFHGHVCDALASCHNTVGSFVCVCKAGFQLADDGRSCVDVDECRTGIARCDQKCINIPGSYQCICERGFALSSDGLRCQDIDECSAWTGSGTDLCMGGCINTPGSYKCLCPPGYTIQADGRTCQDIDECDRGECSGHDKICVNTLGEFKCHHISCPMHYNHDKNYKNRCMRSPLACLSQSEEECKKHQPVHVTYQYIAIPRHVPISSHRPSITVFTIRGPSHRDSTVQFELNLNKTTVESFGVLPAVRANFLLQKGEERNTAVVALRDSLDGPQQVNLELILRLHKHGIFHAKYVANLIIHVSRHKKQSQLYFP >PPA07564 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:148266:151766:1 gene:PPA07564 transcript:PPA07564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ekl-1 MNSSLEKRWRRDSSPIFSTKRIMGKNNTEKQIRIEEKELLPNGFFTKDGVRVCKINRVPMITTAEVTVVAAHSPSLIFVRITNHIRDKLVLREPSKVAPLDEHELVEFYYVMCPIEERAYGRARILKIIKDPKDSTKKLVQLILIDDGTIVWADSTSLVSMDPDRSTGVKDFAYHPWQVQAISLAGIRPKKTPQNLDQKWSENVTKRLQRLVNGYQTFKVKAVTLSMTNNDYGVASVIDIGSMLAGGLPREVEAIRLYDGKKQERFEMRMTEDEKKQSKMEPFIEDYRKQCIMGWKDDVKENEERADSWANNLNSVEIKDWTLEELESKKYTYQSRIYISLEGAHTISPWEFYGRPIKIVVDKEEVEKVEKEGGEENVDPSGGEMQIKEAVMLGQADAMLKGNNVLKNHATELDLYYSKDGNRKQITKEEIERVHSDNGRVYGICQASESRAEYTGQWQRVEVLQTNEISAIVRFLDSGGTDMVMYGALFHINPIHTIEPALCVQLCVHGMKCAGKESWTGGGSSNEKPDYLIPHEDFLREQRSKREAFMRKGVMFVNEMKVS >PPA07554 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:16513:18725:-1 gene:PPA07554 transcript:PPA07554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nft-1 MRSALRLVVRELAPNGRFQPKTTTFLLRSSPCTFNYVQDRPMSSQFLITNLNQHRCLHISRPCREEQQKEQQNDKKKKWNFHLTMTDEQKISKKEKIDAAAMEQPPPGIFGKVKYYLKRYWYIAIPAHTVSCTSWFIALYALVHSGVDVPALLETLHMPDLIIEKVKNTPPSARVFVVAAILYNVKNTPPSAGVFVVAAILYKVVIPLRYITTLGIIQATFWTMRRMGNLRTAREVEYKVRIDYEAWKLKPWGRSNQYNEQEGVRAYTRRKSEELKEKVEKELKKVEKTEKKE >PPA07561 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:143292:145591:1 gene:PPA07561 transcript:PPA07561 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tbc-9 MSFLIPQRLRSNLSGLLLGVDSQLRFSIDPPATESGFCEWRDAMKAVARLPGGVPPHFRKNLWSTLATQHIDSLGVDWDEIVKSAFSEKLLPEDHFLTSQIIKVRPLLFLDGKKIGYCQGFNIIAALILQVVDHKTDVALKIMIFLIEQVLPRGYFDQSLRALSVDMAVLKELMKERLPRTVAHLENLRANSGNEYEPGLTNVFSMHWFLTLFATCLPRNTVYRIWDAIMLEGSEVLLRTAVAIWSKIGKRVILTKTADEFYSLMENLCRELTELGEKEEDQLLHIIYSMSVFPYPGLAELREKYTWNIQPLSSTFKLFQKSVTNILHDDERDNESNGGNLATDSDRNKLVSYSDLHIDMVRHRYPMGKQLPLTYSIHRPIHRDCPLESIPSATVFNHLYMGETLIAQANGNHFELFESGSSVSFLWRRSSFTCSNDSSTNLRVKTD >PPA07595 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig156:336437:337486:1 gene:PPA07595 transcript:PPA07595 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRLSRAVFIFLSASVLTLLYRSNLNPTIVIPHPLQSLAIDQPVHHDRFCILYGVHIGTESFRNSSIEPITLAVHSTTNFAYMVRNQARHWDGPISLALYVDHRSAQSLSYLIRVIQCDLLLSTHLSLHIVYERAPFQDECVNITLPVSTDPCEEVNMKLYKELSETNPSPFGAPSLLHLVADIEMEFSSNFTHYITPLANRIVLPKERRALVRRKLYFVIEMSNL >PPA07601 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:370070:372521:1 gene:PPA07601 transcript:PPA07601 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRDIDTSPFWLKIDGKWVHVDESVMRAHPGGSAITTYRNKEATTVFQTFHGGSITASKWLAKLKEESKDNQPDIKDTEEVLKGDETINMGTFDISAEKAEEIAKAFDKLRLDLRKEGYFQSDKLWYLRKVIEPIGMICLAVYLQLVHQQYIMAALLMGLAWQQLGWYMHECCHQQVFKTPYYNDLLSYFTGNLLQGFSSAAWKEQHNIHHAATNVLGRDGDLDLLPFWATVVQDLKVCDNWVLRMLPYQHIYWTVMLPLLRLSWLSGSISFVVGMPANYYDVYRRKAAYEQPLLALHWMLVTYQLYLLPDYTTRITFFFVSQLLGGWLIAHVVTYNHYSTVKFPYNARILSNYPCLQIYTTRNMQPSPAIDWLWGGLNYQIEHHLFPTMPRHSLSKVMPRVKEFCKEHDIPYLVDDYFTGWRLGVEQFANVARVAERKVKSIF >PPA07575 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:210953:218006:1 gene:PPA07575 transcript:PPA07575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-33 MTSMLRLTHLTIEIFGGGPDFTAWERRQKEQANQPPEEVTTGSRRFGRKNLFEKERIQTLSDLPVNQSDSIFSNIPDHDRIYEKCQKKVRKQTSPEGECQPGPEKFEGEEGEDTVDSWEREIVVVEEEIKEKLGLDRKSSSEKSEEEENILHQPGPEIDEESEGRDESADGQSTREAGGGIENAEEASASGSGEEEMSVLIKGAQVVNDDSIFVADVLVQGGVITAVAPSVECPPGVRVVEGEGKILMPAGIDVHTHFTAPNSADDLGRGSKAAVAGGTATVVETAWPREGEGLLDAIRRIKKGVIDGNEVVCNVGVSGVIQKWDENTKSQMERAVKDENVNSFIVDVDEDDQLYQVLSTARSLGVHVRVLPERKCIVKLLEAKMLDVGIFGPEGFLQSRPEELEGEKVTSLAVLSKLTNCPISVLQLSSAEASRALQEGRTSSALIHAEVATAALATDGTHYFNKCAAHAASHLTDAPLRPEAASGAAVMHVLANCPLSVVASGHRAIPSAQRLKQKDFTKMPRGIAAAEERMAVLWEKAVRGGRIDAMRFVALTSSNAAKIFNLYPKKGRIAVGADGDLVLWDVTIPKRTLGVKGAQSAADTTPFEGMNVHSRASVTIVGGRVVWADGGVQPVQLGGKEAATVKARLVVETRANSPYLYGVVQQREKIPLEKVDRDPPSSHQQENGSSSSGINRVTVKNKNLESNISFGGGTVEIR >PPA07553 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig156:13985:16081:-1 gene:PPA07553 transcript:PPA07553 gene_biotype:protein_coding transcript_biotype:protein_coding MQCARLLISRAMASTTSARSPALIGVCQMTNSNDMEVNWKVAQGFIERAVERKCKMLFFPECFDFVGVQKEDQITMAFDESDSFLTRFRDAAKQHGLWMSLGGFHNKDPSGSLPWNSHIVIDSSGETRARYDKLHLFDLEIPGKNVELHNKVDIYCALHQMVPPVSTPIGNLGLSICYDLRFPELSIWNRKAGAHILSFPSGFTLTTGLAHWESLLRARAIENQCYVVAAAQTGVHNPKRSSYGHAMVVDPWGAVIGQCSERVGMCFAEIDIDYLEARREDSLI >PPA07583 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:244804:247754:1 gene:PPA07583 transcript:PPA07583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lad-2 MKNDEREGDTEQSNVIETIKREHITVDPEGNLHFTSVRSHDGRSNLIYECAASSPVLHGEYRAGDRVQLTVKPSHGVQKPYPTKVLYLSPDHITIKAGSKLKMQCIFGGFPMPRIFWSKGKGELPKIRMKDLTTAEADFGKSLIIDNVHPEDAGVYQCRAGTITHKITVDVEAAPYWENLPPDDIELPESDEAELRCLAGGKPAPLVQWTQNGKPLHEAPSTDRYLLLDGGRRLRITQLDHDMDTAVYQCNASNPRGYVYANAFVHVKAYPPRFTMPVNRVWKVVLMSTVDMNCDVDAAPTADVRWVDADDKNIVTVPGKSEILRNNTFRIYQVNTADEGLYYCNVTNKYGINRATNRLHVYKSTYFTRVPSPKKLTVEAGQRVEMECEAVQDDRLSISYTWTVDGREIDINDGAFEYVYPHRSVRIIGTKLSLPKARGWHSGVIACTVKTDVDIKTASMLLSVKDVPRWPPIDSMICNERKATIEWKRPNDHSDPITRYIVEMNTAFKKKEWTVVTREKAVSKKDTYSVDVTLSPWVNYTFRVAAINSHGRSLKESVPLGTQETASCQTRPSFPYSNPEGVWAEGTSPDNLMNELVMMHTKYWLIINI >PPA07563 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:146623:147698:1 gene:PPA07563 transcript:PPA07563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-trap-3 MSKTTKFSKEDEALLDSYSNNVSAKGQAIFYLNALISTAAPVYLFLGINHMDLNETWIPLVIVSCATIYGIAFASKNFKHILKHKIVMKRAEAVTREINKKYADKKISTKEKEERILYRRNEVAEEESTYLSVFITNSLFVSILFVLAFFFLANLNPIFNASIAMVGAAGVVAFLSTSKN >PPA07577 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig156:222947:223328:-1 gene:PPA07577 transcript:PPA07577 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILMTSAQKIFFSILNSIVYLFFIVKFAFNILKHGTNFFQDTHWIDRILEYQ >PPA07589 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig156:260106:261226:1 gene:PPA07589 transcript:PPA07589 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQAAEGSMRIEGEHCEILKIGDELNSVDPCGNLPQFFKDMNEFGDSNSVVQGLAPNQRDFTTLGNAVSKAICGLNRLNLDGVCGIVAGPGKTQKFSFNSSKTGLHTSFLFPVVPVPIYDLERCVRCQPDYVGERCEIRAPCARRPCGENNDENCIPIPIELSVPGEQSYRCICDVGDELMGTVGGKETIDD >PPA07585 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:253184:255174:1 gene:PPA07585 transcript:PPA07585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sre-1 MEYVAVLEMQRICDNDAKGSYNSCFSLALFGSISDLNPGASSVAFYIMNTLEVMVLILLFFAVPIVLPVIWQVIERGFATVYMAEYEKQKKSHISIILILVVITLSALETVSSIINRLLLVMMTTLGVFCSIFCVWIYIYNDRRLKRLKNASDKYTLSLKFQLIENIRAFRFMRTAALLGIPSILIVVSPFAVGVRIGYLGRS >PPA07619 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:505404:507221:-1 gene:PPA07619 transcript:PPA07619 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPPSLMLSLHSLRRQSGSTSFGVLVSLYRLFVYKTTGFDDFPLGVMVENRNEKNRVRGLIPTRMILHFQETIGCFVNSCVLRSRLSSSSSFTQFTREIQQNLHEIRDHSSIPFDDVVSAVQADSDGGTSPLFQILFVMDNVVVPSSDDVFQMIKVDSNTAKYEQTWYITNYGTEMSIKVEYRTDLFNEKTIQRSIDFVLFLLQKLAEEPEIRINEVSLMRPIDYLQYHEENRQSLDDNGLRKRNCEIQGFHLPHIRDNPTEYISHFGEPHRSDNFLVVYVERSTDLIPLVLAGLEAGFCLAPISLDWSLDRRREVLSTPHDLLQSFEMRDINRNGELLRSILDLKSIEIRILWIRTTKVETNLSEGGSKVERMVVRDISPVREETAMSEEIIVEHLNAVIIWNEEGWRTMQQPKRLQQGRKGLTYMVECTTQGAIKGSVSDERHCRQQSVAR >PPA07617 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:498850:501298:-1 gene:PPA07617 transcript:PPA07617 gene_biotype:protein_coding transcript_biotype:protein_coding MVHYELWNGHDHHRIVEYRTDLFNEKTIRRSIDFVLFLLQKLAEEPEIRINEVSLMRPIDYLQYHEENRQSLDDNGLRKRNCEIQGFHLPHIRDNPTEYISHFGEPHRSDNFLVVYVERSTDLIPLVLAGLEAGFCLAPISLDWSLDRRREVLSTIGNALYVTDRDPIPGKFSLQFSSVVKRAGSLGKIGRRSLSINLPSDVLYATFTSGTTGVAKCVCNSGEGMGNLMMNYTREYGVGAHSSVYQVINYAFDIFFCDLFLALVNNADLTLARGAIPERKEMERGRISHCFVMPAFLNFSEDVDLWASLDTVLVTGETMQSKAFRNLLHSGVPLHQLYGATEQTINNTSQRLHIDTPRRAVGKTYRNLGIRTVDKDGQPLPHLWPAFLRYSGPGLARGVYGQFERSQKMFPIDNCVLREEKVMNDDHRCFDSGDLLRRGEDGNLVFVGRNDHLRKVRGRAVDLREIEHHLSSIPQVSGCFVRIWNDSLIAYAVSQMSSDQINKKLSEYLPSYMVPEHIISLPRFPTNANGKIDVKALPEPLLIASEEVKCIIEPRNELEKQLSGIFESLLKKKNQYGRRYCAAQVTTV >PPA07610 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig156:446067:447699:1 gene:PPA07610 transcript:PPA07610 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQLSSSRIGPTLFAEQNPDYEDRADLIWDIDESNLSDSQIDEYLQSTSHLPNDTALSILRLKKHNMESAIEYSKEFGVIPDLSPIEEKVLMAVAPLPNANLDLRMPRVGHITQTAYDDMIQFKVISVDEQSKLYPNNRRATRSTTQITPDMNVIPRKIGKRDNLAIAMVGFDQNVRYDEDTSPEYRPIQWTPINRILRSMSREARPPPPSSPSPLPSPSPSVEEKSSVEDPPKRKRGRPRKNPLPVSTQSPLGLPSRPSSPSKEKRESNGKRPSNGMENESEILQSKKGKEGTEGIVKLL >PPA07604 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:390915:392768:1 gene:PPA07604 transcript:PPA07604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mdf-2 MDTKTKGAISLKGSAQLVQEFFHYGLNNILYQRGLYPADSFSRVKQYGLTLLVTNDNKLKAFLEPLFQQVEKFLAAKKLKRLVMVISEIKTKEVVERWQFDIQTEDINEEGENSTRQKDEKKIRQEMGDVIRQITASVTFLPLLEVPCSFDVLIYTGKDTQMPQDWAESSACLIENAEQNT >PPA07616 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:493335:494168:-1 gene:PPA07616 transcript:PPA07616 gene_biotype:protein_coding transcript_biotype:protein_coding MMSCLSIVVAGAAYLPLLHNWPEERVRHAVGETGCTLVIDHHNLFIKRDNREVRWVRRNMIEDGAYVIYTSGTTGKPKGVVAVHRGVVNMLSFTVRNLSMREDDVIYQFTKFVFDNSVLEIWLALASGSTLFIDDSPFTHHRFISNINHYGITHALLFPGLVAAFSDDQLTTLSTLRAWCMGAEKASQPLVDKAIEKGVRKPNL >PPA07611 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig156:450920:451614:-1 gene:PPA07611 transcript:PPA07611 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMHSVIRGAGGRFTIEIYVYEDVCDRVQQGLAQLKKVLKDADDEESTISCSALGGSR >PPA07586 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:255657:256478:-1 gene:PPA07586 transcript:PPA07586 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSTTRKNSPYKRLAELFFKDRWIHSFTLITLAASLFSSVFIMLSGGSRFSDEKGLHLVYLLYETVCFSSFFFILVGIAYFGYRNYSLQIEANQLRQQIYSKWLLDRAIALQKLNREVNEDEEAMKVAKEKKKKQDDANPLHQKTIEEDEKFENAAGATPK >PPA07608 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig156:438601:440824:-1 gene:PPA07608 transcript:PPA07608 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLMDVERGKGLLEEEEENGNGEFNNCVISEELAPNYELKGSHDWRADWAAAIPECLDSFEPCFVLFRLNTVHDWLLISFADDRAPVKEKMLLAATQATFKNEFGPSYISHERHVTDRKDLTLDAFDSWMNGKSEPQPLTEIEQELVNAAQERSRAAASAPTGMKGVSFPLDRNAEEALVQLAKGTLAFVQLSVDTLNEAIKLEGMETVMGASELAGKISRKQPRYTFYRFDHEFEGRQLVSIFFIYSLPSSGSSIKERMLYSSCKGPFLGEAARLGVEVAKKMEVDAKDDLSEKALFECIHPPVAEAPKPFSRPAPPGGPRRITKV >PPA07603 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:380236:383314:-1 gene:PPA07603 transcript:PPA07603 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRVALLLVVSHLTLSQKGNGLRQVNIKTTEAPEPGGNAFPPECFLNILIMLDRSDSVKGGFNQSRDFVVEVSQELVIRPDRHDVAMIVYSGLSYKREIFKWGTFKSNEQFYKTANGLRAALEVALNLLESRNKKIPTVVMMVTDGRSADDTRELGAKLKSIDKITVFAGVAGDPKLIGKKDILNYVVDEHHIVSQNGREKAATTARKLLRKAQSKCPKVITTTTTTTTTTTTTNPITGCELDLVFVLDFSTTTDPIYKEYKKMASSIVKKMKVGPHHTQVACVTFSSAGKTSTAFNLKRYKDNAGVLKGIEELEYYGGTTAIGTGIMEGLNQTDEKHGAREEATRAMIVFTDGWNNKGPDPMEASKKATDAGFEVYSIGFVGEKENMVKTNMTVLSMIAEDEEHSFTHMDIDKLIQKVGARGAPCLNGGIVPSTASPPRIPIGRGKQTTRKGTTRRGRG >PPA07556 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig156:36184:38222:1 gene:PPA07556 transcript:PPA07556 gene_biotype:protein_coding transcript_biotype:protein_coding MNYPGHGIITGTAKQIYLEGREVIILKMVRSMENPPTPSRKAPAFRRPAIVLDESEKNSDSSSDAPDGAFFRQPLAPIQMSISPGNAANDVKLADKLRKLELSRKKTTRSQLIDLKTPSKVDLVATEKFFVESSVENIPQTPRNQRFRQPFHTPAQLTHNGPSMDFRDSIRSNATYVLTTPRMDRALYPHNDPFTFKL >PPA07568 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig156:167254:167775:-1 gene:PPA07568 transcript:PPA07568 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGVSDMKDLARKIMGVADKTLPKKEKKKEETKGEEKKDEKKENGVTEKTTKTEEEEEPMAIDGGELMLADQVGGDDDAVEGSIEEESAEGDDTTNTTEQVSTEVVPAVTA >PPA07576 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:219995:222659:1 gene:PPA07576 transcript:PPA07576 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPPLLIRGGTVVNDDEMLKADVLIENGLIKAVGPNLEAPSGAQIVDATGKLVMPGGIDPHTHMQLPFMGEIAVDDFEKGTQAAVAGGTTMIIDFVIPTKDSTPLKAYKQWREWADPKVCCDYALSMAITTWNENVAKEMEIVTGDEYGINSFKFFLAYKGVFMVRDEEFYQGMIQCAKVGALARVHAENGSVIEEKAKELLAKGITGPEGHTQSRPEELEAEATNRACVLASQANCPLYVVHVMSKGAARAIAHHRQKGAVIFGEPIAAGLATDGSHYYNKDWLHAARYVLSPPLSRDSSTPNALMDLLAAGELHLTATDNCTFNCRQKLAGKDDFTKIPNGVNGVEDRMSVVWEKGVHSGKIDPMRFVAITSSVAAKIFNCYPRKGRIAVGSDADVVVWNPEQARIISKDTHHHAVDFNIFEGMEVHGVADVTISRGKIVWEAGQLKTVTGSGRFIPLKPWSPTVFSTIAQRAKMIQPRGVQRDTPQDLPREVEKLSVQNKPTRTTPGGNSKISFS >PPA07555 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:23829:32542:1 gene:PPA07555 transcript:PPA07555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pgp-9 MRLPWKKKNSVSDEKELAKKEEEKKEAVKKVSIIQLFRYATKLDVFLLVLGGSLAIITDATTQADKDIAYDNFSNSVIQSCIEYAIVGVCIFTAASIQVSCYLTACERMTDKLRRDFVKAVLRQDVAWFDQSHSGTLASKLFDNLERVREGTGDKVALLIQYTAQFLGGFIVAFSYDWRLTLIMMSLSPFMIICGAFIAKLMATATAEEAKKYAIAGGIAEEVLSAVRTVHAFNAQHHEVSRYEKALEDGKADGIKKSLVVGAGLACTFLIIFASYTLAFWVGTDYVAWRWMDAGTVMTVFFAVMMGSMALGSAGPQFAVVGAAQGAASTLHEIIDRIPEIDSYSSEGIVPPGTKGHVVFENVRFSYPNRPEVEILKGISLECSPGQTVAFVGSSGCGKSTSISLMLRYYDPTSGKIMLDGTSLRDLNIEYLRKTIGVVSQEPILFDTTIEENIKFGNPDVSKGEMYEALKKANAYDFVQALPEGVKTLVGTRGTQLSGGQKQRIAIARALVRNPKILLLDEATSALDAHSERVVQQALENASRGRTTFIVAHRLSTIKNADCILVFKDGVVVEKGRHDELLKSHGHYHALVNAQVFTDIDEKPDTSRTEEGGPMSARRYSNVPTSPKSPREDMAITVQEKEKDLERLKKELEAEGIQRVSLFGILKYARPEWGFIALAFVASAIQGVVFPIFSLFFTDIINVFSLLETDVDEMQREGHMYALLFLALGVLNACTMMTQSFFFGLTAERLTMRLRLAVFKNLLSMQISYMDEPKHAPGKLCTRLATDAPMIKSAIDFRLGQVCGAVVGICCGLGIAFYYGWQMALLMVGIFPLGAVGQAIQVKYIRNRASGDNANLETAGKIATEAMENIRTVHALTLEPNVVMRFNSLLDGPLKTATRKALMQGLSYGFSSSIFYFLYAAAFRFGDWLIVSEYATPMNVLKVLFAISFTAGGLGMASAYFPEYVKATFAAGLIFKMLGTETEIDGMSDDVGAKGQLNGSITLKAVHFEYAQRKGIKVLDGLDLRVEPGQTVALVGPSGCGKSTVVSLLERFYEPTGGDLIVDGVPLEQRNLRDVRRQIAIVNQEPILFDMTIRENIAYGVKMTEDEIFNAAKMANAHNFITAMPEGYETRVGEKGIQLSGGQKQRIAIARALVRNPRILLLDEATSALDMESEKIVQDALDEASTGRTCIVIAHRLATIVGADKIVVVNDGRIVEQGTILIIEPIDN >PPA07569 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:169419:170240:-1 gene:PPA07569 transcript:PPA07569 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lst-3 MRVSSLFPRDDYFSGSKSGYIHERDLDDILCTSDLGIPRGQIQKLSKKLSGSGGKINYRYLTDSLVDPDGETRYIPGEIEGAPTVEELSRGYGYLYKPSEEDPPIELGEAVLHNDLVLIDGVIINVRQKILAVKRLEAEKDAAAAKMKELETSLKNIREAKDAIDKKKKRLDEDVDKYQKRLRETEKTLKV >PPA07615 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:480268:482831:-1 gene:PPA07615 transcript:PPA07615 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVIVHVKEEIHSEDEEEIDPSTIPQSSTGITAEVSSHTAELNLENSSLDSHGHDWPCAVCGRLFTQREEVLEHTKSEHAEQMFQEMLLDEGLRAKLAAFQQQQRLIALLQSGGPAAMNMLQQPAAAAVMLQLLQGISAPVVKNEVALMPSFPVPSRKVNRMEKDGSPYESRRGRPRHPVWSLFVRIDKFDAECTLCKSRVRSACPTNLCRHLNNNHCELASQLFVKWKKQKEDLGGSFPPLEPRANRHKSSSTSSTSSSISCSSLPAAPVPTMAPIIAPSLPGFPHLNLVLQQQQLLLKQREEGSSLSPEVLKLVLSHSKTEKREKGNDGRFLQAIDAQLLVNSKIIAQAQKALESHNLSAAAAAATAADPQPECAAGTSRAGVIQFGGSERQSEEEPMENGEAIDIL >PPA07552 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig156:12602:13004:-1 gene:PPA07552 transcript:PPA07552 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAQHGVDSSTICVQDGPQSGQTIKHVHVHILPRRKGDFGGSTDTVYAELAKHDRDDRPPRTNEQMSVEAARYRKIMNE >PPA07550 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:4734:7622:-1 gene:PPA07550 transcript:PPA07550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-clec-180 MWNNQSLKRDSDLLGNLERTTLASTENKKSTDDEHKERLESDFNHENELEEMERLAEEVPTPKNELNEGNELDCDEKEGNVHLESSDGEKRELSEEQQKQLAEFLTLLRVFLKTARHDDLRSVIDDHSNMSLIERMKKAIAVAKERETEKQQLMSSMTPSEVEELEKKETASLMSDSEREQVYEEIKNAIKEGIMHDTLDVTTAAPEVIESTTEQSITTVSILEETTTVPTETTTVSIENPTTREGRVRIRIRTLAEVLEEERIEKERAEKEKERIRLSEEKISGAVEKEVKRERDSQIIPLRPLEDLEEINQNEITVATPSRLRFAGVKTFVIENEKKMIPVKDVDSISVLQSEELARKKKSDELRHKLEESLALRAAMRETARNRILEKEEKELEKLRKRLAEVREENEKLEKDLDIVDEISTTTETPKKKTIKNAEKVTRKPSTKTTTDFTTTRPLSAFEKKMDTNHLLNIISKMERERPTMIDRSAVSTMDRVFNNIGQEMHELLVG >PPA07580 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:232050:232668:1 gene:PPA07580 transcript:PPA07580 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQYTPFAIVPIVLVLSILSLLLLICKLYQWNLQRTQYEYLGRIYDILEEASPLDNWAKRDYKSRYRLHKAVSIPCEPSPFFQPRRSNKRSATSLHAPMHSIFHPSHFPGRATHI >PPA07567 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig156:163287:163580:-1 gene:PPA07567 transcript:PPA07567 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSREWWVTEEALSFGHPFYTLKIKKLRPEHSGSYKCRLETDPLFSLDLSTSTVELNVMESLN >PPA07592 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:292737:294249:-1 gene:PPA07592 transcript:PPA07592 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIGQIGDTAFNVSFIPGDFDNEARRPVGNYLAVQYKEAGEGEWKEAPGKDETLTVAVEDLAPGTKYEVRTVAVERAPSGEEMRSYSPIQSVTTSGRSPHSARLWILLILLLLLLLLLIICCIICVICRHRGQNYPVSEREREQGREPILGKQHFGEYKNEDDEKRSLTGSKAESETDSMAEYGDTDPGRFTEDGSFIG >PPA07599 pep:known supercontig:P_pacificus-5.0:Ppa_Contig156:356606:357422:1 gene:PPA07599 transcript:PPA07599 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQTGLYMYTSATSFTGLVVIGPNQLSTFAAIILSTRGVQYYMHIVRFRNRKEVKVCVWCHLEYLEDARLEKVKQKKGSTAKQNKGSTACTLA >PPA07622 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1563:19:215:-1 gene:PPA07622 transcript:PPA07622 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFCISDRNRLATELIAAMSQNELNRLIPPCLRDKSHQEVFDAIREQLE >PPA07623 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1564:1329:1691:1 gene:PPA07623 transcript:PPA07623 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNEDGEMAAEITEMKALMASMAQLLMKQCEKKPESLQELPNASMNAIESRIQEFVYSPEDGSTFERWWNRYVDIFEIDLKEMDDLKKIRLLIRHVSTSVERTFVESIAPVNWADMTLLQ >PPA07624 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1565:1370:1846:-1 gene:PPA07624 transcript:PPA07624 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSYGWAGGVPPAAHIGAGAMPAYAHSAPPAFHPPAPPGGGAVPAYGAAVGGVHPPTAFPPPPYGGGGEPGAGSGGGHSDIYEVPGRKNSGTHYDE >PPA07679 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:276774:287176:-1 gene:PPA07679 transcript:PPA07679 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSHLWFRLYLIWGLAVRASAPGTDVVMKETPTTSFPRPDDNDTMNTENSDLMTAFSFYAALDNDYCLDQSFLQDLDEELEETTVGDTTTTEKIRMPGNVTEATKRPVITAASMEPKTTSSSIVPTAETTRPTSSAPSATQSTLTNSETNFETKRSTSSVPSATQSTLAPTQIPFSPSMATQSPVKNPQSTQPQLTQSGVGSASTSTDAATKTTPTIQSSPKTTTTTTTTAIDTTVSVGPSGLSTAPDQITTDRQPTSGITTGTPGTTEAIYITSTTDWRSKPETTTPAATTTTTSVSIKPNDLRTTTKTVSTSPELCEKPCPDDFFESAHGCLRLYSVTSAYATGLGNCQAIPNGDMISELDIEANFDGIQALMAYFKFPSHNFYVNGFMGDLNRKEKKAQVVYISATTSLLDAPYIEFDTPLPNPPLQYLEPVKYRCKDQEVSTSRWDAYCTDRGVIGPAASLYNPSCAPSAKPKPIPPPDFIREELIPDLKCGQCFGMGTERCETGEKGQPDKCICKEGWSMQTCWRFPKFCPNSTCPFESHCEERVDHAVCVCDTDRCEVEMRALNRSAEMKFLDAATMIAGPTFEFIVKMIMQVLTPDRGETIQDSLQFYRSQFVSCSGIFYAFGGAPQAMKLDIAERSAMSIMFHLPQILVHILYALEVKHIDAVRAGRSDNLWKSSGKDKNRWDYGWTYCSTIILGSAFSMAIWYFNWNTHQKAYTSLGIADVGSLGMLGWTAIAYCICAIYSFFKSMDIYLDGNRKKLETMRRDNKYETSKDPKDQEIEDKVWRNLIPCMIGAPLQCLYSISMISILAFDDKILKYVNLVLLVANFIANIHQSLRCDQPFLAWQLKWRMMTFRPLKEEFNKYSYLTTSEHFAKRSKALYKKNQQERLELEEAQRKEEVDKIKDKIKKFQELGIPRQFHPHLPMKGPAPLKNYEYDPCEKDYIPEREREWKFCEWTKEYLGARVKLTITVDDAIKYVKNGLEDCGFLRGDDCPKKQAETMFKEWSDMMELQDGMDFAEPTDAEKVFYANIPAKFYNDMRGLSVACRVKEAGVDMKKQRLLEPVNQIFTFSCPEKEETGPGLWADPFGFLWEKKVIKDLPVITREQENTVWNAIMNDYRKHNRLRDMIYDDDTLYSTLTKPENKENEQPWEAAVRKEMKVQSAFPEGPKATHSINPRKHAVNFAELKQNRPNFVGPRQPQFYIPCGPRVRRFWLENYYAPRRELIFRMLCEQAGVRRHNWITRNFLRGRQ >PPA07636 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig157:42701:45738:-1 gene:PPA07636 transcript:PPA07636 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSPSIDNVKYSGPGDKEQNKKCRRLFEKLRKCEKTRNKIFVHWKPLHDKFIDNHLDYDSFQTAYEAYLTVMRGKADWSKYSHLESQLIENVDEENIEWQQINRKEKKRRNKLPEKVLPRASRSLYIWIEVNGVSTIAFVDSGSGGTCMQYSFAVKVGLMLNGCKTVEFLPREQAREFRRERRRNKPVKINCNKEKINQIVDMGFEKDIAEKQLRKSMNDVLNAVHNLFLRAQKDTRRAELENRAKKELQINEVLIELNSLLDKEEELKCRQLYERMKKPLTKRTTYFNWPALLIQYINFPCDYDGFKQEYVAFLTMKKNRNDIMNDKSSSIGQALTLHKAKEDAFEKQSAQREENPLIPPFSKVYIRVVVNGITTFALLDTGAETTSVPKSIVIDAELESKIDTTIRAKLICIGGEGSSCGKLECELVTFTGKIHVGGNTERH >PPA07653 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig157:138910:140470:1 gene:PPA07653 transcript:PPA07653 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSKTARNAGAQETKKIDVLPKGPEPPQKKPKTTIIKAPDPPKYRNLTPSNASSVKATESLEEMDAKTKETSKTDYADKTPDSGSHPTQPSTSSTLITDVSTATAAQNDIFEHLGEGKQPKLADLDETLLRGVLVHLKERLERKEDSLARRLVDAKVLPVLFGLFAKRNFSVDTLKLLAECLEILAKTNRTDLHVADMKKALVARLEKIDKTSATLYPHILTLFVECQPDSTPRLTCSSGYVPLARIAPIWLETFQFAVLPAEWGSKIMEERGSQLKALRTTITVLTTFLKEGILNVVASERSVCIEFVLASLEKIVNAMKKSPKLKSDLSI >PPA07628 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:11705:14286:1 gene:PPA07628 transcript:PPA07628 gene_biotype:protein_coding transcript_biotype:protein_coding MSRILIALLIASLAIAVASDGGVIPGLLGVVNQVVGLATNLLAAALPIPQGISNCAMQMMQQILNAYLAFFNAIITQLPTLSASAIPAFIASAQGTFTTTVNAIINGVTCVSGAGELAMVNVFASTLTGFVLFVNKW >PPA07637 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:49540:52051:1 gene:PPA07637 transcript:PPA07637 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKNILKDIMITLFVGLFLVVMILKVKVARYSVSREDDNKFRKFGFVLGYAFHIITFAASLAYVWLTYLFEYDGWALPFFAVLSAWANFLYILRKGPTGIYIVMMTRLFKSFGHVATIWIPTMFAFSFAFQVILRDSGIEPWNSANQHQNASAVETIFVILQAFTKTSAMMLGEVEADTILGTRQWVPSILLLIFEITTVILLMNLMVSIAVGDVNDLRKESNSGCLSIKVINLAINSLQLAEAIPIPFFSNIRAHSTNNVLVFNEDGDYYATLDEGLVDRVFDRSAEKANGMGTKTTNII >PPA07662 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:182152:185765:-1 gene:PPA07662 transcript:PPA07662 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLLVLFIAFFVNVTALKCNLEATISQDIKEGAIEIEADVKIDRIEITCGAGLDKCGNFNKMTIDDFLKLDAAEHTKDIALKDGKIVGSTCMSSADCTKIGAKSQNNCKSTSPCCCTADDCNGNSVGSLSHLGVLAMITVKMLSLINFGTIHTTIEHEINEGSVVCAAGLDRCAKFAKTNITDFDTSTLGTNVTEGSSCMTQANCANINLSDIGFTVGAHYKSNTSQYIHPQTERRGRGQPAKSIARTCPMAVLAAARQAIAMAMRAGALPLVGMMVMAAAKLLLCNQNTVSYQEVQVGGIHSIVEQEMYQGSVLCGTGQDRCAKFAKTNVSDFDSTLGITVKQGSIAGESCASQMTCANIKATTQSDCSNIPNGSACCCSTDNCNGNASGALSMLGMLAIVSAILSFA >PPA07674 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:238389:240484:1 gene:PPA07674 transcript:PPA07674 gene_biotype:protein_coding transcript_biotype:protein_coding MRPALIKLCRICGSPTDHARMGMLACRACASFFKRTVTSGRVFICRQGDRMCDIERTTKFLCRSCRYQKCLKLGMRMTGAMERFSPLQDATFFDRRKEREIARHGILHEVVTVPSTETKLLLRTFWGRTGIMECYHYTLRYFPAYDPPRFIVSTRTTCMDIHNYEFFFADSPDIKNKRAAAATMKGYELKMIALAWSMFKRTKLTDVELHAAIGLMLFEFGKG >PPA07645 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:85482:88185:1 gene:PPA07645 transcript:PPA07645 gene_biotype:protein_coding transcript_biotype:protein_coding MMCVHRHCSFGRIAESMKKVSLKSAKKTKKKKGEITPVILPTPAKLDDWYDCPDGIDGIVLRPKKGWSTNSDWTKEGIASVETFVDHYSSIGIAGIKDEFTKTVEGFISPTYGDVAFKANPTKNRDKAGFDIMACLDHSRVELEDSRYINASWVFDKARLGNKKYILTQK >PPA07669 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:216568:217367:1 gene:PPA07669 transcript:PPA07669 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSLLITAAILSASTALKCTHNGTVINDVYQRGVLVYSSTSKYEFGVYECSPSLNRCASFNSIDVAFFRTLDAGKDVSSSLAHNVAFTQGKFTGRSCMSQADVERIFAVKASRCSGWTTSYCYCTTDACA >PPA07668 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:212142:215511:-1 gene:PPA07668 transcript:PPA07668 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVCDGDRLANVELTDDCPVENLLALVMVDLENDHDRALVSLLKDGVDVMAGGRGKTLVECGLADGDLLIYRFSPRPVPSVPAVTPPSPPATSARPAAAAPAAAAAAASSVLLNDGLDDQRRRLAELVGQGLGQIDNRQLMTEAEKDEELCKDLYGKMQNKSTLDQIYSAWQPLYDVYVNNPLDYVNRRNEEETIRVTGFRREYALYLADCKRISDEIMHNPNSAIAQAYKAEDQVRNRPRRRSVDQEKLAELVSMGFARPDAENALKNASNDLNTAITLLFDQAEKDAADIAAAEKASAEGSAKMENSAKDGPNSSFFNI >PPA07641 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:63752:65400:-1 gene:PPA07641 transcript:PPA07641 gene_biotype:protein_coding transcript_biotype:protein_coding MHIARRNKGANRDISKGGRKMRSHRDKQHNVITPEDMFDALNATLQLRATSLFLAELVENSVSSKIKKITELSYFEYHGSDARVWKFHGIGDGEVIKDLKHTNATLDIKKQGGKLATAAVNIEDRKRILASFDKNPGQYEEPTFWLLPHEVAPMLDIEPNARDDDIVTPNRPDPSNPAGAAKQSLFYCRDCGSSFILYRNLLKHIENGKHFIRPEHVKLLDRVLGLFMRAIEDNLVPEPLSPVSEVVKAFKRASDPELPQGWAIKHGRVGRYSEATKAFVKAKFDEYAKRGAKLKADEAERLMRADRFIEPKDWMTKSQLRNYINSLKSQLPKMRAWRRQVEHEDMDDEHFEVEVEPSDEDIKKTSTAILHPRCSRNSSLMSTSL >PPA07663 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig157:186325:187244:-1 gene:PPA07663 transcript:PPA07663 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKVLLLSAVALSAHALYCYHQATDVIPNLAFGNYNGSVPMRVDIGSYECEKELDRCATFKPPLQAPMSIIDFMKLAGGKTLNPLLILGTDGTVEGTTCMSVNDCTTFKVGLQQRQLQDKEQLLLLDG >PPA07634 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:33156:35657:-1 gene:PPA07634 transcript:PPA07634 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLLTKLKSCDPNIGFHVEWNGVLGNEELDQLAPALCVYDPSLTTLPPTIRPTTPKSSDVPSEFCSCNPSTVYLDIVFVVDASDSMTKDLVGDVTATIKSTLYGLTFGTGHSQSNVAAIAYGETVQTVVDFGGFQSSKDISSFSLPFLGGKSDKTAEAIKQASAMLSANGRSFTKGVIVLLTNSYDQRQAVDIAEAANLFENNGGILVSIDYSEGSGLAGLQDVASAGYYINDTASNPSLDSDMLYAFCDANCFCKDGLTPYTVTNERGRNAPDGCYRVAGFSAVYQAAKENCDEEGGIVSTVHDDFKNFFLMSLFPSKTKYWLGLQRTADGFTWADGSTDAYVNFAPGNPAPGADCVYAQQQTGFNTRWFSAPCTDPLKNSLSYACQLRPCGSDYDCSA >PPA07650 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:121806:123608:-1 gene:PPA07650 transcript:PPA07650 gene_biotype:protein_coding transcript_biotype:protein_coding MSELTTDIVGKLIKVGIESVDNMKLISPRWNGLAVEVLAGDRKYFPKLDELNWGVDQSATATLFAQLTGPLGVRKAIVDFVRAHSVQRLCLTTDLASVFEEYFLDLLYPTPVFFTQALLTAKSVELAVKTPVGIWAMLLERYNWIAADLAPRLIVNLKSHTETLVGGEMRLHVIVTRYGDA >PPA07677 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig157:264686:265927:1 gene:PPA07677 transcript:PPA07677 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSLSSRVQNREKVIWDTLKHDGWQLALQFVNRCVREWKSEVFILLDCVTWIVQQAGEAIDKNTYINKDTVGPAIQRLCHVIHFISQEPQKTVEHALEPRADMLVGVFVRLIKALKSQKQQPKKEAYEAILSILAIAALHRKGQERILHHWEELIIDNLMKTKEALHFRKIIDEKTDELGKQQVKEKKDRQDRREGTN >PPA07671 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:220504:221566:-1 gene:PPA07671 transcript:PPA07671 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTIILLSSVLLLPAVISLKCMHNLGVSDIFYFPNGSPSGSSTNTIEMAVLQCPAGLDRCVNFASMNVADYMKLDVATKNADYTSYIKDHNGMVYGRACMSQNDCDTIKAQKADICEGTGGGATSCYCTTDECTGSGVAGVSIVLPVLASTAYFVSM >PPA07643 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig157:81665:82285:-1 gene:PPA07643 transcript:PPA07643 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVGSHCCITKDPLFTDHVLTEAAARASAEMFSMFSGEPITDFNKAYRYGSYRLFVASTIGHLGKGVRIRLPACFVHAVRQLWPSTNYRGFSSSEAYDV >PPA07672 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig157:222179:225471:1 gene:PPA07672 transcript:PPA07672 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRKDVKLDSFSELPPSLHFAHSLVARAVERLSFKDKACQKCRRHVIEQSQLGDEWAANEAVDFAPAFELESDLPEIKLFGKWNLQEVNVADISLVDYITVKEKYAKYLPHSAIRYQLNQPRAGARQRRYHVGPVKTLLVLDAPVPSVDVAPFRRVNQAMWLLGIEAHEAAFRNIKTIAECLADELINAAKESPNNYAIKKKDEPERVAQFNV >PPA07670 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:218062:219247:-1 gene:PPA07670 transcript:PPA07670 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSAVLLLSVIPLASSLQCLFNSTVTNAIYSNGMLVRAYSSNYNLGVNECNEKLKLTRCVTFKAMDISFFNTLDIAQDTSIFVNLIKSIPHLQGNNGKVAGRTCMSEADCTKINAQEAEDCTGIPETSCYCTTDKCTGSAGRILSLIPLLCLATCGYQGAPDGLDERAKRKKLKVGQLITSAPPP >PPA07648 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig157:107635:110125:-1 gene:PPA07648 transcript:PPA07648 gene_biotype:protein_coding transcript_biotype:protein_coding MMINMLRNVDFIEISFSGLVTFSEDTANKILDVLKMKSFDRVILAGCYDAFMYDIEAHLEMFANFIDAAVQSVVQIVIHLTDSVNHLPPYQPPMAIGWRNLANNLPNLAKVDAQVESTRPAKKNC >PPA07654 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:142575:145400:1 gene:PPA07654 transcript:PPA07654 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAQTPEEPKIGFLEKRRRKKLLKKFYDDQAELLAQYDKDDKLLTGAIEPEDTERRTDRILNYLHIGINVFLLFANAAAAVMSGSLSIISTVVESAMDLTTSSIMAICLYHIRKSDYFVYPRGRERLETVGVILCSVIMGISNIVIILHSISVVLNDKVSVDMTLATLIILLAGCAVKAVLMFFCFKRGTDSAKVIGMDMRNDIATSAVAIVAAFVGDRYWKYADPLGASIMCSIISINWFYHALEHIPSLTGVRAEQEHLSRVLRIAIKHDERIQKIDHAMIYHTGAKAMVEMHIVMDHNLPLKITHDISHPLEKKLNQIEFVDRSFVHCDYECDAD >PPA07633 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:31448:32726:-1 gene:PPA07633 transcript:PPA07633 gene_biotype:protein_coding transcript_biotype:protein_coding MACLKTAHGSYFRGWDRGQHTMFDRWKLEVTDHCDSQLRWYIEYYGMNVALKPEWMPFEFLIANDDGSVNLKTWPGLDERLWTPAKNEDGSWSIDRWLSVDENGTISTTNDTTDVSKNFLLDSRGEWSFYSHQASYPRIKPTCEGKAYLSAQRGGSVKIRYTFGFGNEHWRAFKNES >PPA07642 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:73780:79266:1 gene:PPA07642 transcript:PPA07642 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVKAEDPAEVDNDNKEEEEDAMWPDLEPKKGWSTDSQWPPEGVKNADAFMDHYSGIGLAGIKDEFKKKIEEFKTSTYGDVAFKANPTKNRDKDIMACLDHSRVELEDKRYINASWVFDRTRLETTYILTQEPILSTICDFWQMVYQHKVSCIVVFSEKEDGWPPKRLPGNPPECETIMNKFWPIKKSNGLKTENLCTKRLIQDTHYTPWSYGPLKPLLDTRIKGGVTGMNARDSRNEIPGLAITETFLTFIRKTQWNPDELRSTLNDIAMVAQNGRTLSSVGPILLMDDYSGTSRAAVLTVVDAMGSLMYKGEQNLTVDTLPQVVKWIGNDYQKWKAIADELKEANYPHSSIGVNRFTPELRKKARLLDRLIEGLITPLQEPTRVDAKVVTWLSFDLGGRREIVFIFGFVKFRATHFWQ >PPA07629 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:15329:16978:-1 gene:PPA07629 transcript:PPA07629 gene_biotype:protein_coding transcript_biotype:protein_coding MSRILIALLIASLAIAVASDGGVIPGLLGVVNQVVGLATNLLAAALPIPQGISNCAMQMMQQILNAYLAFFNAVITQLPTLSASAIPAFIGGLFTTFTNTVNGVLNGVNCVLSQAELSMVNIFASTLTGFVGVFMSTVNFLFGTIGIILG >PPA07627 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig157:8338:9745:1 gene:PPA07627 transcript:PPA07627 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRVLITALLVSLLAVSAVSAQLVPIAVQTAQWTLQQAVDSILKVASNPGAAIGCAGDQIKQIAAGLTTLANDVAAEIATLSLSTLSSVPAFISSEVAKFQANTVSNTTCLSVSDQAAVTFYQGQANAAIAALQAAAAAILGSNPLSCRVRNTGNSLFISHTLSSL >PPA07630 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:19481:20353:1 gene:PPA07630 transcript:PPA07630 gene_biotype:protein_coding transcript_biotype:protein_coding MSRILIALLLIASLAVAVSSAGVIGIPQTILAQLTNLASSITGQAFTVPANLSVCAQQKVQIMIASFNDLVEDIVEDLPNEITLANLPNWISRAGNGGNQ >PPA07659 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:172599:176264:1 gene:PPA07659 transcript:PPA07659 gene_biotype:protein_coding transcript_biotype:protein_coding MSGILSTFRNVTDARYLFYMVAPWEFSNFEKPEDVPPYLEAGAPWMMLLILAEMFIDIERYQLNDTVTSICAGLCSMTVKFGGKFLSAALFPIVWQHINIVNMEPGFLAFIINFLAQDLAYYLGHRCIHEAGWFWGFHSMHHSSERYNFSTAIRQGALQDFAMVFFDLGLAVFVSPTSFIAHKSLNILYQFWIHTELVPPLGPLEYVLNTPSAHRVHHGRNPYCIDRNYAATLIIWDRMFGTYAAERPEEPVVYGLVTPVNSFNQLHLQFNEFIYYLFKKPFLKNEDGTDMFPGWTTKLRIMFAPPGEYPGTATKRFFLWHVNVDNEEGIPPIDYSNVTPYHKELHVLSKAYIILNSTALLVIAFSTILSSSSPMKNLDYANTLYILAFMLTTIQSFGYYFDHHYPFNLHFDFARLTMTAITSYLFPHLIQASNLLPYSIVSLAFLSLLFINKRLPGQQQSDAKPHQQ >PPA07635 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:38538:40967:-1 gene:PPA07635 transcript:PPA07635 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAFILVFFAAAASAHIPRPALNASALSGAAKKIVSSNSDVQCKSGFSLYNGWCLYNSAPTNYYYQDAVDICTGKGEFAPSVHNADQLDFWKTLASEVEHFWLDTYCPSAGNTYLWRDELLNCKEEVGYHMHNTGFASYGYTESGASAVCAYDPQRYVDVTSDYCSCSPNTIYLDIVFVVDTSDDMTIGLVGDATATILSTLYGLTFGTGMFQANVAIVAFAETVQTVVNFGGFRTSKDITDYSVGTGIQGLEDITSPGYYVNDTSTNPDLDADVLYALCDANCFCKDGLSVYNVANERGRSLPKGCYHVSGFSAVYDAADDNCHNMDGFVATVHDDDKNFYMISLFPPKSRYWLGYRKNGTNYEWADHSNDRTTYWAAGNPISGQDCVYGQQQSGFNSLWYSAPCTDPLKNSMPYACQLRPCGSDYDCWN >PPA07647 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:106574:107067:1 gene:PPA07647 transcript:PPA07647 gene_biotype:protein_coding transcript_biotype:protein_coding MYSMRFKHALFHVLHSHLVIPGLNADFFKAALKNVPEVMLELTDQQYGIEWIIAWAGDFDQQEDIAAKIDEENTFDYTIHFWKL >PPA07675 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig157:245238:252278:-1 gene:PPA07675 transcript:PPA07675 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPYHPHHIQYPCTMEDGSPMFFHPTDPFMQSRFDPLQYFQQQWVNPFPYPGPQQPPACMREAVDHPQAPSFPALEYSSQFGLPPMNFPPTIENKVAIGDPSANSSTETQADMPTAFPTLNDFEEEFVLPPDPTPPMTDSSRNNKLEQSLRSTSPVNTPFSTNLSAGASVFSKFFETQRTLANVDRKLKKRTFFDKAQTKALESLFLIIQTLHKPERLELAKSIGLSEEQIRVWLQNRRFKRGKVEGVTHIKMGKTEYEDKAKLATYVALDEFEKANAAGNVQDIIAIALTDRCCKRCESSHLPPTAEQKSLHVLPSTCYEALKSVVAKFNASQLITQRQQVSSLNLFPSSAPNESTRLDNFESALEGHPHMLVEFYAPCCGHCKLLAPCTPRYGTFTIDIINILMAAEALKDEGSEVKLAKVDATVHGNLASKFEVRGYPTLTFFRAGKTTEYTCEYWRDYRGREEGGAHRVVRELRTAGASVETFVAFKKSEHDLIWAQVPWYSWRGLSSGYVNVITEACNDMVADDVFMNEMREEAFDIALTHSMDACPAAVIHAAGNRIVGIANPPIRLPTPLSRIALNHIGIPVLPSIFPGHLLDASNEMTFGQRLKNFLLENTQSLVSRNSLLLGS >PPA07625 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig157:3792:4863:-1 gene:PPA07625 transcript:PPA07625 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLTIIFLFLFLAVVYGLYYIKEQTRIDQQNTTELWHEAKKDLKPHFEEGPAPFVPELDPVVRRGKEKLLEMKEERSRKQSQEVVVAHVAPAHPAEGGVGRDYGRPALPSKPRPLSYPDYIAPFPHR >PPA07666 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig157:200796:201103:1 gene:PPA07666 transcript:PPA07666 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTLTMRLLVLGLFALLLVCGSIDAKKDYGFDSFGSHEKGRRGHKGWNPRPVYSNQGFSPGG >PPA07664 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:188155:188742:-1 gene:PPA07664 transcript:PPA07664 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAFFKTLDVGKDGNPLSKSIVSSDGKVMGRLCMSQADADKIQAQKADSCTGKTEKSCYCTTDKCTGNASGMLTMMFSLSFLARLISARAMLAA >PPA07661 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig157:180614:181627:1 gene:PPA07661 transcript:PPA07661 gene_biotype:protein_coding transcript_biotype:protein_coding MANLRSNQHALTTPFDVYATMRVIAGLPNTQSGSSLIEKLPSAVRDCLRLPIPLQHCPCPVARRNYTDIATQFEAAETALEPLSSLLKRYGCKQWDVERVYGIRRLEMLPLVEIYVAVRPLERNGFTHKYDRLDFPVFKLVAEETQTASSLVFTGLPELTVALKPMDYHLSPCMKGHWLRPFCYCESWKM >PPA07644 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig157:83207:83633:1 gene:PPA07644 transcript:PPA07644 gene_biotype:protein_coding transcript_biotype:protein_coding MQDDYVFIIRSLFFCIKEVFDESRIEDEAVKKRGEKYAKQYAQLFGGGGKKK >PPA07658 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:165295:170112:1 gene:PPA07658 transcript:PPA07658 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLHRSFKFCECQLADSYVWHEMHKISLLEPTKSKSICCSILIRLLISVFFLLILSACLVWSFNRGEVGVTYTGEIEKRDAAENFTVFINQFALNGKMTETFRGPDGTNEESTYHNSHSIWYQDNDRQELVQKYDAFLMIYARKDYTFFANTTSDGTITSCSIDRDLNYVKYIETIGLTRLLRPHGEKVQVKKKKYVYVYQGEPGLVQLPYQQSEAFLVLAYADADTGALLGYDTYFTGTPTSNLFKREYWYVIRFNSVLASTQRPVETGDTMM >PPA07676 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig157:256564:262708:-1 gene:PPA07676 transcript:PPA07676 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLVLLVGSVIEAAKIALFVFPLSSSHVIFTNRVAEELAQDHEVVIIRPLVNPKASTLVSKHPKVREIRTNGVNESTYAAFKELEKGFVWDDLAWNEMLSVSTAFRAMLTEACEDFITADGVMEQMIDEKFDLALVHHFDTCPVSIAKAAGIPQFGYILSTPLVRMFTTFVGIPTLPSVFPSHLMDASNQMTFKNFLIEGLMTVVGKFFMGRDVDRLFKEKYGDDFPSNADLVLNGTFLLANVHPDIEFPVPVTSKVTYFGGLGMSNETKPLEEPYASFISAAKKVVFVSFGTVADPKAMPAAWKKAFVELKNPDVHFIWRMESDVEVPKNVLRSLWHPQKDILGHPKVAAFITHAGYNSIGESIASGTPLVTVPLFGDQPRNSRLAEYRGFGVRVEKTEMTSDNLNAALRKILENPSYEKSAKSLRKIVFSSPVKAGDALRHAINFAIEHPDHDRDLPSLYFFQLYSLDVIFLLFIVPLFLPILFKWYYWSCCKVAYKEKEKLN >PPA07656 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:148043:151155:1 gene:PPA07656 transcript:PPA07656 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSTIRVKREHVLNTASGRIPVMVPLILLATVLLGSVAEARVSFMSSEVLQQTDVPDYGDKAPFKCDNGCQVYTDSRSSSLYITKLNTNTGVYEAVTTFSNIGGANKQNPAPYALKASDKYYVDNRGDLNPFVFYVVDNEAPNMGTPVMVITDDAGLKIDTTAERVTVLSSKAAAHTWSQISAKFGETVWGRFPKIYATGFDNVDVDYCEPVYWARSVETLADAAITLPTPISTIHFLPGDTARHTVNVAWNKNAAVAHTLTTAYVTPGYHGCAFNVDELYSSSLNATLSDSFTIRAKSVLLSGYIALPNTDVLDFKINDAEIFFRGWALTPQCWENADSYAISLNWTGRSKGASFAVQLDFDAGCQ >PPA07646 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:102348:105227:1 gene:PPA07646 transcript:PPA07646 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTIADFWQMVYQRKVACIVVFSEKEEGWPPKPLPDNPADSVTIMNKFWPIKRTNGIIMDDLCTRRVNEDDKSRYQHYWYYAGSMSPILDTRSLVCKGKKHKHTEEERRYGCVKTRLETFLIFIGKSRWNIEELKRTLGNIAMIEGNSGWSDDDSPLVVIDDHSGTSRAAVLTVVDVMGSLMYKGEQNLTLPQVVKWVRTCRNGAIRTADDYVFIVQAVFYYIKTVFAYFEGYGYCHRVDEEEERNKKRRGEKYAKQYAQLFGEE >PPA07667 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:201714:202572:1 gene:PPA07667 transcript:PPA07667 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTNLREQHCIYQDFRIGCVRSPKFDALPKCECSALRQELSELKSLVGKPVVDPTPISSDETYSAVKKALNDATVFAEKSMRAVWVGRRPEKLNDTSITQALREGKITRQRQAKGDRKRRIIKIGFPDSKMRDRFLSISRSTHPTTVTKQPGNFLRRDLFPFELELEKKARVNAYVMNCKIGSLTFGISDEKLIKFTGTPRPLPSGSAPRGFAGPPISTSIFLQSNANVSLDQTTSQDAANFEDRQAVIRCGGKGRGQEQRQGI >PPA07660 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:177561:179561:1 gene:PPA07660 transcript:PPA07660 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGELPLHDSSSWTWLKAIAVFFSRNQKLFWYTLKDAGKIEDAFIEVDCLGYNNVHGRNKRHAGCVRYPCAIAHVESTTRFLEEAMGAVHFHNYQSLGNDYADFGAMLAGGLASPLSRDRVGSKEVPPNLPRNSDDDPCFVARTTSFLPRLFQQQGYATLIADENRVHEEGFERGCGWEPMNLYTPIVHRFSPYSGCKFANYVLEYFRKFVECQVRHSPHLVR >PPA07639 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig157:56258:58723:-1 gene:PPA07639 transcript:PPA07639 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRGLERKENLTWSEDGTEVRAVAAVDLLFHSYNDPLISLQQSSLTKTALSILGGTLFGTRIPDQPKFAGLMPHHNNSIEPEFRVNTGQGSIDDITKVISYGDTTDLNIGKVAGCNEHTGRK >PPA07655 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig157:145632:146304:-1 gene:PPA07655 transcript:PPA07655 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNGHSNLKLPVKTSSSTFIQDVIKNMSKSDYQWVEFKVGLGVAEFFLLQIGFIWDDSRGFMMRIGPSDYTTVRNYKREYSSYLIADFRRTCGLKLEISFPKYRPGLERRRPKLVRITICRDPSVSDCAQCKSKHCAYEYHDDKHMRTAPPLN >PPA07678 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:273315:274531:-1 gene:PPA07678 transcript:PPA07678 gene_biotype:protein_coding transcript_biotype:protein_coding MISELDIEANFDGIQALMQTLRLHKTTRNETTVPIGEDLKDIGTICKVPKNCLRWSCALSYALQDAPYIEFDTPLPNPPLQYLETFTTRWDVYCTDRGVIGPAESLYNDFCALSAKLKPTYILAKH >PPA07652 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:132253:137638:-1 gene:PPA07652 transcript:PPA07652 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFSHYASKGFPSAGLAPFLNGWLCFFTNRCDQSPITGDEQRLLGEGVDRSLLIDGLRAISDQLAVIGANPEDFDTRMQSLADVIHSLADANLTTQQADLLSRMQFDDASLMAAELLALGIGDLAEKFGNPLANFIKELLAIKDVDSLVAALTCGQTITPETTGASPTGTQQPVHTVSDTLREFILDYVSQFSPGVADDSNATECYGVPFKETTCTYDNQPILQQVLPMLQGYILVAPAGPLAERLIEKLNDPLQYFEFFATIFPRFAEVALGLQDAINDSDLPKAAEHVIHTLFAPSSDASSMGAILKGVAENVDQALKCLLIDRIVPVANETVMESAAMCLQRRQQYWSGILLTNVTDTTNEVPSVITYKIRHLESMVDGTGAVADSDGNMRARDQPFIDLKYTTFGFSYLQEAVEQALRLMMAEDEQEEAFNDVGAYSQQEPYPCYAIDTFSGFDITTFLALFVVLSWMVPSALLVKNIVYEKEQRLKELMRIMGLGDSVHFLSWALISLVLNLVSVIIISVLLKFGGILPSVSFSLLVVFLLLFAIASIAQSLLLSTLFTNANIVSQPSYSHEGLDQNDERVDCSENI >PPA07626 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:6351:7481:1 gene:PPA07626 transcript:PPA07626 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLLLCMLGVAIVAAKDSALSIAAESLSITLESTIQAILKVALHPIETVNCAGDQTMQLLNSLASLSGTLIGSYVKIIFKGLDSLPDVAQTLRKSLNNFQGNPVSKVCTGVSAQGALDTAQEQIGKLTVN >PPA07665 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:195427:198963:-1 gene:PPA07665 transcript:PPA07665 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTDCFDYLTVGLRPSESKTAIKRILPSILLFGGGLIFGHLIAFAIVMLKQNQILKKATAPPSPPNKNNSLTKKDSVEVTIEDEVQKQTTQNLNAPMKPSEKRRRAKASTKAINVHMQKRSEKNRYSVSKTKSTGSSSNSIGEESFERRLSNGPKVLPKPGTLRNSATPISKRKHVRTGMHETPEKQVKALMDCAGSNEEGIIQAVFGRCDVADKNKLEMIDQGAVPAVLEFLNPTYSTHTRKTAVEYLLGLRQFATADYSRIKQQKEGKTPYIEIHDFMENNVKTMTAAVNIASEILNESQPKLFASNAEGKLATIDLLSIAIHRLTFSLKLYAKPQSHNNQGGSSKWAFLNSWSGDFADGESAHRNELMDLLKRLWLCAGILARDEKIHGPLLDPNRHLIDNMRLAVKHFQSGAIYVQTPQCGSLCERFIDALCEFSKSGEGLKAVEEMKDVLIVPLATCGSKQGETCANRANELLKKLGTPAKTPSNI >PPA07673 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:226804:229505:-1 gene:PPA07673 transcript:PPA07673 gene_biotype:protein_coding transcript_biotype:protein_coding MHIELPQRHLIEAQTKLATKMSITSKYSNLENWARFLIANVHPDIEFPVPVTSKVTYIGGLAMSNETKELEEPYASIIEKAEKVVLVSFGTVAEPKDMPLAWKRAFVQLFESNPSIHFIWRMDDAVEVPKNVLKRLWHPQNDILSHPKVAAFITHAGYNSLGESIASGTPLVTVPLFGDQMRNSRLVVHRGFGIRIEKDRLSFETLNVALHQVLQDPSFSASAAALNRIVFSSPVRAGDALRHAINFALENPEHDWFFFSCH >PPA07657 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:150379:163533:-1 gene:PPA07657 transcript:PPA07657 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGFSSDTHAFSLHMGSIHPLVHLPSWPSATACTAVLFLLFFIPYELSSRMNAVVAVICLLLPQTAMGFGMTMIANGDDNGEALWSTMDQMTCTMDQMTLGDFSLSFWEILVALAVDIVLFCLLSWYISAVHPGIHGVSLPLYFFCLPSYWCGGGKEGVDNEGFDGDDDIFLPDRMQPDPKDAKMTVAIRRLEKVYGNGMKALDDLSLRLYEGQITGLHGHNGAGKTTTMSMLCGLFSPSGGTASVYGSDLRKDMRSVRDTLGFCPQHNVLFDVLTVREQLYFYGGLKGVADKNLKDEVNKIIDSVDLREKKNAFSGSLSGGQKRRLSIGIALIGGSRFVILDEPTAGKGVDVNSRKGIWKLLMEHKQDRTILLSTHHMDEADMLSDRVAILSEGKLTALGSSVFLKNRFGEHTTLTCIKNVDARVDYSHVIVEICKLHDKVPATLADQSEEELVFHLPITADSRAMEEFFQMFDQRLKGWNLSEYGISAPTLQDIFVSLAPQSDLKLTKLGGGCMDKMLSCFKGGKNAIAYSEQIAEPVKAETEDVCDTAPATRPSKARHARALLTARVHYTRRSWLILFFEMLVPILLLLLCELYAVYANKNQPSDISTQSPRYLTNDQYGDNTNHYLSLWDDTPSGVGAQLAETLLDYPGMGTRCVPSGADDNTYHPCWDEVFDIGELSLDTLLMDNQNDTAYNVNQTCGAIPYYWDCSVDDYPWDELPYFHANTSDYLVDLSYSTGGLALGHINPFAPSDESIQKRKEGWRTLREVLGEQAEVVGIDLSSLPTPTTTIPDFSNAITIDTFVDRVIGAMDTRESVKISPNWNAPALEFLEKNRSRLPPIDRVSIDFTVDSPKADAIIQGRYYHYFGLRTMAECNAIDRTPRVVEVEADDVCIDDKVEVVGGLIDVAVFEDAPADAGVVETKETGARAASAVLIPVRLAFITEIPSVHTKHQPIWLLTTGVEVELNGETRALRSPGPIETDRVGVGVLPALRRLARKLKWVNDKSVDIHIERFYSRKATLVGTQQRKLKKLNNCLQNLGIVDLEVEDIRVRKSDVAAEKHTGIKTNIQNHHLFALIVNESDSVAFNDDEYNSSTLKAQPW >PPA07632 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:24165:26310:-1 gene:PPA07632 transcript:PPA07632 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIVMACLAGWYAVALGVGCFLSCRLQRTMREEERLREIRMHASAYTEEDEEERRTADEKEEDHEEDEDDYSNEHIWRIIMFMAPIAIACLVGYAALISLECFVAHRRHRQGRMRGEECTCEMMKVLEGADDDGNTHFSYRRSVGSRRRDV >PPA07638 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:54237:54744:-1 gene:PPA07638 transcript:PPA07638 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTLLFSFFASIHCAYIGVLNNCGRSIEVIRVDEPLNDNATFTVAGLDQGQRKITEGISPFGTVEISVSPEGLTRAVFTNLARALDEYEIDTDAGFDVGMEITAER >PPA07631 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig157:22506:23153:1 gene:PPA07631 transcript:PPA07631 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLRLLSIVAFWGVIYSAATDFFTITSNLLPPAQKSIIYEVANILGARVLEGADDDGDTHFSYRRSVGSRRCDV >PPA07649 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:114554:116293:-1 gene:PPA07649 transcript:PPA07649 gene_biotype:protein_coding transcript_biotype:protein_coding METFEKVKHTLGTIPIRKLFLVGFTMVGREDLSSPLWNSLVLEQFRSRDPSTFIAIDKVDWTLDTDKKGNDVKLHISKRTDGKFELTDRESAFPKYYDTDIVGLVKLV >PPA07651 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:125040:131015:-1 gene:PPA07651 transcript:PPA07651 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKPVHAFLKFELWYNNKRWFSLPIYTNVLSNSLLRMAHLRRGEPLDTFDRGIIVINHPMNVSLDQSFDDGTENQNVTIFRIVLLLLVMSVIPAGYAVFLVEDRVSHSFHLQLVSGLSRWMYWAMAYLFDTSLYLLSIILIMSIYLALGVNDFTYTFSLAGSYVLLWLVYGLVDVVLVYILQRCFTIAALAFVMIALGTFFVGTLTTMTVLILEQMVNSNTNFYVAYQVCYYTFLIFPQYNLGMGILRGSMAYQSVSFGEAYFRQINRPDLAGTVPMPDALQREMMGIHILALIVQLLVGLALLAFLEYGSLGFMRRMETKKTRALLEKEEHAKQDDIDVAAEARRVAKIEGDSSDYGLVVDGLAKKFSSTLAVRGVSFAVERGECFGLLGLNGAGKTTMFGMMTGRLEIGHGEVKILGERVSTRSSSAFRNLGYCPQFDALNMKLTTRENVEMFARIRGIEERNMKETVHSLLRSLHLLPYADVLTAALSGGNRRKLSVAVALVSQPPVIMLDEPSAGMDPGSQQFLWSVIGKMRRAGRAVILTSHSMEECEALCTRIAILDKGRIRCVGSKQHVKNKFGDGFSLTIKFTSTQQAEESQAFICERLSRAKLIAVHCSAAFYRIPSDLTTVVQILRVVNEVKQRFSVEDFSLSQTTLDEIFQYLSETSSAVNVKDEAVWTTKTKL >PPA07640 pep:known supercontig:P_pacificus-5.0:Ppa_Contig157:58973:63565:1 gene:PPA07640 transcript:PPA07640 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGMCELTQSVKRLSLKSGKSSSKSPARSPVTPPTTTSRRRRDTDLRGPYAKCYRRRRVRRPDDVHRKPELEPVKGWANTAAWDPEAAKVADCFMDHYAAIGLEGIKEEFRREIERFSSPTYGDVAFKANPTKNRDKNIMACLDDSRVELTDGRYVNASWVFDKKRLGRKKYILTQEPTRDTAGDFWEMCHEHGVSCIIVFSEKEEGWPPKADPADPESKVRDKFWPLKTTDSIVSEGLVIRNVHDSDFVQWKEGPHLETRRLNCTDPKHVHDDKDTKEGCIKSTQARDMISIRELFLAHISKSEWSPNKLMKLIHDIALVEAHRASKGFQLGPILLMDDYSATSRAAVLAAVDILGQLMYQGEKHVTVHAVLKWLRSCRHYAIRSANDNTALA >PPA07680 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1572:3461:4389:1 gene:PPA07680 transcript:PPA07680 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVQVANVSHVENDKWITFWNTSLPTTSCPTKEIAQWLRGLHHKNGAELNQDITKAFAHKPQAIHPSRLEVQWIGNCESEGAAAEKEVKMGGGMSGGRDEKGGEQPKVEETEGSLSTLITVVCILLLLLALLILIVCCVTSKRNKAQKGVIN >PPA07681 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1575:319:1106:1 gene:PPA07681 transcript:PPA07681 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLPDPAVVQKFLSKMSDKEAKDNELFSARVDLEEAAKCTCTCCPPRDQLEQFDFCCQSLFLHPLKKKGQLLRDGLKEKLKEHQSPCISLNPLFTDFLLTDIAAEAARALNSYQTGKVDEDTNRARRHSCYRVIIASTMGPLGRGIRIRLPACVIKAVRAKWPSATYSGFRPSELIDE >PPA07682 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1578:93:1020:1 gene:PPA07682 transcript:PPA07682 gene_biotype:protein_coding transcript_biotype:protein_coding VVYVTGGAASKLSKIRVVRKNIARSDRDQSDGQRAVLCVALSPSTRLPSRLTPYFQPKKCLPTDIRYKKTRAMRRALTKHEASIKSAKQLAITATLFSTALAIRLIESSFQAKKCLPTDIRYKKTRAMRRALTKHEASIKSAKQQGN >PPA07683 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1579:209:902:1 gene:PPA07683 transcript:PPA07683 gene_biotype:protein_coding transcript_biotype:protein_coding VNVADISLVDYITIKEKYTKTPCRCSSTPLSCRPREDSTRIGRAGTVRRQSVDVAPFRRVNQAMWLLGTGAHEAAFRNIKTIAECLADELINAAKESPNNYAIKKKDEPERVAQFNV >PPA07728 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:309880:312429:1 gene:PPA07728 transcript:PPA07728 gene_biotype:protein_coding transcript_biotype:protein_coding MQRATCTSAQKLLDNIKRRSTLNDRTKETEWAGPKKNKKALENETKLKGRKCDDNNDKTDISENKSKARTNTLKKINAWVEAVVGRRRCKAIYIGETQIDYRFEMEVLDEWYESADAKLQAAIAYTFNSAGALGIACTRRHVDGTLWSLRGPLRGFRRRHLAQPAKSLFSPPPAPPSRLVATPLTRNVTREKLPHFDPFADPCGVECSFHK >PPA07731 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig158:324921:326739:1 gene:PPA07731 transcript:PPA07731 gene_biotype:protein_coding transcript_biotype:protein_coding MQCDDTRCNFYYNGFFENFGDKINESIGDSYYAAALTTTQDPYNKRVYSSIDQDQIKSTYTNCSSFVSNRDTADTLIHWSQFLGAYPDEDKSDPGQCESIEDPDTPNGTSSSPEPEPNPDRVPPIATSCPENQYLISMNRLTTVSWTEPEWSDPDNIVSIESNFRNGDVFYWGDFHVVYTAQDKAGNVGRCEFDVFIAPNECKIPDYARYSGACMVGN >PPA07732 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig158:329733:330185:1 gene:PPA07732 transcript:PPA07732 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVMWQCLHYDNLKQMQASTSQADCVNTCDKLGQEMDENGNCVPCPQGWYKRLVRVNDQGGQVVSSFA >PPA07705 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:150412:153589:1 gene:PPA07705 transcript:PPA07705 gene_biotype:protein_coding transcript_biotype:protein_coding MENVMRQNKRACTDGGANLILDRVRSSHLLAPSLISGDMDSISDEAKQFFGEKSDCEIVSTPDQDKTDLTKCIELVAERIAKLSATPSHVLILGGLSGRFDHSLATINSLLNSPKIFSGLDRPPPGSHSISLDRTLLTGICGVVPFCQRETRVTTSGLKWNLGRSTTEYVRSRLYSSLPCNTPVHP >PPA07727 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig158:309456:309805:1 gene:PPA07727 transcript:PPA07727 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYDDQEGLRINIKATLQEEDCSLSRWSWDVVQWMRSSVVDSNPVPACFSLGMLLIAAIWEME >PPA07704 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:121622:147237:1 gene:PPA07704 transcript:PPA07704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gbf-1 MRAQLKFQLEALFGKLKGIVLSEQSRISYEQKEMALESIVQLWRIPGLVTELYLNFDCDLYAGNVFEDMTKLLAENAFPVSGLRSTSLLSLDALLVVIDTIDMNCHCRRAGAIVESADESSRALAAPALSGYDIGKRIVRGGEHTQAVSEPATAQLQHSSPALRVNRHAPSPSLPPLSEVIERKKRKKLLNEATDIFNKNPKKGIDFLRERGLLEGAGTTASIVEWLRANPRLDKAMIADYICNRKHADVLEAFVKAFPFENTRLDVALRLFLETFRLPGEAPLISMVMQHFSEAWFKANDEPFNHVDAAFTLSYAVIMLNTDQHNPTARRNQPSMTVECFRRNLSGTNGGQDFDPDMLEQVYNAIKTEEIVMPAEQTGLVKENYLWKVLLRRGETSEGTFTHAPTGWNDQDLFALIWGPAIAALSYVFDKSEHEHILQRALSGYRQCASIAADYGMSDVFDNLAIHLCKFSTLMTAAERAGDDSVELQRQRAIAAAAAGNDGVAANAPEMVACAFGENTKAHMATRTMFELVLAHGDILREGWKNVLDCLLHLFTLRLLPTEFTECEDFVDEKGWVSIVRVHSKSLSPSRSEGGLLSWFGIGGAADAAAARQLTPEQERLVKIATSVVSECRPWSLIVDSKYLTSSALNELLNALTHASGAVVAKADAEAEQKGHRAAKLSEEDEDAVIFYLELIVAICIENKDRLGNVWSLVRRHVEWLLSPRFGRSHVVVERAAVGLLRVANRYLYRENDPLADEVLQSLGILLQLPAAASFVLSRQISYGLHELLRRNAANVHRKEQWSVIFAHAAGDCFEKHPHALEFEQFPRAALTSDEVEEAREAREQAEGGGATERNAFSDTERGSSVRTMGTDRGYTSDDPSARHFGSTTSLASSSTSTAPRDSAISLPKAASQEWIHLSHKDAAGATAEALRALGGSAAAYARHESLVLRTALGRHEPAAYLKVAETLEFLLRDAAHITPENVDSAVECLLTMVEAGLNGGEKAAGPLSGRAQQSLRSNVHAEGGGEGQRRAKTARGKKELSTEVEREVEKAKEEEKELSFRYDQVSYQLMTACQTIFKRTPAMYREWAESGHGDVASSSSIPSMFRRVWCPLLRAIARSCADCRKNVRDRAADLLDRTFLLTELNEGLGAAEWEACFEQVLFPLLSQLLTKFSPMDPFGVEQTRTRVLKTVVRITLNHRSLLTEQCAFVPLWLRLLSFMERYANERSGQDSLADTVEETLKNMLFVLHSEGKFGAISGLYEATVARMQTAFPQLAEVLPAMQPQEAAAPAAETAAEAAPPPAATAQQQHHEETPPVELPELEEVVVHSGAASPLNSPPASVFEAPTVAATEQQHPPAATEDPAAAAAAAAAHAAAWQAYYAQQAAYQYQQQLAAAAAAHQQHSQPSSSFDNSPIHHAAAMQSNPLQHYPYYNVQQMQHQSPQQHPAAAAAMPTLWLRDSRSHAVTHGPIVHSVTNPLPIYSTTSASSSAHDLQQVQQQQQQLHQSPLHQSMQQPHHQSPQHHSAAAAAASSMTALSSSPPCGANVLAASPNSAFSYVGEARPQQQPPAHQHTHTHEGP >PPA07703 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:103333:113162:1 gene:PPA07703 transcript:PPA07703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-immp-1 MASFASDISTWRFWWKTAKVTALGYCVASTISNHLGELVICSGPSMHPTIEDGDLVIAERLSIKQRTLRKGDIVGCLNPHDHKQLLCKRLAGMQRDIVEPTEALPTGRVPTGHVFLRGDNEACSTDSRHFGPVPQELGFKGNPALTAARSARVSGNQSKMAVNGLHVVLGESDAVVALLKKARRHWHLQNNGSASLEDTDPLLRSFADLRDVLNKVSDVMDMNPLTFLSPFLEVIRSQNTNGPITESALSSVAKFLSYGLIDASRCVLFEILHVLRALLLSPAGRLLSNEAVCDMMQSCFRICFELHLSELLRKAARTTLADMTQLIFTRLPTFEEDLRHPYIRKLVMNTKGQRRRRKTRPSEKKVKKEVKEEEEERERERSDSEKEAEDVEVVEAAKETDVLVEVAY >PPA07690 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:57531:58116:1 gene:PPA07690 transcript:PPA07690 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLANGGKRTFKSFNELYLTEDRPDTDLYALEKRVWMPPQKWTIEQMNNNEVAIKSHRNLYVRHGSGDWAREAADPDQWVMLTPVKNAGGTWSFKSRDDKWLSGHKEWTPIESRHEKKRYAVSFENNNNKCEKWKLELV >PPA07687 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:41618:45014:1 gene:PPA07687 transcript:PPA07687 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLFQRISTGGLKRRKLRAEQKAKVTQNKVKLSLVACTAVTPEQMKELLANGAPQRLRSFNWLYLTDDRPAIKGRNLWAMPKQDWLQDWTIVQVNDQDVAIKSNSRDYNIGHGTDDIAVEVESAYGIFTPVKNPNGSWSFKSRNKWLSAHAYYNYYDPKRYYVNFQPDNMNCEHWFLEPYTPPTPPTVMGELLANGGKRIFRSFNQLYLTEDRPKTWLCTLEKRQWMEPQTWTIEQMNNNEVAIKSYRNLYISHGSGDWAREAEVPDKWEMLTPVKNDDGTWSFKSRDDKWLSGHKKDEPEEKYRYDVNFESENRGCKKWKLELV >PPA07734 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:336286:338791:1 gene:PPA07734 transcript:PPA07734 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEIVLTSPTTHLRILLETENCLFTHTLDASVLYVEAIWSASMPCKGSQNLAKILTYYEGDARNLWVLNHCENEQNTLQLLQECAPQMTTMAVQAAFKALESIFERKKRQAVQLAVHEVLETGIDKIHSCVDKTNIIVLLRCFALDGSVEHESAFGVSMYDKRNAPQKVSP >PPA07694 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:68783:69992:-1 gene:PPA07694 transcript:PPA07694 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEDSHGRAFCNIISASNTNDHARATGNGTSLRFQSFNELYLTDDVDSYDHWALPRQDWGRLQEWIIEQVNDHEIAIKSNRGNYIGHGRSDWAKQAQVANEWEMLTPVKNADGSWSFKSRWNKWLSAHTNTTLMDYGRAIGKWNQTKIQIVQRALPHGRSSSNKRIEPLGTTQARLSPRLDY >PPA07685 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:12959:19460:-1 gene:PPA07685 transcript:PPA07685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-psd-1 MRTYFSLPLNVVSRLAGGIASKEIPMSLRRPLLGLFAKVYDCRMDEAVQPDFESYSSFSAFFNRTLRPDARPISATPLVSPADGIVLHYGKIEDDKVEFVKGHDYNVKEFLGDVEIKPKAGHDLYQLVVYLAPGNYHAFHSPAKWSAEHIRHYPGLLLSVRPALLNRVPHLFCLNERVILNGSWRHGFFSMAAVAATNVGDIAIDADASIRTNISRRRAARAESVRTECALSFAAGERVGEFRLGSTIVLGYPI >PPA07706 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:154350:158567:1 gene:PPA07706 transcript:PPA07706 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMNSCAGVDDDGDLVMKEGNDADLPARFSILAPLKGDLIGKSASDILSMAEGQRNSIFLHDNLSPLSLPSYPRENVKDFKEAAVFTVKSNEHAKSKGFVDFLNDKHMVLINHEEHPFLRDLPQAVGMKLSAFYTDTLAKKDPDHRGIEVRDQLNNEHNVNKYGDPIWACDSYFLPKKEAKEYTTGKCAQYQRDLFAMDDFVRENQERCIFCTNFDLTSDRGDLYHEQLQELEKFPTQLRVNDDADLLNQQGHKVHGMNVPQVYLKFDSEDADYDTNQQLPLLRAIGIKYITPDPFPQLGIKQAYVPAASSTYIAYKVPFCCPILTAFGRECAPVGPSCGPQLDRLLDVTSPHGRTIPRRFQTIPQVGIKHFPQVAIKLDSNLWELLDSKLIPDTGSLQVPNNKTAAHVENMCMTSVNVNVGMRDGVEGACMWYAVPYEYYGKSEQTPNGLDFLRDTWWPDAEELMDMGVPVYRCVQEVGDTIWIAPGVVHWVVSIGHQVDRLRYLWPPEVVY >PPA07686 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:30047:39541:-1 gene:PPA07686 transcript:PPA07686 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAEEHARKRALRKRLFKTKTGEEEKSWRQRKDEKKQRKEENMIKEAESCWKSKVEQEYGAVADTGAGAKARKVDKGKPYTLSIAIPGSFLLNAQSAELRTYMAGQIARAATLFRCAEVIVYDETARMTDEVAETCIKQLDVYWGGHWYGDIAPAENNIETNFHLARILEYLECPQYLRKALFLRQRPLQYAGLLNPLDSQHHLKAYDLSLRFREGVILDKPVKKGRGPLVDVGLDKELEVEDETLLPPGTRVTVEMGPEETDGARRYRGKITSAKRAAAATGHYWGYSVRIAKRIGEVIDHDKYDCIIGTSPNGVTAAMLEVDTEKHSNILVVFGGIDGVDAAIEGDEAYAETSAEEAFTLLLRPFVSNGSRSERRKLGAAI >PPA07691 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:59958:60522:1 gene:PPA07691 transcript:PPA07691 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLANGGKRQFKSYNGMYLTDDHPSSYIWAFAKDGTMNTKQDWTIVQMNNNKVAIKSNDHGFFIGHGGGGWAKPAVVPDEWEMLTPVKNDDGTWSFHSRWNGWLSAHTLYERDRYYVNFETNNWRCERWKLEMYLS >PPA07735 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig158:341076:341321:1 gene:PPA07735 transcript:PPA07735 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTIPGKYRAFFGVKNWLQTARLPYDSEKVELSPPPGKLLGITVPPTNALQTALRKRIMRCARKT >PPA07697 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig158:82977:85332:1 gene:PPA07697 transcript:PPA07697 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEVSPGRAYGTLMEELLANGTRQRFKLFNELYLTDDADSYDHWALPRQDWGRLQEWNIEQVNDHEIAIKNYLGHGSGGWAKQAQVANEWEMVTPVKKPLEEYVAECAYKKFAVKFESENKSTAHGRGIGKRRPTKIQIKIQWAVSHGWNLTWPSYWQSGALQYGYVRTMGGSTFLAADPVAGMRERTEMRSQQWKIIQINANEVIIRLSGSILNIRHGDFNYANLLTSNNILTTNIDAWEMLTPVKNDNGSWSFKSRDNKWLSGGGLKEGKVHFMPENLKCERWTLEPW >PPA07710 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:183914:187991:1 gene:PPA07710 transcript:PPA07710 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPIVDREAERWAAEQMGLNTCILGSKIVHLLILLTICVLSFCLDSPTLGWTFLALLLVDLIVLGLSIGHVIKSHLGVLLALIGVESLIAIAGIVMAITVMWADNGKNCELVHCRTLELSAKERFFFFWLLLGKGMLELFLSLMIVAMSPIIHDRNQDDWTTHHSNHYAHDHAGGEGGYKDWFLSPGGSSRQTDSKLNNSAFDEDSA >PPA07722 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig158:274457:275057:1 gene:PPA07722 transcript:PPA07722 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGKIKVALNDSRLENQIYTFANTLEKFEKQLKQAQNGQTVEEAENMHG >PPA07737 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:347490:351463:1 gene:PPA07737 transcript:PPA07737 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQQHTHHQDGDAAALDRASHSGSDYHRDEQGAAAWCGLFFEKNVNEEELLSKPPEMLTEDFYNIVGRAMRNFEWYHGYMSREGCEEYMKEVGDFLVRRTLIDGKPNYIMSVFVCKEGDKPKTAHIRIDYKSGTWNINENVKKASITQLIKHYQEKNSKYSDAPGPFLKSGVSRPPFYLLHENIHIGKQIGSGAFGTVHVGHLKKGTETVEVAVKKMKSEKADKKKLQEFFKEGRLMLRFNHPNIVRVFGVAPGDTPILIVLEFAKGGSLKSYCKNNDPVATSQLDNFAKDACRGMNYLQSTKRSKINLFQVIHRDLAARNCLLGPNAELKISDFGLSHRGDSFQLDKLKSVPVKWLSPETLSKGKFSHKTDVWSYGMLLWEIYMRCKEDPFPKKNNAEAMELILNKKPPIEAPPAMPDAIKDIFLLCLTF >PPA07725 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:302843:305296:-1 gene:PPA07725 transcript:PPA07725 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCGESLLPKLSCLGDINEVRLEEDYDQENAKEDLPTRIQPKRTAKFKKSVPPAVKEVPQLTKIDCCHTFHENCITAWIDVPNFIIPIRHSRSLMPAVRDRNKNTACAPTRVQPDRSAKSKSISSVLTKKETKKSTKSASSRIQKKSKPLKTQPTAAKEAETCAICLYNIAERQSAKIDCSHTFHRKCIKAWIDLTCPNCRASVTFMQCGSKKTVVAAPQPPQMKMEKMGIIFIIMVMPCLLVVLGR >PPA07718 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig158:248365:257430:1 gene:PPA07718 transcript:PPA07718 gene_biotype:protein_coding transcript_biotype:protein_coding MECPLCPAVATTALGSFKELRSHIHRAHPQDVVHVYACSACPSAFKEKKALNRHLLRKHEDTLGVLQKKYYGFICSDCGLYLRIQPDSNAEEWEAFVELMEKHSPTHLVPTVVHAREKLSANPKISLEFARIERRKKLNSIECPHCRKSFVGRERIKIHLLRGKCTSRQPVSGLALLVNKTPSKDVFHELKEDDRFGLKGNEMDEPFLRQHIIRLAIAVTVPVLRKASKTTIPFTPKGTPRNLIYCDRCASLLPGSCMFNHVCRDGAHGMPLKPIYDHRHTVDGTKSRIPCFVCPDYSTCSVAGLQMHLTLDHDLDYAIDKGVESGTTPEVGAQQSRHSSRHCSPIKSPVAKRPSVDVPEDRAPLKLKIPRTSRYPSLYREPRAGIHGSYDKEGRVKLVTKAHDNDVPQASPAPSSSTSRPTDPQRPSTSSARQSDANLEDSYDDEGGLVIAEDEEPMDVEAGEGEKKDEELKEKKDADSQFTMDDMMEDTEKTDDDKKKKEETDGRNGVDAKTNGHVASNGHAQNGVSDEDESLQQYHLSLYTSPPNSKYTPHDGFFVLCRLCMTVLPAIEDFKHHVGMHHREEHQDYISCSECSLLVLRSEIRLHDADHAWTKYICSITKKAFASDLHYYLYRKEEHNVDLVHFCKRCNIATKRAELMKEHIHMGDCRRGIANATDEQYMLFPRPVPGVIAECFFNFDSALKSEGPFIIRIDGQERMTESQHCANNDCMRGGLLRRELVALPYHRYRYHDFGPYEKPAPILEKDEKKLKKQIKDVKGRNRQQFGKIDDYFDNDPWRLNCLPTVEDPEEADDAAADTAAAANSPAASATAASVGSPAEAAAAAASAEADEPMPVAENDEEAEPSTPDASSRQANVKVFSVRSNNLVCVLIPLCYTD >PPA07695 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:72184:73018:1 gene:PPA07695 transcript:PPA07695 gene_biotype:protein_coding transcript_biotype:protein_coding MDELTADGGKQSLKSFNETYLTDDGFGFLDSHPWLILSDQPLGEAQQWTIIQANENEVVIESSIRFYVTWGITEALQAAVPGDRGKFTPVKNADETWSFKSRENKWLSAAKVARPYFLPENGRWEQWRLQLD >PPA07730 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig158:320037:322874:1 gene:PPA07730 transcript:PPA07730 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLFVLLLFSVLTRADRYSFEWTAGNVDYTCTGLKGQGWTRFGSQCVLFSKKFVPWFDAGDICKRESHSPSELLDNGAATSIFNPAWSDNDPARRPEGECVAMDLSPLTEKSHGWTYKSCDVQLSVACETFACVGDEFRCADNSACIPRAFVGDGIVDCSDGSDEVKKKKSMIATSFEVEGRNSSWIRSRFIDECALHPCSEHGICVNGECECQHGYFGERCTMFKCEIDSDCWNGGTCTSDRCFCAAGFDGKDCTHRDDHVCLNYCEHDGTCSEKANGDPQCKCLEGWEGDQCEITN >PPA07693 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig158:67169:67695:-1 gene:PPA07693 transcript:PPA07693 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLANGGKRQFKSYNGLYLTDDDPDTYLWALDQRSYKPSQRWTIEQMNNNEVAIKSHRDLYIGHGSGGWAVEAEVPDKWEMLTPVKNAGGTWSFKSRYGKWLSGHTKKQPKEGEQNRFAVNFENENNACEHWILELY >PPA07684 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:6616:8052:-1 gene:PPA07684 transcript:PPA07684 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSPSSLVAVDGPDTSEIAIAAEGLPKRPTGLVDGSVEERMTRRDNCLVPEVAKPERKIQSREKMLWTAITLFIFLVCCQIPHFGIVSTDSADPFYWLRVIMASNRETLMELGISPIVTSGLILRAGAKIIEVGDSSKDRALFSGAQKLFGMLITVGQAIVYVASGLYGEPSDIEAGICLLIVVKLVFAGLIVLLLDDLLQKGYDLGSGISFFIATNICETIVWKAFSPARVNTGRGTEFEGAVIALFHLLATRSDKVRALREAFYRQNLPNLINLMATVLVFAIVIYFT >PPA07714 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:222362:224617:1 gene:PPA07714 transcript:PPA07714 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKHFQGEKSTIRTLSEPQFAALNSQVHAGEVEKKEEPKDDGAQITIKQTGEGTQMIIQMPKSDETKDGARTAENTPAIPMLQLVPARERSEEGSEQQVDSQEMMDGHRRPMHPYEMEGPSPDALNFGMHPMMALQAARAAQYENEMRAWRMRRLQQMLVLAAVQQAQQQAAALRYAYFQRQQWAAQQMVMQQELKVTADGHAAIKNHI >PPA07700 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:93898:95247:1 gene:PPA07700 transcript:PPA07700 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLANGAPRRFKSSCNGLYLTDERPTKTGTIYYDYSQNLWALPRQEWGPFQDWTFEQINDRQVAIKSHRGLYIGHGNSAWAKQAELAGEKEMITPVKNVDGSWSFKSYENRWLSAKDGTSEDPNRRFSNFKPENKECERWLLETYTPPKPPPLLAELLTDGGRRRFKAFDGTYLTPLFEANEWEMLTPVKNPNGSWSFKSRWNKWLSGGGYYQGKVHFMPQNLKCERWVLEAW >PPA07689 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:50194:50638:1 gene:PPA07689 transcript:PPA07689 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTPAEMKELLANGAPQRLRSFNWLYLTDDRPAIKERTLWAMPKQDWLQDWTIVQINDHEVSIKSNSRGYNIGHGTDDNAVPAIEVNWGIFTPVKNPNEPLEQVA >PPA07699 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig158:90837:92667:1 gene:PPA07699 transcript:PPA07699 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPVLAVVQTSSAQSPPATPTLMEELLADGGHRRFKSFNDLYMTDQDDDGLVYTKPRFRCEIMNGYDQIKPRKFRRTRDFSQQAQVASEWVALTPVKNPNGSWSFKSRANQWLSGHRKYAPDRYYVNFQPDNMNCEHWFLEPYTPPATPTLMEELLADEGRRRFKSYNGRYLTDTGTLYVLPRNTEQHQDCIIEQINENEVAIKTNFGYISHRNADDAETRAYPYDWEMLTPVKNGDGTWSFHSRYNKWLSAQDYDAYEQEYSVDFKPGNYERAHWLLEPY >PPA07692 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:64806:65947:-1 gene:PPA07692 transcript:PPA07692 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLANGGKRQFKSFNWLYLADNYPDEYLYTLPPWSVTKKPEDWIIVQMNNNEVAIKSARRGYYIRHGLVGWGTYADVADAWEMLTPVKNAVDGTFSFKTRKGKWMSGHTFEEHRKYSVSFESENGWCEHWVLEQN >PPA07715 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:226027:234746:1 gene:PPA07715 transcript:PPA07715 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRQAEWQEYWRRAAIARMMQQQAMQQMQQQQQQQQQPVASSWQAAEQPRPWFTQQQQPMMQWPQQQFFQQQQWQAQQQQQPMMQQHPFWMQQQQQPQFEPQGGAQVRPATPPTPWWRYLQPVPQSQPLFLFQQALQARLRDRMQMQHMQQLRQEAAQHDLQQHQEVRILGGPRIMPQMQQQSDEESQEQPQQPQPQPHAFIFIRRFFPIPQDSREQQPPQQSGSSSSSSEESNEKNIPLRPHDASAQQIVEQMRGGPNSLPDAPLPGIHDRIFQHFQTLSQQMQDRSGQSQAQSQPPLFASIPNSVEQQTDSQSQSQETHNYDQDAIYEKLQRQSMQLQHDGDAASVQTDSAAASASHSKEDDSSMVVDDDDHDIRRGDAVLGAFDRTAQIDSDITTTTEPVMIENFVRAQSEEKDDEDKLTPEEKRKVAQLDSAELKEAAALEASLEREANEQNEKKEAELVKVEEEIVHEANKDSSSTSEEKKESEEKEDEPVTLPAATTIDPLSEFFRFFEKEAVKLSAQDKQAERVVVDSETGTHSSPVVDELNDEENSLFF >PPA07698 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:87372:88109:1 gene:PPA07698 transcript:PPA07698 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLADGGRRRFKAHNGLYLTYRKKPWFQRNELIVTRGTGEDEQKWTIQQLNENEISIPEQSLATVPYRTHTASTLPYSTVPIPQVTIRGPRVDYYVSHSDYDKGRPAYEVDTWEMLTPVKNDDGSWSFKSRWDKWLSGGGYREGQVHFMPENLTCEKWTLEAW >PPA07726 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:306434:307075:1 gene:PPA07726 transcript:PPA07726 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNSVMYPDEVDHDNRSITRLLIGILICFLVLLGVIIAAVAKKGFGTYVNATIDIDWTNIGLVQLYEI >PPA07688 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:47599:48968:1 gene:PPA07688 transcript:PPA07688 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAIKANRGFYLGHGVEDYAREAQAAHHWQVYNPVKNADGSWSFKSHGSKWLSAHAYYQDYDPKRSYVNFQPESKGCERWFLEPYTPPTPPTVMGELLTNGGKRIFRSFNGMYLTEDYPSKDLWVLVRWDFKNPQTWTIEQMNNNEVAIKSYKNKYIRHGSGDYAREEEVPDKWVMLTPVKNGDGTWSFKSRDDKWLSGHMKYPPNEGETIRYLVNFESENKGCEKWKLELV >PPA07721 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:268990:272355:-1 gene:PPA07721 transcript:PPA07721 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATVPASIQSLLESSDIHMSRPVQTLEKISRLIVGGADRLSVISDFDHTLSLAVGDDGTVLPVTHQVLGDALVLPDLNQKATQEKYAAYSKESSGSDKLAMLEAWWRKAHDGVVARGLTLKEFEERARQTDIRLRDSSAELLQALSNSGVPTLVFSAGLSDAISFVLRRAMGGIPDGVHIVGNEMEFDEQGKLIAFKTPLLHPFNKNASVIDKCSPLHSSLSTRPHLLVLGDSLCDLTMDKGLAKERDNTLRIGFLNGQSDKLAQFLQGYDIVIATNQSIEIPRRIVEEIVGAKKYDTDVLRVKPIMGIRKEYY >PPA07713 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig158:220143:221172:1 gene:PPA07713 transcript:PPA07713 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLGVQGGPEEEVKQDVVKDPKVFTVVQPTFVPIPIQTTEPMRKSVPSTWCLMARLLAIVLVGSLLFSLLVFAVWNKDEVARAITKRFDAAFIGCLKHISA >PPA07716 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig158:236335:236674:1 gene:PPA07716 transcript:PPA07716 gene_biotype:protein_coding transcript_biotype:protein_coding MPEELPSVDSSKDSEEVAASIDTAPVSNEAEIKVSQVANSREIGPITIAAAA >PPA07717 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:237340:246730:-1 gene:PPA07717 transcript:PPA07717 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKRSKLPEPVKRSSFKDKLAEKGKDKKESKNSRTKSSEPKKEKERSSSKDRSRDSREKEKTKEKEKEKERRKSLIPSVSKDRSASKEKSKSKRDAPPKAPTPPPPEPPEDDYADDFEDYDDDFEEDVEGGEEEREEEAVPKPLPPPPTQQEPEEEANSETDESERSPPDSSKENRQEPPRLADEKKSPPPKEERRSPKEDYGGEVEEERIEVVKKQERVLSATERKISFSNTTMTVSAAAVSESNDRYRMLRNLIGGLERKSTVLLAHPPVKDYDFYIEMFGNSGKAQTFTQTGEDNVTEECQTEQEEMITKWTQQPAQDDVGWGAEGGGASVKYGHDDDDLQLFRGPSAAQSEALHRFMDVAAGVMFDLLASTRHSGEYFSMECKSKFSFSAGYNNFQLLPTANESKITAIARSKTDEYSFLCAFHVLQSNLPTIIKRSLLVEYPLDRNAPPQRLYLAQSVVNSASYSEDGTILLAGMQDGSIVAWDLYESTAAFDHRCPWLDSKTGIALREPSFDTSFMSSIIHDDRTLEIVAVHVVSSGGGSVFQLCALDECGTISTWTVERQAKPPGAQGCRPGSRLLLTLSSIVRPDSSIMRQSPSGYIMANCMAALPEDQMQLLIGTDVGFIASMSRGKGAGHNGPRLYKSPMHAYGEVLCMRFSPFELKILATGLSTGSISIHKAGQVGALVILTPPNSSRTPVTLIECPTVFYTMHESGHVLTWNLSIGKIPQAINDLSTEKMGRAVCSFVWHEKASARESSGIGYLALGLSNGQVHVHALEPVKAASTQSLTGILRFLCLSSFRMLSRLSDR >PPA07711 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:194067:198027:1 gene:PPA07711 transcript:PPA07711 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEVYDEVDNSARSFHIYNDVIFPARVFLLNYGWYILASAFVLHQIFTRFICPRLHQQREYATVGAAKKSDADNDRFAVARRAARERQQAHHDKVAAEAEAEKRRKEKEKLAKLREEMAQRSVVENALLDYKMAKDRKEKEKLGKGDTEESAADFLLRIVVSAPIVIVSKSFCPKAKATFATYRLPRDEVVYLELDELGEKREKAVRAEMARIYERERVPAVFIAGDFIGDHDAVVAQQRDGVLDVSKKRPNRGERTDSSEERSKITAPIVSPIQPNPV >PPA07709 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:168477:169494:1 gene:PPA07709 transcript:PPA07709 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAEENEKAAPDKSNPKSYAAEQTTRAADKDNVTIPMKRRETYTESACEEETFHQEFSSISFGSLNFRPSRFQYYVPRDRIIFTK >PPA07701 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:96529:97565:-1 gene:PPA07701 transcript:PPA07701 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLEQQEIPILIFSAGIGDVISLVLEQTMGRIPANSHVISNMMAYDEKVGGVLALTNPTHDRICIFSDPLIHCFNKSGAMVAEFSPLHSCISSRANILLLGDALGDAQMAQGLGKDEERILKIAFLNSKPEMLSRFTSAFDIVIVDDQTMEIPTEIMKMID >PPA07696 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:80915:82489:1 gene:PPA07696 transcript:PPA07696 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGESLAVSPPATSTLMEELLANGGRRRFKSFNGLYLTGGLKQPLAAIGAGYVWALPRNDAYLNQDWTIVQINNNEVAIKLSESGFYLNHKTLDYADQAQVPKEWEMLTPVKNADGTWSFHSRWNKWLSAAHRFLNANFVNYLHYVNFQPENLRCEHWVLEPYTPPANPLMEELLANGGRRRFKSFNGLYLTDEHQSKYPWALRRQDWASNQDWTIVEIGYNMVAIKSIHGSYIGHGDFDWAKKAPVADSWEILIPVKNVDGTWSFTNRWQKWLSAHSYYEPHCYSVSFEYHNQACEHWTLETW >PPA07723 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:275810:288252:1 gene:PPA07723 transcript:PPA07723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-oxy-4 MMAVAVALIGRDNARLYTRVTDRERDDYEVQMFIHCTLDIVEDKLSKANELYLGLLTSNHKHKSYGFVTNTGVKFILVLSLANTTLKDTDMRAHFKRLHLLYTNCLSNPFYELGKPLKSRALDEACNELFRSTPADMSGGFSGVVRLSNVNDYIAPSQACVIPLKPTLEQPAATEDEQLVSTHSKKPKTDKPVVKVSISLADCLACAGCVTSAETVLIEEQSVQRAIEGLRAAKLGVVTVSASSLASICTARGWTMEEGARRVTEFFRAKGARVVLDASVVQSLALRECWQEMRERIEQREKGGAHRPLLVSACPGFACYAEKSHGALLMPLMSRTRSSQGVAGVLVKEYLPRLIAASSNGPSLSPADIYHVAVMPCFDRKLEASRPENTAADGSRHVDCVLGTAELNGALSAFLEGREEEESMEVDESPELARVEEALPEEITGFMRGWLPKNRTKCLQSGRHSGVLDEAGRGGGAAVCSGEGVWIQVRNSDVYTSTIRIVRNIQNMVRKLKTGKKELEYDLVEVMACPSGCANGGGQIRAATTQERNRV >PPA07707 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:159754:163988:1 gene:PPA07707 transcript:PPA07707 gene_biotype:protein_coding transcript_biotype:protein_coding MVVESSGTDNPCQARLAVKKFTNNVAWNVCPMTHVQLTVALHQYQWNKQEMGDTRPVAQADGRGFSKLEMEESGGESRPPVKYQSLVPMINLFWRIAKHVKVTDEKVFKLVKKCLIRSLAFSKIVTEWAKEQNLRIIDKPRPHGEGPSFCDVCACEVFNLVFRKKNTEKRRRMIYCAECVREEIRTTDTYDFSVTREYTDDFLKNTFDNFHLHNANTLHHIIPQKRGTVDDCVLSRKKVKKEIKVEFRLATEEIPQTAELNKDFTEEPLTQNNEPLISFAVGETVFAKERTSDTHVFPAVIDRVSEDKGKKRYFVNYSNEWKASGRWVEAFEVDFLVFKEKDLLEDSGVELDTVSVEDIGSEEEDDDEEFQI >PPA07736 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:342083:343720:-1 gene:PPA07736 transcript:PPA07736 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPALLFIGTVAAQQAQFQTKFMNLVTDYLGPANTGKAMDMVAQDLLAEKTIQQVMDHLKQDFMSLIPGNKLVSGGLMLNSFMTCIKKAGSSMDKAMANIVGAFTKQLTPLYKKVMTKVKAMKKNKKADKEILNQGFKIATSALTKKLVQGVINVCMAKSTKAEYDCAVPAMNTILKTSLYNMNYDKKRG >PPA07724 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:301934:302470:-1 gene:PPA07724 transcript:PPA07724 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDKSISDRIRVQFDQEIEFEELKEESTCSICWDAIQAKDSTKIDCCHTFHLECIMRWIEVPNPIWWRFDPFHDYNFEFITRLLEDDE >PPA07719 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig158:260631:262038:1 gene:PPA07719 transcript:PPA07719 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVLKYTNKASLSFANVYNGKLMKKPNLRVCARHFNPDEIDGEGMLQDNAVPTYLWRKCLLCKAAIHNKEGAGLEMPIYYKEQLRALLAVRSGGAKNEKELCEQAVQYACPVCVVHAADASPDTTLSEQARDRIAALRAKMLARASGIASSAAGSSKASTEGDSSEVYRLSCCIRSVPP >PPA07708 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:164621:165419:1 gene:PPA07708 transcript:PPA07708 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLSQYVQVRVVDQFSNEFHVRMKHTTSMSVLIKYYERRIGVPQNLFQLNFNGRKIAESDTFASLEINDMDRIEARPETGLNRYYESAVLWAQKEKHGSDSTVVDDLDLLIGAMSIADQ >PPA07720 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig158:262331:268964:1 gene:PPA07720 transcript:PPA07720 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFNSAMRHAALEPQTPAAVPARQPSESPPLQQLQQLQQPPPDFAEPPTPYGVLNSALRYAALEARTPAPPPARQPSVSPPPLGLIRSAGRDRELEPPTPAAPSPVVFAEPPPTPRTYKPLMDAPVNLDVPPATPGHQEQVGEIRKGLLADAPQQQQQQRAAMPPPAAPAAAQPQPVLRNAAPQQQQPTVATIRYTPIMQQVTPQFPPLPQPQRQPPPLVPPIHIVPHINNLKQLRQKAGVRKEIAEVRLLEKALDSRNGLHKAFNLHDKQRVQVQLDAQRQQQQQQQQQQMLQLQQQQHNQLLLQQQQMQQMYLQHQRNLQAHVQAQAQQAQMMQPQVQPQVIQLQAQQPQVQQPQVQPPPALSVAEHLEILKQQQEQQRPLQQHHRHQPQRQPQPQLQQPQQQRQQPPARPPSGLLMPVQPQQAQLQQQRQPQQQQVGPSCAAHTVQQLQQQPQPGPSRAPPQPPQPTPQGLPTIAQMRETCIQIYRIWGMGEGMLCEKRLGQQTEKCRITKLCKRDSTIIQAALRSNADMLDGMQPNELLNHYMEATKRQMDINAGRAAVPAAPSAAAHAAPAAASSTSAVAAVAEDPMAKWKVAVAGVPFECVLCNPATKPGKTKRIMPKTDNVEPHLDQLGLAKGSPPLQEEMVVNGGGGDDNNDDDDDNDDNEDNDNDDNHDEDDNDGVFRRQYMAGVWADGGHLDMGICHAHLTEPGNRAYESGLMCTTCAQRYIEREESLHSNHDNKEARFCCLDCCTMLPKFTEQRFVEHMIKNHKMKLSEPRFDCPFKNDPKTPCHHTSSSIEEYRQHLNDPKQPHFSILFYQTYDHNRSHKCCLRFTDQNALMVHSDLVLGEKTRLGITAEDISRRECCPLCGSINLWEEEFDGQKISHFTLHQLEWTIMCRYDLKKLRRGETAKWHNVSKHSQGSFCMACNEQTNQQQMVHSDSHLLIGLKTRSNTEPIACDNSRALLGLPKIGGEQMETDD >PPA07729 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:315923:318044:-1 gene:PPA07729 transcript:PPA07729 gene_biotype:protein_coding transcript_biotype:protein_coding MIWTTWEIREDWDDDYEYDAPWNEPGTIEQEAAINDQIAELMRKNDDQATRIEELERTYKHLLMISEGPLMLRSKLHFMPSINEIIMKLQAAIAYTFNSAGALGIACTRRHLCGPFADQCEASVGAIWPSHGRLSHRVGHAADFSSFPSC >PPA07733 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:331710:335967:1 gene:PPA07733 transcript:PPA07733 gene_biotype:protein_coding transcript_biotype:protein_coding MITADISHLRCDEQCPYGLSTDGDGIQKFVHAALLSAKQNPLHGYDPHSAQSLLPAANQTTCVACITINDISPFSSCASECEGTEDQKSCKDGYHCALIFQSPGYYECTKYASAPDADNNGVRWWLIGLIAVGVVIVAVLIVILVWCIFKRRLCPCLQKEKITPEEEIAEAVRRATVEIMPEADSVPDTRVPASPIDLSEFEAIETIVFDTPVQDPREVAGIPYPRSGPRPSGFGDEISPQALSGGQMTSITPPISKATSHEESQYGSSLPSTVARVRAVRPTQSVDSASLDAGTIYSRDLGTATLNEIPLTLSQKKVLIRPVLTVQTIGLEPALSDRTFDLPSQPSGMNNLIVPDHLGDLPSSAFNIPSIPNTPITMSQVAMRSKARDSLLVADRLGDLPSSAFNIPSLPTTPVPQPAAGEPKRPTPPASEGGGGASRMSTLRPRMPTIPDVDFDIPISDKSSKAR >PPA07702 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig158:99632:101337:1 gene:PPA07702 transcript:PPA07702 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSPTLMEQLLANGAPRRFKSCSARGTQLYLTDERPATDGVNLWTLPRQEWGPFQDWTFDQINDREVAIMSNRGTFISHGSSDWAKQVAVADEPELFMPVKNADGSWSFKSRWNKWLSADDRVDSDRHYVNFRSENKECEHWWLEPYTPPKTPPLMKDLLEDGGRRKFKTFDGLYLTYIENTIFRNELTVTSLGIEDEKQKWTIEQINEKEVAIRGSGRYVSHSNGDVGRPAFEANEWEMLTPLKNADGNWSFTSRWNKWLSGGGYREGDVHFMPQNLKCERWTLKAW >PPA07712 pep:known supercontig:P_pacificus-5.0:Ppa_Contig158:199702:204364:1 gene:PPA07712 transcript:PPA07712 gene_biotype:protein_coding transcript_biotype:protein_coding MIDHSSLYEFIYPGPDCDVLCILAISIALLIVGFGSIIFVALLIFICFSMPSKKAEKQMKAIREQMRNDWDEKKKAKGEAVKTRTIKKEEHGSNGCDRSSTFQYVNFRDHRERVRFQEDRHSEDIEMQPLTSPHTEHFNPLVRPSTIIIAPPDIVIEHSASLEEKVTPVAEDAVDETAHDRFPTYDEVPGDDEDDEVTHLPVPLHAYDKIIVPYQEDVTDETTVPIRRSTSYDEVFETPRTSVASFVMNRPLLTEDYYSDDEYLDARSRAESAMDDSRDERDEKDEEEKDSDRTLTLTSS >PPA07738 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1582:701:1636:1 gene:PPA07738 transcript:PPA07738 gene_biotype:protein_coding transcript_biotype:protein_coding DPRRLFEGNALLRRLVRIGVFVEDRMKPDYVLGLRTEDYLERRLQSQVFKLGLAKSIHHARVLIRQKDIRYCPSPFGGGRPGRVKRRNAKRGGGDDAGSDADE >PPA07739 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1584:817:966:1 gene:PPA07739 transcript:PPA07739 gene_biotype:protein_coding transcript_biotype:protein_coding VTNSSARRIGWAFKTTNMKRLGVDPAAGVLDPKENALIAVSCDAFAYRQE >PPA07740 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1585:3567:4468:1 gene:PPA07740 transcript:PPA07740 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRIPGILTEKDPMYVINFNNCVAMIKQQGTSYSMATIYEICRRRAAGYKRNADEIDDESGAIKKREVVEEAKEKRATQYDPMYDYYNCVQTMQYQGRGYSMATIEAMCRRRMGPYKRESSDEIVEKREVKKDIQCKPSEC >PPA07741 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1586:161:1201:1 gene:PPA07741 transcript:PPA07741 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRSIGLLRLLLTMVLKAHAWVTVSAGKIEIAVNTMTTIMVAATIPRVQADLCAPVSADVPVTGL >PPA07743 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1589:844:1394:-1 gene:PPA07743 transcript:PPA07743 gene_biotype:protein_coding transcript_biotype:protein_coding VLIWGGISWKGVTNLVVMSESCRVDAPEYCRILRDGYIKWESEKYGGKSLLVQDNARCHTAKITQDFLAREEIKTLIIKDGVVASGEPGSQSGGNGMGRHEELSQESLEADQCATSH >PPA07784 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:341893:342212:1 gene:PPA07784 transcript:PPA07784 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTSKILFVVGLLAIVALARPEHRDASHSDESTEGDKDRMINRCLFGGIPCSDNLVCLP >PPA07770 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:191888:198517:1 gene:PPA07770 transcript:PPA07770 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLFSLCFVGLLALSSSTNIPTAVVQLSDRFLEVKDEGYWFVKLYAPWCAHCKRMAPVWEHVGHSLADKNPLIRVSSMDCTLYPKACQELGVTGYPTLMFFRNGQVLQYEGEREKEAMVEYAIKAAAPLVTPIQTLTQYNEIKSLSVREPVFLRVSPPEGDETGEGSVAEAYKNAASDLLSKSRFYSISSTIASQGFKEGGVYVLRDVGVEQFDGDAASLLSWAYGERLPLLPKASGATLPHLALSEKLIVLVVANQVDRFTAGHPVGALYATGLAAAKASRGDEQLKKRVQFAWVDGGSLVSSIAMQPIEPPFVLVLNYSSYEYYLPQDAPDQITEASLLLWLRETVLSPDTVPLGGRSLLLRVRRLFYER >PPA07746 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:34220:40263:1 gene:PPA07746 transcript:PPA07746 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLISWIKKQGDQNSVTYSRFGLPGEETDDRPPPTQICVAPFDELDKAHFLFAGKIHVARAALICAGLGMSITVCVFIATFFEFNWYSHKRGVDILALIGLLLFLVCGILTHWHVVVGVKKNHPKYLLPFIVVYVVMITAEGAMGVFGIYHYSILASIDVPDHVFSSRGQAAQFKDYHSALSAQVMFATLLFFAVFVVQGSMLWMQFLEKKMIHAIEMRVAEKAKLQYPSIQIVQAQPGEFALTPGDMAALEAGISNPNHQEMDGGAGHSGQATPPPSLHATPTHRIGVVNESIGTPPPIYARTTEYDQVPSSTHEHQNGAAAGSAHSVGGGSAPSSATRTLFTSSVE >PPA07744 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:4751:13558:-1 gene:PPA07744 transcript:PPA07744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dnj-27 MQIYTLLLLSIGSIALAEDFYGLLGVAKDADDRTIRRAFKKLAVAKHPDKNPHNENAHSEFVKINRAYEVLKDEELRKKYDQYGEEGLKDDFQGGGQQYQSWQFYNENFGIYDEDQEITTLSRADFQKNVVDSGEIWFVNFYSTFCSHCHTLAPTWRKFAQAMEGVIRIGAVNCAEDPMLCQSQRVMGYPSLVLYPSGMFYQGQRDVEVMIDFAMKYVKAEILHLTKDNYVALTEEWKDYADKPWIVDFCDDTDRCLSPLNRRKLSAMLAGLVNVGTVKCVHGEADELCEVLGRTEGVVYYSAGNVDTESEKELSSLDPRELAAAALSYMPGVEKARPDDVKEMFDHEEAVGAGTVLYFVNAAADVPDEMKKLPILFPNIKINFAECSKLDGLCAKYLDPAKLPTAVLFKRNLGYDINYGKSSTARDVAAFIRESMVSPMVVLSADRLQREIESGHLWMVDHFAPWCPPCMKLMGELRRIHSTLEEGSEMVNLKIGTVDCVKYKEVCQRAGIQSYPTSLLHFAGKHYRSVGSHTAEQIVDFIDNSLHPSVVELTPQSFQELVANRAEDETWIVDFFAPWCGPCQQLAPEYQKVARGFADEEEEKLKFGSIDCQAHGHFCGQHGVRGYPTIRAYPHGGQVQHKDYPANMWRNADSISRWVYSLLPSLVVDMGNDFFTEVLPSSEPWLVDFYAPWCGHCVQFAPYFEQIAKALEGRVKLAKINCDAWPQVCSGAQIRAFPTIRFFIGTNGGKQDHFGMGIQSHHRDQIISIIEQQLQSRQIRDEL >PPA07771 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig159:237272:240515:1 gene:PPA07771 transcript:PPA07771 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMSVYTNIVQMFSTQPLLSCCLFGVPIAFLSMITYSLCMADFSVDRDEIYPDEDAVLSPLMMKSVSCWASRRTRRRRTAREEEQDGDTMMTIRRMIEKGVGPRRGAARDKKNMRAPLIKFVGARLPRPNFAAVAAQPVQQQLQPAAAPRAAAAPTTTTDSAVR >PPA07775 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:283604:286822:-1 gene:PPA07775 transcript:PPA07775 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKMLEARAQQILCEAILKGMEAPSDVESRAARDDAKKRALRAEHALAATMKRWEDIGKQLDNHRGVIDPAKAEEAKQLDEQKAALRVHAATMRQALEDHGIQRDEFEKRMDESDALDAEIVQLLRAIEGEKEKENVFKRVSALKVTDVACKSKRPEESGVEELDTVYAEMEC >PPA07755 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig159:113790:114379:1 gene:PPA07755 transcript:PPA07755 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPTSSRTAGMSLFFLSAGIVSTPSAHIIGFITDMFRGSSTLEEDRFAAYQKSMLFSNLFMIAGAIVTSVVVFFFEDDCKRAEEEDALKEGDEADEKTNLIGQPRSRAESLMHGVIRSRVPTLEIDE >PPA07767 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:169724:170127:-1 gene:PPA07767 transcript:PPA07767 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVSVVELIGLVFLCIYMPVCGRNVRLADLDEIMRSMLGAEPVELRLLAPTLTLHVPILWTLRAPTHWSQHNSAEPLISEVKM >PPA07782 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:329570:330106:-1 gene:PPA07782 transcript:PPA07782 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHTGEKPYQCQFPNCAKTFSSANYRNKHQNRTHFDSKPYVCTISGCNKRYTDPSTLCKHMKNKHGDEAYEKKKPARPSGRPRHKLPFATQIQLGQLVSSTNNKGQPNKYHYNPQRCGEEQRHQEAGDTQ >PPA07764 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:156846:160794:1 gene:PPA07764 transcript:PPA07764 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRNCSLIGPTEPDRPTILDCSSPTRPLTLNIEYPSQTASSITHTATIALVWLFGDMVAISPLCYMLDHLLAPIMFVAFRALESAAASVIGILIPVILADLLNDRQLGVALMCLSVSDIASSMLTGIISSWIVTSSSPWQSAMLAASVLSILPFVVLFFLRSHIRNVQRTDYKQGVGRVLTSAFGMLSVKSYLLITAEASFGGLWGTSYGFWQAKYFLSTVDSETKRQSYSPQLYCNAIRHAYRCALDSLSWRHGTGPFSGRKGYTRAYPIVTGVGGIFNFITFVMGVLLMDLNYPAALAIIPSSSRTTAVALGRLIASVVGIPSAQIVGFISDSIRGDSMLPEDRFHVYQLVLLSTSVFLLLGGLCHLLMIIVFPDDCARAEQKNVGEQESMDEKSSLTGKGRAESILDTYITKVILSACLIVFPKLITFDEIGGVIPLIQQYYNVKDAETASINIANLELL >PPA07781 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig159:327862:329112:1 gene:PPA07781 transcript:PPA07781 gene_biotype:protein_coding transcript_biotype:protein_coding MIIYDQIIMAAPSTPAAGEVTSSTSVVLMRPKRKSVLAMAKTAKAVRVTKAVAGGSETTEKNKGSDNLFACRATRMKGNEEKGAPNNTTGRATPSIKDDEKKEEEEKPAPFSLRPSSLFRGDVVEKVKGCKAAPAVVAGRAPIRMDPPEDKKKAAPAAEAAAASSAATAAEENDDGYEVTLNLGKLRDIVHANFVYPNRRNVKVRVGKDNVNSVGTEDAKK >PPA07776 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:300222:303856:-1 gene:PPA07776 transcript:PPA07776 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGWAAALESGLKMSGETGPAKELGWLMIAKYLPRCAEQEKRTLRSRVSSRFTNACQTSHSLKSALFVRAALAAYPAFRTLYAAPLDGYLDELLREAQENPSDALLERATRELWAAHATTERIERAAGELKAVVTEGGKSAEVVGLRAHRGALLLRLVADCVRHADKGSAMPSSLLDTIGAAMGRDAWRAGALRLLEAMVRAACWAVASSAKSTVAYSRTRKCQV >PPA07749 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:61729:65990:1 gene:PPA07749 transcript:PPA07749 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTPSFATDTDIPEIWDFMSGDFLENESLNGALQMKKEESRESDENEENLQFSQKAETIDGLLSVLGEARWDRIPREVSRCFYILVISVHSAYTRRGLGKVLLEFGMDRVREAGATGILSEATALKSQALFAKHGYRILKEVKHADHVDKDGKRIFTCTDGTNCAQLVFRYIDKEID >PPA07777 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig159:304097:305260:-1 gene:PPA07777 transcript:PPA07777 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKLSVSVEGGFQPEIAPRTDRPATFDPQYGFEGQRKPREMKATWEEMDQYNLKPGQRDYCAHLLMPLMKCQTENAPFAGHACDSARSAWDKCEYDDYIMRIKEFERERRLLQRKARKEAN >PPA07759 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig159:132725:134225:1 gene:PPA07759 transcript:PPA07759 gene_biotype:protein_coding transcript_biotype:protein_coding MQATSGQSMTASSIVHTATLAALWIAGDYFQRRLRLFSKKLYDLQKLVPLFRCIMDRVLSVIHTTGIRNLRDRALGIALMIMKACDMISGNFIGILNSWIVTSGVQWQSGLIIGPSLTIVPLIGLLCAKNSRRDVKRTESRSIQKSLHGAFGLFSIKSVALLTAATSLEGFHVVAFRFFFPTMVLTAWQEYSEAFFGQSYTMITTLFTSIKLAGILIGMPIILWFAQRG >PPA07769 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:175672:181835:-1 gene:PPA07769 transcript:PPA07769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-aat-5 MDGESHKMGYLGATSYVVGNIIGSGIFITPASILRCTDSVGLSLIVWVGCALVAILGALCYIELGTSIRDPGCDFAYICYVKWYSIAFSFMWVSVLMTYPATIAIIAETFGQYLIEGLRQYYEISDEIAPTAQKLFGFSLLLMVTWMNFFSLNKFAARFQIVATAAKLISCMVIIFTGFYYLFVKGWYANLAAPMKGSNYRLGDLIIGTYGGLWAYSGWDVLNMGAGEIQRPRRNMPLALLSGILIVTAVYVSINVAYFVVLDVETVKSSNAVAALFSQAALGSFASAIPFLVGILLIGSLNSNLFCGSRYMYAAARQGHLPACFSCVNKENESPRVSVFAQTFLAFAISFVGDLDALIGYVMFGFWAQRIFTLVALIIIRHNQIPVHPEAIRMPLAFIYLFLAITVALVIIPIFQEFSVTALEQNQWITSQASPSAQWDSSSTSSSSTQNTSRAASTDGIVVKSTWFCCVIFDAVPDLKPGVSVVGAIPPSDSAQRLLPKVARGRGGRRGSISSTSRISEDSVPDLHSDEKNIMRRNGSMTGLRMILPGSLVDLIGTTDT >PPA07783 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:331181:340810:-1 gene:PPA07783 transcript:PPA07783 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKHGDEAYEKKKPARPSGRRRHKLPIATQIQLGQLVPSTDKWCRPNKYHNPQKYEEEQRRQKARDRAFIEGNPDLVTASSNCGGVLTDPSTGITSSNGSIVHVSSTEADNSPPLLQREEDFSIEGRFLSNPQQNRQMDGWLNESNRGDDFPDYIDSAESLIALNSHPNFLPFDHASDEAVELSLIPPDNEDEDENVNDEEDHYGMWREEGEEEEGDEEGAQVLPMPLLPSRQFGMDAIGIPRTSPGVNGMNDEQERREMMDAMDGFDENYENRENLRGRGIFGEWRIRVQILFDTMSRSSLVQSPMVLEQEVSESNGELNDDSASSIDSPESIAALKRLPTKVALLENEIERLREENAQLKSIDRPNEPSHSNVSQIVIKPQQFAKVGRPRGRPLGSTKKIGILGAPKANNTFHKPEYYVRRESESEDYFREDIDKEILQCQWDSCAEEYSTQKHPRRGYAYLVQSCPQLPLQKPYECTISDCNKSYTDPSSLRKHMKQIHGDETYEKTRKNKPALPPVRRHELPIATRIQLGQLVSSTKGQPHKYYNNQVALLENEIERLREENAQLKSMEVNGTVAVNSIDRPNEFSHSSVSQISLVKHVYHRHIEHEMDYKCMWSGCKREEPFKAEYMLVAHVRSHTGEKPYVCQYENCHKSYSRVDNLKTHMRTHTGERPYQCQFPDCGKAFSNASDRAKHQKRTHSDSKPYECTISDCNKSYFDPSSLRKHMKLIHGDEAYENMLKNKPAFSRSNRHKVPIATQIQSGQLVSSTNSKEQPNKYYYNPQDSSSSIDPPELIAALKRLPTKVALLEHDIERLRAENAQQKMELEKMSSTLKTADALNNRKSTEVNGTVEINPIDPSNDYIDVSESNVEHNDSMEDRETVAVNSIDRPNESSHSKPQQYAKGRPRKIPKSSMKKIGILGTPKRNNKFRKPEYCVGRESESEDYFCEDIDEEILQCQWDSCAEEFSTQKHLVNGEPRYREMVPCSKVAARLHRSS >PPA07756 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:115826:121905:1 gene:PPA07756 transcript:PPA07756 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFLLLVCGILAAVAAAAAGKLCGEWKKVESRNTCYSIRTASGLSEELFAQLNPSVKCDALQVGQKLCISETDKLFCYEHVTVSSGESCWSIRTAHQLDEREFMEMNEGLNCDLLSIGQKLCARAGVEQPMLISTVTPVESEPVFECPETVAVVSGDTCHGLMERYGLNTTEFDALNDDVDCDSLHVGSSVCASREGCQLRYETQQGDSCHRIAVAFKISVEELKEENDVDCDRLQVGRRLCVWRSSSAEVSNLTCSAVSRVKEGDTCWSLSIAHGISVDDIRMLNPRIDCDLLQIDAEICVRADAIQPCTLFRAVDDKDSCEQIATVEEIPYDFFVSLNPTLNCDRMNLSDQVCIGRGPYEASKCVSSIRATDPAETCASLLPKLRISQQQLLSYNPKMNCSSAIPQFSMVCSSATQTEDRLTVMERLFKALGVTSPVLLDAFNQYKSRPSQSNNGRVYSALVSRILEELATASNDVRLFMDSGLRSKSDVCGQLRGSDVSTATLNCFCESSRLNVYCHALLYRELDDDTDEQGDERSSRDKRSLCSASPSVPSLNIDDYFTDKNAKLGQCFGADCSVQMGFVEITLAADVCMPFIMVNDGKLKFCLSSSECSQGDLSSGAFVSRLIADGTSSASLSLCLLGSQFIRRAAKIASKDAKVKSTGVCLTVAKAEYSPLAGKLDLSAELNLLVFDVKGGGVLKAHDLPVSEICDIEDLECNDYCLWKFYNTENWKAYGYIEVRLFKIFDFFEGIKIAEEKFNAPRKAGCNPNKEVAILWADERTCEDDSVVVARCMSGSDKAEFKPVGREYNGLTFRSSVWGNTLFFIEFVDANNEKLGFDVYGGKSKNRASKGNFYYAVRNDGIYYGTNPKELLYRQLLAVSIEQLRKLTHAIFAFIATSSDGSVDFGVVSEDDSSPDAAALARQRFFDLKTKARRASAGVRVLFAVGGWDNSQHFSSIASDEGKRRRFIDSCADFLKLKARGHACFIDGYLQNTGVDGVDVDWEYPVTGGAHEGVPADKENYVRLLRELRERLDRLQRDIGRKERYIISLASAAGEWTIRPGYDLKGILEYADFINVMTYDYYGAWGSKWGAYTGPPAPLYYGQP >PPA07779 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:321019:324394:1 gene:PPA07779 transcript:PPA07779 gene_biotype:protein_coding transcript_biotype:protein_coding MSALAYSRMVYSMGHLISTCITTANQSFADHSSPVPEIDSINFRSTNSTSSSGSSKKKSILKKSRSKAPQTPKRSKPLEENSDEAAQQQREADLRREKSDYEKRRRDKLVELAKRAEQDQEKLMLQRTIAEQEAREIEKSLGMDEDFRKKSMAKMKGQIDGMGWRTNREVRDVRRELEEWKQKTAVSGELAARVDTVEQRVAWLEQADAERKKTMEQVEKNWADFGRLKTQSMALEVTQIKNRRGAAHLARIPKDVAEGTSKNLYKLCADLNRLTFHLNSKSPLISLVDEALILAEAIAPLFGAKDEFFDSREATSTTNVSLSNESIASSTGTSSSAVPS >PPA07750 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:79528:85180:1 gene:PPA07750 transcript:PPA07750 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSILRKFSGLGSSHGSTASQDSAAAAAAAAPASGSGCKAEERKKSSHRSLLDAFTWRSTKSLQSSKSDASLDSMGEEQPASMRRPAHSSFPKFSEQTTCKVRSIEVTKRALTAGSGWRKHRRLNMAIRFSENNTKVELRIDAKWTVEEIRTLVGILYEFRLAVEEAVIDAPICELLVAAIAEINLDKWYAFQCFMKALCVEDIHLTGVRSTKPNEICWPRLNRLIVNTTPAQAPHVSRLLDYGVADDRLVDRQQIELVHINIVADEHIEHAQMTKITKDINNFRCWAGSAGFDERFHVGPYTLIN >PPA07748 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:50384:51785:1 gene:PPA07748 transcript:PPA07748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-src-2 MAPWEYDIKSIKKEMWIADGIFGEIFRGKLLNSVDVALKCMNLADEETFIRFMNNGNLKRFVQVNSITTAEALSVARQIASGMAYIASKSIAHCDLAARNILVGDNIENIKISDFGISKILKDGQHYEQDNNLPVDWSSPEARKGHITSAADVWSYAVVLWEVYSDGVIYEMMLKCWSIDAQQRPSFNSIREFLSSYSPGLIEPLGNPIERKQPSTSILSGERTH >PPA07787 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig159:352096:353196:1 gene:PPA07787 transcript:PPA07787 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIEGRRGERISCQETPRYKEQDVDHRGAGLRLRLTRPSGRRFRQLLVIVKAKFFSHSAEEKIKAAGGTCVLAAKGTITCVLFLI >PPA07753 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:104198:105800:-1 gene:PPA07753 transcript:PPA07753 gene_biotype:protein_coding transcript_biotype:protein_coding MRILRTTLLTAALVVLTSAQLFDASSNLDLPREGMGGMGGCTKGWEWQCKSGDCLPKYDVCNGIAQCPDGSDEWDCDGVKQQRQEKAKAATLPPTTTSAPSGYVSLTSTK >PPA07760 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:136366:138536:1 gene:PPA07760 transcript:PPA07760 gene_biotype:protein_coding transcript_biotype:protein_coding MVADMFQDRALGISLMALTGCDIISGNFVGIISSWIVTSGAPWQSGLVVGPLLSIIPLLGLLCVDNRPDKVKNIEGRSIQKSLRGALGLFSIKSVVLQTAVMALDMFHVVAYGFFFPSMVLAAMDAYPEVFLGQSYTMVTTFFIVIKLAGTVIGMPMTLWFAQTWRHGSGLCSRYDENLRAFPIVMSAGALLKSCAYVAAFLLLAVSYPAFLVAMFLIGFGAAAGISLGMQSMIMVAPTNSRTSAVALARLIAGVVTTPAAQLIGLISDTLRGDSTLPYDRFHSYQLALLCSVLFAFASALCDVILVFFFKQDCERAEYQSKEEEDVSVDESSFLIGSPKVRCESLLEASVRSRTATASSYY >PPA07765 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig159:164684:166207:1 gene:PPA07765 transcript:PPA07765 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEASFSLLHGTAYGFWYPSIYLMAFNLVPEVFLGLSYTAVTALNSAAMLSGTLIGLPAILWLAQSWRHGTGLFSGRKAYPRAYPIVTGIGAAVNIVTFVVSIVIMDKYYVPAVINSFFVGFTKSASMAVGQQIMFAVVPASSRASATALARLISGMIGIPSAQIVGFISDLIRGDSMLPEDRFHAYQLFL >PPA07768 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:170644:174682:-1 gene:PPA07768 transcript:PPA07768 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEGVIPLIQYHYGIKDAETATIMIFVLFRALGAAASFIFVILVPVILADLLHDRQLGVALMCLSVSDIASIMLTGILSSWIVTSTIPWQAGMLTATVLAIIPFVNYTFEGCQHACLQQLASQRCGCVDPLFPKATNETYCTSPESERVQYATTLTVICLINLPSDVSDPKSKDGKTMCACGPPCEATIYDKTFSYSVFPSERYLVATGTQKQRSALLESQRGGRPGEGEDTWNDYDNPVTTTMQPTTITARPATSTTGTTGTTGTTSHEHTTSTTGGSTTITTTTVSTSGPQTTTYSSTTTTDPRKSCPYPGPPASGASVTDKTLGCLTELTFKSLFTDQRIIAIRGYPCTSQKKCNTCVLFSDPPSSDSFPCSYTYGAGTGCTEKSNKGYIPTVNCPFFFERYSFIPTGTTLPNITNWENGAVPGPYDKGACTTNATSHDLSILQTLQNIPLDQAFLSKLTPQMSICDLKDLAVLEAGKHYGNGGKRRKRATSTETIDLPGVGSCEYANRNFKGADDCIQWYKKNGLVIHIYFETLEEISYAQGFLPDEMDIK >PPA07773 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:255675:262520:1 gene:PPA07773 transcript:PPA07773 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLSQRDALCSLCVRCVPAEKFPLAGQSSPLSFTLPRTRTRTLSSKGGEKRATTSYARAEDGGRSSDEDQEEADDEYAEELGEESGASGASDMDDDVRELKGDGIRAQSDMPQLSKYAKFFAELEHGAISKDRTAKKKSIGGSAAPTVSTQPQPIQYAQAILNQTQSTRSIQRWVKVAYPELVGPDRELPLQPLVFSTNAMRLVASKASKKGLEKGKDAFKSRIVYSLDACAEGRDGGAQENGRLGNEDKMRLGKLDARCDHLLFESRFESGNLRAAIQTDKTHYELILQPEANQARDHFQWFYFEIEQHDQIAASFTPIDMCNISNCDANVEYTFEIVNCLKTSSMFVHGMQPVAFSVGEAAAGRPGWVRVGE >PPA07747 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:46504:47163:-1 gene:PPA07747 transcript:PPA07747 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNGATMHVEQLGIHDKRIDDLSLLESGFRRLAGTTVKIVRIEIEEQPTEEQRRIVDELLNLNMKDLYLLMNEDLPVLAFPRLLRLIENGCDFIVLRVLCDSITVKDLCTLRKVVVPHIRHNK >PPA07778 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:305590:319634:-1 gene:PPA07778 transcript:PPA07778 gene_biotype:protein_coding transcript_biotype:protein_coding MMASTSDSPTHVRDFQANDLIWAKMKGFPPWPAKVLNSNSEPSTSDIPVGRISVMFYGTKETAFMKPSDLFPYLETRHQFEVPRKHKGFNEGVNEIRQSAGLPVDPLFTGESEVIAPPRSPPARTHRSSNNSKLFQDVFLSGFDRNRTKSFNSGGKARSRASSSASLMKTLMQNMKKERSRLNSESSTGSKRRRHAMSESEEQRLVLDAFDTLNPLVDYDPAMLDVDDDNRSKRSRGSSRVFEEYMLSANRLRTRSGSEGGRRSRIPSNRTLCRLISGISGVSDVFDELYDQAQTLFSHDGLMEALDNLPSEGSSGAGGDRARTPEAPLAPLAGTVKFCSDCGCECQPYNKQWRCTSKFCLKLNGPVESDHHSHRDRPSTSNAGGSSSMMSSFHHQQPSTSKYMPYPRADHIPRKVVRPTSSIDAADAASTSAGPSISRGLIKDESTMRGGVVKEERMDMDDDERLRRQVGGMEMGRGMDVRMSRRDEFNYSHSVLGRRSLTGPNQAQDGPDIAAPAARAITNTEGHRETDEVVSSHHLEGGPHARSAGKVEKTPPVSENGLRNCAFCGGAVRPQMCGGNKHRWRCVDKKCRKWYGWVKTSDEIPRDMNKKALGASPDKSSGPGSVDNSPLPEKKRLGRPPKHQGLKIRLGTTKKELEAARPKRKYTKRKDREAAAAAEGVMLGPDGKKLPKAARALSPLTQWARIGNCGRLDARSRLPTVDTATAQSVVDGREATERLLAPERYQSVLGDGGEFGGRAGHRGGSDGHTHGHTMRIGGSGGGHGSRRIDNWLQSEIEID >PPA07772 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig159:242120:249160:1 gene:PPA07772 transcript:PPA07772 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSLDELLHGLEAGEAAAAEQLAVLDRRERESLLESAAGLHATMRAVEALAGDDAATGSLAALLLGYLQDGKTSVRTRRARRFVQADVLSSLQRALIARLSTAISPATDALVDLFVVVANKDPKTQFKVRVGGLLQALCQMIIDNRSPLSERLLRLLARSVRSPRNAQLAGRKRDLSKALMQRSADSRHSSAMARHLEVLYLIAKNKKTRGLMLSNGAAGRLVGMLDRLAPTLEDADSPAEATLLIVGLLKLFANSSGLCRWDLL >PPA07758 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:129574:131444:1 gene:PPA07758 transcript:PPA07758 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSTFDGAAIDGIVPLIEKNFNINDAQTATIREILPFFGFTMDRVVSTFNFARVQYIRDRALGIALMLMTGSELISGNFISILNSWIVSSEMPWQTGLVSGPLLAIVPLVGVVFAKNTITRVEKSERKSIVKSLKGAMGLFSIKSFVLITTVSCLTLFHVRAFRFWFPTMILTAVTEFPDAFMGLTYTMVTTSFTILQLAGMLIGMPIILWFAQSWKDGTGPFAGGQIFARAFPIVASAGTLTGAVSYTATILLVIKSFPLFLYIVELSRNDTAVCSFCTLSSPLDRREST >PPA07762 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:145599:149775:1 gene:PPA07762 transcript:PPA07762 gene_biotype:protein_coding transcript_biotype:protein_coding MEENNNNQIEKDLHYLLEVPLSEELDLGKIALELEGGSNVHGVINLMYCPLAIGVANSWILTAKLPWQSGLIFGPLISIAPLIVLACTGRSFGSSDRQGGGLNKGLSTAFGLFRNKSYVIVVVATSFVAFAMGAYTFWLPSMFLNAWNSTPDAFPGLSYTAITILNSIFIMSGFLVGLPPILWFAQSWRHGTGPFTGRQGFVRAYPVVASGGAVVWTAAYALYIILVVESYVATLACIFFVGMGQAVQACISQLMLLMCSQLVMPSSSRSSGVALHRLIIVIISDAIRGDSTLPLDSFHAYQKALLFASVFMIAATIFFIILIVFFPGDCEKAEELHGIWPNQDEEEDAVTETTSLLHKSRTNSIMEHVVRSRATSGDTANTL >PPA07766 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:166468:169114:1 gene:PPA07766 transcript:PPA07766 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNWERERSEDMQNHACRSCNYAPDVWDDACPKFGYDCNEQWKITINVLTPTDSCRCAEARCVGTAQMAFDKRIVHKLRCTNSTWMVNDVEADAVVCAKSCNTEICKAANPRASSDYKPLRVQAADENNRCATGTCDNGLVAITGNGDLITPLDGISSVSCSSDGAWLAGSKQYDYVMCNASPCGPMRCPKLVRGPALDSGFVVPLTVTANGAECATATCPNGFVIITDKGETNGILDDTKITCQEDGSWLDSGKENHKYVMCAPPPCPFKKSDANTDGSLGRLRKHLVKAAESIESAHHPLTKLDAIAAFYRMDVCRLNMEEMEEQGSASPCLVPLVIVIG >PPA07745 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:15617:33495:1 gene:PPA07745 transcript:PPA07745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pars-2 MSVVKASRLLAVPCAPPKTKSLSLQLLVANAFIQQSAAGMFSLLPLGQRVISRLTAVIERELDGIGAQKVSMPFLGAKELWERTGSMGSELIRLTDRKDKLFCLQPTAEEMVTDLVGSHSRPSKAAYPLLIYQTTDKFRDEMNPRFGLLRGRSFLMNDLYSFDLREEGARETYSSVSDAYDRILRERLGLEVYKVRADSGVHGGTLSHEYHMKNGLEEDAMEVCTSCDTYYKADEPSEECCEGARRRRVSSIEVAHTFILGTRYAEALNAWHGTTSEKLPLHMCCFGIGVTRLVAALIEARTTVKEPRIHLPTAIQPFDAVVIPSKSLASSPLVGEMADALLEQLATRENPNPSVLIDDRLDLSIGRRVQAAQAIGQSRVVVLGKETEKTRDTVSFTLASLDSPSDENGAAQLVVGTGRVQFRVCTLNCWALPFCWPIGSANRILRLERLSDQLINEQYDVVGLQELWSEADFIDLAARVATVYPYNHYFHSGFTGSGVCVLSKHPIVSTLMNRYSLNGFAHHIHRGDWFGGKVVGMAELEVGEMRVHFYSTHLHAEYNRQNDLYLPHRLAQCFELSQFVRHTSRGADLVILTGDMNLEPDDLGYRIILSNTHLIDAWRALHGFTPDTAVFANGMTCDRPDNCYTVKSMLEKLGDGKRLDYIMYKNGRMCAELVHCETTLNRIPGEDINFSDHIGVHATFEVDATERQMSTTWEHNRPLISDAILILDEGEARASSDRKLFIGLAIFFVLLIMGSLYVDAHYETIAPFLSVFRSEVWIHGGDFESKQCHISRW >PPA07752 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:98208:101985:-1 gene:PPA07752 transcript:PPA07752 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAIVTGASSGIGKGTALLFAERGYGVCLTGRNTEALAAVKQKAIDRGAKEDQLVIIAGDLHDECTARSIVEGTMERFGRIDALVNSAGVLVGDPVLKCPLAAYDRVMDVNVRSMIQLTQLALPHLIESKGTVVNVSSIAGPCPFPGLTYYCISKAAVDQFTKCLALEMAPHGVGKAILFLAGPDSSFTTGHLLKIDGGRGLMTPSNSQVKLPDEPKITERSCQSCKNCAKAATELRSSA >PPA07754 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:109016:113499:1 gene:PPA07754 transcript:PPA07754 gene_biotype:protein_coding transcript_biotype:protein_coding MENGSKSHSAINKIVVVILLTSVLFLTAIDSYGIDGVVPLVQSHFNISGAEVAVIRTSTSAVNTATLGVMWIAGEAVGRRSLYILSLITWIALTLLSISIGSKSFLLFVILRSLGAAASAVLGVLSPVLAADLFRGRSLGIALMAMSACEIVSGATIAVIYSSLIISSGLPWQAGLLPAPVLSLIPLTALVCLLKRAHSNKAHRDGNVFADAFKIFSIKSFCLTTLSFSLQSCHIKALFWLPSMILSAWTLAPEAFMGLSYPSVTALNSVLILAGNVIGMPIMLSFAQSWYHGTGLFSGRAPFRKAYPIVVAAGGFVNAATFAFDALMMKRSFAACLLPNFMAGMGSSAEASLGKLTLMVRLIFDLICSILHSCCGSTD >PPA07751 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:91704:95313:-1 gene:PPA07751 transcript:PPA07751 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAIVTGASSGIGEGTALLFAERGYAVSITGRNEAALNSVKEQALKKGAKEENILITVGDIADKAFAEKLVKDTVAKFGQIDTLILITVGDIADKAFAEKLVKDTVAKFGQIDTLVNSAGIIVNGAVIDCPLEKFDDVFNVNVRSLIQLSQLALPHIIQSTGTVVNVSSIAGPCPFPGVAYYCMSKAAVDQFTKCLALEMAPHGVRVNAVCPGVIVTDIHKRGGMSEQQYAEFLEKCKSTHALGRPGEVAEVAKAILFLAGPDSSFTTGDLLKIDGGRGIMHPR >PPA07785 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig159:345618:347147:-1 gene:PPA07785 transcript:PPA07785 gene_biotype:protein_coding transcript_biotype:protein_coding MRITRPWTLLPPLSSRAIFPRSSSSESGTSKRSMLPTSRLSTTYIIVKEKYAKYLPHSAGRYQNPCRCSSTPLSCRPREDSTRIGRAGTTVDVAPLRRVNQAMWLLCTGAHEAAFRNIKAIAECLADELINTAKESSNSYAIKKKDELERVANESTRPPEPFSF >PPA07786 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig159:350832:351467:1 gene:PPA07786 transcript:PPA07786 gene_biotype:protein_coding transcript_biotype:protein_coding MALKEGIVNKVKPFAHYTPIRKIDEDEKGVKSCVVRTLSESYSGCPVEIMIGVRSSTVCRYYALQLKDENAAIRLKGPGHVGQ >PPA07761 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:139849:142847:-1 gene:PPA07761 transcript:PPA07761 gene_biotype:protein_coding transcript_biotype:protein_coding MESERSEQLTVDIILFIEHVLPSICNEALKSVVSKFNASQLITQRQQLVPSTCFPRPSRMNQLVSLALVGLLVIAPVLSVDIEEEENVLVLTNDNFESALEAHPQYQVLVEFYAQFAPDFTDLTTENIVSFNERFLASELKQDLMSADVPEDWDAKPVKVLVGKNFKEVDKNSGKGQLVKFYATWCGHCKSLVPVWEELGEKLESTEEDKNTPSLY >PPA07774 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:274445:282300:-1 gene:PPA07774 transcript:PPA07774 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLVKFFTGKKKNSKDGNKENDSTLKRVNFERASTRSEVDTQQRERDRQAMRAIPNPYSVWTEPKKTRGPSSCPGVALDSTYFNERRSVRPPKQERAGSVYGGEMSRNHKQERAGSVYGGDMSGLRQYRIDGPPPPSESDRHSSHSHRSQPSQNGHRASRRYGDLSMIEERSERSDRSMQQYHDDWNRSSHRRPAPAPSASYLDYTSGDSDEDDGYVKRLERTVEKLREDKTRYRNRIDQKQSDLEFTQYNLRESQRELQKQLKMNSDLECSLKKVSRRAERLEIELKEERRRADDLTLQLSAMSTSATSNGAMERLVSSSETSLEMEGGDDEEERSKDEEGRGAR >PPA07757 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig159:122013:123856:1 gene:PPA07757 transcript:PPA07757 gene_biotype:protein_coding transcript_biotype:protein_coding MNADFTMKFYACRSKKPSQLNMGVPFYGRYWENVGGAINSTDEMWRTADAVGGKFQGGYVAWKDIGSSWDLSSARLHDKSRAPYIWSAGVRKFLGFENQESLREKAKYAIEKNLGGLMIWAIDQDDEADSLLSVVSSANLCEKGSGDTVAHTCSPIDDVRWWNPENSDETRQGRCGKYAPLIDGYYPVCDPDDPGYSCCGKHGFCGSGEEFCECEECLDYRKDPSLITKEPTKPTRPITWHTEEGQRGSGEDQRPSSHMQSGFVAAVLRQWLLLRFREGVLRV >PPA07763 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:153814:156135:1 gene:PPA07763 transcript:PPA07763 gene_biotype:protein_coding transcript_biotype:protein_coding MARIVPLIQQHYNINDSETATIRTTSSITHTFTLALVWFFGDSFKRRRLFLLSVGTWITLSILSIVLGVNSFMLFVVFRALGAAASSVFSVLVPVILADLYHDRALGVALMCLSVSEMAAKITIVNSIVLMTGTGIGLPLILWFAQITTFCVGISNASGAALGQQMLLMVIPSSSRAAGVALSRLVAGIVSTPSAQIIGFISDAIRGDSTLPYDKFHAYQLRFTFKDNRVREC >PPA07780 pep:known supercontig:P_pacificus-5.0:Ppa_Contig159:325963:326909:1 gene:PPA07780 transcript:PPA07780 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAAPVAVPPPVAPVATPLPAPDAPLAAPEVVSSPAPVEAPAAAVPTVAAPELPVEAPADVAAAAAAPVAAPPPTAAPAAAVPEQQPAAPIEAPAAAAAEVAPQPDAPSAASVSISDSERTSQTDKKKKKGSSRRSSKESNEEPKIE >PPA07788 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1593:827:1901:1 gene:PPA07788 transcript:PPA07788 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSGVENGYKYIKKELPPTPILTSSTINEMVGCKVSFKSEHLQKTGSFKARGAIYNMRMAYEKGTKGVITHSSGNHGQGVAWAARKYGIPCTVVVPEGAPAAKVDAIAAYGARVVRCGNGITDREEMCDELAKKEHLDIIQPFDTIETIEGQGSLGMEIKDQMGEVESVFVSVGGGGLASGLALALPSTTIYLVEPEGKELNKQLEGIHSGDRSALDTVADGIRGR >PPA07789 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1596:77:1436:-1 gene:PPA07789 transcript:PPA07789 gene_biotype:protein_coding transcript_biotype:protein_coding VKAQIKEAAKKNDKDVCKILAKSIVQSRTAVRKMHVSKAQINSVIMGMQEQLAAMRMAGSIKSSTQVMRSMQALVKAPEIMKTMREMGAEMTKLGIIEEMMEDTFESMEPEDMEEKAVS >PPA07790 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1599:155:643:1 gene:PPA07790 transcript:PPA07790 gene_biotype:protein_coding transcript_biotype:protein_coding SAEAKKARLQARAEARAAGKKEEVTKRPNMVRFGIQNVTRAIETREAQLVLIAHDVDPLEIPYAIVKGKAALGTVVRRKVNGPRMVGPRQARRHQ >PPA07860 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:416451:423263:1 gene:PPA07860 transcript:PPA07860 gene_biotype:protein_coding transcript_biotype:protein_coding MYMMLILFKSPEDAELFYSDFNDQQYNTLETDRCILLFVENMDILDESAVPDLVELPTCAVCLERMDDGVITIFCRHSFHAVCIQKCTDTICPICRCTQTPEAAAKQRCTACDQATDLWICLICGYVACGRYREAHAFRHFEETQHTFAQEVGGNRVWDYAGDNYVHRLVQAEQDGKLVAVERGPGVENDEKMEAVTLEYTCLLTNQLENQRRKGWVGASPIHYTTEVAQ >PPA07818 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:148929:149757:-1 gene:PPA07818 transcript:PPA07818 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKSLCAFLLLLLCSAVLSAELTSSPDLDLDSMFFSPYRIGKRSNFYAMNKKFARTRPSITLADLLSRDFRK >PPA07990 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:1192080:1193476:1 gene:PPA07990 transcript:PPA07990 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSCLLAIAFVTLIAAEDSGALGGFPTSINGNLGVVGSGYGDMYGGKIKEGVYGVGGRVGGNVGLVGSAGLGRKRRQAAALNGASASAGAVASGPDAFSVAGAAAGTGSLSFGGWPGIPTVAPPSVPAATAKPCPKRRRRTARINYTT >PPA07997 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:1222125:1222933:-1 gene:PPA07997 transcript:PPA07997 gene_biotype:protein_coding transcript_biotype:protein_coding MRMIFRICLLVLLAIGIVFGEDELPASTSEADPEATPEAIEATPVDAATAGEDTAITGEGILNALAFELGTEARYLKGFMKKIVTYSMDTLAQLPEGAEESLASLNMVYEYLNSDSDSNRDEL >PPA07830 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:184931:187763:-1 gene:PPA07830 transcript:PPA07830 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQKPNYEFPKIKSIHATMASKSEWQITIYVEADGEIKDKVMNFLFDDAKNDSVTILNYEIKDSNLEDKKVFFIGYIDDVKAEYDCHQMYRLKSSCGAAPDTTCRKALTEALWELFIDHTSMLKMTEGGTVVCIVDGYDLPKFNALTGAQEPNRKEESNVALKVSLYTLAVIFAIAIILIVAFFIIIKIKTRQETPDDLPEGTPVIHPKPILTVHKMEAKRKVSLVVT >PPA07901 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:614996:615266:-1 gene:PPA07901 transcript:PPA07901 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMIIYILLLLLGVIGNACTCLVIIADKSMHNPTNFYLFSLAVSDIIILLLGEWSRNNPPDIPMLLIVSDE >PPA07817 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:140808:148806:1 gene:PPA07817 transcript:PPA07817 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-col-115 MGGSADHDLLRRYNEYRRLARVSVVMATVAAVVVAVLVPSLAVWIQHAQSSVDNELSHCRWSAVALWKEYEMLEHLKGVPGRLKRETYFGHFREPEDNETETTEEEHKTGSYADKAYLMHQEVFMPARARPVGGNYGRGGYDQSAPTTSTTSKPSDGGYAGNLHMFDLMEEVSMFDGGPMVQKAHQTANGYEPASPPQARVTSGEVVPPTPKKSPTSPGYTPSRIDPYSPAYTPPRMPYTATYIGTTKRPSGGYPGYGTTITTTGYPEEVANPYVQNPDPLPDTTPRPAQPPAHPHPSYPDYHTPGSPQFPPEQEEHQGYPEETTVAPPEASSTQGYDAPPTSSSSTTTTTAAPQTTTGQVPSYDGVSIPPLRPAAPPGRTPTHTRMHVSSGMSGRPGTPYPGTRVEAPSSSSEQCCGCSFGEPGEPGPPGDDGDRGEDGVPGISGEHGEDYQPDPYAFTSFGGPCYECPEGPVGRPGRPGKKGPRGNDGIPGAPGKPSFVSNPGPPGPPGPPGPDGAAGAKGDQGPEGQIVDMPAGLPGPPGPPGPQGPAGNPGSKGAKGRRGRSGKPGEMGDQGTPGVDGARGLDGPAGVPGAPGTGATAACDHCAPPRLSPGYVVAASAAAARRCAILFAVFHENVSVFHPQVLGSGKAVQLRADSKL >PPA07995 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1212328:1214200:-1 gene:PPA07995 transcript:PPA07995 gene_biotype:protein_coding transcript_biotype:protein_coding MMFRVTYWIAPLIILIVSTVVHIRLLAVVIVNRKQVQYSSFFFKMFISQSIIEIFLAYAYVICEMILKDLLFGEEFALATGYVYPTIAYYGCYYYIIHVQVWGVVMVSINRCVTICAPFSRLAKLYERAPPAVLWILNLVVPLLMMFWMPSQGAVNYYRNPSGAISLNVPLYTVQVSHDKFDARNDHFRHWVRRVRTPTQISSSAFDYIATCFPMWSLCATLVVYFLPGRVAQFTSEQISKYESPPIHFAYFLVCSNDKRVCEMTRWWLSTPQPVFADCIAVRERKLMCQSIRTRAVDKDRKNGLTRISTKAGSDYRREKMLTVVGFALFVALCFSTVFYVLLYVNAIQVNVGRH >PPA07837 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:242416:244942:1 gene:PPA07837 transcript:PPA07837 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLVVGLALMAVALADSTGEDIVNNGEKRRQYVARPVVAAAPVLPVVAAQPAVVAPVGQCPGGPSLPIECDPKRPWPQCPPQSYCYATNSVDIGPYFCCPIWSTYGAAWRPATPFYNYVPPPPPNWGPELGRLVANWPSAAVGIPAAYAPLKAKKQQNFNADDDAEEEKKEDKIAASINSWMERRRAQ >PPA07795 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:21382:23447:-1 gene:PPA07795 transcript:PPA07795 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKLAKEHKPDPFEFEYTTRDAIIYALGIGCKAKEDLRYIYEGAEGFIPLPTYVVAPGLLANMILDWPGIQFDLTRILHGDQYIELYAPLPSEGSLRSETRVVDVLDKGSGALIMSEITTYDAHSGKKLAMQQFGTFQVGSGNFGGARTSPHEKKGADIPKRPADKILSDTTSVDQAALYRMGSGDLNPLHVDPEFATMSGFKTPILHGLCSMGFATRHVLKAFANNDATLFKAMKVRFTSPVLPGQTLETHMWDEGDRVVFETKVKETGKTVVSNGYMLLHGKTGRGQAKL >PPA08012 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1335043:1335802:-1 gene:PPA08012 transcript:PPA08012 gene_biotype:protein_coding transcript_biotype:protein_coding MVCADFTPTNLSDVVVLNGVALQPNYTSPLAVFRTATVNGLVCASQADCVKMKSINSTSCLNSAVSCCCTGDLCTTKAAPSQYLMGSVVMMMAASLVYAS >PPA07964 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:1001065:1002398:-1 gene:PPA07964 transcript:PPA07964 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQKMLPKLKEVSTSLCSRRSLFYSEGTFTLDGISIATTSCLLTIVISAAAIYAVPISAAALLPSLFLALLAIWALTVPESCGFMFIYWFNIINCLLIFISNAILFVFSSLVPDVVFVEGFMNASNDKISPEAVEKMLPLARLTLLGLVSLTTFNAYVTVVALKAVCKSRQEPLLPQ >PPA07801 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:56519:57262:-1 gene:PPA07801 transcript:PPA07801 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAARHVIKVFANNDATSFKAIKKNIFAPIVLILAAVAAIASAGDGGFSGFGRKRK >PPA07927 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:757255:760709:-1 gene:PPA07927 transcript:PPA07927 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATQKKKKKNEKTYTMERVWDRRIEDGTTKYLTSWVNSSVKTWEPEAHFVGELAKEIARGLDAILDDPANNPKPNWLLKFEKQMADGIDVMNHGIDYVPRTKGKSPERTQVAKKKQANLMPRPSNPTPRRASIDGTPSRKGPTTSRKREYSDSDESYEEDSPSTEKRSRKSAEGQKSGTPQPSKTRLQQRSMSTATSLSGRTGPPDQTSRSKKADSPQPSTSRAPNTTRPAPLTPASTARAGNVTKTIRDDAGSFPESSTSRGVSKKREELPPLFCCNIRQQECEKEGREAGANPPANPLKTRPTGSADAVAAKKAEEEEKNNAADLKMENKVEPIQAVALASDASEIPGGDLSTKNVAPSQPLAPLQEMNDEELAKIDAERAGTPVHIISLITEAAASLPTKKNQRILRLTSRPPAEAEKSDAAKFAEKTMTTTQPVHMDITKGSQLPAEDVNGSEGKAAGTRKESVKVTAVVPPEAAENSAPIPPIASRSDASSDASTSDQDAERKRQKKELVRRERERIQRIEQEEEENEYRARRERENAVETAESKAQWEEEQRKARMYVEGRIKKETDSAIGSGMDRLYEMIQGTFSKAEEMTRTAHTLFEERMTILINDQQKIIADNFDTIKMAHESLVDNQMKMMKDQELIGKRIATIEEEMKVFRKSFESLEKNEENERSTNEDLARKMDEMSYEIIELRKLFSKSERSQGNQASEEVINIEKVEARSADANLLNKKNEDIREVTTAQANEAIGEEEKAKPQLKPRRQLLTNREILQMIRGQATKSNGSAIGEAEPSKTE >PPA07994 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1201283:1203132:1 gene:PPA07994 transcript:PPA07994 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFNANLTRPSQAAKETTIANLNNECGQIRMWGFTVAGCCCNDSDLCVIPPVQPKASMIGNMVKVMSSSISAAKTIGVAFIKIFGAPVGAKDKCLMHNRFKTLT >PPA07879 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:523522:524417:-1 gene:PPA07879 transcript:PPA07879 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRRLFVLQWVVRRRHRWEMACDGDKISGGMPQNGCLEMVPSFPTITKSHQAIPYHDFAPVAPSSSCWAGKMMTLCCTNDADANKIVSTMNDTGRTAKEAHLQSSMRYRNRKICATVISLSIDIPFTPTL >PPA07845 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:355506:358884:-1 gene:PPA07845 transcript:PPA07845 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRKIIRNSTTHFDTAHFRSNSMCDYHSEKGVSPEQLHGIFIALFALESVTNSLCALIGIRPVYFGFFFYEIVLTTAFYFDSMMPFVYLWFILLTIFESHSRCRFFTARFFPCLLTIVSFFGSIFAFEATNGLSGGLVGMLLVYPEFLPTDLSAPILAALRWITTYLYIILPFWNLAFILHTILSSNERCRLTAARITVLTFVLIWVAYPTFEYMGFWNSYNRMNLFYALSGIIKFSSECIEVINLLLLLGSFCCVDLKKTAALHRLLLITGVAVHLATNRIVGIHPSSNDPSIAYAWHALPLFFSVVYYFATRKHENDWKKGVEEQKIDPRQIYLTLDSVIP >PPA07806 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:72921:76108:1 gene:PPA07806 transcript:PPA07806 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESENQSVENCAVLIVAFWDHLPSSFLLGTPSGNSSNYLLPTQRFVWFEFSYLLRPWKRTKEGTESCPPLPYFMTADCGKLGVQYTKDDCEIPINGVENHHGSRRLLQ >PPA07999 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1240455:1242835:1 gene:PPA07999 transcript:PPA07999 gene_biotype:protein_coding transcript_biotype:protein_coding MCAQGHHYALVNNVLLSYGPFWDDLITLANDIPLPSWMSVREEIRVLFYRLERTLQTEVAKYIPFESSYHPFQPIPRLNEHPKGDDYARRSHFVTAGYFHAVCCEVEEDIQRFRDYDEYFDESLSDLAREMARLRMLLNIVSTIVLTANENAATEENMRLLDEMNIEIEETEEEFGDAMLEIQEPDVEPQETIEEYLTTDDADDRSVTLRPHQVWNLTHRNQTHCPLARKAYPRPARLHPSNHMLKTTIHWKRTLMVFNCWTKLKTIAKAIATKRPMKTIERLWLVFKLHPEDALNLVGPEPLEEYPFELEAGWKPHACAPADEDEDAEEGGVYVNPYFDSLSPLAEDMSDYPLFHPSETVVDPSEFIGLEPKPSIPYWDEDHMKPLIETMKRNGHDKLVSAIEKTMKH >PPA07815 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:116771:125212:1 gene:PPA07815 transcript:PPA07815 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGSFSSSAFARDEPFDARRTIAAAEPYGSARYATTDSTWALNQTANIWSTNPLQNRYDQPSYAQPQQYAQPSYGQPRYGQIFEQQSPQPLSGRPLNQMAGSMDAFPRYSYMSGSGGRGSYGGSSYNSGHNDRYDDRRGGGRDYGRDGGRDNNRGDNRNGSGNRNYNNSRGGGGQRGDGRRRNDDNGPRRVNEFASPKQSKQKKDYGPSRIAPADSNTSVRGERREQPRGDGKRPYNKDGDGGRYCGVVVTISTVLTPGDGGMRNDRGGKPMRRRKNQSSDLEFVKIPDDVESKSFDISEDNLVCFSGLQSVLATQHEFPIVIDGSVYASVDHYYQIRKCKQLVGKNCEPLVATVQDEVNYKVQEKTGAGGNSYGQLAREFLKEHKIDREKVEKWRREGGVFATYDAMRAKVQQCSEMRDMLKDAADKIIVHTYAGDAIFGSGTRVQFVRKWAEEMKKSGGKLAISLAPLVDADAISMAPQIAQGRNILGLIHMQLAEEIAQGGLPLIVTAASLEMSTNKLDLSSVDISQEDEDELMKDE >PPA07992 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1195219:1198436:-1 gene:PPA07992 transcript:PPA07992 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPLRLVVEDRATEGPSPEELHNARVKLRREILKIDMEDPAFGHETFVSARTMPRQLLSAVLKGDDDRIKEVLMDSSNCGRCLLMPLSAATTQYSFTDTRTPLVEAFVSGNPNLIMSLLKWRCNHIMVGINEDVIEPVYREPLLNQLVRGNVPFKIIEQFRPVSRYLELDRAELGETIVDVIRKAHSEIGDTDGELYTVHNYASAALEALRNGHCILALDLATRTQAFNELIYFVPHAAAAANRVDVLEWYCEMQRNALALRDEHNWTAIHYAAAADSLTALNWIIEKGGTHQGTFKNLRGETPLHVAVQTGRLDNVKYFFNRGRASESCQILIADIESLDRLSVNPDREFLLLHSSLNWRTGEGLSALHLAARRGRQIAAACGHFKCVEVLMRCVPYQVDHDWRDPLTHAAINGQTHIVGHFLKSSSLPHDAVDHYGNTALHYACAYGWLPIVKLYANADSSVLERRNRDRLTPANCAFRNGHFAILSWLQCSGLGHIMGEDPETSHQSMQESSTKWMAASGDGSD >PPA08008 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1303437:1304829:-1 gene:PPA08008 transcript:PPA08008 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTRALALLLLGLAALTSAFFTDKRKRFFTEKKRFLTGFGPSDGHLKAGHETVTMVKHVVRTFLHLLQMDGDRSAQRSAEVRWTARSIVARVVPPTSRT >PPA07899 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:607154:608438:-1 gene:PPA07899 transcript:PPA07899 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKESALDPNFAEALVRLCELSPTHSLCSRVQILDHVPEEVAPTVKKMRRSRTTTTKAPTTITEAPTTKGSRHGKMFRYEQEEKSREFFRSIADEIAIDIEDKKPAATHEFTNVNLLTF >PPA07851 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:381397:382674:-1 gene:PPA07851 transcript:PPA07851 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGESTEFAGKCIAHLGADPKVNRKSGKVLFTSDIARHYGFKDVDGEVPMDMRSLSLALEFIGWDRLACIIPSFMKFLPTIGVGVGCAGMLCIGLDRIFSIQFSLRYRALRSIYYHVDVISE >PPA07891 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:583176:587695:1 gene:PPA07891 transcript:PPA07891 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGILRELIDEANRTPRSARKDKNGKATDKLGFYVEGGAANGGDGSKLDAMFETAADCQKRADELLEASQLEQSEEYMKWLQSWDAFLVNSVNTKNTVASSPELKSLVRTGIPLAHRARVWVRLVSLHVRDRQAEMGNGYYDCMLKKAKRKKDEGVFDAAIKQIDLDLARTLPTNKYFEDPSSEKVELLRRVLYAYRYHNADLGYCQGLNRLAAIGLLYLSEEDAFWFLTACVEILQPPGYYTSTLIGAVADQKVLRDLVQEKLPRLANHLKQLEVDLSLFTLSWFLTCFVDILPHSVYLNIFDVFLYEGNKVLFRFALALLKLGESRVLQCKTIGTVHACLSRASDFVPNFKKLAQVAFNELNPFPQKSIEQKRQAYLTELKYYSV >PPA07843 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:345448:347107:1 gene:PPA07843 transcript:PPA07843 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFDLQSISEWDVASNLTSGEERTEQDGMHSHRVLFHFSLTRRSFFWIFLIIIPTFLFCLVALVGVFFYEGHDAVQTAASIGLTTMTSLMLVVIILSDALDKSNNLPELGWLVFVEIVVVCISVIIVLLLDAARSLALRFENEAKGSCPSLLRFLTAKRLYRTMRFTLFMLSITALVLNIFLT >PPA07929 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:769895:772207:1 gene:PPA07929 transcript:PPA07929 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPHHRIRMLLHRRLLFALAATLLGCLSDAIDPVSVAAPVAKKLAIEGGGLCLIAGLSEETDEHSIGELWHRIEKVTSWLHSKFNTPNASNAEINAITDDAIRTRNGEIKSKITTIFAICLPGLLSHYPESTVYAEWNTLNLPLTNGSVIINRPIMPYTGYWANCDRGYFNGAHQYQTAVYVSVA >PPA07967 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1028867:1030931:-1 gene:PPA07967 transcript:PPA07967 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEFIPSKIKQSTDNLLPSRLFSDGLFTFDGKFVTVATSILALVIDLLCIVAVPDRWALFLFGIVVNLFTIAVVNRPTSCGFSFIYVINWVSFILALICSLIVLPVYVFVGDDTLLDALIKSLGRSNTDHETLETVRSSLPGIRLVMIGMVYVYIVLTYISVAAMRHIRRSAVDGEIRGNAESQNENM >PPA08019 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1355737:1357542:-1 gene:PPA08019 transcript:PPA08019 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVLNFKECQMHAEFQMNVVNLYQDGKGTPYYLKRGRPQKLYALAEYVPVFDGTEAAAYAPDEIDFAFRIDEGIYMQTEGRKIYRVDFQPPSGIVTNYLRQIGEVEDESAERGSMVSQIKDGKKYVYRICDDPEKGVLIDATEEQLEGLMMKGVHRGKIIFERMEKQDAQSIEKLTDNIIVIGSAFPGYDFGFLDDSSPLIFSMLRPTLEVFNTETMEAWAVVTDLPNAYYRVVGVHGGKITVQAGGIVMNCA >PPA07876 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:494051:501073:-1 gene:PPA07876 transcript:PPA07876 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIFTILLLACWASALPTIDEVKTILDEEIPGLKPLLDFEDRTESDASHILISYVMGNKPFARVVDTLRSSSPRFYDVFIPVYETYKIKYESLKEAEARLFVFKALKKAKELLKFRALNNFKNQTKHRVVIGEIKGAGQFLTAFDDLPSAAQNELLSTFSEIVLCYCCSICSCCCNVGNRDSYVVEKEEVGNSVRYSVKSNRSTRSKSKSGSRSKGAARPADDRSLSRPRLSLFITHCGWSSKLETMMAGIPVIAIPTSTDQHRNAQVLKRGGGGIVMSKKELGSSDAIITNIRKIIEHGRLVLIYDLKFQRSHVQFVNTVAEILIDDGYDVVILSNVVDERLVDVGSKRARRIVAPQTAETARLGYGGGRMNDVAWTANGFYQWYEKVNFNNIANFLYSQCSSILSNHHLMNRLRNEQFDAALVVNFDLCIYHILHTVGIRNFGIIDSHSTIPQSFHFMSVPQSLSYVPGRFGLVMDSEMTLNNRLGNFVRQMFVDGILNYLGYVYERKLMARFPYLTVPAHLYHSATGDVGDEEGEVVYIEAKCACH >PPA07972 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1068179:1071347:1 gene:PPA07972 transcript:PPA07972 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGYYCYTAAIIGSTIWAAKHSGRMQQYKLEFLDEQMRRLFVHLEIIKHIFKTRSSIDLSQNLRRENQENRPVILRSIVDIAPGPRCEPVQRNSCGKKTKNQLTTTKTLLELKKDRRRFTSISTFSDSLQLKKKAEIESTDEEDFDSYAKDIFKMASYLAEDEDSSAKSSSVISSDSQKYNTFFESITAFGNSEQTLAAVSPSSKDCDADLDCLDAFEKKLEMLIEEKNQKEADQMNGDTFDSVDDVDWEDEFALMAFTGDAAALPKLTQHDARKKIAVIQITENH >PPA07865 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:438521:440343:1 gene:PPA07865 transcript:PPA07865 gene_biotype:protein_coding transcript_biotype:protein_coding MKLECMQSWDSMEEPAEMWEDKNIVFSDTEIKKFSLQYTTDSPEENIEFVGYTADAILNGRFDIDFNMCYGCVPACEGCFDSTRVKYKPGPCESYSCIDPAAKMHINNRYPMNKKVTCNNTKWYGEKDLLEGQRLSTEIGALGKLTSIECSKEFDCQSIVPLLSTCKAMKNGACIKEKAKIELTSLKCNSTNGQWKLDFVQGQNKKWIKELIKGDLIVCVREGTRLEDLYADKKTEIYQVKEQFPVIAIIIFIGILIITRIKTKREVAEELPEGTSVVEPTPILTIQKMELKRKLAMFAT >PPA07832 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:190206:193596:-1 gene:PPA07832 transcript:PPA07832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dep-1 MAMVHPRSPIGRVMVDGGAPSSCGNAAPTPRRTGSLRERTGVDHTLDSLPCMPGRPLLSTILPGADTKRSRPVPLERFAEHVRLMSADTGFRFAEEYELFKNVGVGPSHSAADLPPNRLKNRFTNVLPFDHSRVKLRARDDDCTGEDYINASYIPGAFSRREFIACQGPMVTTRDDFWRMILEQQVPIIVALTKCFEKGKLKCELYWPDKNNLSLLYGDIEVTLLSETESADLAIREFRLTPLSNPAAARTITHLHYTTWPDFSVPETPHGILRLIQIFRRKLPHDASNKPVVVHCSAGVGRSGTFIALDRLLVDLERGRPLDPFGTVCEMRMERTQMVQSESQYVFLHQALNLAMHHLEHSSSPEAADYGLSSSFQHFATGQQSTNRDCFALVVYAPKLGRQQ >PPA07811 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:92040:94107:-1 gene:PPA07811 transcript:PPA07811 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEKATEEFWTFGGGGYGELSPVGMKQHYKLGKKFYDRYADGNKFLSDTYKAKEIYVHCTDKNRTVVSAMSNLAGMYSRPKAQLGRDYPDVEGWPEFFIPIPIHTEFGLGDPASKCPRQDDLWKLVQKTDDYKKANGEYTQQTLQYLRDAIGVDDKAITFENVYKIWDNMLIENIWYPDNVSEWYPYYTKEINEKVTTINDWGIDLVNGIMGDIIT >PPA08021 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1362989:1364492:1 gene:PPA08021 transcript:PPA08021 gene_biotype:protein_coding transcript_biotype:protein_coding MRLETTLEVRRSPTINYTNPAFGQKIQSIAYCPSTAQPFLGKYSSCIYQRGRKTKILQYLPELEQFVALLTSYKDSDRPDCVEILRHPFLP >PPA08027 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1382825:1383304:-1 gene:PPA08027 transcript:PPA08027 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAGHRVLPGKNEEEEKFVQLDQKFDGAPSYENPLTIAICVILFIIVLIGVFVCYKLWSTPCGPSNNG >PPA07822 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:161393:162201:-1 gene:PPA07822 transcript:PPA07822 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIDACEVASVQCESVRLRKPKLGLSQEELDKMLKSSFWKPMRALCFVLYWAILAALITGSVLIVLFGIGVLE >PPA07979 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1126872:1132785:-1 gene:PPA07979 transcript:PPA07979 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-snt-6 MRPVDPDVADCEQQARLFDPSKLDASMLFDTQAKHIQPTLKSRVANTIHWKNASQQIIDTLRPEVVAEARGRLNFSLTFDNENSVLHVNIMEAIDLPAKDFTGSSDPYVRAFFLQCPQTSERTKVHRRNLAPKFNQTLSFPGYAIKRLHDMTLVLQVMDYDRFSSDDPIGEILLPLRNVKFENAPVYWKNLQRPTVSKENVGDVMLSLCYLPEANRITVSVIKARSLAAKDKLGSSDPYVKLWLVQSGEKLEKRKTAVKPCTLAPVFNESFAFEIPDKEKIGSEVNLVVTVMDYDMLSSNDEIGHVVLGERITVVLGSTRERLGIAPMATGNRAPGASNRLMASAGAKVVTYRTYLPYPPESTVAPSSYRTPPQIGCAVSFPAYITIVLYCFPA >PPA07854 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:393352:394952:1 gene:PPA07854 transcript:PPA07854 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSICLVCSSPTANSLHFGARTCKACAAFFRRTISMAMNYACTTEDPSMPCKIHYHLRMICRACRYEKCLRAGMKEGMVQKKLDEEKKSPSSPKRKRTLTEQPSSSGLLKEIKTEFDEPVPSEPTQDHHSPFGRAPSTSMDSFDLHAPLATSDDIIAHYRASEMALNDRRRMLYGSCSLHDLFDDREDAVSK >PPA07976 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:1116502:1118684:-1 gene:PPA07976 transcript:PPA07976 gene_biotype:protein_coding transcript_biotype:protein_coding MPITTNDHLKVHANRARLIVLSNSRLDLNDCSRAMSEYGPMKLELTSDGRAAFVDFACEHAPLLVSRCYMCLYLQHVPRSMSNSVIRQYFKLHRESAIYRTGPAGDASSRVLIQFHDKNAEEITPQKDVLRLFSVMGKYTVSKFYAIPRIDSLIECRAMNLSSIQLLHYLTPLNQPSVYVPDATIST >PPA08018 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:1354869:1355116:-1 gene:PPA08018 transcript:PPA08018 gene_biotype:protein_coding transcript_biotype:protein_coding MGQETQRELLTGLSTFTAVYGRRMASADLYWRLRVAHSRGVMRVISDLIPNANTDVNRPSQ >PPA07859 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:413506:415534:1 gene:PPA07859 transcript:PPA07859 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVVIRLELSKGAPAIDFSDIAYEMSENVRAGSEPASSVKSTQPPQQQQQKSSTPPTGCSRDDAPLGRPSSRSGEKNRNFRGARTYSEVVVESYDRKDQAESSKADPKYLTFLSGQKRVEKTEGILHFYKRSNPRIDGIRMVCVLNISVHLSCQDILNFFSAALPSIERIKD >PPA07824 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:167324:171929:1 gene:PPA07824 transcript:PPA07824 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAVSCDTKGAHWATYTTTDSAGRMIMQCANSPAYFDVCGEAHAYQRRLLGNGRFTCDYNPDTRKFALKMEDGRWIPSKKMACGYKTEYPYACGDIFNVDPSVNSWVGMDNHQLELLPNTTYDLEKYSFTHLLVNQGCTVTINDQTSRTVVGERRYGLWEVYNHSKHLNCPNTTRPFKNITCSCEQFLPPVHQNAPSTYQISHDSRYVCAERFLKLAIAENLLTVTVVGDANTCAEIGTDHVVWFTVPSGPMNHSTSRLAVRKGCRASAFTLGGTAITPQAEPWLHDWFWTYDIPSSLAGSVCTENDDIGGIVCECGPSSNVRKGGYDLQIEDRFKIEEIDVGTSIVFSGMTDLWMMDTQFALGPSPDAKNALAVRLGDGQVQYGFTNDNGTIVNAQTLDPSYLREGDWLECRLQATAIGFDVRFNGRKAFSIANQLLLKDIKYLWVYGMKLEEFRLQKEKNIAEIEEMDALHFGDYYYINGILTGNKMEIVLMDSDEAVQFYPDQSERQKFFSLEIDLAAPPKITQTVKKSNGETKAVTSTNISLGKGYEFHIVISNKPHSLEDFINGEILPVIGVHPNIRRAEDTYFADRIDLKNNCH >PPA07946 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:917967:927128:-1 gene:PPA07946 transcript:PPA07946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lec-1 MASEESARAERALERREVLRSLPAPPPTHLLIPTQPTTVSSLLHDDQSSSPSIDSHATAAAAVDSAPSSQETSKTEDESSQAPSSIDMDSSASASVETPAVHSSLFGSSSIVEAVNEALEKVGSELHDLSAAVVAPAVADAPSAEARAEEPAAQPEPAPAAQSSSLFSGFSSAFNAMATKVGSALSGSPAQSDSAEADPAPAQDQAQPAAAESDSTPTPAPAAAQTQDESSDAADPTTPTQAGFDAESNGFHLPKATELDELVQVVSGFIGGQPIIDSVLGDPKPAQEEEEKIAEPVILQQETAEHKEAAPAQEESKEEAAAAPAQPFDPWFDRAPEEAKEESHDAPKDAPVEESCLKELDELVQVVSGIVGGQPIIDSVLGDPKPVQEEEEKIAEPVILQQETAAAESEHKEAAPAQEESKEETAAAPAQPFDPWFDRAPEEASAEKKEDEETHAQPQEASADAEKAPAQPFDPWFDRAPEEAKEESHDAPKDAPVEEPPCVKEEAVLQFAEEATPSPAVCPAAPHDHELPAAQDLPDHALHTDPPVQTLTKELDEAAPVEEQAQEQSAVPIVSGIVDGHPVVEGVTVEKAVTPTSDAASEESFELVHEAPQAEKSDEEEFHDAHEDHEEDFATGETPEPFEEVPAHEEHKAAQEAAPAPQESHAEPEHKDEAPAQEPHAELEHKEEAQEEAHTEPEHKEEHHEKPKAAQEEAHAEPEHKEEAPAQEAHAEPEHKEEAHEEPKAAQEEEKIPEPVLHQETHAEPKHKEEAHEEPKAAQEEAHAEPEHKDEAPAQEGHPEPEHKEESHEEPKAAQEEAHAEPQHKEEAHEEPKAAQEEAHAEPEHMEEAHEEPKAAQEEAHAEPEHKEEAHEEPKAAQEEAHAEPEHKEEAHEEPKAAQEEANAEPEHKEEAHEEPKAAQEEAHAEPEHKEEAHEEPKAAQEEAHAEPEHKDEAHEEPKAAQEEAHAEPEHKEEAHEEPKAAQEEAHAEPEHKDEAHEEPKAAQEEAHAEPEHMEEDHEEPKAAQEEARADPDRKEEAPARKLMAHTSSWRICQEEAHAEPEHKDEAHEEPKAAQEEAHAEPEHKEEAHEEPKAAQEEAHAEPEHKDEAHEEPKAAQEEAHAEPEHMEEAHEEPKAAQEEASAEPEHKEEAHEEPKAAQEEAHAEPEHKEEAHEEPKAAQEEAHAEPEHKEEAHEEPNAAQEEAHTEPEHKEEHHEKPKAAQEEAHAEPDHKEEAPAQEAHAEPEHKEDAHEEPKAAQEEEKIPEPVLHQETHVEPKHKEEAHEEPKAAQEEAHAEPEHKDEAHEEPKAAQEEVHTEPEHKEEAHEEPKAAKEEAPAQEAHAEPEHKEEAHEEPKAAQEEVHTEPEHKEEAHEEPKAAQEEVHTEPEHKEEAHEEPKAAQEEVHAEPEHKEMDPTPAEVTPAETKEEAAAAPEEPKAKDAEEESFSKVTVAAVTAAAAGGVAAVADASTSSSDTSPILADSHGEKDVGPSSHSAETPDEAFDASHDDEGQSSSRKKRDAGFTDDVTEEETSVVEVQGLQTESPSNGEVQVFEDRVDDEEDPEDDRVPVKTLFDRSVKKPVPYRSLLQEKIEPGQTLIVKGSTIDESQRFTINLHSKSADFSGNDVPLHISFRFDEGKVVMNTFHNGEWGKEERKSNPLKKGDSFDIRIRAHDDRFQVVIDQKEFRDFEHRLPLTSISHLSIDGDLYLNHVHWGGKYYPVPYESGIANGFPVGKSLLIFGAVEKKGKRFNVNLLRKNGDIALHFNPRLDEKVVVRNSLEENQWGNEEREGKIPFEKGMGFDLAITNEAYAFQIFVNGERFATFAHRTDPNDITGLQIQGDIELTGIQIQ >PPA07873 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:484733:485048:-1 gene:PPA07873 transcript:PPA07873 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSVTDFTAIINEPQAAILTVGSPICIVTLCYDVRAVSFYSARSFITHLSQSLNRPLFTTLASDSINEHEFEYANLL >PPA07868 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:452356:462782:-1 gene:PPA07868 transcript:PPA07868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rbd-1 MASRNNQHSWNALFLGANAVADSLAEKLGVSKADILGGEGGGESAAVRLALAETRIVRETRDFLLTNGVRLDAFSRPSSARSDTVILVKNLPAGVQKEEMERMFEKFGDMKRVLIPPEGGASALIVFGNAVDAKAAFSVGVWLIQRAFWSSRKLAYARFRTQPLYLEWAPGDVFEEKKKEDGEKEREEEGEEKPAADGDSSSAKKRKRGEEMTEEERKEQRKSKKHKYYSGVRNQLGIKQFPQVGIKLDGNLWEVLDSNLWDRFKSARTTKCIVNVPFQKAKEEVKEEEPEVKEEVKEEEEEEEEVEERKEEKREDEEAEEGKSQEDRGEPEENAVVFVKNLAWDTDDEQLERLFKQRFEIAHAKVSRKFNPADPSAPLSMGFGFVQFWTKDDAMEAIKTMQGALLAGHSIELKHSNRELTDDTKKKQRKTVDRLEQGESLKLIVRNVPFQASPGEVESLFSAFGGLKSVRMPKKVGGGGSHRGFGFVDFSTKGEEESVEQLREKTASRFAGGEKEHRKLKKRMEALEKDLKVIDDD >PPA07935 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:821342:830000:1 gene:PPA07935 transcript:PPA07935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pink-1 MSLNRIGTGALRIAQQVASRVVNHNGTWLLKIVPRLRPPNAAAVQAVVRAAAVPRQLSRNPLMRMIQMTIRHSRHIARPLSNIVMNHRTFFGLDQRPRYVRRQFLFKKPRTDFGIVERVRDLFGTKERYNEHLKKSTLPDRLSAYDIGGNIGYGCNAAVYALRLQSDGPGAATPSTTDKDLKAYPLALKLMFNYEHDRRNNEDGLWSGMGAELAPFPKAAALLKGRMGDFRPLPASHPNIVHVGTAFVDSMPILPDAKQNYPMALPTAVMYDAIEPDPKTLFIVMRRYRLTLQAYLREQPRVSLWARRALVAQLMEGCVFLYKHKVAQRDMKSDNILLSYDNDGEIPQLVISDFGCALANGSFIVDYRHEGMDLGGNLRTRAPEIASALPPSMVDFSMADTWAAGALSYEIFTKLNPFYSVLSSSTYKEYELPILSDRIPEPTRKAVAAVMRRDPKERLPPSIAANVFSLSLFRFGADLHELLPSFASEPLAKEIRKVSKRVERVIDDMISLLAAETISARITSRDLITRAELQLRATFMARLDRDELWASLAFFRETPSPSDTSGCESDVESAV >PPA07802 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:60124:62133:-1 gene:PPA07802 transcript:PPA07802 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQLAKQHTVEPFYFEYTTRDAIMYALSIGCKAKNDLPYLYEGAEGFMPLPTYVVAPGFQANQILGWPGLDIDFLRMLHGEQYIESFEPLPAEVPTGIMLITAIYCSSRGRLRSETRVVDVLDKGSGALIMTEITTYNASSGKKLAMQQICAFQVGGGKFGGAKSSPHEKKGSDIPKRPADKIVSEATSEDQAALYRMGSGDLNLIHIDPEKAKMVGFNTPILHGLCTMGFITRHVLNAFANNDARLFKAIKVRFASPVLPGQTLETHMWDKGDRVAHKSSKKSLQVKETGKTVVSNGYMLLHGKTGKGQAKL >PPA08026 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1378749:1380022:-1 gene:PPA08026 transcript:PPA08026 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVRTIPEDWEPGPPFRVVESTDQYDKQFRHLDIEYTDKDGDKGVGAFAMPLVITICLIIAILLSLAYFFIRRSCFPNDHLPVDTEEPKQENNDKVC >PPA07944 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:899832:906052:-1 gene:PPA07944 transcript:PPA07944 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVEAGELVKKMPIDMDEESYPAPEGVPPPAYDQLSVHSKKAQDAFAERYDNWRRKEETQKMKDKYGDDFEAEDGESESEESDDGADTRFWHEKKEGEGAASNGVGQEKSKKKEKPMFLKDYERELVKRGGDIDEEEDVDVDEKAAADPTYYEKQEMNRRALKAAMALGDEAEESDGEDLFTTKEKTDDEKKAEEDEYYEWIGGGEGKSKEMSEKEKKER >PPA07969 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1048347:1051837:-1 gene:PPA07969 transcript:PPA07969 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIWQMEAYPCGDPRLPHHVFPPKLMTPDELTKRSGTQIWKVRKENPCLRSSGSWETRSRVTLCILFGNEDGKLLNSHDPVALATRLTKLKLEQGYVREDLFILDGRTFSEANYKDKIEELFEETEEKVELARLIIEGEAYYDVEDKDGNWCRILCESGDLILIPALKQFRFTTTPKVNETSMAQIWVDISKTKKDDMQNYVKMKRLFKE >PPA07871 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:472195:472391:1 gene:PPA07871 transcript:PPA07871 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDFSDYSSIISMLSKNAAVQLQLTLKNYNEGSKHEEIACFIGQMKID >PPA07989 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1188309:1190982:-1 gene:PPA07989 transcript:PPA07989 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVFALLIVLSSCAALKDLGYEDFACDADLMRRSKPVPKNVHSLRFADIDIIAGIGDSITAANGAGADPNDPIAVLIQYRGLAFSMGGDGNLEKHVTLTNILKKFNPDIFGYSTGTGSANVWQTARLNAAVPGAVSGDLIGQANDLVRRMKEHPDIDFITQWKLVHIFIGGNDLCAWCDHQDTEAPEQFRDNIRAAVQILQENLPRTIVVLTGILDFSLLRRVDVNSRFCKALHTDECPCEMSSKVSDEALRNASLSYMQREQELEDSGEFDTVDDFTLVVQPFFEDISDAPRLPNGDPDLSLFAPDCFHFSQYGHAMVAKNLWNNMNEPVGSKSRVADLTNTEFPLKCPDAACPFIRTTKNSKDCTAFKTN >PPA07883 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:540308:542193:-1 gene:PPA07883 transcript:PPA07883 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLSLLFSSYLLLINAQLERMSAPSEEDSGSSAISDNDRTACRELKNEYRRVCLDSDHRRNEEEFCRAFDNVCIRLASASKHNTRELLVASQDGEEQEQSSSRSHHSHHDRPDFTEFCRRFKNRYLFICPNPFRFGQKAVVFCPIYSERCNVPLPDKPVVPQKKNSGRVTAEVERMCAGYASFANQYCSNALALAQAQYRAQCEKYWRFCMPRQ >PPA07853 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:385817:388381:-1 gene:PPA07853 transcript:PPA07853 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPLAGKIALVTGASRGIGRGIALQLGQAGATVFITGRAPAKSFAASHADFAHLPSLEQTKKGEFWARGGKAVALYCDHSDAKEIEQLFKKIDGQTQGRLDILVNNAFSAVSELNNTGGKPFYELDPSIWDAVNNVGLRNHYYCAVYAKSGLVVNVSSLGGLTYAINVAYGCGKAAH >PPA07828 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:180807:181575:-1 gene:PPA07828 transcript:PPA07828 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTLSIVIGTLSCAGSLFDFNNGGSVLCSYSTPSSVLFTSNACNVPSFACVGCDSSAVRYFDYDADYYQILCESGLIQYTYSDNSTHEYDYRLHLNKNTCAVSGGEDSSKTITSVSCKAQTYELDTCLQNAGSPSGVTGHCHFGTCWLYCSNNTEQLSFTPDLDGVSPRVNANNLVCESGFTQYGQSSAFNLQCN >PPA07903 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:632229:632803:1 gene:PPA07903 transcript:PPA07903 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPGDDRFFMEKYWKTFTDESGEFEIERFTRVVADDREAYLDDQDELRAFYHDCESDCRNEEPVS >PPA07957 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:978065:978592:-1 gene:PPA07957 transcript:PPA07957 gene_biotype:protein_coding transcript_biotype:protein_coding MKILMNSAVFHVHLSVMFCIGFSVYILSTIGRLILILFELHLIQPIGGLMQKRLKCENNDRAQFFGLEKNI >PPA07918 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:725382:726585:1 gene:PPA07918 transcript:PPA07918 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGCAQLGSVAGLTCTLPTREQFRTTENCPRIDPQGSVDSAYTQNPLIDVSYIILTSINTKQDQYHFHALHRKVFNSMPCGVGYNAIDLLMPDLSHKVVASAYYLNLAWDAELAASLVLPRQSRPREHPRSRVLPSAARGRACPGSGRVRAASHDHRPARIRTAHGAVQSHLRASKAWINCVYGSWLWLATYDGHKQTRGVAAANIV >PPA08029 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1386044:1391019:-1 gene:PPA08029 transcript:PPA08029 gene_biotype:protein_coding transcript_biotype:protein_coding MWMMYLFLAVNAPLAAAVKCPRVLQPLVKNSIHMPGDNEQHFDEAVATACGSRNFASAFWSIHIVENLLIELAVDELNKGQSTDESIKPLFKKLRDQFRSLENAEAKALIHDFYAHQLKFRSIAVPMTSGQIADEMLSLTSLMLSHRLSADARIELAQAMKNAFGMVETARMKQQGVDAALKAIGRPGIDKNRITELYAQAYLLAKERPAKQTTVTKDSTSFWEFAEWMETDFTDSIISAFEILPAMETLYLQYRSLDNYEAKTFVDWVLVSRAMENYEPTANTTKRLSDVMAQIGHTLEENIDEVISVDECYSGLSTEARNTLIITFPELSNFYSRNGGWNTKRKPKQIQVKKNTSSRKERLREKISAGGAAVIDMDKIKASGASMRLTLMLLTISSLACAVCPRVLQGIDANQTIENRFIEAIDTACGRRNYTSLFWSLTFYDQSLEQIVMIELGKEEEKIASLKPLLQSLNKQFEAVSDAKAEAHIDRVKSRVQKTFQQIENRDKSNPFSGLIQFFGGVMELKMQQRLTVDGQIALYRRESPRVLFIIPSGRPRSKRCASHLVLASLAQKNARKLAFNETPSETMEQLETALEENKCECRKACPANDIVKCYHALFMRAALLLAVVALAAAECPAVLQEIDAYSTRNALLEEAIDTACGRRNFTTAFWSTAFYQIVIGQMVIVDNGKNDADLTQYSTLHDSLREQFNALENAEARSYVDAFIDHQRKFRTIGARMPMDNILLEVT >PPA07919 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:727147:727588:1 gene:PPA07919 transcript:PPA07919 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSYSFFIVLLLVPISALSVVYDKNCIVIDMYGKRPCNTPSKVKFNGFKEATDAEFERKLDELREFRDKQTEATRRSFEITFKMMVLIRLPIIVARG >PPA07889 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:576896:577540:-1 gene:PPA07889 transcript:PPA07889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3E930] MAQSVPPGDIATQPGTKIVFNAPYDDKHTYHIKVTNSSARRIGWAFKTTNMKRLGVDPAAGVLDPKENALIAVSCDAFAYGQEDTNNDRVTIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA07985 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1173502:1174299:-1 gene:PPA07985 transcript:PPA07985 gene_biotype:protein_coding transcript_biotype:protein_coding MGERTGAPWNKRDSPDPSRCLGVFNLSMDTTEKELKKTFEEFGEIEKIDLIYDRSTGQSRGFGFIYFERLGDASTAREKLNGIDLDGRTIRIDYSLTKKAHSPTPGNYQGGMNGGRGGGRGGFGGYGGRGYEGDRYGDRGRGGYDRGYGGGTSRRSPSPRRRSPSYEREREERY >PPA07941 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:882850:885663:-1 gene:PPA07941 transcript:PPA07941 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVFSYFRGLFGKRELRILILGLDGAGKTTILYRLQVGEVVTTIPTIGFNVEQVGTLALSPISHHGAEGSASAEHARTRWFDPARPTHVWDLGGQTSIRPYWRCYYANTDAIIYVVDSADKDRIGISRQELVSMLNEEELGTAVLAVLANKQDIPGCLPPAEVHKALGLHSLKNRTFQIFKTSASTGDGLDEAMDWLSNELQKRV >PPA07808 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:77940:79782:-1 gene:PPA07808 transcript:PPA07808 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHHMMMMIIPWMITPVMSKDTCDDPEKDIGYYDSDPDGPLFVRVSARIFEGMNSAIVKEESACISLCQHSKGCVCAQYVSQNGTCILGSKCMLLHDPTSFNEVVIFHAIAQESYDDFDKCGNDANKQIEMAVKWLGDIGSVTEYSSPSTIKSTEVTPDFDRDNNQDFSCVFSIQKDFKFTHLSNGQSVTFVNLVREVGEIVDAGSNLTFLSTSSFCSLTGLTTKGDSINYGPNSYTFSYRQTSVVKNVAFINCTCKCYSDTRICQMAND >PPA07900 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:609419:613887:-1 gene:PPA07900 transcript:PPA07900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nmur-2 MELHGVIGYVYPYEFSSFVCRLRAFLIEFTSYASVTVICAFSVERYFAICFPLRAKLFSTLTRAVTVILISWAVSFVAALPMAAIVNVQRLEVPPGVSEEMKKLISSDGLTVDRTEFCAMDYTQEGKDRQKVLIYVSFLIFFTLPAILMSFMYGHIAVRLRCADRMLTKDKNEATSRTSRSRRTVIKVLVSVVVTFFAFWLPFHAQRLLSVFFNDHPDAILDKNLQTMATALFYLSGTCYYSNSVCNPILYNILSENYRRAFCRVILGERLARKLFPNLSQDLRGYSFHSTSHMRRISGSVQQTRLSISAPCKHSVITLKQYPAEVAPRVGERRRTEDLMVPRASESDYLILAGASPESGESI >PPA07916 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:705226:709835:1 gene:PPA07916 transcript:PPA07916 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSLRSFPPPVTSTALLHLLAHPAVHPEKSDADTELLLSQPGYFLIRTLPSSASLVLSMRVEKSVANFDVTIEQEPAPFTLVLSALRFATVEKLIERAMTEGFTYRGEQLIMERCLQREYGREVPFGHWEGTRLIPRACKSRFSEDSRLPCALLAPSSKVSCALSDEELEELVKMKHDGILHLDDYTRLSKDALLLKFAPFEISLGAYMRAHPDTSILQRLAWLTKTISALTFLMNHNPACSVLLTGETLVLQDADSLQLKLVHLGTQLDPSDHWRWMAPETHSSPSSPASVVWQFAVLLWQTFTGFSPLPFGSYLTAESFLSAGASLPQPSSACPFLVLPHSLTPEQAATPTMKRYQPSYGTMARFPAAPACIVTLFSSCLKFAPAESRATWSTISGVFQAEYRIARCAKILDKFIP >PPA07936 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:842219:852947:-1 gene:PPA07936 transcript:PPA07936 gene_biotype:protein_coding transcript_biotype:protein_coding MPETATDGAPSVGGGGSSSRGGKGGGRSRGGGGNRGGGRTGNADSNGGNSRRGEGRGGGGGAGENNGRRSPQQHNHQQGGRNEQRKTKVGDHKVDMRKYERMITATQSNFSDIKATDPITEDCLICCKPNDIFGVGACRHPLCIECAIRIRVIANSEGCPVCRQPMEKMAFVFASANPTTASLSMPHIHPDEKRYKVCFQNKEASARYEQYLSHVCKLCVVDGERKEFASFVSLRQHMAATHQLSFCHHCVANLQLFSRERTTYSRAQLQEHIRGGDRDDRSQKGHPKCLFCEDRFFDEDDRYRHLRREHFFCQLCETTGAAANVFFGKHDELLKHYEAKHFLCEVDECKKAGIAFATKLDLDIHTSREHGKVNLAVDFSFNDRQVGGPTRNRGGRQYGGGAPPPPPVPQGPRGVGLVPAAEPAPPRSDPSQFVVVPSAQASRPSLRYARQGGYNGLAGLSDADAFPSLGPAVAASSAGINYASGPPVVVPSDFPRLKPQPNRPPPPPAVSAANIASGAAKKKEKKKETREEAFPSLGGSSRAQQQQQQETAQWARHTERFDVIDDDERDAFQTVGRHGLGPPPRVGPAVQPSRVAVIKRGAMQQQPPRGKQPAPMPAALSGEPEEDYPSLPPPAPTTRIDYSRVGGPLAQTSKWGKKKAEEAKKRPPPPPLPEPEMWPAMSSSTTAARPIENPDWQEIG >PPA07890 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:579075:583066:1 gene:PPA07890 transcript:PPA07890 gene_biotype:protein_coding transcript_biotype:protein_coding MEELVEAFEEQSKSQRNMLRRATKENESLRRIASLTDKEQEELDMVLEKEEELNETRMRVNELQRRLQDAEEQNRQLEATVSSLQCDVEAFRDSVRTKEELIMRMAEQNSELEKEGGERRMSLHGEVEVPEGIPVEDASCVVVEEEARKIYEEQAVRDVHEMRDLVEGYRIQNEALNNEIVELHNMLTAYEEKERKLTRAHFETLSKRVHRFETQACYYQLKSRYIMVLNHFKSPEKPGKSALIFDLY >PPA07793 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:14834:15628:1 gene:PPA07793 transcript:PPA07793 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLASVNSIRKIMGDPTTEEGVWRKISSELAICFSALLTLSLRINDDKMWNTHLKKKSEDEGRMRRRIFAEMRARAEGVYMPLLVENEGDARREKKYLRKTAHLY >PPA07912 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:658448:659113:1 gene:PPA07912 transcript:PPA07912 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGVPIIAIPTLSDQYRNALMFKRNGGGIVMDKEDLGNSKRMIAAVREILYNPEHTIFARRVASRLASSPVSSKENFLRHFEFLVKFGPLHHLKHVGATQSFVQYYSIDVIAILASVMITVITVVLASCYFLVSRMVRIQIVDKVKAE >PPA07804 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:66717:68723:1 gene:PPA07804 transcript:PPA07804 gene_biotype:protein_coding transcript_biotype:protein_coding MSDESLPRLTAVVTNSQTHRESDNVEDVPVVRRKYTIQEITLEESDDENDDCEIEVLQVELSGDEEVDDVDSEDDVEFLAEVPYSPPPPRMPWTKLAAAESPDPKSEIDWFNEDDEEEEEQEEVDDEERHLEADETRAKKMEENLARAEREQSEAQNVVAELEDRIDEEEEELRGRMREELDNQAELRMAAVLRVNVGTAVERQRLIASAKHQCESSLQRHREELKKAKELVEVKREARLEATMAVDEDSYLQSRHFSRQCLICLCPNPYRRAVMVKCGHITCTSCAERLGTEENIEFACPFCRTPTTYMKMFEESDTQLARLRAEKQTRKRKRGAVAGQ >PPA07987 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1180549:1182570:-1 gene:PPA07987 transcript:PPA07987 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYAHSRSGRNWSIRCHRLVDIREEFLEHRGCKMAVEVFFCDNNVLVGRFHFHFEMLIVHILMFHLSSPRSHLRKLRLETVDVVSQLTLRHPLFGVLRYDRAVTRAGNFLKAGFGTN >PPA07948 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:937593:940125:1 gene:PPA07948 transcript:PPA07948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:H3EDZ6] MCLASEILDRPQPPKGKQPINNAALALEDNMGGPRVFFDVEIGGKPTGRILFNDVCPKTAENFRALCTGEKGKGKSGKPLHYKGSIFHRVIPKFMLQGGDFTNANGTGGESIYGETFPDENFKEKHTGPGILSMANAGPNTNGSQFFICTIKTAWLDGKHTVFGKVVEGLDVVKKVEAVGSEDGTTSATVKISNSGQLS >PPA07834 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:210925:217049:-1 gene:PPA07834 transcript:PPA07834 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGRKDNTTTVVKEQDVMMDPQAPNFSSRGASIIATETNITLKTNLIDKALQDSFLIRYRQVSPDEAFAPIELSNVDGQKQLELFLNRLNPGHDYDVAVVAVKGGVNSKPWHDVLTTKPSKIASLTAVDALPNCINLTWMLPPESGVDHFEIAYGPPGGDVQKESAASSTRSFSACDGLAPGMAALFSVTVVKSSAASSPVTVEHVLRPRPPTAFNIRPDTWSSKYRIWADVPAEGRIDGCALSVVSETLDRLEMTEKVDANKTSCEFLLPLKPGERYELSVQTTSLSTRSTKVQRSLALPPAFDMTAFGLSVQEARGGLEIAWPVSDLFIEKLKQLWSKVVGSDSVLHARLSQPSRNETRQFETSPYTLKPIFISNLTQGQCYKVQLYTVTKSGIVSDARFDESIRISPPPIDVTLHSVSKTVASIRLSLISQKNFTQPECQTLLVVTDENGLAVFDRHLPLGAPTSDIPLDGLRPFHKYLATTQDRPGPVQNATVASINSFSARLSWLPPSLPNGIVTHYVVNIQPESGEPWSVNVGAGAGKQVHSKDAVVDGLRGGMNYSFLIRAVTEAGMGDPPAVSDAPRLMMPIHGKKCKIAAETRN >PPA07905 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:639549:641358:1 gene:PPA07905 transcript:PPA07905 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSAFINMFKAEICAGSDALNDIAINMRNIGVNPKFEQDRMCPYKLYVSSVEKQQLRSQLFDVQADATDTLPYFFFDRGEELMELDTTSNRLEYSLNFRLFRAKYFAVLVARNTLQIAESNAPHYKIEVKSEGDSCRVNFSEGVQEGYRNCNGKKREGFHFWVAPRPPESQLSPE >PPA07886 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:557389:566252:1 gene:PPA07886 transcript:PPA07886 gene_biotype:protein_coding transcript_biotype:protein_coding MMMEYAVMDYADRVEEECAMWQPLVDKEKKEKEEKERAEEAKRKRWRDAFPTVTEFAPPAEFVTIHRDGSQLYNRLLLARQADREWVAKHRYKLSPSIVVAEILRFIDVSPEYKMSTTYAANTTVEEPLYSTIGNELRISKRYEPVRDAKGKKKHGFNIYATGMFYLDSRLQQDNIQLFIDETRQADATKSHWVPESVKALSSFAQRFLFDLKMEIAKLKYEEIHSISRLISRSADTRRRVDLVYKLIEPFRGETRWTNEKVDQLWAYVFSFTIEPLVIPDYQAPLLLRLQSDLLYVFLLFADNLYSFGQYPVQFHDEFVFYVLPEGEAAAHPPTPVATAATVRLSTSSAASETTKMRSTTMKECRMAVRDARCRLWNSTEFLSSMLTGVQTRTRLGALDYLDRTFSRAFTEKLEEYARSEGEVGEEGAERKGPHSFVAIRAALLAAARKCTHALTEEFMAAFRKEDRLSTALKDARSIFIGAAMCAYAGSLRGGAAVRTIEVREAFSRSLVEAKIPPERRRRWGVDTEEKYGRIVARLEWPLPYVLHPSLLTLLGDARHFILNLLRCSEIIMDIQCDVEHPVAEDCRRPFLLLMRNLLQLITLISELFYKQAQVLVDRYFARIDASTTVDEAREQADVLHDRLRDLMGSTGIRKMVRDIVDMFCRMTDEIHLFLLSGKITSMEVFKWSKVVERERSMLISMGENMTNNVLADLVTMLKIR >PPA07908 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:649063:650076:1 gene:PPA07908 transcript:PPA07908 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLAIIPLLVAIQLAGSAKILLYDYKFQRSHVQFMNTIADILVDEGHEVVMLSNVMDAKLRDFGSTRVRRYFIEQGTEAAAMRYSGMGSNHWKARGFYDWLRYFGMRKMIAAMGGHHAFAKCALYPAFNLLALAPQR >PPA07914 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:666819:667226:-1 gene:PPA07914 transcript:PPA07914 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEMKQYRAVCASRVNRLERFKKTRSFCSLDQETNNHHDSAYASGNERDCHPSSSFASSDDDEIVVQDTFEMIKEKMRKSGASPTERH >PPA07881 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:526459:527939:-1 gene:PPA07881 transcript:PPA07881 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGQTGGDPRKKPPPGTGRFECDICGNVYTLKGAMEVHRRCHAAADDPNRKKYECKICGKFLSGETDDDPNMEKYDCAICGKKLYSKNGMEAQCVASQ >PPA07825 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:172580:173398:-1 gene:PPA07825 transcript:PPA07825 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIPHRLSQVTNNSARRIGWAFKTTNMKRLGVDPAAGVLDPKENALIAVSCDAFAYGQEDTNNDRVTIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA08002 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1246900:1248846:1 gene:PPA08002 transcript:PPA08002 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPLLSLLSLAAYAAAEDAAVGEIPLLSGNGGLTGAGYGDAYGGKVAEGVHGIGGRAGGQLGLTGLLGLGGGRKKRQDFPPFSFLIPAPLVDPVPPTQEGSGETEEEGRQKRDASATADATANGGDASATANAAANPPPAVAAAAARKKRQACCAALFMARALPALLPMQTPHRTEETLVASAIANQRRGSRTTAVPAARKRRQANASANALASAAGKGSASANAAALATAGSATAAPVARRKRQVLGFVPFESLGFTAPAPEAATGAPEAPATEPC >PPA08004 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1250613:1257656:-1 gene:PPA08004 transcript:PPA08004 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPPAASRQPPAAFYPMLEPPAASRLLSYPIESFEAALASQLTPPITPEQAKPVSKSARRRGRKTGSRLQSTDSFDTNDQSNTTSRDASRDTRGSSEKDGKERERIEEEQEERCPAFQVAVSKQTKKKEAPIKFQAKQYVPVVPELNDKDKKAEAKRKALEEKSAKEKRLQERLEQEQQRASRENTPDSSKPAQPESLLRRCLGKRKKAPAISAEFYEELRRNKENYTNWNHLFTVLAILFVIAFVGFGLWHGRQFHVIQKIPLPVVTPVAQQTSNAAPHSGLLPDYTDALEAEKPLLPQSGIPVAEDTIKCLFWVYKPGVDGAAFCVHPPENYSVTEYHDETIVELADQAGNFTRAERRSFARCLLNLRHYIFFKLERGRFHESPLEISCHPPNDWRLDIPDVAFLFLAQTITEICSSYRAMAEEQATTFEEHTMAYDACEPVSGKLYGRNEKHEGSGNRFVWMNGLYLNVSWEGAFSVRDAEIAYAIVRNAKMNLCFEHLCMTKTTSNSVEEPVYDARFVSCYHFTRVIARLMHIVYNGFGPIEFNQHIADHLDWFFLFNPYEPDGTRHGYAMSKKMAHDKNIHGRVDDYGTVYHRDKHSSADQSPTIVRTGADQVGIVL >PPA07809 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:86816:87117:1 gene:PPA07809 transcript:PPA07809 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSGSSSDSGNYIDVSSDESVIFLDNGFIESRDSTEYNIETDDSASTIDAKLADAEREEQKAEDEVKEMTSLLREKDDVLRRSNEEIRAEVER >PPA07792 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:11243:13009:1 gene:PPA07792 transcript:PPA07792 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPHLVIVDPNPQPRRRRPLIPYRTRCWPPTREKCRELIRSIRFLCAADRVFEFITYIMILVYILFLRFLFAHPRIEYPDFFYYPAQLLLSLRLFIGYGWRFKKSQQPGRMI >PPA07952 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:949515:951504:1 gene:PPA07952 transcript:PPA07952 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEPAAKRGRHCEKPARIGAMDFLTSMDDDCLMSILGCVNRSTFDVLQILNQRMNELTNRRGIDRSCHAVYLDPIIKNTHSSLSYKVSARNTDIPKETRKVMKTRFIDKKAQWHVLSAIHIGPKEVEMPLIFEHIHSVQKENNIDIVNLQKIDIDGYFVQRYLETFKGNYPKDVRFIACTFSGILDGTKAKNFFLQAKMERISIKAYSGGSRYHGPFTQQFLEEFVAQSNGCALSATIVDSFDEEKLMGGIQWIPSPDFLPLRLDLPIEEDHTVTKRDKQNTFFYY >PPA07798 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:41987:43320:-1 gene:PPA07798 transcript:PPA07798 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQLAQQHKPDPVDFEYTTRDAIIYALGVGCKAKEDIRYLYEGAEGFMPLPTYIVAPGMKSTGLTRWPGLKVDMQRILHGEQYIEMFAPLPAEGHTENKKFTFAVPKKHLVFS >PPA07926 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:751100:751681:1 gene:PPA07926 transcript:PPA07926 gene_biotype:protein_coding transcript_biotype:protein_coding MNWNNIQQYLKEDLRLSDSVVPTNYAIELSINVRGHSGATKSDFIGTVTIYLNISKPVDKIELHSNGLEIEKAEIAEHALFSEATRVIRISSNPDRETITIHLNRTIHPNEKFMLQISYNGMAKMDENGLYENW >PPA07961 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:994305:996086:1 gene:PPA07961 transcript:PPA07961 gene_biotype:protein_coding transcript_biotype:protein_coding MMKHTANLLTLALFVIVHVNDGAVYTTSNTRCKTDKSAVLDTSLARIGDVVQISSFRGGGAAEESDEPRVNSSVIYYSLFDREGFSSIFAPTIENETVFEQNFNTKLFALHSAIYENSAKCGGRKQANDYGFPVEHYLATPDFINPSINTGSTSLYYFWGPSFLPIHTPDDCAHYTTMNGRREVTFCLISEMERCAANISDLPHFAPLAFFTEAGERLAQFSWLCPKGQVCCAYECCDLANWIPGLIIMGSFAAAALLCICVICVKKAVDKHKEWKGARRNSSTPMRFQRVSSDPRISEHKFEHRSSTDQAVAGAPLQKS >PPA07896 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:601003:601351:1 gene:PPA07896 transcript:PPA07896 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTHALPKPTSSMDRNHCTSIPRNPLCGLNELANSLLHAADPYYNYEGPLPDADRVDDTFEDDE >PPA07852 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:383730:385756:-1 gene:PPA07852 transcript:PPA07852 gene_biotype:protein_coding transcript_biotype:protein_coding MAADMAEELKGTGVSVVSLWPAAVKTEASKIFITSGKTAEAFKGPADVITETLVTGESTEFAGKCVVALANRLPMDSRSLKIALRFLGWRRLAAWIPAWVRIPLPFMHFAAEEAGWPSGVKRRSPFEGYRVQSPPRPTKSCYPSGVGKLVATSVAKVRGISLYPD >PPA07836 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:238033:239247:1 gene:PPA07836 transcript:PPA07836 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVILTLLCLLLLFNSVVSLPAILDRRSGVIYVPVAGADYDVDDRIEDNLAPSRQRRSQPLENEYTDEFSLDPFEIQPRSYDADTLTN >PPA08000 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1243342:1244465:1 gene:PPA08000 transcript:PPA08000 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLFPIFALFVSSEAADTAGIPLGNGNAGITGGGYGDVYGGKVSDGVYGMGGRAGGRDYNFVQIASISSVVAKPAHAAATAAPGRSKRQIVNTFPMASALASVYGAQAVAGSAAGAGPGRFVPFGSLYPLPPPPPPTTTTTTTPAPTPAPTIVPPTQAPTSEEECEWD >PPA07826 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:173854:178698:1 gene:PPA07826 transcript:PPA07826 gene_biotype:protein_coding transcript_biotype:protein_coding MILFTILIVVLLLLEGAEGAACNSSGAHWATYTTTDSAGRMFVRCANSNAFFDEFEVEKLTCIDGDWFGTSCAGSAYYIGTSLPSFLCYTPQECLWNDLEVDEFYDEYFPITLQSDVVAEGEDEWMTCSAIGDNLKDYFHSSHGPAKFKCTYNTATKKFGLRMHDERWIPSNNISCGVLKCPVYHYFDVSTGHCELESELTLTVKPSKSARLENISASRHMTHLLVYQGCKATIDGGYISHGPDDSKVEELFAMGPSSPMRNGQTFSLNAFGQGKTMITGWNVYFNGAFVHFAHNYEKHFDSIIGIKASHIKRDSSMKIIQYNSFYLGPSSACVVLMNHAMGSWPAGNGPLTEELKQELIISRLAVRKGCWATPYNGYGLLGTACTDKDNIAGVVCECAASANVRDPGNTGRRHFPLDTVTVGSNIVFAGKLLNSSLNRTGPATVNILVNSESAISLRLSINSGYVHYGYAGEGSDSMADSDSVSNDLLGGDWFECRIRSATWKCKSSDSRKSDYFYVNGAMTGQHMVIDLLDSDLYVVFTLTIDLDSGEVVQTLKGPSGGDLTVSSVIVPFSKDTEFHIVFANKPHSLEVYINGEIIHPIIGQHPNRWAEEIYYGVGVSGATVYEMSHGPHRWD >PPA07812 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:98352:101593:-1 gene:PPA07812 transcript:PPA07812 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLAMGRLAGPSGAFDKVVDPALKKSADALDKCVSLFGRTVQDLLVKHKKGIISRQYEVVRVADAAIDIYAMAATLSRCTQTSKTAGSVADYEKKVANYFCETRFNALKRTRVMRVVEQTLSGFASS >PPA07875 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:491118:493737:1 gene:PPA07875 transcript:PPA07875 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLVSLALVGLWSSLPSSPSTLKRRRTFSSLPMTTSSRRGPPSISGARRVLRPVVRTLQIARPEHAKASGVLKDEGCEVKLDKVDATVHGGRDVDAIVKKKTGPAAVTIESSDDLKAFAEGNDVYTVAYFEVRSHRGRDVDAIVKKKTGPAAVTIESSDDLKAFAEGNDVRSHRRELKITSISIFSVLSLGANLAKFAPDFTDLTTENIVSFNERFLAGELKQDLVSADVPEDWDTKPVMVLVGKNFNEVGKNSGKGLLVKFYARGADTASRSCPFGRNSERSRPLPTRFSSPTSTLPRTRLERPPRRTRRGNTPSLY >PPA07850 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:378103:380469:1 gene:PPA07850 transcript:PPA07850 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSAAPSLKGKIALVTGASRGIGRGIALQLGQAGATVYITGRQPSESLQSTAYYGSALPTLEKTRLDIEDRGGKAVLVYCDHSDSESIKALFERIDSENNGQLDILVNNAFSAVNDINHSGGKPFYELDPSIWDAVNNVGLRNHYYCAVYACRLMTKRKSGLIVNISSIGGLSYAFNVAYGCGKTALDRMAADMAEELKESGVSILSLWPAAVKTECAKELITAGKVQEVVKGPVEMIEESLTTGETIEYAGRCIVHLAADPKVSKKAGKILFTSDVARHYGFKDVDGATPQDMRSVSLALRFFGWKKTAALVPSCLRIPLAGMHFVSYKFE >PPA07848 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:369444:372938:-1 gene:PPA07848 transcript:PPA07848 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTTEYFKIIRPFLYSCFIFYTTEANCVVRMVPSSFLQFPKCVLFIAICFSLQWLFRFRATCCSFQFNRTLVIFQIPLIRRLSFSVIRIAAYIACAIYALRYRPNCNYQFNCFGFILILEALLHFTQFLIEMLTDASSPGALCSILILFTQYLHFVLIPVYVYYLLLLFRVHKPFSLPLLGLIAFITNLMIVGALIEIVGLCAVFTHPCTTSFESFSFSFSIPTRFYRSERGNGSEKAEFFTALTVAVAVKVPEWSDLVKLGVTKDMAPVNPD >PPA07910 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:652751:654895:-1 gene:PPA07910 transcript:PPA07910 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLASASAILLLVSLVGICSNLVALLTVKRTKYMHNTFGALCVSLASCNLGVCLIYFGWCSVATLSMVDSVDRVFFDSELGKKVGQIALLFLYGSIYTHLAVSFNRMMSLMYPLRYLLMFDMRKSAALLCVVWTLAFIEIVPYFWSRNCYFFYKYEVALWEFAETECGEFFGFYFDFLPSIVVVFFILAMDVVALIKLRASHKDAFVSTMSQEQRKARKIEIGFFIQVGKTYIS >PPA07983 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1165728:1169018:1 gene:PPA07983 transcript:PPA07983 gene_biotype:protein_coding transcript_biotype:protein_coding MDSACFVSNGPKGSLAFLLSDAGHDVWLGNTRGGHYSDHTKLSRKDGKFWDFSWEDLAAHEIPSIIDYILKETGRDKLVYIGNSQSGLVAMALMSTRDEYNDKIHGFFGLAPAASLANVRGPMIETTPAGHLVDMLEDLAGPQPVLSRSLLNDVLRTVCSVGAMHLPGEMMGKCSRYACERTFFRNGGDWSTQLDYSRLPIYYSNYPSGSSTKNIRHFTQMMLKQRTARFDYGEVENMKRYGTLYPPSFNFSSVRVPMFLWYSDGDYLVSGADITGRVVPQLKEEFLKEVIPLTGYNHFDFHWGLRAPEDIFHPIIGFIEGFDKDDNATKRVSRETFCAKNDPNDICG >PPA07880 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:525602:526367:-1 gene:PPA07880 transcript:PPA07880 gene_biotype:protein_coding transcript_biotype:protein_coding MILEGRNIDLIFVENFWTENRRSLCTKSLILTKTTPIKLQRNDRFSRIRPILNGKDAEENGESSEEEESEEESDEEESVESGEDEISEDKDNEGEVTPMTSG >PPA08014 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1339628:1344568:1 gene:PPA08014 transcript:PPA08014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gst-23 MPTTLVGGHRVIPPSKMSALKLYYFAIRGLAEPIRMMLIDNGVQFDNITFQKDEKWFAEVKKQFAFGQVPCLKDGDMEIPQSGAIIRHLARKLNLAGETEAEKVFADFFSEGLRDVHEKYCQMIYRDYGSKDSFLATIYPAEWAKVESLLKKHANGEKFVLGDKISYADYLLFEELDIALVLSPTSLDAFPVLKAYHGRFAKREKLQAHLASRNYPVNNNGKHTHCSFGSAQSAMRRLLKAADILSRYA >PPA07931 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:795301:800758:1 gene:PPA07931 transcript:PPA07931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-max-2 MKDAKKSLIAGEKEKRDKSKVRVRDILGRFRLFAPNEKASSEDTSTSSSFEISAPYNTVHRVHVGYDGQKFSGLPQPWMDILLRDISEADQKRNPTAVVTALKFYAASLKQQEEGREKFLTTNSVFNASDEEEIDVQLTGEVLQRLTMTEDPCSSSSSSSISHRLDGGPTMTSPSSTSSLSPAERNDLPPLSSPAPPLPPRQRTADRPAPPPPPARTYKPCSNGAYAAAASAHFPLEQQPSSSSLASSESTATPAASATAADDVTVVPAAEEKKAPVPAPRVPPKVPPKPAYCS >PPA07823 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:162966:165787:-1 gene:PPA07823 transcript:PPA07823 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHWSTVTRVVTVCESSIIFFIYGIFLYLLAKNTKVSQSFQLVLIIVAVHGLLYAFNIWLVLSAHVFHHGHFSVPLYGPLVQLLPKFMQEAFDIDPNEKFVVYGLPFGRMPENIRRHLKSFGVKLSEKTLKMENAFYKMQLLQSILPVVVISFPIAVFIIPSITSSDLGPATLSMTFSVWMVPMVQGSVFLYYLRTALRNQKSSQVATTSTSTKNSAGHAATLKTKRLSK >PPA07965 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:1011049:1011912:-1 gene:PPA07965 transcript:PPA07965 gene_biotype:protein_coding transcript_biotype:protein_coding MGASANAGVHLSTRLAKYQYTPPSDTNTGSLSEGWANCIAVLAMISIISIVSGCYVMPILTPWLLLSLILAWFGILGKLNRSPVHYMLVATVLLHSYCAIIAILLANNEFWTNEPNSSAKTGSGAQLEQ >PPA07820 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:156109:158203:1 gene:PPA07820 transcript:PPA07820 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTETAISTIVKWKGQSSEIALHSKDKLVRVIDKGFNAMSLILSKESDYEDIYRALDLLDRERSISLKFETVKNDAVRDLVYGLLESVYLTMSELTEELNQMKERERVQVKETRDFDTQTDENPLFDDAMNAKIEPFEDEVINAIEMNPSSFEANYSADIAEAPSAMLNDDNVNGETNNENVLEKLKNNNEENSEKTSVPCGKGTAKRKRGRPARAVAPLNYAHFGTECDSPAKSAKKTRKSAPSKTYFGGDNETTKKGVSKGSATKRISEVSRPWHRNSPNTTLTTKRSGTDMECVECPANLVFLCECGNQSRTDWHNSQCDKANFTIMKVNDDPIRRIGSAGAAS >PPA07872 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:473724:478748:1 gene:PPA07872 transcript:PPA07872 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYASTSTAHGASLWIFGYGSLIWNPGFSYAERRKAHARGYARRMYQGNTFHRGDDNLPGRVATLVESPAEDANGVAFRVEGTRAIEEALKHLNEREVGNGYVFKLVRVAFDVSETSSPSSRSSSTAPPSSPSSSSSSCSYSDSEEDDRSEGPDYGSSFLALTCIAHASNEFFLGPAHPAEMAAQIGAACGKAGPNHEYVLKLAENIRALFPRAADSHLFGLEKRVREIVKRERRDTLLKSG >PPA07894 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:595952:597298:1 gene:PPA07894 transcript:PPA07894 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNFARCMGNCTSPRKSEEPEEIYRISSLSVYLNGSKSEDSDSEESEDSDEEKDERIKGASLGNSVKKHNCGECGKACVSLSALERHKLTTILGRKHMHAIIARKRSQRNTIVNVTKALISERTILEKKSLNAKSVGRY >PPA08023 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:1371913:1375028:1 gene:PPA08023 transcript:PPA08023 gene_biotype:protein_coding transcript_biotype:protein_coding MDGERLARTGHKTKCTVTFYTKYGCKVDYSGCKEGRKPFPFVDRECSKDNY >PPA07857 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:406640:407572:1 gene:PPA07857 transcript:PPA07857 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTFPTMEDHKPVKATYPYDGTFENFDGKVLKGDCLLPEPLFYLLIGLLIIAVVVIIVLAVLLYRSKRQYKKVGTNEENDEAI >PPA07840 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:258495:258842:-1 gene:PPA07840 transcript:PPA07840 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPVESKGISQWWRIRSFLEKFLLIVILILLLCGIVVIVLMAQFAAEVVQLAAGD >PPA07800 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:54070:55073:-1 gene:PPA07800 transcript:PPA07800 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKIFPAGLFLLSSTVFCRPLCNPQYERCSFPSSPYQHPVGVPLPLIPIPPPIDHQVSHNLPIETSPRPLNSNPLNGNTNVDINIIQNVNVHSESRFLPPSSVLIAGSLPQAAPIHNSFPISSTAASATTLDEFYVKLRADIEELMEKYSDEPNKSLDYLVGYAYLPNKDDFDPYFYLLIE >PPA07923 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:737468:740645:-1 gene:PPA07923 transcript:PPA07923 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLSIISHTAILYIAECQAAKAGFFRVSFSSQLMAAAAAAFAHAAGMPVDWTDSTSTVLVIHLVVLSIGIAHVHYYNVMNDKLVPDMKRPGHRQYRDPLDKSAYGAVHTMKYHSRTALLLVLLFCYLVFVCYGLHEAKHEAFMTVLAATALIGTLTLHFICFSIAISCADIDKKKQKAARAAAVRPIRRGAGVPYVPPGPPRPTARPAPNFMQREADSDYESVTSDDYRGGIPVCSNVHMDNTCKATPSSDVELYIEFATAIPSLILYGLLFVVYCRRRHAQSISIIALNINRVLAIYAYNSVTIRYYSTHVLLFSIFFIPLPLTWHLLLSPVKFMPSPPLLTMDYIRVVTYKLSSLLVVSCTIALAIGTGVNKTIP >PPA07861 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:425350:428355:1 gene:PPA07861 transcript:PPA07861 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTILLFQLEDVEAQLKRAVDECNKVRDELDASNKAKGAAEKKQQTAITKMNKASNGKGRRTGCTQGHTTGHALFGRVASAQICQADLFDCHADLTYNKSICDQLSADLAEERSLNAIVRSDQEKWHKQVESLQKESAEQKEKYEREVTELREQLRDVMLHLEGGENLERQATEAGVTKEEVRWSKACSRCLPQRRRSSEDEATRNETEYGSQGPRPGTLPTPRTRPPFVYVFACCANIY >PPA07928 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:765585:767840:1 gene:PPA07928 transcript:PPA07928 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSASPFRLAQPTKGGFDVDRDRDEIGARVYPKGTSKIMVRASTSKKPKTYQVDRLWDRRIEGGQKQYLTSWEGYEDKTWEPVDNLSSKTLLSLMKELDQILDDPTHPKPEWYRKFLQQKQRGIDVMKHGIDYEPPTKRCREATPTAFADAPVPAKTPARFAPSLQSAATTLVARSSPAALRRPTTATSLREAAAARPTAAAPERAASATPHATAASRNGTASPVPSTTRVAARHSFPAPPRVASESDAEADRDSVDVTPSPLVPSTSRAASRSSLAATTQVAAMSSPKVASTSSRTAARYSSPAPSRNKTNTPAPPAVPHTQVAAPVPFTVETPLGAITAVEPDSLLAEYTMENEATRDELLRLLTRPITGNPMNGMRNMLKAMWALQRKADEQIKVLNSQNAEYARRIDKLESDKDERFETLQTEMANLRKSFKMSSRFRERKELAENQ >PPA07959 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:984340:986239:1 gene:PPA07959 transcript:PPA07959 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSNPLRPAILKLFRAGIPRHDIVLRLAIPKRTVYDAIARYLELGSEEDRKGRGRPATVSTPRNRERIRKRIGRNRKQSIRAMAKNLHISNTSVRRLIKSQLTLRPYKYLKLHGLNDRQIKLRRDRCRLLLMRCARAEHFSTVFSDEKIFTIEGKMNSQNDRILAHDPEEAYKSGGFIGQTSHPLYVMVWGGVCATGKTPLVFVTPGVKVNKEFYVKHILQDALLPWARSHFGQSHWTYQQDSAPSHKAKKTQDWLKAHVPDYIPTSEWPPNSPDLNPLDFSVWGVLQAKVSTTKYKNRDTLKAALLKAWAELDTNYLRELATAYERRLKACVKREEVSSEEEVVTRKPKHKAKQYTGPKPECAVGDNKGTSDLEAAIARMRNSKHSAVAEAKGPRGNGGRDSLSHPCFPQPLIQPMVKK >PPA07897 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:601914:602949:1 gene:PPA07897 transcript:PPA07897 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFQSPFDNDHYSTSHHDIDLEPSQQGNDANLDAIRSRRLKTSLGQSIQAASRTGHRMMETADLAYNTSYCFSKNNEEKKVIEGSRKFIA >PPA07866 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:449170:450804:-1 gene:PPA07866 transcript:PPA07866 gene_biotype:protein_coding transcript_biotype:protein_coding MSISADRRLSAFITHCGLSSTYESMHAGVPIIAIPTISDQYRNALMFKRNGGGIVMDKEDLGNSKKMIAAVREILYNPEHSINARRVASRLASSPVSSKENFLRHFEFLVKFGPLHHLKHVGATQSFVQYYSIDVIAIIFTALLIVATTVFGSVYFITRKVLRGVKILMKNKVE >PPA07915 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:695762:703082:-1 gene:PPA07915 transcript:PPA07915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mig-14 MADGAVIENLSTRKLLGILAALGLAQAAFFAVGAFFAPVPSSSMDFEMVKCADQTKGAAGHWFHLRPPRCDLIESLATYTPSSFDLREIVFIAQMPHARNNLQLEYSPWFQFLLGLVHVEVEYSDVFKYVPGAKLMLEVRMGVRTRESAPDAWEEYADVNVTRTLDCTIDPSKKSEGNFYTCDTLDLFELGSNNYPFYLLNVRIPIDTEACRRDPVATPNCQIGKINELHVVESTLQAIHQNGGFTAVWLWLKTLLCPIVIAACYWFNRRLSLLPRPRLLLEKAILALGMVLVLLDAPLEWLSLVARLPCLLLLSDLRQGLFYTVLFSFWLIFAGEHLIDDPQLNNLSAYRINLSLVAVASLALLVYDMAERGMQLADPFYSVWSSEWGATVAYISIYVALACTLAYFVFLFYKIVVVWNSIRRKRAAHLYRTSENRRLKVEGVIYRFKFLMLFTLLCSALTIAAYFMRQFGEASLHGDSADDSILTHSTSAFFTGTFGMWNIYVLLLIAMYAPSHKQYQGAHGLIDENEDLMSGEERGTESNPLTTFLKPAQD >PPA07877 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:502475:520061:1 gene:PPA07877 transcript:PPA07877 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVVSPERRIELNAFDVDAWNLLLRENHARAIEQARPFYEKLVGQFPNAGRYWKAYIEHELRSKNFENVEALFSRCLVHVLNIDLWKCYVFYVRETKGHLPSFREKMAKAYDFALDKVGLDLHAHTMYTDYISFLKGVPAVGQYAENQRITAVRKVYQRAIVTPMMNIDKIWDEYQQYEKSVNPTLAEKLIMERNKDFQISRRIARQLEQITRGINRQAVSVPSRGTVGEMKQVELWKKFIQWEKGNPMDTEEYGAFARRVIYAFEQALLCLGYYPEIWYEAALFMQKAAQELEIKGDVKQASAMREEAIQLFERSISGLMKESQLLYFAYADFQEERKLFDEVKSIYEKIFALEHVDPTLAFIQLMKFVRRTEGVKAARAIFKKAREDERSKHQIYVAHALQEYYCSKRLGNVLVSDRNPFSSVQDKDVAMRIFDLGLKKYGEEPEYCLAYVNFLTHLNEDNNTRVVFERILTGGALANDRSGEIWDKYLEFESLVGDLASTLKVDSRRRDAALAVCKEAAESCTTLMLIDRYRFLNLVPCSPEQLRLMGYNKPLRAVSASGAPSAFTGVGVAGGNGGGAGTAPGPSGGVMPHRAGITVPAGPSSVMGGGTNIEITGYPRPDTTQMIPFKPKRIPIGAPHTVPGAIRSFPSSIAGVFPPPPAAAYLLTILPPPTSFSGPFVIVDALIAQLAKFDVNEQVKGVMICGCARQTDYEIKSAFTGWEPARLSEKNGSLGRHKTEDIKRDMYQLLATTTDPKTALAAGDHKRKRGAESDDDEDKMDTSGTRDTLIIPLTFRSGTLRKMRLTVHILDSKIVKQKLKCVEEMRMENEDLKMCVSLGSNALFGVYEDTVVKSDGFVTRVGEPSLKKKRRIAVAGRDLWLGNMIMATENGSIRVVQVKDDEENENDSLRILSKGECVPPFCAVRCRQPTIIISTENTVFVGTQRGEVHCLNEQLECIHKYEMEGGPINCLKVDENDVGFLWIGFDSGEMRRMEYLNGSECDEDGISNNPNKKTKIVVRRAKLSETELECLEKGCYYKTLSSRAFEMHLRRTHRTTFVLCNAPNLRVIRKHDFGPIRTLADKKIPYFLRCGVCKGEFYTRHECLMHSKKCKSRFYKLLLVGEVEEEKNETEMDQIANQLMDEDNRDQETWSNRLCPILFHYNAHVAIRLLSRREVMQKRGRRDKYFLNQFDSFYRLEMKKLIVRSGK >PPA07930 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:772757:774913:-1 gene:PPA07930 transcript:PPA07930 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRPFQSLISRSVLQQTNNELRDTVWWVPVPGSSLQATKTSVPNGGKLYTTYDFTLTSAKSTCKKNAVSYSQISRCSVDPKYSDRQLCKVTLAWTEKDYSTVEMETYCTRNAQNTASKP >PPA07878 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:521217:523274:1 gene:PPA07878 transcript:PPA07878 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVMRDEDAPPHPPVMNIPVKDHVKTIMAKYLLSFVMTSPSNGCSTQSDAAREGYLEWDEYFMGIAILTGQRSKDPNTQVGCVLVDARYRVVAAGYNGMPWGCADSAMPWGRNGDEPMEDKNTYVCHAEMNAVMNKNCVDLEGCRLYTTLFPCNECAKIVVQSRVKEVIYLTDRESWKMDAAKRLFDTVGITYSQFKPKRDTVTIDYTKHF >PPA07794 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:19176:19942:-1 gene:PPA07794 transcript:PPA07794 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIISATLFDTIKEELPNNQAELKKVNGIVVYEILKDGKPAAKYTMDLKSPPGDVYEGDPKGGAKPNVSVTIEDEVFVALAAGELDPVKAFMSGKVKAKGNIMLLQKLSAILKNVNAKAKL >PPA07963 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:999820:1000958:1 gene:PPA07963 transcript:PPA07963 gene_biotype:protein_coding transcript_biotype:protein_coding MEARTPCKSLNQPCIMSVFFRFFNSTEFIDGLLSHVYFHNYFHAVCFDVEEVVQTSEKPSTIAHLSNADCSDVVESVMTSTLSHHPSTSVDADSTSLEKALEMQQLNRFIAVIKRLHWS >PPA07984 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1169662:1171211:1 gene:PPA07984 transcript:PPA07984 gene_biotype:protein_coding transcript_biotype:protein_coding MKVILLSLALVAAAFAEEEADQAVEDIRVILVNANVSAEAITEFFAANLNVIPFTPETFAAYDAWKLKWNADVPELEIRSNNVLMASVQREVLHRMAEFIKHLGERIPVLEELAAKNTDEVIAKENELDSKASTFELKLVHRISEEMMAAVERDWGVSIVEGAAEQAPESEAEKEKDEEKKEEKEETVEGEKEGGDEGEVKKEEQGEEQKDVVAETEKPE >PPA08015 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1341809:1344719:-1 gene:PPA08015 transcript:PPA08015 gene_biotype:protein_coding transcript_biotype:protein_coding MNVAVRKRKKAGEISRNGLKNQAYRDRMSAAFNSLRIALWAEPNEHARMTVPALLTTAAEYIKSSSNSVSKDSSRFYKSGFFRPWE >PPA07810 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:87185:87840:-1 gene:PPA07810 transcript:PPA07810 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGYDLTVEIPTIRGGTLINDIMAKARGVLQCRLRDTYGQDNGCKDDDHFYRNLKYHVVSSRLRT >PPA07796 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:24366:26605:-1 gene:PPA07796 transcript:PPA07796 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQLAQQHKPDPVDFEYTTRDAIIYALGVGCKAKEDIRYLYEGAEGFMPLPTYIVAPGMKSTGLTRWPGLKVDMQRILHGEQYIEMFAPLPAEGTLRSEKRVVDVVDKGSGAVIMTEVTTYDATSGKKIGMQQICAFQVGAGNFGGSRTSPHEKKGAEIPKRPADKIVAEVTSEDQAALYRQGSGDLNPLHVDPAFAAKSGFGTPILHGLCTMGFAARHVLRAFAENDASRFKAIKVRFASPVLPGQTLETHMSRNRARPSFPMGTLSCK >PPA07911 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:655774:658149:1 gene:PPA07911 transcript:PPA07911 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVFFLCLLLAAALQYSDASKVLIYDLKCQRSHVQFANEVADILVDEGYDVVMLSNVLDERLADVGSKRVRRYIAPQVDAVALLGGGRMNDTAWTTHGFAEWVKKVNFAKFLSRCSICSAKVEKFDAALFATLDVCGLHIVNAIGVDNYAFIDLHSTLPASFHYTAVPESLSYVPGRFARQMDMTSLGNRFSNFVMHIAIENEIAANASYVFINRDPQVDFPTLTTHRVVDVGGMTMTTSSKSLNEHWSSVLSLRPKTVLISFGTVGNSADMPEHYKRALLETLKEFPNVTFIWKYEIDEKVCEMRRDTFRIDITR >PPA07921 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:731821:733339:1 gene:PPA07921 transcript:PPA07921 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLAIAMDSLSIRNSTSSLERLPSDVVWKIFEYVPESFCDLRLTCRLLRSQMDEYVSLGTVIPLVKEIAYFGAHQRSSSYLPKINKKLWFEAACGRDIVPFSVVNNENTIEVKASGSIRLFTFKHNTRLEEPRIH >PPA07904 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:635589:638585:1 gene:PPA07904 transcript:PPA07904 gene_biotype:protein_coding transcript_biotype:protein_coding MITVNYLNLVLSPELLPDLPHSFTDHRPSSSIRPTSMQESIISEDPLMDGKSSSGCGFLTLSGAKHCVGVYFTVTKPTLYNLSFRVKRHYCLKSSVIAHIPDNLFKHEILGYVKNEDANEIVAVTSRIYRHEEDGEEFKVFGTGTMEFAPGSYHFAVTNLEETYSTANKEDEISAYQPTKFMNQDGGISAHFRVLLYDIFDVFDINMNKLLEKSEFDLFTHLSENSIADGGAYMSDEVKITI >PPA07902 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:625829:626815:1 gene:PPA07902 transcript:PPA07902 gene_biotype:protein_coding transcript_biotype:protein_coding MMESFSALKGRFMDTYELIYETPDYTDEHLNRNNLFELAALVGRTEGNNSVGVV >PPA07855 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:396028:398028:1 gene:PPA07855 transcript:PPA07855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nhr-22 MCVVEQTRQLSLFRRLTQRSDKTLIVRYVLSVDYVMGAAFLTEKLGIDEDILVLPDATFLKMKPAPMSGYEENAREHFKTKKEWETHKAFMPLFIRKWAEVILPFCEMRLSFTEYVLLKTLTIYQMVHYRLTEEGKIACSQHRNMVIAALHRTAELEGIHDPVQRVGEIILLMSTIMSSTLRLRFFASVQNHPFFRTTLLPSSVATSRSHCAISSVAAIRRFPLIR >PPA07949 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:940626:941433:1 gene:PPA07949 transcript:PPA07949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:H3EDZ7] MARPRVFFDVEIGGKPAGRVLYNDVCPKTVENFRALCTGEKGVGKSGKPLHYKGSSFHRVIPNFMLQGGDFTRGNGTGGESIYGGKFADENFKEKHTGPGILSMANSGPNTNGSQFFICTAKTAWLDGKHVVFGQVVEGLDVVKTVEDYGSKSGKTSATIVIADSGQL >PPA07870 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:470080:471054:1 gene:PPA07870 transcript:PPA07870 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQLLVFALAVSAVQSACPYPNGTDMAINMYSCGTSEKSTLADPMHSADTITILDYTLSDMNGKPLYPVNPSKPFVIDMQSFNSGPTYTDIKASVRIFEYQKSWTTGQCAWSEIPTFGAL >PPA07951 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:943655:948632:1 gene:PPA07951 transcript:PPA07951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lec-5 MSRWLIALCLIGLVAAAPKPGDESGELQSEGGHDPKKSKETNYKLLIGEQDFRLPFKTRVVQSFVSGQTIHTLGKINEKPTRIDFNFHKGVDKDADMPLHFSIRFDEGIFHSKFIYNTFLNGNWSEDEQRINNPFKADKDFDLRVRIENNTYHVFANRKDVGKFEIRQPLDGIDHVSIRGDLTNLRLFHYGGSHFIIPYHAIANLVPGKRLDISGVPTGKRFDVSLYRENSEYALSLSFRFNEGVIVRNAMQNNMWGREERDGGLPLNKGEISIRILVSYRNPPRRFDLTIINEEFSIQIFVNGKRFSTFMHRGSPKDFKTLGVRLSYYFDH >PPA07973 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1070412:1075527:-1 gene:PPA07973 transcript:PPA07973 gene_biotype:protein_coding transcript_biotype:protein_coding MESTLSILMSGVRLGGESKKELEEEKRAPLRVCSWPEDLDGNCILSVEGTEVHVNKTYLSMHSPIFHRMFFGDYRERATDQYEVNEVDKEAFIEMLRVILPSRKAIDEKNVESLLVIADRFMMDNLRKECITFLCSDTEAAKAVNCVDLILLAFRYGVDELKARVSARLFTQESITNLRHLARFDEITDEARAWLFNLIITRPASHSMKRVLCNDRCRNFDCQGRCTVCGGASNGRTT >PPA08007 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:1301773:1302637:-1 gene:PPA08007 transcript:PPA08007 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPHRTPTVPSPFQIAWSHYLAQLYDLDVDVPLTDREEYSEVIRTFARQTRRARRRSLTGSVAVRRIGTPRRYGRCTTDRELIDYHNVLQQSQSVGDVVDVHGARRVVALGAAREQPGGGRRLLIAHAMHNKYALSPESSAYARELAGIFDDEKFANVDEPENLRITGLRDFCKSSIEDLRALPDPFPNKVCPTFTISSTLSKKRKTTFPKAYTTTAFQLQMMYIFD >PPA07797 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:28293:28923:-1 gene:PPA07797 transcript:PPA07797 gene_biotype:protein_coding transcript_biotype:protein_coding MCLKNNELDEFEEQRGFSDKIKNRRKSERRALAEANRLLTIELTKEEVDSRGKFRRLLHNYSFKMSIVFSLLTAVCLLVNGLVNLLPAYIAYSDAIIATELAHLAKEAAKKIRAIAGPE >PPA08017 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:1352436:1353579:1 gene:PPA08017 transcript:PPA08017 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIPRQLRACPEGFLRVGAWCHAMVKSRNGFTFTKPHYGLGKTQKNSNSKHIEIHQKDTLETNRRSKTSLDANLSNTILEERYNGRKKP >PPA07864 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:437680:437896:1 gene:PPA07864 transcript:PPA07864 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKSTEDSPFELLIYHSDTKPTRYVGYTEDVKHGELWIIECAWSVESVRIMPYNN >PPA07831 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:188027:189309:-1 gene:PPA07831 transcript:PPA07831 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAQFDWAQCERRYRNPISSQLASCYVPGKCIGRIEGLLNISRRPFDNCTVGIIMEIKSADEIELTIVTELNWLSLKPAYTCHATDTDEKSGKPLLIWATQLMLETVSKN >PPA07829 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:183832:184739:1 gene:PPA07829 transcript:PPA07829 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVILLSSIALTSAQPCSCESSASEFTDLHFNCPIDNFCSDSLITGSCGKTCHSSGSFHSSPYDDTDSSIVIGSHSCAGSLFDFNNGGSVLCSYSTPSSVLSTSNACNYSDNSTHEYDYRLHLNKNTCAVSGGEDSSKSITSVSCKAQTYELDTCLQNAGSPSGVSGHCHFGTCWLYCSNNTEQLSFTPDLDGLSPRINANNLVCESGFTQYGQSSAFNLQCN >PPA07892 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:587980:591828:-1 gene:PPA07892 transcript:PPA07892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cat-2 MINLAEISDFLPAVIHTLNDNNVTMQHIETRPEKGGRGVDVLVECAVEHKDSAVSAVAALKKTHASITAVRMYKTRQDPEVPWFPRHVSEIDKCSHCITKYEPTIDPRHPGFGDEVYIARREELNRLAANFRYGDQLADVQYTEAEHDTWRQVFDKLEHLHVTLACSTYRRNLAMLLEEGVLTRERIPQLRSINAFLERRTGFILRPCSGLLSARDFLASLAFRVFQGSYENTTQYLRHHTKPHHSPEPDLIHELLGHVPMFADPALAQMSQDIGLMSLGASDEQIERLATVYWFIVEFGLCREEGQLRAIGAGLLSAFGELQHACSDVPEHREFIPTETALQKYEDDDYQPLYYVADGIEEALGKLREYAGKFERPFSLIYDPYTRSPISERSHGIDATLQTGAIRHQHCH >PPA07869 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:464052:468652:-1 gene:PPA07869 transcript:PPA07869 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPRIRDQILDTGRLFLRNLPFSTTESDLRVLMKEHGELAEVQVIIAKSTGACKGFAIVEFLFPEAAVQAYVKLDGSIFKGRMLHILPGEEKREEKEEEGEGDGTEEGSKKKRAEEKSSFKREKAEKQKKSAAKNLARRRPRRGDMDDLKPSRAGV >PPA08010 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1321778:1322623:1 gene:PPA08010 transcript:PPA08010 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPTLPSSLKSFVPRAQPQRIQRQGLPARFKSSRSRVELPYRFDHRSAVSEIVYLASRTTAPALQMSAFWNMDSQHAASGMHNCIMMHNERASCGGSVGLSHSPRYSFSLADFGNSQNSSKDSILAFNQISVIGTSPCSSSSFLSKMDSTSSSSSSVSSPTSDNGPVKFFIGTHPKC >PPA07882 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:529935:530170:1 gene:PPA07882 transcript:PPA07882 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTNQPTVHILELTDEIVKFSLEDTDLSVANSLRRVFIAEVCSCDDHRLDPN >PPA07971 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:1063323:1066882:1 gene:PPA07971 transcript:PPA07971 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPSEMIVMRHSERVDHMFKGWTVLANKAGVYTPYDHNMPSSLPIARPIDNYSLDSPITVNGKVLAEMAGANLRIAKHAPDIIYCSPSLRCLQTAYAVKFHSMSSANLRIEPALLEYPHAYRKCPPLATKEQRAQFEVDESYEQWMSLEKLFASEEKRKDYYARLKDVLFHIAAKTEQQSSTITAKPLTVLVVGHATTVFRAAGVLTDEPKDPSLYDLQRVGDRVPYCGSVLFRRESSGWQPQPVAIPSVTMSLV >PPA07846 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:359135:359969:1 gene:PPA07846 transcript:PPA07846 gene_biotype:protein_coding transcript_biotype:protein_coding MNNILADTPHKTLEFISVLRIGAYVAGAIFSTTKNKEYKFAGSFTPIFAVEAFHQFIVFTYEFLMIHANNWKLQQQHKFKNLPVRDETFYQVLCEILIVFMQYLSVIITAVYLVKLQRLKK >PPA07943 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:891375:896132:-1 gene:PPA07943 transcript:PPA07943 gene_biotype:protein_coding transcript_biotype:protein_coding MKREARCIPSYEEIVGTTLEDDEEEEEAGREYERKYNFRFEEPDQEFIKQYPRTIASSVREGELFSTSYYDVPVEGGDDDVEKPVFSDLSDDEYDGDSDEDYDTMAVREGEAVDDDEEEEGQTTSKKEKKKPLKAFEAAKEEMRKDKEKQGE >PPA07839 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:255003:256687:-1 gene:PPA07839 transcript:PPA07839 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLQAALAYMFNVGSARGIACPVDSRTGVERILSRTLAATAAGLRQCSPALFSPFSGTTSPGVSSRELRNGRLMVRGHTADSGLYKEGFPPPLALLRKSGRH >PPA07947 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:936183:936851:1 gene:PPA07947 transcript:PPA07947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:H3EDZ5] MGRPQVFFDITIGGKAAGRIVMELYSDIVPRTAENFRALCTGEKGVGKSGKALHYKGCAFHRVIPNFMLQGGDFTRGNGTGGESIYGEKFADENFKERHTGPGILSMANAGPNTNGSQFFICTVKTEWLDGKHCVFGRVVEGLDVVKQVESVGSQSGKPSTAVVIADCGQLS >PPA07938 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:857122:861803:1 gene:PPA07938 transcript:PPA07938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-bcs-1 MVGFATKEAQASTETGLVIYNAVGPDWRKMSVGTKRPLESVILRHGQAESMLEDMQQFLQCKTWYQERGIPYRRGYLLYGPPGTGKSSFIAAVAAHFGYSVCTLSLSDRTMDDFRLNRLLNSTPPSSVLVLEDIDAAFGDRARDDPMNDHPAYQGLTRVTLSGLLNSLDGIGNVEERILFMTTNYIDRLDAALIRPGRVDRKEFIDYADGEMLGKLFYHFYKPLDKADWHLMEEFIAECAKVNQPISMAMAQGHLLLHKTTPRRAIEMVREALSRDEKDFNDTK >PPA08013 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1336595:1337021:-1 gene:PPA08013 transcript:PPA08013 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLLLLTALAGTALCLTCSTSATVSNGVMNFNGNTTIPNVNGTASNTTTINLGTVSCPATLDRCMSFISK >PPA08028 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:1384068:1385094:-1 gene:PPA08028 transcript:PPA08028 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLTFLDFAHIPTLEAQFALMKAYKNAFGMVETTEMKRHRIYVALKAIERVGTMTHNEKLGELFAKIYQLVQQTHISYTLVQSSFEEFAEWVWKEWNSTDIAPLEVLPAIEVLYIQYHDLNNEEARAFADWMLAFHAVSGFANARMPLSEIQELMYRDWRTYHSDQNYLEDCVSTTGRGRCIKALPNGGDAALRSTFPELFAYIGNDLSIDHWANGSSGDQGNPGVKDDNKPVEQPKEEAFIIGDDEEKRDDSMELILIDQ >PPA07950 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:942232:943149:1 gene:PPA07950 transcript:PPA07950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:H3EDZ8] MARPQVFFDITIGGKAAGRIVMELFSDITPKTAENFRALCTGEKGVGKSGKPLHYKGSSFHRVIPNFMLQGGDFTRGNGTGGESIYGEKFADENFKERHTGPGILSMANAGPNTNGSQFFICTVKTAWLDGKHTVFGRVVEGLDIVKKVEAVGSDSGKTSATVTISDCGQLS >PPA07998 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1225612:1237105:-1 gene:PPA07998 transcript:PPA07998 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNGEIVHELHKKTQLINIYLFLNATAVLVLNAFFIDGLSTVDIVYPCVWMFFFLLHLVIDWNRSRCGQISSGIQHLSLILLAICGVPEMGYQFENSTYDNDTTLPIFCLYMGFWPVVVIQCLLYCWADKRRPETDKSEELDSSFINRLTNWWFTPVQIRGAKKDLEMDDIFDLNPGSKSVWLAALFEKYWINQMKDYIEKRHLAEKAGDAIMPVEPSLIKALFRMFKYEFLSATMYKLISDTLLFVNPFLLNELITFVSDAEAPFWQGLSYAILMFVVSESRSIILNQYNSIMMRMGMKLQTALTAAVYRKTLRLSASARRKKTVGEIINHMAIDIEIFQNLTPQIIVALIYLSFTLGYSAAPGIVIMILYLPLNIFTSLAIKKWQARDFPNLEIAKLLGTCKMTQMKLKDERVKMVNEVLNGIKVVKLYAWEEPMEKHINAIRVREIALVRKAGMVQSLLDTINSASPFLVSAASFGTFILSSDEHILTPQIAFVSLTLFNQLKMPMMMIAFIINMTVQAMVSNRRLRGFLLSEEIDDSKIKRDENANASPNSVEFHNVDATWEEASDEKAPRGAFVAVVGAVGSGKSTFLSAMLGDLNILKGDITVTGRLAYVPQQAWIQNLSVRDNITFGKPFVREWYEKVVSACALAPDLAILPDGDATEIGEKGINLSGGQKARVSLARAVYQQDDVYLLDDPLSAVDAHVGRHLYTHVLGPRGLLAGKTRLLVTHSLLHTREADEILVFHDGVIAEKGSHDHLVKQGGMFAKLMEEYASSAEAEEEEEAEEEDVDDGTKVEEEKKAVHKAIGRGSIVAEEKKLIKKEQMESGGVKLTVYLEYLKAASISFCLIFIIMYGSAQALSMLRTFWLSAWSNENDPAFNGTKMDQGERLGIYCAIGVGTSMVYYVAVSAMVIVGVRASRQLHSPLVHNLMRSPISFFDTTPTGRILNRCSKDIDTIDSQLVQNLKTFIQCVFGIVTTLVMIVTSTPIFVVVIIPLAIIYILILRFYVPTSRQLKRLESIHRSPVYSHFGETIQGVAIIRAYGKVAQFCLDSEAKVDKLIQCRYLNVVSNRWLSVRLEFIGNCVGEEHESKLVGPTTTCLHPAVTTIFFAALFAAFSKQFDWGISAGLVGVSVSYALNITDVLNYAVIQMSSLESNIVCVERVVEYTRTPNEAEWVDANSGVTSGWPSDGGVHIDNYSTRYREGLDLVLKGINARVRPGEKIGIVGRTGAGKSSFALALFRMIEPAGGSINIDGRSTSSMGLHELRKRLTIIPQEPVLFSGSVRFNLDPFGDYSDDQLWKALELAHLESFSKTLTNGLEHKISEGGENISVGQRQLVCLARATLRSSKILVLDEATAAVDLQTDNLIQATIRSHFKHCTVFTIAHRLNTILDYDRIMVMDKGEVAEMDSPAALMADKNSLFSKMLADAENENK >PPA07977 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:1118896:1120115:-1 gene:PPA07977 transcript:PPA07977 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIFLEWLPWLLLMTRPGTTYKKPSAQSKPPNTVVAVPVETKRLLREESVGRLAQHDSYESRLPGYSRNASMDQDESGIGKVEASEKLEDDDRMSDAQADWRFMALVLDRISLPLHRYDALPCPVDAENVRLQPEFRLVERY >PPA08022 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1367563:1369579:1 gene:PPA08022 transcript:PPA08022 gene_biotype:protein_coding transcript_biotype:protein_coding MHLAGLIARVREIFANDKVDVDEVRRAMEEYKSNPDDWRHFAQFDPNKYTRNLVDTGNGKYNLMLLCWGPSMASAIHDHTDAHCFVKILDGALVETKYEWPKAEGEQLHVKERTVYDTNGVSYMSDTLGLHRMENCSHFDGAVSLHLYIPPYTTCNAFDEYFCT >PPA07953 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:962580:964004:1 gene:PPA07953 transcript:PPA07953 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDLTSLSTITIHMALSVQSYETLDWLGTSVLNGLCKIVVYSQHVSTSLSIWSWLIMSVLRYLSVYHPLVYIRLWQMPTKVLAGAFVVASTANSWLLISVKNNDEGSFKSESSSTMLSCSYAITSSLT >PPA07968 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1040869:1044607:-1 gene:PPA07968 transcript:PPA07968 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYTELVKKQRDFYLSGGTADLAGRKKRLEKFKTLLTTHKKELDDSIFADLHRSSFSDVDSTLQEVVGTLDNIDAWTAPKKVPVGGPQLSADTDSLYLVPEPLGVVLIISPWNFPLVTSASLAAALAAGNTVIVKPSELDPTFSAVFAKLVAKYFDEKEFAVVEGGIPETTELLKERFDHILYTGCPPVAKIIMAAAAKHLTPVTLELGGKNPVFVDESADLSLLAQGIVFAKLLNAGQICICADYILTTPSMKPKIIAALSSAFDALGDMSKVKENARIVNDRHFQRLMGLLQKTKGKVAYKAVGEISQADKFIPAHLIDVEAEDEFMKEEVR >PPA07933 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:809238:811199:-1 gene:PPA07933 transcript:PPA07933 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSAGHRARERLIADRTNKLSTYFIESNGNWLAQVLKSMCGVVQANFDPRNSIQMRRGMVQEYLDFVAAPDFGQIAERDLAFKAVLAFAEIFGAYVDEMNERTVEGQSMLFQPERPESFGDTSVFAGGVRGNRAVSSPAAAVGDDSFTKLRASGDQENMTPSLGLVRPSSGRTRRSSIAVTPVVERRAAYSLDGSGSQPGARKRARGDKVVAISPATKAPSLMPPPNGQLVDQQRLPATARRRSSNEANFDSTASSVS >PPA07863 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:433605:433986:-1 gene:PPA07863 transcript:PPA07863 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGFSTTRLLLGSLLMLLMIYNVGSAPSHCRACMKNCPGAVPSNSHRNLT >PPA07958 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:981220:982568:1 gene:PPA07958 transcript:PPA07958 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVRDVLSLMIAPQYWFFVVPSLVVSLASIICSFFPRSLSFIIILITHLRLLDERRAEGNPTHEDPEDPE >PPA07813 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:104069:109489:-1 gene:PPA07813 transcript:PPA07813 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acdh-12 MNNTQMARLAEIVGSHDLGLGVIMGAHQSIGYKGILLFGTEEQKKKYLPDLATGRKFAAFCLTEPSTGSDANSVRSTARKSDDGSHYILNANKIYISNGGFADVFTVFAQMPIKQADGTTKNKVTAFIVERGFGGITNGPPEKKMGIKGSNTAVVDFENVKIPKENLLGAEGEGFKIAMNILNNGRFGIPAAMTGAMKHCIKKTIDHVTTREQFGSKLEEYGNVQEKLANMIAKQYACESILYILASNMDRGIQDYQLEAAIAKVFTSECAWFTCDEAKIMHISMP >PPA07819 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:153693:155579:1 gene:PPA07819 transcript:PPA07819 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHDRDVLNTILNPLMPTGDVKDIEEDAPISHSHIDECRRLEQEGILAAEKKELQRAIELFTKAIEVCPIAPSAYNNRAQALQLSGRPEGEAFDYCPPNLTAEAFADLEESIRLSGGKGRSACQAFTQRAMIYRLRDDKEAAKADFSSAAALGSPFARMQLAMMNPYAAMCNAALAKVMGDLKGGQ >PPA08025 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1377466:1378204:-1 gene:PPA08025 transcript:PPA08025 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTPLYQIVHATDPYDSTIEKFNVRVMQGPISETVLYEIIVILAVLLVVALIAIGVLVYWAFFRKRYPNAPQYQAVRMTEIERREALNQ >PPA08011 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1329364:1331889:1 gene:PPA08011 transcript:PPA08011 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEIVNEIMEHENETNFIKNFVIEDDRVPLQNVKDSAEAFLLFCVGEYFDLDEMIAIDSPFLDRLLFTLFKCSLIDILQDQEIDLFIRDTIRNGEVVERIYKSLTIAYVLKKAADHFSDKHQVNLTSKYKELSSYYEKYAETSLEKCRQASFTSAMDIAFHGKFTEFLSHRTCVIAAGERWETPDDDTGIKPSTARRAHVIVPTLLEDSMMQKKGQRSHGFRWSACFIGFRSKELSKGSQHGIRKALGIAFAESNAALVRRRIHGVLVHLLGYCSLTDRRSDIAGRSALESLHEWGI >PPA07982 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:1162889:1163734:1 gene:PPA07982 transcript:PPA07982 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATCCKTLLFVNFLVFGVFFFALSALLLYAGLQDNPYTTEKLIKAEGYAFEEHTVKTSDGYVNRIHRIPRKADKIYIRTKMQRY >PPA07917 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:710562:713019:-1 gene:PPA07917 transcript:PPA07917 gene_biotype:protein_coding transcript_biotype:protein_coding MHNADRILLYVRDRIDRYIRSLARPDVSPFMASSETARGPCGPQSTSVIYDIIQAQLALWTCVGSLMYSYAWRQHRKKFIISIFFVIPTVIAVCFAISGLLTTIVILSRVFSTPFAYHDFLSYANGHISEQTNGGDGSPQPMARLRSYSGTSTVSSNGDELLAKPLCRRHSSSSSVCGVMTCGASRPPSRKLSYSYSHKSASHEMLNEIK >PPA07884 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:543034:543990:1 gene:PPA07884 transcript:PPA07884 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNNRRAINGRRPPSPSILPLILSTMRFLLFALLLLPAILLARPRIQVPEGVDEVQAVAMAKREAEAAPGKQEGDLFDLGTVRMIPPIAVSKREAGEAPVDKRAAEEDGAFERTEIGGRPFKVYHKRTPKGINVRRFVPDDMAVDKE >PPA07838 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:245722:253170:-1 gene:PPA07838 transcript:PPA07838 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQKAEANASNAALAAMSTTTAAPEAATDPATAAPAAVTDPPTTLPPTTTAKPEPKMDAMNTSADPCENFFEYACGQWNYAHPIPDDSAVYGTFTYVRDIVRQQLRVSLENDVSHPSRSVRMAKTAYKICMNVTELEQIRSAPVLEAISSLGGWPLMLGDSWKAEGLDLTATLGKARHDYANEVFFGTYVYADAKNTTRNIIQLDQGSLSLGRGSRDYYLNNTMFGTHMAAFEKFFYKTAMLIVGDAGLNGTAAMNATIQKTLAFEKKMAEMLTPEDDRRNSTRQYNKMSIADLYTLVPQLDWVRYFKLIAPVSVHDILSNDTQIIVTEVEYMKKLSALLSITDTRTIIDYLFWRLVHSMTKYLDDRYEDVRHELNRVMTGQQMQSPRWKECTQVPSTFFPLAAGALYVGEHFNKNDKKEAQAMIANIRASFASLVMENDWMDTDTKQIAIDKANAMVQLIGYPELITDPAKLDEKYHNDRPQYQPFQIDISLEDTYFGMVKKVIVYTSDKEFNKLKLPFDKEEFSTSPAVVNAFYSTEQNSITFPAGILQPPFFSGSFPKAINYGAIGAVIGHEITHGFDDQGSQYDKTGNLVNWWNERSAKGFETRKQCIINQYNNYTVPETDMKVNGKLTLGENIADNGGVKEAYRAYRAHISDSAGDEEPRLPGLQHLSNDQVFFLSYANFWCGHKTRAAAIQQVLQDEHSPEIFRVIGVLSNFPDFAKAFSCPLGSKLNPEKKCTVW >PPA07909 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:650157:651865:1 gene:PPA07909 transcript:PPA07909 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNEKLIEQLREEKFAVTLTTPHDNCIFYVLNKAGITNYAVIDSHSTLYHPTRLTGVPDQYSTLPGRFASYSDMTFSNRLKNLISDASLKYLPRLIAGDYWEQLASSFPSGDAPDFHELLANTSMVFLNRIPAIEFPSLTTHTIVDIGGITIPKTVTSLDEHWNSILSLRPRTILISFGTIALSSEMPEEYKKSFLQAIRAFPDVTFVWKYENPDHHVSKGIENLIETTFAPQQELLSKSPSISAFVECVKLIYSIAEDPRLSIFITHCGLSSTLEAMMAGVPVIAIPTSTDQHRNAQLLKRSGGGILMDKKELGSDEF >PPA07924 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:747919:749060:-1 gene:PPA07924 transcript:PPA07924 gene_biotype:protein_coding transcript_biotype:protein_coding MHASDEDTSQSSPLLLPTALRTVRRYVGNDGQITATHCDVEVIVSALAAQIIKKLAEKAIEKAGAEILGSGLGALAMAAGLKGHRDVHTIDELYMKMEKDF >PPA07955 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:970806:971609:-1 gene:PPA07955 transcript:PPA07955 gene_biotype:protein_coding transcript_biotype:protein_coding MKWKTCFKSPSPWTPPNSALNSLRGSLSAFAADEEDKSQNALFDHAVKEHTRSPRSVEQRLKEREEREKEEGEENEPDADAEADPYSCRCIYSS >PPA07960 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:990328:993034:-1 gene:PPA07960 transcript:PPA07960 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPPPYKLMYSPNPSSSAPVAWRYGLLTFDAVSVLVTTTLITVAWELAMLYCLPEFWQFIVPLILLSIFSMADWLRPARPASFCLSYWCNVAACFVIFLCSAALIAGALFVCDANYKRFISRLFVSKVPDQILELLVKMARAILLYIALLQMTISYVCLAAYLHMRRQAVGI >PPA07847 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:364927:368109:1 gene:PPA07847 transcript:PPA07847 gene_biotype:protein_coding transcript_biotype:protein_coding MHKYKVKVPEWSDLVKLGVTKDMAPGRKALKSLEPLKWVDKSEDGKGRVLSKQGRKALKGFKGKASGCLGVAVDPCRVNELSGVKEPDTGLAPPALWDIAADKQAMQQEQRLQVARCTKIIIAEGQDHSLTMVLTEATAEDDCELDGDHTEYNQDHE >PPA07925 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:749455:750667:-1 gene:PPA07925 transcript:PPA07925 gene_biotype:protein_coding transcript_biotype:protein_coding MYDELYNKFFKIGNQGVSSLAMPHGDCVALYDHENCGGLHMLLRPGVPWHDDLSEIAFENVARSLGPCDGIYISIALIICAITSTVNAIMFMVSWQERKEAQKCLLLNTPLPMLPARLENDYETTFMDEPRMDRS >PPA07932 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:805782:807949:1 gene:PPA07932 transcript:PPA07932 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPITIHLKSSGGLGSPSSSTLSTSLNSIGHENDRSSSSRDGRSNGSSGIMMTSSSNASSDADPLANFNKENEASNNNVAPSAPSAVAAPAAAKVHNALNNNGKSSTSHLHHGEDGPVRVRSNATKIEKMKMTDEESGIESSLFLAAYSGEFNRVETWFYECKSRVVRLLSRTQNV >PPA07862 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:430344:431688:-1 gene:PPA07862 transcript:PPA07862 gene_biotype:protein_coding transcript_biotype:protein_coding MILKTYLSPIDALTPNQTDATDLLEDIHPTNRTKRSSGVEVAPCQKFPIELVLIPLAIAMIPAALCALLICTCCCGPEESRAKKPENMMEAVRKYSLTPSRKNTTAGPSEEFELEYKDGYVQRKDSKMTKVIIPSYFPASARPNPGSRNFNNA >PPA07978 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1120909:1125726:-1 gene:PPA07978 transcript:PPA07978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-eat-2 MIFFWKEDLKQNYDAIERPVANHSDPVHIKMRIILQQIVDVDEKNQVITLVVWQQTKWYDYKMRWEPAEYGGIKMVQLPNSFLWKPDILLFNSADSTFDASFAVNFVVQHTGEILLAPPGIVKLSCAIDITWFPYDEQICHLKYGSWTYNGNQLDLHVDDEGIEGGHHIDLQYFMPNGEWELLATPAERVATEFAGLKYVEIYFRMHMKRRTLYYGLNWIVPSMLITLTNVLGYTLPPECGEKITLHHESPLRHSLPRDGIGDHAAHFRIHSRHW >PPA07888 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:567100:570610:1 gene:PPA07888 transcript:PPA07888 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLFGRQSSSGNEEEKQKATADSKMTLATSEEAGAQAALHGAPMTPIPAPRKTRAAPPRPIPAAGGAETGATLPSSSSSSSSAAAVKQKNPLVMPTAASPTMSGWIHKVETRPLLGVITRKRYWFALADGSPYLYWFKDRSDVQCVGRIQLSGAAFTFDAREKGRFTVLSHSEEHVFETGCNTLREDWMRALQETRKRSWTTHIDIDKEIHSLTSIAASPRSPFDGHFLDGGVEMREEESSDERPSTLEFV >PPA07939 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:867383:868736:1 gene:PPA07939 transcript:PPA07939 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVRDSIEYWSGPQQMDDPRRLFEGNALLRRLVRIGVFVEDRMKPDYVLGLRTEDYLERRLQSQVFKLGLAKSIHHARVLIRQKDIRVVDIQSMLVPS >PPA08005 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1260388:1266645:-1 gene:PPA08005 transcript:PPA08005 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAHRRYQRWPNQGIVVPYHGDVVASHYRGPDLHRPYKFWFFGELIEQLIGQQSCRIPLWELRIAEITFAIDKIEQYLREEYSENTGMYIPLSPPFVPRKPFLTDREESIAQQHVRMEHFRLLKEYTVHCMRADKAWDLTNKIEKDVYGQQRPPPQQPRESPVRSPSESPKVCTPPPPAVQPMKNKWKSSGRRLARSMSICEEHSDKTTLDDDYPHYLCLEEPLASARLVAMRNGLIPALIEFILMNRKIPPHHMQPLMEYKMRIDFLVSFMKPDLSTNGQKRARMERRISILLSQFGLNFMRKAIDAWEVAAEEERERRWRLAREVAGPPYRGVKLSQRQERQKKKEEEKRKELRIAEDRRLKTLEKKRKEAEKRKADEENRRREAEQKKIKEEDDRRKEEDERERKRREEEEKRLEEERKEAERIEAERQRQQLDRETRKAEERKKREEEEQRRLALAVEKRLREEEKRREIEKQKAEEAMRMEEIVQEAAKKESDRKRRQREEDAERRREEQRDKLAAALERQKQEEARQSLSLSARIQLEEEEKRRKMEQLQVG >PPA07799 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:44872:45974:1 gene:PPA07799 transcript:PPA07799 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPDVVFGQFYALSSTTTNVFNVKPSPSTSIRKLQSVIRDLRTYLSPLFCDGVEHVIEGLAYKRSAPLRHFYFWRRVKDCMRNAKKVGDSKLSTLPRPPKFPVPLQTNLGGQRREHFDILLAVKNSLHVDLEACEETVVRITSKHNCTVSVNRSRKKC >PPA07841 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:341727:342425:1 gene:PPA07841 transcript:PPA07841 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPTVAARRKAALKRPNPMKSLPKGKIGIVLLGVRLAVIQTPGLAVDRFYPSHAILITADRSSNFLVIIFESNLKQIIE >PPA07945 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:913524:915866:-1 gene:PPA07945 transcript:PPA07945 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTSSLVLLAIGLVAALADDRSFCEGECEKKYKEDNVKSACAAGCTHRGSISDGTRGFAVCHAACAAAHNGTDTEERRGCDFACSLPVTNIVMMKVDYGSGDSAPRVQVVRKEGGNLLGSNLGFGPDFDVSLLCYA >PPA07842 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:343799:344854:1 gene:PPA07842 transcript:PPA07842 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRILVTVNYKWVDPRLAWDPAKEDDISQISLKSDAIWLPDIYPCETIKINPVFKDLPATGVLIRYDGSVRMDTQQVIQYICQMDFDAFPFDKQSCSICFAHDGPDGVAFDVIPDPIELKI >PPA07791 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:5276:6924:1 gene:PPA07791 transcript:PPA07791 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASALPLLLLLCFKPHKSQPKTCVQESSGGGTKPPSKRTFASGAHPPPSDPAPVHSSRPCQENSTITAPQRPAQPAAAIAVPSSKSRDKKKEPPSSGGGGGSHVAKRRAGASSAKLAKSQSKTKDKKLLQLLKTPKRRNRGDSLEAFTCEDVRSRERTEEMTQVPNSESSRAELKLDVTAQTKEWGLPCPVHRNLPYSRLDFSMVTAEDEAFFEEENHHILGNVDELWQDQGSGEDWLEYVGDRLQSEGSITCDQPTERETSAVICGHYRIWKSDKIGSQLEAPEDPSPVPDQ >PPA07807 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:76672:77226:-1 gene:PPA07807 transcript:PPA07807 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGCQGWREQGQVPPPRRRLHLQLKRARRPYFSYSDGAVFSIFIVHIHIVIDVIDYHPHTK >PPA07803 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:62918:64139:1 gene:PPA07803 transcript:PPA07803 gene_biotype:protein_coding transcript_biotype:protein_coding MASKYISDDDGSAQRFLFTAEFVSEGHPDKMCDIISDTVLDAHLAQDPNAKVACETVTKTGMILLAGEITSKAVVDYQSLVRNAVKKIGFNVKVSYAIGVAKPLSITVISYNTSPLSELELLSIVNDNFDLRPGMLMKDLGLKNPIYEQTARNGHFGHETFPWEKPRELKIMPELLAKLKARDVNGGLNQTFC >PPA07988 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:1186867:1188202:1 gene:PPA07988 transcript:PPA07988 gene_biotype:protein_coding transcript_biotype:protein_coding MGTETFEMKCVRNWCKYCGQLDEFPCAVGNCEPVWNYDNCVPTCPRDLWFFGNHVHRTSMECKPLSEGGKELEYGAWMAIVNGVNTPVASASCYVGPFPLLEATTSNSAPSALLWSAIAVTSVLLV >PPA07805 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:69327:71527:1 gene:PPA07805 transcript:PPA07805 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSSDVDISSDVSIGSPDRPLSSLSSSSSSDSAEDNVEVEEEAEPPSTAYVNPPSQDHDYAGAATASETDDRRAMDERLERTEREEREAEEEFRERRRRFNLREEEMRRDMMVKTLREKKHRDAIESMREEIRRREESIREMWDERIESERVLEDERDALDQAKAWAAMKREARRKAMKEADEHPFSQTLRYSRGCAICLTANPRRRAVMIKCGHMTCLLCAEQLVEASSRRFPCPFCRKRTKYVKTFENVDAPQVRQAETEIAKRKLADDESGPSQLKLPVMLHLLYCVLDVE >PPA08006 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1291264:1296577:-1 gene:PPA08006 transcript:PPA08006 gene_biotype:protein_coding transcript_biotype:protein_coding MKIWTSEHIFDHDWETVVHAAWRKYPNPENRAVTAIDVVDQKFHEGKLVSERILQSHFAIPSWATKLTGFSGTQYSHEYTTIDPNARHMRLTTRNLCGGSFMRVDERLTYRPHASESDKTVLTQEAIVSINLPAFTDYCEKTFLHVYETNAAKGRKGIEWVIDHLRKEVSDLTSKLRRFAVQPHPTTTHTPRASIPPPISR >PPA07898 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:603108:605516:-1 gene:PPA07898 transcript:PPA07898 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNKSINVTLPYPDCSVQEPPTAPPVQATTPSLWDWMNKESEKEGYLFESDQEARNEIFKKRWIKALKARKARRRAAHAVRTDTRATPHPARPRPGISPFNRQVLDDPNKSGALSSLGDVAGVGFGFGIGVPGNDPVSVQTGINVALGRSGLAGGLPRYLYGLEPQGEAYSLNDYDGSKRNVVPGRWAKVQQAIQEGRLTPPPIYRPGASLVNVNSGVGVGR >PPA07858 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:409718:412999:-1 gene:PPA07858 transcript:PPA07858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dnc-3 MEHLEERLDAIERALGLDEHPQARPKDFDVPALRKDLIDRGFQRIFNIPSEKLKRLHELMHKTESRPLGEKLNAIQFCEDLILQRAEQLKAFEEMSEVVLNSEAISAVGNHSVALDHMQKEVEEAIGVWKECSDELDDFKSELTALMTTLHRKVAELERQVAAKEKK >PPA07975 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1107823:1115433:-1 gene:PPA07975 transcript:PPA07975 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPPITHAPATPPPAVTDYASSRATVFASPVAPPDPTQRPLAQVQSAEGVLLRAQDEKVKQYMEELAPLFAGTAENNFGNNDTTRSASPPSRSPVSPPSPTLKNQEFPTDSWKICRTWIVNRIGAVVREKGERWLEEVSSEPPVAAGAQLATGVSSPVPSAVRTEGGLRSDRRYVVNGMMFGKDESYASRPSARDAPVTSKVVPVVASKASVTARDAPVTARKTPVVSRVAPVSESEEERDTPTPNRIVWEGTWEPSFNGDDVIGDESDCAMSVVDNHNDFGCRRPTREDAAEPERAMSSSGSGFGRSTREDTIIRESEHPVVNNGFGFGFGRSTGEYATFGDPSARQSANVFRTPTLPRLDEGQRSRSHTDFGPTPASPLPQAVGFGFGREFGPVVNTPEPTVFGIAPSSPSLSPFPFPISDDGYAPQVVLKPLRRKEKKEEPIEPERYSTTPSLSSFIVSSTVSEEDEDRQQEEEVDSDDVLTGQEDRKLWRSARVSKESDSVLYVLCRANSSSSNDTLDSDDLLPGQEDLKQWKSAPNVTFPRRSSSRSTVPESSEKERYEDVAGDDSSKEGGEVRDDRSRLLTDESRAHYISSIDGRKTVQEEEEADTVEVEQKEMIII >PPA07887 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:563020:564295:-1 gene:PPA07887 transcript:PPA07887 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNNSLYLSSEKGKRDAIVPVRDIFDVPILVLAPPARMFRAEETENLNTEADRLPDNESDDRELNSVEPKGLIVMNNNAWGQFIPPARKAHKSNFGHGGGGEHKKPKKKSRATVVDKDKE >PPA07906 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:643910:644532:-1 gene:PPA07906 transcript:PPA07906 gene_biotype:protein_coding transcript_biotype:protein_coding MMHARMRAMMQQMRVMSITGINNHYCRIFIVSFHGIVEISIADSVTGERQSPESSQFIVVTFLGLRCGPRSPPDRLDTFALRFFADLLELVELKEIVDIGINFRKE >PPA07907 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:646927:648671:1 gene:PPA07907 transcript:PPA07907 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLCLLSILDVSDETRSGSFAGDGVLNGCLREVPGCRGDPWWCSAHFPTVGCRGLREGPDGEIAWICVAVVLILACVIPLLFCYCVCCCACCVAAAEQHEQNENHIENGTRLRSVGGSTVTTVYKNGLIRAMVWPVGDTRDRGKGTVEMGTVDSPVPPANRNYQVHMDNGTLVTNDAQGNVTTTVYKNGKIVKVTVVNKQCSGGSKESTSKSGPSNTVTGAPDDE >PPA07996 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1217405:1221520:-1 gene:PPA07996 transcript:PPA07996 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFRPFFPPSHPDETTLEYERRKHFVGVGYFHTVCDAVEKNRPEYAADPDIAVEIVHRELPRLRALLQIIQFIARPIHSLSSNIPHDELYRPATRFSEDQPLIDIAQVEACIHALGGWDIARSVVEAVTPVIPTNDVNESRRNSESESLEEDSTSSADSLWGEEDDDFDKYYKEICSVVEGEQQTEESISVVPLSDSSAYSSCSSPILSSSTSSLSSSEEGLAKSMKSLSAIDSKEEKVTEAISTEKITQKKLEKLRTFTAKAHKKNDETNVKVKKPATHTKSQIVDIKVSKHMPLVDVKPFEKKHNLIMNEAFDPFDILRPFTGDVGAIAANDVQKLLSQFGPAVERCNSNKLSRLMKKFFEPDEKGQRSHRCAYCNTSVSGAVSMCQHLSSLRHIRAARKHHVSEKAYNWWMELIDACAHESETKIKQKDLADIWSSLYSRSVRLIPTSVKANPVMNTSDASGWKNARSIVAEYKTAKSDSERKDIAAITASIALENTKIDALDPCSDSAYASSPSPPLSAHSSTEDILPEKQENIPENPQDCERNTDVSENVDNIGEIINKHEFDPFDILRPFGREDGPKGRRALEKYICAMNA >PPA07974 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1086497:1094581:-1 gene:PPA07974 transcript:PPA07974 gene_biotype:protein_coding transcript_biotype:protein_coding MERLANRDADLARQLHPVDDGYVSPDVTYIDAGGSGSAADRAAPPTAISRHPGGESTHSASHAAQSGRPGIRAFNPEAAGQVPLFVPNDAPELPPSLLHGPTVAAPVPPLLPVAVEKKSPEVQRNDKRVTPVPMPPPAAYSSPHVARPMLPRPIPKEEKWDDVPSVSEDVKVDTKLREPSPRPPTNIFLTPSLPRLDAGESAFPPELFPKPRPPITAPKDRKKKKKRNGSNTDELPVVSNALTTSTALPSLNDQNNDNVPPVSLTNDKSMEYINQARPSPIPVMSLSIVEPSHTSFRSGQDRKDGVLEFAPCNELSLIRPEDQELWMRSPLCTLPITQRWDDRPEFAAPSIPKKNNGQAENDNQDHTSGNGFGFGRRAPSENRQIEEIKDAGKGFILSSAPNTIETSEKVDREKKEVEQRDRSYSVDSTISCQSRTASQDGIGLEEDEGGNYVSSLLSSTCLSVSDLESEDEGGREMEDGGGKEGEKEEEVQQRAAVDFPPANNVFDATENAKEKEKEEQRATVDFPTANVLDASENAKEEEEEEQRAAVDFPSVNDVFDASENAKEKEGGEERDLPLDAPCVTRLSMMMSRVGSEEGKEEEDDQGEDAVDGRQRDSKLSCVEEEEVEESKEKECADGESTADKEEAKAEEDGEDAIDGWHRDSELTCLEKEEVEEAKDKEGGESTIDNEENKGEAKAEEEEQRGWRSVDT >PPA07981 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1148521:1153109:1 gene:PPA07981 transcript:PPA07981 gene_biotype:protein_coding transcript_biotype:protein_coding MLADAGFDVWLGNSRGNSYGRKHETLHHWDFEFWNFSWQEMANHDVPAIVDKVLEITGEQKLNYIGHSQVRSHDLPPSIGQGLDPSLPKGLGLRVDPCLELLHKCVSVLELLSSTEVREGAETVVVARGQIRGVRWVREPFHLQLVHFLLGDFRMMRARVVHEHEDFALAQEFGRDPDRHLFQLGSEEVSLDGDAGREDLPVDGTEDGEEETEEFLLSVKFRLWSLLGFLIDVHPLKFPLRIIVGDPLLIHGDDVADPIEIGSTLSCREVMRNHLGELRCLPSIMQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAGVKGGGIFAMSLDQLTVGFRRRSTQEKIMKQNGAGALILFARLTEDEELTKKIHNVFALAPALSVTNIRGLIAGIAPLAPILQQIERIAGGPFGVFRRSIINTIIGKGLCNFDYFSAACARYLFANGGMESDQLNTSRIDVYMASYPDGMSTKNVVHFGQMVLHKRTARFDYGADENLARYGCRIAPAYNFTNVKVPMYIWYSPHDYLVSDVDMQDYIIPTLDTDYLKLNVSLPSYNHVDFHWGLRAVTDIYEPIIEILTSERKIQG >PPA07991 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1193485:1194834:-1 gene:PPA07991 transcript:PPA07991 gene_biotype:protein_coding transcript_biotype:protein_coding MIAILLLAIVGASLAADSSNPFPTSINGNIGLVGSGYGDVYGGKIKEGVYGMGGRVGGNLGLVGGLGLGRRRREAALNTASASAGAVASGPDAFSMAGAAAGSAILSFGNQPTSAPAATTAAPAASTAAPTKKPCSKRRRRSVPVVTPIRNETLPAVLPSLLPPPIYPFPLYPMMLVPTAGFQLPPIPEGTHGVSAQGRFKFEGTATVDNDGQIRVTGTRLVDGDSGYF >PPA07849 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:375201:376960:-1 gene:PPA07849 transcript:PPA07849 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIVTAASWYAACAALPMFTGIFAFEAVTRSLPIINGGLLSSFGKDFIASEACPIMLTITAYLIAIRPYLYLGFQVGIKYSTPAPFPQVGMKKAYVPAASSTHLAYKPYPDCLRARVRLTIRGPLWDRLLDVTFPTWSYHCFILYSMETHCLLRIVIPCFTPAFLSVITVLFLFDGVSVYEAACKCETHDGFTMSKLIFVVGQALEYGNLLLSIMSLFRTALNETQSLHRKLLLVAVAVQIPSNGLFCQLRNPWICLTDFLPFFGEYFRHLVSLIFPIVYVLATNQHEKKDERKESISYTRLL >PPA07954 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:965287:969610:1 gene:PPA07954 transcript:PPA07954 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDAGCTQTPLFESDIVNRVFLLVDCVWSFFIPCAVILFVDLAVFSSSIRFRNGKKRSLRYSGGRFVRHHSLLPRWLLIALIDVFLNAPESTLRFLTIVGVLKPEADSYQYLIIRQLAQVLYYFQFGFNSIYLALFIFDKSTRPSTRRGPCNSNSYELVEAAQPFRIDKGQLLSSIVASTQVIKRHDPDVGDQSALSRSVPLRWRAATGSGGRVITA >PPA07895 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:598305:600706:1 gene:PPA07895 transcript:PPA07895 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDSTQSYKILVYSPRFSQSINNFLGNIADTLVDAGHNVTTLIPIIISELRDGTHKSKKIHIQPSEETTNIADSFKYEDGDFINDNDLNIIHSVMFGKFFTGLLNSGCATVLDEPGLVEKLVKERFDVMILENFESCGTALSHVIKPKALITTAGAIPMGHQGMDLGLDSAMSYNPSPLIAELDVHSFSSRLLNMYANFVYFVAFYAGKAEINTLFKERYGPEFPGVHEIGSHAAFNFINTEPLIDFAAPTLSRIVAIGGIGAKEPKKLDGELDLILSLRQKTVLLSLGSIVQSFTLPLQIKQNIVKVISQFPEVTFIWKYEYPEDAFAKSVSTTTPNLHLFKWMPQNDILADKRLTAFITHGGMASTQETALRGKPGIYIPFFGDQFRNAGMMQKNELGTVFDKHNLYNADKLHAAVKDLLENESYYENAARVAAMISKKPFSSKELLVKTVEFAAEFGPSPALRPQSYDMSWIEYHNADIIAVFAVLSFFIVVSTLKVVKCVLKKVLRIVKVKEE >PPA08020 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1358232:1359912:-1 gene:PPA08020 transcript:PPA08020 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKLIFTQQKHFEMQVIDLYQDGIGLLYYLKRGRPQKLYSIADDGYEAVAYSPAEIVSVSRIDDGIYIQTEENKIYRVDYQPPHAIRTKYFRRQIREAEGERRERGSMVSQIKDGKKYIYRICDDPEKGIEIDATDEQLEGLMMKGVHRGKIIFERTENEMQDAQSIERLTENIIVIGSAFPGNDFGFLDDSSPLIFNMLRPTLEVFNTETMEAWTLGTDLPNARYRVVGVHGGKITVQAGSIVFTADLPERFR >PPA07821 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:158540:160115:-1 gene:PPA07821 transcript:PPA07821 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGKSQYGLAVDTTTVLQQTDKTIECCEKLLKACTEMKKVSKLRQATFSKSHHHTSHHTQDMGDTLSAHLEKAAGSAAVYGKSMQNPEAGAVYTNVQVCIHVSYRNPKLLADYGTRSRTDFIPARFQAAAAARRAYAAAVDANQIKVLAAFKEDIKAARAAQKGLDAARKGVSVAKARAKGKEDNAELAAGVEAANAEAGNVEKNTVAALQAFNQKSVLRPAVPLPQLQAGQMITEMCDKLCATENELNAAQKASLAPA >PPA07934 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:812497:820802:-1 gene:PPA07934 transcript:PPA07934 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVNKKNALKRKLAVEENDDKKRTKAVAVEEPVEAPKPGKKQKKVEKKGKTKVVEEEPEVVPEVEDEEVEEEMDDEEMDGEEVSDEEGEEDDEDEDEEESDDESEQSGGDFLKETGEKIDFDFEAFPLEDEDKEGINNMLTQIFLRADIDLVGLGKALIAQSPLGCVIGPAEAIDDEDNTTSNVVYGLISMLPQIDKDEKYAKDIFALLKARATKVVSSVAKEFDTALTSAARLGLFVNERMLHFPDAIAAPACKSLLDDVAAMATPPTHVLYIHKVSIPLSKKALAKRENAEKNARKKEEKRLAREAREAEERAAVRMDTEEEDEDEDEEEEEEVVEEVKEVKKTEPVLGLSEISRLITSAPPAETQKKDGKGRGKEQPKFLEVIQYAHERGNSTVLQAIPPKKEEPSKTAASSSNSKKKDKKGGADSAPSPIDKRPPAPTTTVPSQTSSSSLGLIGSVLCLLAALCKLVSCLLEMLFNSVYTSFVGGGDATAAAAAAAQNGSAAKPTAAAAAAADARARGLSTAPPPGISFAPPPGFGPPPGFENVVKRS >PPA07835 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:217451:218162:-1 gene:PPA07835 transcript:PPA07835 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGWGMRMDAANITISKDDKEPSSRSKIKDHTRIVVRLTPLKHKFSQYIAKVLDMS >PPA07913 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:662554:664247:-1 gene:PPA07913 transcript:PPA07913 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDVSLLQQLMALSDKINEVKKGRGLLPRSLSQTSLSDGFDREADEEEDLFDDDVAHGFAASASAVTTLYLNEDSDAQTPSSSSKPPAPAPPQYFSRKNSVLRIPIAPRLSNRINRRPSDMSRHTRPLHIDAAAAAGAAACPSTVTSSTSSPSPFTRPSPALSSTTSSASSTVSSASGVKILQRSSASSDSGRASSGSSCGSPSPTF >PPA07962 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:996672:999556:-1 gene:PPA07962 transcript:PPA07962 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFLPFFDRIEFFDHFIRNPALPLPDWNSSRYYIDRFFAMVNSQLVHRLNLQMEFKSLVKLPTSTRYEGERLDNFEYRKQKEYLAFYMFTADKIPQAKRKHCNSREQSEIQYKHLERETFRLNLHLMAIGQIIRSRTSVYGSEYQECPVFLESIGANPSNRNGKKEKKLQFPTTKILLELKKDRRRPTSTITDLPAPSNSLISSANVVECKKAIEFDSTDDEDFDSYAKDILKMAPSSADCVAVSEIESSSQSANFISSDCKQYNTFFESITSFGDSEPAFVALPSSSTEFRADFHCLDAFEKKLERMIEERNQREADRMNGDTFDSVEDVDWEDEYDQMVLDSQLVAEGDSSSPHQPPPSPRRLANLARLISEAEQAVDAATRATDVAAAAVAAATEAVAVARRAVEALEAAHAEQR >PPA07867 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:451503:452042:1 gene:PPA07867 transcript:PPA07867 gene_biotype:protein_coding transcript_biotype:protein_coding MISRVNVIFVSALLPYRYAIPIDRIAVKSGRDLEETSEQKKLIHSDSTENGSQSTRDTSPQDLLPVSDAARFNSPSRLLIIAGIEIDR >PPA07833 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:207210:208463:-1 gene:PPA07833 transcript:PPA07833 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLQTWSEVQPFHHWPAYTTVVVDTGGHSPPPTTMEIGTSDSCLSLPLHFVCNGPLKPATNYRHTDGRLNHTLNVGL >PPA07856 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:402178:405834:1 gene:PPA07856 transcript:PPA07856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-egl-26 MPSPPLPPPSSAAPPPFAFLRPDMPEQEVALRCRLATIDPPFKIISTTSLGQHYALFMKRRIRGGYNLFLKSAESREGFPSPVTQPLQIGGPFVREEAEEVARALETRLSRKPLFSLSHQPAKISIPLSRQLVTLYTVPEAVHETSPDCYLEPGDEVYTECRLAGLTFFHSGIYAGDGLVYHFMNEADASFTSMLRSFAPIKGRIVLEPWIDYVRALYEPPDGSWPTVYRVSYAMRVRSGRAIVEWAEIIRNSKAFTHYDIRKRNCQHFSSLCSTGASFSYDMTNGVKSLGCLLLKPGSTIMGGGRISPRRSLSDFQTTEPEEHLKPTNNHRVKLL >PPA07937 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:853512:856745:1 gene:PPA07937 transcript:PPA07937 gene_biotype:protein_coding transcript_biotype:protein_coding MTWFGSGSLPSTSGLPALPPPVPSTPSIVLSPAPSSSSSPISSISAYLPDFLKDNPYFNAGAGLAGMGMAASMAKRFAIIINAYFRRRFMLTLQVNNRDAVYPWLLEFINKYSAAQTRHFTANSTIKQAESGKTDVSINYLPSFGTHFFTYKWRWIKCERQREEQVVDNTDGYRVPLETVTLTTLGTDSR >PPA07970 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1055577:1062193:1 gene:PPA07970 transcript:PPA07970 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIEQRRQNWTERRGRVIARLRENRGRIIARLREIADIMEGWYLGGRIANIGGSSLGIIGGSLIVGGLFSPPLLVPGIVTSLVGAGGNIGASLGRLGTVKRLAAEAEQLLEEDARLINAFVNEADINIQLNAGLGSAAAAFAATLRALVATLSKRFITVPLGASGKHSLKAIVPAAATAPIRVLAGLTAGIGMAFDVVSLIKAATSLARNESDEIAAKLREVADSLQKIPINMESIEQRRNEWAENRRRVIAKLRHIAGMMESRYLGGRIVVLGGHIYLGGFLFQPLLNAGIVTTLLGVGCNLVAPQIRVGIVKHVLGPELIRLLEADDRLLSALVNEAEIYIQLNFGAAAAALSASLRALVAVLRKSFITVPPGAVRRHSLKTFALAPVPEYAGARIGVAIHIVPRSTAGEIASDESEELATELTMRELADQLQKEMDEASAIALEPMNILEEPFHFQCCTPLK >PPA07922 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:734104:735740:1 gene:PPA07922 transcript:PPA07922 gene_biotype:protein_coding transcript_biotype:protein_coding MNATGPRCSATSSTDVELYIEFAIAIPSLVLYALFLLIYCRERRSTQLRSSYYLIFVVHSCINIVYFLSRALIMRISSFSFLCQWVLDSFGKFEYGFTPIYFIYHYTQHSQALSIIAININRVVSIYFHNYKAGKIHHYSTHVLLLSIFIIPLPLTWHLLISPVKFITSPPMLGMDYSRIVTYPGLSILHLVVDGTATGVVAIASVAILAQLRALQNRKKTNERSMIIVSLLLSFGLLLSATVQYLIYTLPRTSDTYFFTLNRRWIVTNFVSLFPPWSLLLLSSVVRTEKCYSQNGNVIRSHALFSA >PPA07940 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:881632:882271:1 gene:PPA07940 transcript:PPA07940 gene_biotype:protein_coding transcript_biotype:protein_coding MNASFRTPDDEGLSLLFLSTAEVEKKKEETKKKKEEAKKKKVDSDFPTTSDRPSLFLPMLDPPSKAMPSLNHAAQPLGSMDDPPRPGLKRAHYSSDGGTVVKRNLYPSNGAAIDEEDDDEEDLDGRMIIDLFLDY >PPA07814 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:110699:115825:-1 gene:PPA07814 transcript:PPA07814 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLAPNAAVRSAISGTNAARFASAAAAPSKKDKPASKAVQDSDSFCMNLFRGKAKVEQVFPFPLNLDEERKETLQMILDPTTKFLSEVNDVVKNDENAETPMSVLKQFAELGAFGALVPAE >PPA07885 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:547994:556569:1 gene:PPA07885 transcript:PPA07885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fzo-1 MSRPIYHWSSKMSGSISVAAAGDTAANRPFSLKSQRRANGAEPLARFGEAKKVLGDIYAEINGYVDELNGFYEDPFHSINIGDLSTDSNPSAVVKVSDEQRKGLLDLSASIRIIRETFQRDHMKVVFFGRTSNGKSTTINAMLHDKVLPQGMGHTTCCFLQVEGCSESEGYLLRDDIEEKLPLAELRSYGNALGDDDRALPSAGGYNRTLRVFHPRTAADGHANRLLQNDVVIVDSPGVDVSPEFDSWIDAHCLDADVFVLVSNSESTLTNAEKQFFHRVSQRLSKPNIFVLNNRWDASASDGLDTANMWSDQLLAVNVRRQHEQRLKEFLVDELHVCTEREVKERIYFVSSREMLEARLKELGKQEKAFQQEFYKERRVEFEKFERVFEQCISRSAIHTKLEAHNRRAIEIVNKMRENCDAVQLAMGDQKRDRLLSMEESSSAFTRCREEFGRFEQACRLQTERLRAEVHVKVSADIAEEIQRLSVVMDKFERAFVDDPVDIKEFKRELAKFVDDYLTSDLEARCTGGLMTRIWNIENSMFQCVKTLLPDEYQPKLEEVWRYRAPFKFQICINVPQLVNDFHEDLEFRFTLGLSAIIRRIVAYRMGQPVTAIRGNLLSFKKNVEAQAEGGNQGDAEAAMMSQMVLTSATYLANGGVGLGLVGLVMYRAIGWKVLTSVCAVYGGLYAYERLRWNNSAKEQHLKEQFRAHLATKMQHVAAAHTSHCETQAARDLEQVYEGLRATVGGVHRDMKDKVDEQKKAIEGVDKTLKGLGSIKGKTAYVLSSLERFAMDYLRSDSPTS >PPA07986 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1174846:1177699:1 gene:PPA07986 transcript:PPA07986 gene_biotype:protein_coding transcript_biotype:protein_coding MPYNPISEFFDKYLFFNQLLRNPGGPLPDWETARKHITDFFLDLQSNLLERMHENDIVTLWVEPVPPKQMAGELYKDFQLREHILFFLFFRAVCYQIQESIMSSLEYGKLNESVEMLLYEVDRLDAHLSLIGCILDPPVREEAISPVEMDNETTLQEQENIKWTTDEDEMDGQEEIESSSVEDIDSYMEKQNYFNFVQPFDYADEILTDFSVSSTIIDPNDFLNVNAYKKLLNVTEGEGEEEQAHRNAAAKALPEDVLSEMKQSIGGVFIYF >PPA07816 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:134566:138197:-1 gene:PPA07816 transcript:PPA07816 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTSSRKPKRSVKEYVRRKTTDRLRKTASSSSASSASSSASVASSASSTGTGSTAPTYRVEENSDGELVNKRLGVTWPARAPRSVKRYPDYSSGQSTVPSSDGEDQFRTSPYEMISIAELDAATAAASAAQRALRGYPYDRVERERAYAKYVREQDSEVDDRYIDLCGTASPNRVMGDDDETLYDDFPWDQSPTNIDSVVFDTAEEMACYQRVIAASLRKKESKAKEEEKEEEKEELKSTVESTTQTEPEEETAGRREKTARDDADKHYIGVRSRRAVEKKLTPGQFYMYYEKPPGGEIPVTIELKIGYMSSTNKIYHFPIQRFGCQGESYYAVMQTDTDMKMFPTISALVQHYHTFSHVDPETGRLETFGLPI >PPA08016 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1345503:1348746:-1 gene:PPA08016 transcript:PPA08016 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFAWKIARSVYPVLASVAVVGLCLNSILLLATICSKNLRSATNILIGFCAFFDIMHETGYLIQFPILFSDYYIDSFYCSIMQFLPAMGRAAGAVCVLCTGIDRTLCLLVSIAYKKMNKTYLLLCHLLSIGLFCGWTVYLMVAYWASKKQICSMPAPFHGDSLGLWSNSITVINIFSALLYFVTWQVVKSRGVSLYQKKIFKSIAVVMTVEVTGWFISSMLINLSKVYVVPERRPPFHYVACLFVNSGIAVKTLVYYWISSEYRRAIRNLLGLPVSNSISDSAIDESTNVSDITVLKSII >PPA08030 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1391552:1393308:-1 gene:PPA08030 transcript:PPA08030 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLILLNALSSLAKAACPRILEGLTWNSTESGGNLREAVDAACGRRNFTSVFWSMQFLHNMIINIEHSSLHGGDKDEKSLVPLYKSVNKQFKALESCEAKEYIRAFSKHQRSFLDGSEPMSMRRMFKLAAMLWTHPLTVDAQITLMDALKGGFEYEETAEMRRARIEVAMNAFDRIEPMSGKGKMSELYAQLYLLATEKSSQSWTIIKETTSFEEFAEWMKDDWNATGVSPLEITPAVETLYLQFRTLNNTEAKNFVDWFLATRTVLNTQVNLQDEENLSEVMTQMARAIHGHEEEVIDGGCGRTRGARQCFDSLSVETKVALGTAFPELAAYLQKHGWSEDGIQRKTAPIEKKKSFSFSDMIFKRRRLI >PPA07980 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:1145645:1146260:1 gene:PPA07980 transcript:PPA07980 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRMVYWTVGSLVAFFLAWILQPLEWYNVHDTQTLVTQWGYPFESHTVKTADGYILELQRIKHGRTQPDWAEIWPILLISLVN >PPA08001 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:1244687:1246210:-1 gene:PPA08001 transcript:PPA08001 gene_biotype:protein_coding transcript_biotype:protein_coding MPNISIYNAVGYLLENADTLLQAVCAVVNDFEDQPAERKAPALTPQEKENKIKVLRMEEEERPTRRFSRECRICFASSPKSRAVLTACGHTACMACVLHMEKDGRLDCPYCRKRGGYVKLHEEHEDEEKNTYEKDDEKEEKKEEEKATAKVDSPLPSMTLTPRNNAEPRPSLFSVNAPEFIPRPSVIASSLSGLSPISAPPHLSMSRNQSNSSACMNSLSSTCAHNSVWCTSPPARTVLSGPITIYSVYIGNLYPSTTEQSLRTLFSIVGEVHSIILPVHYPMSGRRAHFGFCQFTNESSAQNAVDMFNGHNGMVVLRYMRS >PPA07874 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:486850:487628:-1 gene:PPA07874 transcript:PPA07874 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCANEAGEGISSATTPPKGSERFIGGYIDIPLNDMRNSFAKRLSRSKNIPHEYMTASVVLDEVSNFRCLLKDDGIDGISINDIMIKAVGDALRAVPEINVTWERDSVVSHRAVNVSVAISTPIGLVTPIVFNADTKGVLEISQTMGELITKAKENRLAPNELQGGTFTLVFL >PPA07920 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:728888:730451:-1 gene:PPA07920 transcript:PPA07920 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDSHMVERIKELESAQNNWEMEREAMSQRINSLEEMVKESKVGGLRGVKSTLKFQESENLIKPFICIQLEDGTMLYFDNVKPGTRIAADLSSPLEGEYDCSFKGAMGNFAYFRSVRGKIVKFFAACVKDGRIQFHQIDGEGEKFDISGIDHLSKYDRHYHRGLLYLFRENSTACIDYVNNKVVRVEGPLLDIYACSFYTPCESDFIYILNSDHNVLLILNTTNMTVTQLLYEPPSDSNNHSIVGIHKGILTMAFDGVWGRHLYTAKIS >PPA08024 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1375511:1376937:1 gene:PPA08024 transcript:PPA08024 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLRAWGGAWDPADGCAPQPFRRGQPFDFRVRFHQDHFQIFTNGKEFGVYKYRLPLSSINYLAFGGGPDMIATYIQMGEKYAPAFPNGFEKGFPHVVLNTWMATHSGGWQTEVQINKLPFKSGEKFKIAIINDVHGFQNFHTIMERLVGVRGVKGKKQSIKSIY >PPA07956 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:976304:977716:-1 gene:PPA07956 transcript:PPA07956 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sre-6 MTWSMLVIAAGVINIAGFVPFLLLFRYNSRKWTAMRSFQQKAAISLNGYELSKRFQLLENIRVSKLLYKMSIPVFVNYLLIAGFYFSHLLCTIPYISHLSLSLFDLWIALFATSVPLMGYVIEPSWRTAARRKLRGARRVGVYESEKRRTHQ >PPA07942 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:886007:887702:1 gene:PPA07942 transcript:PPA07942 gene_biotype:protein_coding transcript_biotype:protein_coding MVMHFIHERNGPPERRARSKDKSPITGYNHFKGIVLKSDGSWRSSTRPYFRQANIIRGKHCAPAGGK >PPA07827 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:179763:180418:-1 gene:PPA07827 transcript:PPA07827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EDM5] MAQSVAPGDIITAPNTKIVFNAPYDDKHTYHIKVTNNSARRIGWAFKTTNMKRLGVDPAAGVLDPKENALIAVSCDAFAYGQEDTNNDRVTIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA07993 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:1199693:1200580:-1 gene:PPA07993 transcript:PPA07993 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSSLRGINSNITEALRVTEMLSFRMLWEMNISSDYIDTWSSCQGEKQRPARNGNRYRSIADVR >PPA08009 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1307948:1312625:1 gene:PPA08009 transcript:PPA08009 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRILLMIYLVSYDLVVRAQVIDKSGGKPDIPVVGEVDVPIQLEETTVPGTTVQELADTTVAEQPKTTVVATTIRALNGQTTVPPTIAPTTDTVTTEASTTVPQTTKAVTVTATAPTATVPPSTTVAKTTTVPTTEKKPEDSTVTTVAATTVAENTVAASTVSTKNQEGEDVKEAATTIEPEKEKEETQEVDLGKEQTAGSTESSGTESPSDSSTSTSSGTTESTASTASTTAAVPVTEAEDTASTGDPTTTDSTTSVSSTPTASTAATGTDPARGEDTDDTTVFITPGTGSTTASSPMVTQSLTDTSEQVTSTNGEPIATDTQPPAATTPSNYQVVTGTNGKALTNTDGSPVTVTVPATTTTVRTETVMGPDGKPVTDTDGSLVTVTVPATTTTVHTVTVTDDKGNVVTNADGSAVTQTVPATTTTVHTETVMGPDGKPVTDANGNVVTVPVPATTTTVRTETVTGPDGKPVTDASGNLVTVTVPAPTTTVHTETVMGPDGKPVTDDKGNLVTVTVPAPTITVHTEMVTGSDGKPVTDASGNVVTVTVPATTTTVHTEIVTDPAGKPVTDASGRPVTVIVPPTTTPVHTATVTDANGKPVTDSSGNTVTVTVPATVMGPDGKPVTDSSGNVVTVTVPALTTTRASRVVTDTAGNAVTNPDGSKVTETAK >PPA07966 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1025219:1026044:1 gene:PPA07966 transcript:PPA07966 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFLLMFATFMHPVDSCTPTSSITVPTPILPTCSVGCDPFIFTNDYNLVTVASTNAMGCDVLTLTCAAGPPYTIAEITYNTVNMLTDGGTGTVQMVVTCNAAGTTFAYMGTDVTQIMCNLT >PPA07844 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:352158:354664:-1 gene:PPA07844 transcript:PPA07844 gene_biotype:protein_coding transcript_biotype:protein_coding MNINDTDSGWELVKRPPWGEKTVSHSDGTEKILLVISLIRIIAYVFAGIIAWACKSHKKWFVLLFSLEAFAQTIVFLYEFLFVYENLHEAEPFCNFLVMLMQYANIVLTIAYMWAIYYFVFERKKEELKFFTVISFSALLIIAATATIASAVQGKESVCVSKAHVGWTWHLMVAGSIVEFLAIPLLVIAFKLRKSPRMKNTLKRWETCVVLIIAIVVRLPNIIQSFHSVPPHVQVFLRTLPLVYPIAFLIILVLIALKK >PPA08003 pep:known supercontig:P_pacificus-5.0:Ppa_Contig16:1249702:1250549:-1 gene:PPA08003 transcript:PPA08003 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYYTAKTGEIFLFETIGYVRVQQFDDELAKKFVEARRRYSGHNGQNNSILDSQETILETTLLEEHLISFISNSQIDDKYLMRIQFGF >PPA07893 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig16:592752:594176:-1 gene:PPA07893 transcript:PPA07893 gene_biotype:protein_coding transcript_biotype:protein_coding MRALHSIAESSNQLACSLVMGSIDLSASGAAQSQRRRYSLVHQASFEKSHIMTLKRANTQKKREELENHLEKNKQASICI >PPA08048 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig160:106471:107912:1 gene:PPA08048 transcript:PPA08048 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVGFLFNFWILFIADTDKNGECDINSEWEGIYQFFITFETVFLLLFPSLIILVSNCFVAYKLQTFLKRIPTSPSVSFHTGSECPGHNTLTRMTTLTGLGTTRNSRNSMSSNHHYRTIPDASYAVEARPRAKSKGQLKFADLQLTRSLLVVTWMFLVLNVPNYAYRTCTHLFGVDRGYGAVDDHEGILSTLARPSLYSSCLPFLLLHILFSIDEEEIVAYG >PPA08033 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:33696:35823:1 gene:PPA08033 transcript:PPA08033 gene_biotype:protein_coding transcript_biotype:protein_coding MHLISLANWCSAIAIWCVILVCTDRLIGIRNPLYVRGGWGRGRMPALLASVIAITGSIAAYQHVEYDCLVRSYCNATQLYSRCMPINNDGSWFGNKTNPYSEGFRTFLNYSTLLYVFSMIIFPIVLLTILNVMLLCALRQRHQQFGSMGNSISEKRDSSAFAKTEHRVTLTVTCIVTMFTLTNGPSALWHLIKTAYQLDILYPSICYNVTMLCSTLVICGKASNFIVFCLGSKHFRLRLMKLAQKKVHKKMGCVC >PPA08075 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:235355:238037:1 gene:PPA08075 transcript:PPA08075 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRRSRKREPEINLSELIWALEDDVIDRRRAKSEPPIRARRKETLETRLHMNFDSAIDDFLSACTAGITWPEKIEAPLIKKTKSSKRRKTAKNEEKPVEIMTKAESKEEITVLVDEEAPSLFMTPPKSPDHEAQGSNSTAITLSSQLAQMAYCYNNKERPGEHDYDVIEQLVLFYKEAPQQQDPPEAANDPSYFMPQKQYLMRRQVRERLYGAVSLLRSQQVYSRPQIPDHLKNDPMMQSLIEEATAQSRNCHTLALLLEDLHSIPDF >PPA08077 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:243802:247690:-1 gene:PPA08077 transcript:PPA08077 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKKDSLSPLASLLFSGKEILHRSDEMLKKKDSLSPFASLLFSGKEILHRSDEMLKKKDSLSPLATLLFSGKEILHRSDEMLKKKDSLSPLASLLFSGKEILHRSDEMLKKKDSLSPLATLLFSGKEILHRSDEMLKKKDSLSPLASLLFSGKEILHRSDEMLKKKDSLSPLATLLFSGKEILHRSDEMLKKKDSLSPLASLLFSGKEILHRSDEMLKKKDSLSPLASLLFSGKEILHCSDKMLKKRDSLSPFASLLFSGKEILHRSDEMLKKKDSLSPLATLPFSGKEILHRSDEMLKKKDSLSPLATLLFSGKEILHRSDEMLKKKDSLSPLATLPFSGKEILHRSDEMLKKKDSLSPLATLLFSGKEILHRSDEMLKKKDSLIPLASLLFSGKEILHRSDEMLKKKDSLISKIQLLRLIFSRITLG >PPA08065 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:195246:197251:1 gene:PPA08065 transcript:PPA08065 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVCSVTCPPMPNRIVRKVAFHPPPKNSGYRLQLDSEQHRFTESAKEVVGKPFKLVPTPMRGLTHHDYAWIMERITATTIKSPHGNDLVVIKVRSRLPPASESMRKQIVLMTQPNSSDLGHFLQPHCINFVQVADSIGLDMYAFDYSGFGYSTGYPSERHIYADTLAVFEHVNKENPDLSIVLLGYSIGTAAAVDVAYRKTLAVQHTVPYHSSIVNGLFFLESSSLIWDDSGGSIHLRHSTSLIAANEGIHVLLRSILKQLEDLEHRPADSHHTRNRRLGTMVPLEHGTALAQRLRQPLAPFIVQGADHQTVFGVNPSTFPRMSSFVRNETLVGKSCVDSQPAVRSVIETRTEKSVPVVSRCPSVESLAQPTPIIAPATPVPPKATPVPVARSSKAATPLKTAQESLRKIQRKSFRSGQNPAARHCFTSCHPAQDC >PPA08044 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:80209:83003:1 gene:PPA08044 transcript:PPA08044 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRNGRTRRHGTNEMATDGRKGRVSPIVAGSENIEYTMIDLVRSVERLHFCKSGDRKDTVMWTDVKKHYKKTTGRVLSTEAFNKLAGVTGASRKDLIAGPLAGLLVAEDPAALVLRFKYDANKGDPLINHRYYYVAVEASGAKTTVHNAPDALSPRRLADLTNTGNGSTMSPSRSETSSASLSPTCLSQISTDPDTEALSPVSTSSPLSSVPLSPDTREYVTANDDQPTVGDSSFMTARTSPMTSDWETSPSSRGTATPDGSPSNYDQEKTLNLLDVSHGTADETIGASENNTIIARA >PPA08034 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig160:41523:42097:-1 gene:PPA08034 transcript:PPA08034 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIDLANHGQYLNSFQSLLRTRFNEISGTQLTCSYDRNATAIRIDTEGITIRAKSKSEVLLSIER >PPA08055 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig160:136806:137145:-1 gene:PPA08055 transcript:PPA08055 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYHPPETIDEILEMDKLEYMENQNPIRDDERKDPHRIIKIELLGIIAAYQEPVHSNRIFRDFE >PPA08058 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:157150:161268:1 gene:PPA08058 transcript:PPA08058 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKKRKLSQSENVFDISAHPPLFVPPYPIADEPPPEVESCKEVIFRVDRILNADTANKTERSLLKLLERICTKYSGYYQTYNQSLVNHHLSIFSILGMPIELSSKALNYKYANSIGAHSACTRFARMLQDKEESTYNFTEILRLFAWAERSANNYLYSRYGLAVQSFKINRNTAVLFRQLMTLNSLITTRRWEELGRYLNSCNFLHAPHMAWYRVALKKHRMNYKERLYRSLRHDMFVSALYVWQAATLRVLHMQESREWKSSRQLSILRRAISQCTITAGVRVPEHVLLTDSVVVMLSLEESYMIHNFHLIDLNLPGAHEVYRRPYEAFKLVAQSWCWQDDLRIISGVTDPGSQLVDALLEVAEREGSAGVIFALSALRGFMKMVDVVEEGESLNRILAYSIACPRAVRPLSAQMREFGMEEQANEMIDKAIDPSSVIHSSDPIWLQWAEGKMKKIDDDDQETHTSVAGVLLSYLDYGSNRLHERAWILLEKAMTGSDSSRWSEWWEERMEWWTDFHQGEMDKRGTKARKKVFKRFARAEIAVLSYRKIEFMP >PPA08037 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:46312:48256:1 gene:PPA08037 transcript:PPA08037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-snap-1 MAKLWKEAGDAFVRAAEIKGQDADAKHDMASQYSEAGNCYRKTNPQFAVECYQKTADIYTDMGRFNMAAKIHVTIAELFESECPDMEQCIFHYQKAADYYKGEESKSSATKCLVKVAMLAAQLEQYNKAVATFEEIAMWEAEHPTLKYAAKTHFFQALICRLNVDLLDSQHALTRYEEASPSFTDTRECKLIKDIIASIEANDEEAFTKAVANYDKISKLDNWHTALLVKVKRAIASGGAEDDEDDMR >PPA08079 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:270902:280048:-1 gene:PPA08079 transcript:PPA08079 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLTASGVDGSLATASLHAIGSPSDAIQSAVHVDIRGASIRLSVVRMGVDGVPSQVVEPTHRVSAAISLEITLRVRALHDAGAHHGNLTIAQAAAFLGSGDLPPILRPPPPPPRLSELLDYRRDEETGRAAIEFREYMTGKLTRHRNRLSIMEARKTEMVKKGEVPPSNQELLEVIRREEWEQREMEERMKNRTPKELDLWADYMKWVEKTGQKAVSDEVFFKRHDVQEMMAAEEEKERLAKAAEDEKERAMEAATEEVMEPAEEKEEEQEEEAMREKAKKRRAKEDGEEKEQNERMDTVEGAATRPVPLVAVRRLSPLPADLPADHQEHPGEEFDDSVDDDGPNEIPPDEQRGSFHGSDHTCEGCPLFKRVVTVEIKDQKRERDQIKDQVIGIRSKIKDHVKALSVARRLRQLVEAKERERAERRTTDAIGDYARVVEEERDHMMEERDIARWEMQHAIDQLAQARAAALVAPPPPAAPAPAIPPAAAVVAVAPPPLAAAAAAAVVAAPIKAALAGDVAAIRLRMADYLGGGGLESKMLGRGDKSIDKDWHRIATTCANRHKRIRAAIESALPRLNQGLIQDPTKQRLLKRFIEKVSAFLDRFDGLVEMGEEYAKLVVSAHVGPIRRTGSKVKYVQAIHGVAFTAFEWGSGQGGPSLPSRVRADLLLSAHSTLSIRAHSLQSACSLASLTTTYVRVDDVSTRKRARRAFWPSCAGWNWPRAEEGRGKGVPSLIVGTLRAARDGYESGIIAAPHPCPHSPIILFIGFVIVSLLTLTTRIRDHIRHLQLREGVFTVSLLTISSYRSFICSPVSSHSIDSPNSPVCDEWSAKSMTGEAIHHVWSRLLGAATVPHYSYRNRKITQREQRIERERDQNTRSMAQPESYRIGAIHHEWPDKGGID >PPA08069 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:209343:209991:-1 gene:PPA08069 transcript:PPA08069 gene_biotype:protein_coding transcript_biotype:protein_coding MWFEQFYSGMITMAWVAGACYMSYPFNKWDVGRHYRRDYCTPARVALSQRDHRLTGNQYVVKGLEGIPEAQ >PPA08051 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:124064:124531:1 gene:PPA08051 transcript:PPA08051 gene_biotype:protein_coding transcript_biotype:protein_coding MRFCHEVSQASARLQFENLRPYGAGDESLVYIGRDAPMKRSRCVINAGLSQGCDLSDMLYANRQASKFSSFAGPGRR >PPA08036 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig160:45415:46194:1 gene:PPA08036 transcript:PPA08036 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSEAKARTKLAEADKKARGGGGFFSKVFGSGGQDDAADLYIQAPSSVSAAHWTPVDGSKRARVLEEECESESSTDLEDNWIECAECEGCSFSNEADFATHYVEVHSFRCETCVLSFTSRFLLDIHLEEQHSPFFKIMQERGDKVYHCLDEDCLESFNTEEERNGHGLLEHGLSSLSMQTDDDRRRKMARRQHDTVGMEKKQRKKRLPRNIRFGAQLEQEH >PPA08050 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:113612:116792:1 gene:PPA08050 transcript:PPA08050 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPTYLAVLVALLIVLVSSIFLFKKFFGAKADTVLLIGLSDSGKTQMFSKLINNKSTVITYTSLSENVYEGLLTPSNEELRLIDFPGAERMRKLLSEKWLSKERGSLKQIVAVIDSSSINKRARDVAELLYDTLLESNKKVPMLVACNKQDVGLAKAPHVISTLLEKEFSLINQSRAASLQSTDGSNARASTLTNPEVAFEWSKLPVKVDFVKCCALETDVDDEEKNLTGIREAIGI >PPA08083 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig160:305578:306479:1 gene:PPA08083 transcript:PPA08083 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPHIAFVVLLAATVLIGFPGGWKNLGAWNIFAAYEGVIAVAQDVVDGTTCNTFSSATYQNGFAYRLIGGSGAAYVAPIVVGGYFGLHFGPVGMFALELSKILPIIAVLAAFVYVSHPISPIVAFVGTALWLS >PPA08089 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:319583:323791:-1 gene:PPA08089 transcript:PPA08089 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLKLIIVPSLVAVVAFLAYTHLVPTPKPDFPRDGWFGTGMKRADDTKITPFKVAVPDAVLEDLKNRVASTRISHEVLEDANSFEYGFNANYLKKVLDHWQTKYDWRKQEVILNSWPQFITEVEGVKVHYYHAKPDAKKYKKVVPLLLVHGWPGNVFEFYKMLPMLLDPVAHKVASTHDIAFEVIAPSIPGYGFSESPKKTGFSQLAAARVFRKLMERVGHKKFYMQGGDWGSIVTSQLARMYPESALGLHLNMASASPTSIKGLFYAVVGSIIPQHVFKHSHHHDYSTLDILTKVMLPESGYMHIQATKPDTVGTGLNDSPAGLAAYILEKFSTWTNGEYRKLPDGGLTKKFTLDELLTIVTIYWTQVNGNIVASQRFYKECFTDPYNFPLTNHYISVPTAHAQFPNELFDKQPAEIIATGMNLTSQVVMPDGGHFAAFEHPSDLAKHLFSFVGGLEKKK >PPA08054 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig160:135678:136753:-1 gene:PPA08054 transcript:PPA08054 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYPLDPTQYGFKSFTSMMRTFCDKYSAKTTERSGHLQELIKNQVTIEEKRRRDMRRSRSYSRPRKGNYGAGFFYSQNFVPVMTDERTQEQRIADNMASFGRSLAGELSGGEEGLDWGRRRMYDGCSDGSDDEGGLILR >PPA08039 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig160:56063:56771:1 gene:PPA08039 transcript:PPA08039 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRKATPIWASSQNLSGSTMRMRQQSPALSTSGHIFNAHEMGTLPRHGTLPRGASPSPGAGDNIVEKSHIIL >PPA08084 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig160:309196:310198:1 gene:PPA08084 transcript:PPA08084 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERQLPLEKWLGKQLGKLTLFNCAGSILDSVIDKLKDFEFRNLEMSMSSLSKKDFDALLDLIKAHNIVQLSLRVGKVDNFNHVATD >PPA08059 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig160:161884:163518:1 gene:PPA08059 transcript:PPA08059 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNSSLHYLQPRAQQTHASAAKIRRPDTRSTAPHISTGTAPWGSGGVASDTALRRFRAALHQARPQVAPLNPIIPPNDNLGLATPANAAPPRLPAIAEGSNELSTGRPAGGALNQGGIRAAVAGADAADDFPVIIDDGDLNQTQPWNEGDDQGEIDDDLLDNNQLDATIDEDQAANAEDQLAEMIRRNEEILRELEEAREAAALARADEEAGHKDGHHDEGHHGGDYYGHGDHHDGHHDHHHEPHYEHYGHH >PPA08041 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:65840:70923:1 gene:PPA08041 transcript:PPA08041 gene_biotype:protein_coding transcript_biotype:protein_coding MASDERSEVATALKEGECTPRMNGEAPKTTQESGSRLGGLKDLTNFSVGLSGVRKRSTGAQLGQRAKPSQLKSNLRDFFYESRVSSPSRGRKDSGTLELIETAKEEGIDDWSVEAGGSKDEVVEKKDESYTRLFTGQNEHHSNRTFRRTQSQYEEVVHSRKRGSIDGEEKHWDEAPDRKRSCAIVLADDSTVNVDPEDDDVFEASSPTMNHHPSLLHTSTSHLQLEKEGSPMSEYGLGYHAVMHHRANFERTLSASVLERGEFAPPLRDHPNLPALPNIVYDLPLVSTKDAKVHSVAFGCISAHTLAAEMHKLGLDEFHRKYVLIDCRYPYEYEGGHIKNAINLHDNSDLPALFFPPESILDDIDASSNDSEKTTSSSIVPSKKEKTPVPPPHRRIPVFYCEYSQKRGPTMAHDLRSIDRRRNIDRYPDINYDVMYLLECGYRNFFNTFNESNCELFSSHCAYVEMKQEKKELGKFKAHRKRGRARQVQLTEATSEMSPTTPGVPANKEGRRASMVAREKIRETLGSRLGPKIRLAFDSEDEKSPMKKKEGLKPLDL >PPA08070 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig160:210638:210858:1 gene:PPA08070 transcript:PPA08070 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQQPYGQQQQYGGQPFQQPGFNPQYGYQGQAPPPPEFIPPATMPRNNVRK >PPA08088 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig160:316743:319145:1 gene:PPA08088 transcript:PPA08088 gene_biotype:protein_coding transcript_biotype:protein_coding MYIVIFDNSSSHSFSSLFSVLLGLQFLPMALPQRLIFFARPAVAVLENGGIQCVYQPAMGIDWHVGLAKVTRDDGTEKLRVTVRGTNSNKNSTATTWRASIQSMVSIYDRWNEPTREKSLLATLSHYFHTLFYGDFADKDKDEIELKDVNYENDCLVKLIDKRAVRRLAKEAIYKELSHELKVKILEKLL >PPA08073 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:218786:223532:-1 gene:PPA08073 transcript:PPA08073 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sti-1 MAEAAVAEKNLGNEAYKKKDFATAHVHYDKAIELDPANATFHSNKAAAFFEEAKFDECIASCEKAVEIGREHRADYTVIAKALARAGNAELKRGNLKPALNWFQRSLSEYRDPELVKKAKALENQIKEEELKSYINPELAEQEKQKGNELFKKGDFPGAMKHYNEAVKRHPDNAILYSNRAACLTKLMDFQRALDDCEKCIRIDPNFIKAYIRKGAVLTAMKEWGKAQSTYEAALHIDPNNAEAREGVRLCMSNNDEKPEQARERALADPEIQEILRDPGMRLILEQMSNDPGALREHLTNPEIAKKIMKLKEAGIAYNNEIMPGIVNASGNKITVTTHIQRLQLLDVEEVEQSVKFFIDVRLSWTDPTIRWDPALDTQKTSSIKVPEDTVWSPDITIFSAVSKTFPIPSSRRVVRLLGKLKIKEAHPYTVA >PPA08042 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:71835:76392:1 gene:PPA08042 transcript:PPA08042 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPYQSVYGVDTAEVPARNKLKKKTVAFGVTTNVSQTVEKEKDPHPIDRPSLPFSRPIVPSRIPPSTTRMSLGRVGVAGDRTTYGAATTRPLTTAARQPAAAAAAVVAPPPVGDENRPPTRAESMAEERKDTIGRLEARMIELEKREIQQGEKHKELQIQFDRLVKIVTTLTEKVAELEVDKGRGEIRERRSDDDENSRSRRRLYSSEDNERGRGGEGRREQRQGRERGGERRDERELLANNDYLRALERQLATNPVLRRTIDDAIEQDEYEREYGGDEYGRGGRRTTSPPMIRVGVYDEYDDPPRATNGRLPASAPPTNRWKEERRSRPRMTPPGVVGGGREMGGRMDRKRSDITPSRRRVTERVTMTRVHHFDESSDSDGRGYAARYDIDDPPQPYPRTQYSEEIIDDIHSAVVPQNNARRGYGQPTRRNQQHHEGAPVITVGDGRWLR >PPA08062 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:170582:173028:-1 gene:PPA08062 transcript:PPA08062 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-crt-1 MKFVLVTLALAASVAAEVYFKETFDGQCLVGGAMDSVQAQGRLWRVQAVGWQDAKFYSRAAKFDKDFSNAGKTVVIQYTVKHEQGIDCGGGYVKVMAADANLEDFHGETPYNLMFGPDICGPTKKVHVIFNYKGKNHLIKKDIRCKDDVLTHLYTLILNPDNTYEVQIDGEKVESGDLETDWDMLPAKTIKDPDAKKPEDWDEREYIDDEADTKPADWEQPEHIADKDAKKPEDWDDEMDGEWEPPMIDNPEYKGEWKPKQIKNPAYKGKWIHPDIENPEYTPDDKLYLYESWGAIGFDLWQVKAGTIFNNVLVTDSIEEAKEHAAERFEPLREAEKEKKEAADKEEAAKMEAEEKKRKEEEEKKKSEEKEDDDDEEEKEEKHDEL >PPA08072 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig160:216465:217542:-1 gene:PPA08072 transcript:PPA08072 gene_biotype:protein_coding transcript_biotype:protein_coding MCMYFSFYYSLYFFIILNPCPLNVNKFPFDSQECLFRIGPWAYDNSEVALRPFLAPKLNISDEEYFRGNSEWKIVSIEVEAYADDSYGKGQVLILPTFICATLCIFGLFMPSESSGYRFEKVSLGVMTLVSMSMVLETFSATMPKSSTLPLLGENRSW >PPA08040 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:63117:64933:1 gene:PPA08040 transcript:PPA08040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-112 MTDLVLKCDTELQYAKWMAACRLASRGKSMADASYQSEVDAIKNLLKMQNANGNGRHENGTGPKKQSVQLPGDFNVDEYLQQRISDAHSNVKNLSATDAKLQYIRAWQSLPEVGTHYFVVRFRAGKKPELIGVATNRIVKINPDNGETLKTWRFAQMKKWHVNWEIRHLKVQFEDEDLEFKPLSADCKVVHEFIGGYIFMSMRNKEQSQTLDEEMFQKLTGGWQ >PPA08061 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:165851:169972:-1 gene:PPA08061 transcript:PPA08061 gene_biotype:protein_coding transcript_biotype:protein_coding MMIHHLTDYSIIPFCFVKDSCMNKTEGITIVDTTKFKLTCESPKKLLIIYKDVTRLAEEMHCDNKQFIYREINSANLTEIPDGVQCLDGKKWRMDDGEPLVEGVNSSAEASISPGAITGIAFGVIALIALILVIIAFCVIRRRHSSPTTAPKSLNHSEGVKTAVYAYSRESSTAASEAQPSTERKEKSMPDSKDEKEKGEDKKEDKKESKKEEKTEEKKEVEKKEAKKEKKIEKKAIALDLTPEQIGLAKEPGDIVKQWDENTFQDLMKIVTMLSVEHRLTFMRNLTAFNHYKGGIKLINRLVKILCVYLPQKEGADVINRLRKMMREYGRMLLNAPTSKGNRELANRDSVDMQIRRELAMRILLWVEDPECLEELRKMSGAKLDDVDIAMQTVVFSHAVNRMGKKEELEKRLSKVNKSIE >PPA08053 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:128882:134339:-1 gene:PPA08053 transcript:PPA08053 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGFYFTKNRSCSAPPRKPIETVVRSNVFDDPKSKNLEDCTNPFRVLNNVRIRDIVMEEGESDDAGRKGLALIDVAAKFRKQFRLPLGGVPNLPVERLLQKMSVVDTVEWVVEKMGDGNVYVIVPVETIKRAKEVGPGTRSDTPADPAEKPKSTGVSPAAKRMFGFALKQAVNTNGRMKDTRENVLKGRQVEDPEMYDGVIECDSDGDPRMSFIPVNRDKEIEKEEVEMIENPPLPSEDKCVYDRDAMVAYDDKAGFDVRVKTLACAMRILRSQPTTNPCRPSPSSSNSSVVSNRERSLLPNMSFGGSSSTSSPGFGTFRNAPPPASEKEDDDSSVIIQPSQSSLASKLPQSSFSFSKERDDSGYNDLPETPENGRPSECDLRRQMRRHLGIASDDSNETDDVINEEEDYVEEDDVIIEEEEGQNTTKYSQPLFPPGLAPLSAPPGINARPFFPIHPTIPQPLPPGGIWVPVPVMCNPPTPSAPPFYPSPIGFFPPPGLIRLPPPMVLLPPPPPPSGMLPPDLPPPTLMSCPPPGLFESPRIIARPPGLPRPDKDHPVEFKGEVYYDKPAVWPPPVTTRRLERREKKILSLRAPDDPTPPASSTFASSLSGPAPSFGTRNQSVPPCASTTTRPVALTFGANAPVVPPVAAFGGLKVSSPAAVVSAPKKMVEVIESVDNGDKNENKATDLLAETIQLIGMLRGGVVVYMHQIEGKPVEEVIAGNPDFFDIDEKDERIHLLHNKVDPLLLMKDGRMLGEMTEEETKKKESMKVEEPPKEVTKPVAPTFGGLPARPIPTFGATRTTEANGKPLPTCGGVGRPVKIVDDPPMERPVSSMSTTTDGNGLAKPRPTFGAPTSSNQEEGRKVVPFPIEPVERAPNGGGSAINTPQPGMPSFGTRSLHVPERPDSALSNRSVEPEKRRILILCKHAPRINYLVEC >PPA08080 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:284553:286961:-1 gene:PPA08080 transcript:PPA08080 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAVLMGLCNPYRVKSALRSVSASTGALRRAISPFEKARYDNQLIRWHQTARGVDTTHKGRVGVGGRHRRGWSPPPSSMGGLRQEAGSGRVAGARAVVMREYPVRTVRISLVRIPPPRPFYQALPQTAPTPFDTHPRPIPPLCSPTHRSSHSQIVVFPSLVMSGPITCPSMSRPIYHPSMQPYLHSTVLCLPTVIYSRVNSYLHLNLQFVLSSIVAVPFLRDISSTILSRAMAAVWNGRARRCCSPLFSPRKLQGSMPLGALPVSASPEASSRPQEVAGEEGTLGHTQGCCLSEAPATYRHARAGDWNTEERMEFPEKI >PPA08078 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:256266:260332:1 gene:PPA08078 transcript:PPA08078 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYFAPQYERIHALHSQIRQSASDFHSLIASCSEIKDHADHESKDNMLRTAFSGLRTTVQSFDDAMDRLQHKVNRMATETNDQKTSKTKEDGLLEQLVYLKGRFLTSMRDQMTNAFDDAFCRVKSRIQRESILTSPSHSTHSTVTQSNQFTQSAVIQSDHSIANFISNDLPTILTRLSGPQPPVITLTPFDGESTQWESFYSQFTSIIESKSHISDHEKLVHLRNALKGSALRAVQGIPTEAKNLKPTIDRLKSVFGKSKRSNTILINQLFAIRPKSSSFEHQLECTQDLINKIHQLDDKSLVDNFALINQIAGTIHSKHLEKMYKEEPSTMMEALELIESDLREIIEISKLKSTFSSTRSDHLSYQKQKGPIPVSETANSKPFIPKQSLNNQSCVYCGQHKYSYCTTITSISERKSILKEKKLCFKCLSPHPFNQQCDRKCKHCSRPHHILGSQPGFPLPAALARGSCMPPSMGHHFYIGTINARTLGPKDKQTEMELALDKIKWDVIAVQEARIVGCASFNLTSSGTVVYHSGGPTASHGVAFLLRPHLARGAVFRGLSPRLATLHLPDQRLFLVNAYAPTSSYDDDAYDAFIDQVETALRSAPRGTMPVLAAVKDFYEDLYSPAVQIPLAVPPHSLDPFPPFLPDEARHAMSLLKCGHSPGSDDILPEMLYHSRDHLAHSIAHLLNRLVAGDTVPCELSEAVVSLLFKKGDPTNIANFRPISLLTVTLKVTTRCILKRFEAVLEETESATQTGFRRGFSTLDNLHAIKQKAFDCVEWNACWNSLWKYGAHPTLIHLLRRIYESSTTLIRVNEELVPVTVKRGVRQGDTLSPRLFNVALRSAMDTIDWEEDGIRIDGRNLSHLEYADDVALVAKTRPELERMLRKLMDACRRVGLEVNATKTHLLTSCKTTRAPITIQNLTFNFVDSTTYLGGRISLPLDHTDEIEHRIRLGWLAWSKLSHLLSSRLLPMKTRRRLFESCITSTVLYGSEVWALRSSDKERLSITQRKMERKMLGVALRDRWRNERVREITKLRDWNREALRRKARWALKVRSMEMEQWTRATTFWTPYNRKRPPGKPRARWRDDLDRAIGNWWNTPHEDFAPILI >PPA08060 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig160:164738:165714:-1 gene:PPA08060 transcript:PPA08060 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNIEDASQQDPKPKDLPFGTEKQHLVYALASTKDDAEMVTFLSKVAGARAKSSPPAEFNPTDLLCAYKGATENPAHFEKVLKIYDEKADPLYDELVHEIVEKYAKTPAAKKKLENDLKADYMEICLLIAENCVTLNQWIKIYNTITSYLMYAMKPGSNAFDADKLMSRVVNLEAILKDKKCVEMVDEGLKRAGY >PPA08038 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:48989:51472:1 gene:PPA08038 transcript:PPA08038 gene_biotype:protein_coding transcript_biotype:protein_coding MALCEVEGVTDVHEKEIAYLRRSVEMLKGDNMRLHEKADHLESRLLFFVEQNEEKTHLIDELRMQLSDAEVRERELRKELDGIDKPFRKSSIFGRKFSRKNSMASMLSSSHDTVHEGVSMHISQSSPFLLSSHGGHGMGGGGLLNPNHSVVFHRGATSNTLSSCDDVASIALSARASPDLSSIDGDSSIASYQRLGSTSGGGSIAGLAVGGGGDSPHEVTTIEEKVDEERENMGGGSEEKKKEVEVVEEKKEKPPKRKRSLWMRVTSGLPKIPGEFVV >PPA08056 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig160:137486:138645:-1 gene:PPA08056 transcript:PPA08056 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDVGPCSDVIHSWYFDNTSESCRPFAFGGCGGNENRFTTEHDCKAACTRSHHRPTHKAGPHPKTLRVLEERPYQMGEEIRLNCDSEGPIIWYKDGSLLEFTQRITEEDSFKTVIIRGAQPTDSGNYMCAYGLHGTFSDRVHVKVEELPVLESYCSDTGNAVTCRRILNGVLVDQ >PPA08076 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:241852:242834:-1 gene:PPA08076 transcript:PPA08076 gene_biotype:protein_coding transcript_biotype:protein_coding MENIITYRTRTISPSQIDETSGVQRLFVSNGTRTISVQLKITKKSIAATDVSAALTWVGIIGGFFIIMILFVVIMRIIRRPASKEKSEKAASASAAKQSDPSTKKESAPEKPFGPMLSFSIQIAPSPVVAELAATPAASATTLPAAAAVTSPIPPPQPIHSVPPCRM >PPA08082 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:302347:304397:-1 gene:PPA08082 transcript:PPA08082 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPLYPLFINTHFTPSDAEMKPNMAIYNPYQSSMKAEIKSSPRKSNTTTVSTRGSKPISTGRVTRKRSHKPTRVAEATIKEIVFKQKTCKAEIHPNEDYGVFDPSRTLYEDSTLSTHTNAGKQQFWYFILTLLMDSSKKDVISWTGNRTEFIISSITELMSLWSINQTLEKMIKKDTLLRNFRACYKRRVMIPVSAQKYRFAFITEPSIHIGMTQEELADFISMHKRSVNADSTKYASRRLYLSYTSFFRKRIHQFLQPPLPFDRSLLGNNLRQGILPSVCSFSYFVYNCHTD >PPA08087 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:314882:316181:-1 gene:PPA08087 transcript:PPA08087 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGFGEEKKANIVQWGNRVHSLAPLKETQEDFWHMCFTEKASLILMLCDFTEGYFTLSRKTSSHYFPEKQNETLNFGAYTVTMKDKLNGPEIEKTDFTVLEIKCKCETVTVKHCFMRYWLDICPPVETEPILKLWRYQPKDFILPIYHTNQPVVVLCATGEGRVPTFAGIELTAHRITSKPEIDMMDVVKELRKQRFAAISSTFQFLYLHYLVLDYFVQEKLLVPHHQSLFVEEYRRIVTDRLQRVSVH >PPA08085 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:310539:311748:1 gene:PPA08085 transcript:PPA08085 gene_biotype:protein_coding transcript_biotype:protein_coding MHAALVTIVVIPVAYRMKLAEKVFGDPDRDETKDECESNFLHKFVGGSGVCYIAPTSRKPPLQFSDIFCVCVIGTMIGMQIGGGRLWASPITLGLAMGGRMLGQKLYDVVASDCEEEQQRKNPYEHVKMIPVFPLVAAAFALLLFLEEPQNALFVGFFGAWWMMLSR >PPA08057 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:138956:151192:-1 gene:PPA08057 transcript:PPA08057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ppn-1 MDIPLPKRNSEPDASSDGAPFLHPASPIETDPSPARAKRQAYQVYVDGDASVTVDKSGEAETGAWGPWQPEGSCSRTCGGGVQTEKRQCSGQCTGPSVRYVSCSLDKCSEGGDFRASQCASHNDEAVEGKYYKWIPFRGKNKCELLCKPEGNGNFYFKWGEKVTDGTACDAKGTDICVDGVCLPVGCDGKLGSDKKNDKCGKCDGKGDTCKTLEGAFDERNLSPGYHDIIKLPVGATAIKISELRDTSNNLALKNATGDYVLNGNSMIQTETDVEVAGTVFEYEDSKKESLIAQGPLTEEVTVALLHRKGNKDSAIKYEFSIPVDEDVPFMYKPGEWSPCSVTCGKGVQTRTPFCLDVKANQRVADDICDENNSTKPETEKECLTVDCNAEWFTGDWESCSASCGSAGEQYRVVYCHQVFRDGKRITVDDGNCTAERPPVRQTCNRFSCPEWHAGPWSACSEKCGDAHQYRSVTCRSEKEGEEGKLLAADQCEAGADQETQRTCNLGPCDGLKFTTTDWKLCTKCNETEETREVKCVDTQGRAYQLEKCLTNTTNEIPIDTRTCATQPPCLYEWTTSEWSKCSTECGHGSKTRRVVCAIHQQGDLEVVSEHHCQGDKPEGKEECTNEVNCTGTWFSGPWTECSAECDGGQQSRISVCLNYDHKPEPKWCDEAKKPADDQDCNTQACPTCFDSEFGCCPDNSTFATGDFYTGCSNCSLSEFGCCADNVTEATGANALGCIEYVELEAATKADLMTADGVEGSGIESELEPASKEQEAKECKLTSEDGTEASVDCGAIAANLTDVEEGDLMSKFRREMRVCRLAQSVEHQTINLAVAGNETTLHCSKTEFGCCPDWFTPASGVGNEGCEAFVQGACNGTQFGCCIDNVTLARGPNFEGCGEPSCAASLYGCCKDRKTIAFGAHYAGCERSSFPCELSPNGCCPDGETAALDKNGTGCGENCLTTKFGCCPDGTTTSKGKNNEGCGCVFAQFGCCPDGKSDAKGPGFFGCPSGCAQSQFGCCPDGKTSARGPTKEGCPCQYTRYGCCPDGETTSLGPKLEGCDDCRYAKHGCCQDQETRALGPDFAGCPTTTMAPFMKGGTVAPSQITACGQPQDQGKQCAGTSYKLMWYYDTTEGRCSQFWYGGCEGNDNKFPTQELCENVCIEPPGKGRCYLPKVEGPQHCNALEPRYFYDADTKICKAFWWRGCMGNSNNFPDWAQCSSFCSDVDKVEETTTVAPVTFPPLPPRVAPTESFHVDAARQDTNPAPVPAQRENPYPTMEDVCKAQPEAGPCNNDEERFFFNAAVGKCERFRYGGCGGNLNSFRDESECLMRCGFMIDSPQPVHVQPQEPHVNPAVDPVHQGLNLLPPNPQPNPIYPNYPIQETSIARPAPSNPSSKGREVCHQKVDVGRCQGSFSSFYYEVATGTCVEFKYSGCGGNGNRFTTKNECEGLCVKSAAPEPAAGGVGPNGVSSPCFERKDTGRCPNEATTSYSTKWYYNSADGVCGRFHYSGCNGTGNKFETEAECKTTCDHLVSPCEKPIVVGLCSGKHTAFGFNKSSGRCEQFEYSGCLGNNNRFTSQEECVKVCPSRGDISSASEAVRSASAVASAPLAVSAPRDSSAPVNKCSLPRVIGTCRAIIASFYYDVPLGKCTPFNYGGCGGNANRFPTIEECQKECEPERSQALRMNPSSVAADQPRLDDYRYPSLQRSTRTTYKYASFIGNPGQIMRNRKDIALPLPAPATTTTTTTTTTTTTEEPKRQLPVDEDEDEFLSPSSYVPSSSLPELCVLPVQAGSCFGRELRWHYNEARGMCTSFHYTGCNANANNFESQESCERACGAFRDERVCETRAEAGECSTATLMYHWDGGKKACKLMVWGGCGGNGNRFSSHSECEQLCRRETTYPVGTDVCIMGTDAGPCMDAITMWHYDMRVGACRQFTYGGCRGNGNRFLTRDACENQCSRSKAPVLPTPAALIQHDAAVMEYHQEQQEKETTTHTPMSVPAEPIGHPVITTRVMPLMPFHNVSEERTIAHARIPTISKVALSTGVKREMNEVKNVHTTANRE >PPA08063 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig160:174506:179089:-1 gene:PPA08063 transcript:PPA08063 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNSVSHYTHFHSHDSALEQISRDIWERWAELSPVQHAAVVEKLCAWPTGRGTSPAPSVNLLIGEALVQLNTKDAKELAVDGTDRLFVPFLSEVRLCGEDEGMGSSLSPASVSSSSFHPPSASASPRGPPLPQSETPSVSYPEMVENRFQQGQSSPPASPHTKGSSSSSSDRGEVQVEYWVSNNPREEGGGTTILAPLMPGQLPVTPSGGGKKDKDKENVKESMKSHFKTLMISRPSNAPLLKLQFVKEKKKDKMFQKLGMKNKSKNDVEASPSVIVNVSRLLCSAQGKHSLTVLVDGCAYGDVRFFSTSSQWQTHVKYFPVGLLTHHQP >PPA08031 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:5753:12598:-1 gene:PPA08031 transcript:PPA08031 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSINNDSTENLIDPLSQRADVINGPSLDNFHHVLGTDLGDRISQMFDEEKERAQELGGDVMLNISGISQGVPLSASFRYGAPSTLTHVVSIIVINLYAVPFIQLRRIRIDSMSTTEEEDYESIRDTLQHGHIREWLTQLSTGPSTSSLVCPRPFSSMDHAMVAVPRLLQPPTIVETIGTSSDANECPAVADSVEASPFRSPCVEMGETQRTAEAHIAHVVPSTHSDAVETAGESVLTQFVTGSTVAASIECAEKASVHETTLSGTTHLPSSQRRCGRLSLLSLHSFFLFSGRSIDTCQSATDVVRLHEQAARAVAAMASDPSSRRNHFLFDCAADSLLKHANATLHEYHGWSTVAATKEGEDEMESFYETHHDRSPAHRSSMVDAVHSTTSTSSPPSSTSSTFAATTRSTLSMEEELHFEAARAVASLVSAPHDETAQSAVRLVARRMLTLAHGRIHEAHGRQSAVSRTVPTLIHYEEEERSDYEEEDEERLEYDTADEDADWAPQTPSATELQQEEEDATIVHNESRSLQQPVPIESSEGPAYERVEEEEMDENRESSAMDDDKVETMRGHRLSDLSPNRSPVDDAALLSLREPTIRDFTPSSTALSTLLPVRLIRSTSDTGLDDEPPTGIEELTISDVPFADVDPDYGPFSPVPSPRKRRASDDDSEEHSPAKWRKMGEELEETRERLVTAEEMIEEQREELDRAMRRGRDLQNERDALTAQIAAAAAAPPPPPIPLIIPVPPPQMVVPPAAPPVPLGNPAIAAAVAALRVRLLPYLGQGQGLNMRITGMADGSIGQEWHSITTSVGVRLRMLRSAIHTGLPRLTPTVLANPAHLNSIQGYIASANIAADQFDRLLALARTYGLAVAREHTRLGQVVRWTAQPFGDAYHEFV >PPA08046 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:92929:95644:-1 gene:PPA08046 transcript:PPA08046 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFPVPNLANPFSYETYLFDADGVLWRANETVPGAVTFVKELLEMGKRVYIVTNNSTNTTEEYVKKVTKLGFETLHEANIISPNVVMVDYLRRHPHYTEKGRVYAIASGGVVDTLHKELGVECIGGGPDHVPLNSTFLNSVDVSKEVSAVVVGYDPHFSYNKIMKAANYLLNPSCGFFITNEDATFPGSRKDIVVPGTGSITASIRAVALPRQPIVFGKPGEKLQNYLRESLDLKPEQTIMLGDRLDTDISFGNRLGVATCWMRTGVHKEDDVKRAIEAKDTDLIPTYTFSFEEFYAN >PPA08066 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:198027:199906:1 gene:PPA08066 transcript:PPA08066 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIRAARAFTRLAVVPCCTPLVHSLPLHYHCPAHLFGLLCLTAATDYIFPAPLLFSSSANQASVCAALLGMRKPDQRMIRVEGFRSSLLVFFSILLGSVHRLMTCEKTSSTMFICREERRDWSPSTTGELESRASTITVANFTNSLRERRGQ >PPA08045 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:84588:91809:1 gene:PPA08045 transcript:PPA08045 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPVKDEEVVRGEEEEKAEKIEEEKREDSFEDGSDKENHLVESAALSVAKPVEATETAADDVDVVSHPPPPSAVDEVVDVPDAIEEVEVETSPHPQKEKEHEGEEEKETSEPAEVVESTEEEKKVDEKVEEEGEVERQEEREEEHDLAAGDGPVPLQEEESAPPSLPSTPVPVEKDEEKVVEEMTTTTFSSSDETLLTVIERGPTIVHDEDVEEKKQEEQEEEREERMEGEDGEKKEDEVVQVEKKVEEPAAGDSSAAAAAVVAESIVEDQVTEVVGECVVKEDEEEEERSKRVKRQTSPVEEVKEMGKQPDDDVRMDDEVVVVDTVVNTEPVAQLSSAAPEPVTAVTEDAAHSITEEPTTMVSPATVAPMVQPKLQQLQTPPPPPSHEPMTSDDVDMNDVLAGGPALDVHTPSVTAPITLQPKPASRAQPEEEDDSEMITHQPVIDESDAPSTTLAAISPKDDGTTAASPDDLALRRAQPAKKFPCCTIV >PPA08064 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:185969:192820:-1 gene:PPA08064 transcript:PPA08064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-232 MEAHHLHPSFLSHHRGIGPPVVPQKLFANWEMDRAHPTTVQRVFTLSVSRLALFPCPSQMRSLVITAKLEGYKRSLRSNEIVLGDGEMSAIDLPLDISFTIQYPHFLKHKSNVMQIHVQRKRKYKNRPIPGGFKSVAYGSIDLTSMLQSGQAAKSEMTLYAVPTSKGSGREGKEQMQVTSIGRIVMQSCATLPLEADGEGGGGKMNSKDKVVELSDESEMSEEDDSDLPNDGLQTGGSVRTGVNGNDHRLRDSQRRRKRAQHKKNMKQRLVNLLKKFRLPDEGGNEAAASSTGREPTAKELQALFDELDELSDSGNEGVAADEISIVSNPRPALRPYFSSREQLPMPAIEDERASESEGEGEDVEWSSETDAAMAALQVPHNQLGVGAPSHGTPRGAAEPIADQTTPNMQQIKNLIVEYLLLRRRGRRRLVSHRRLRGREEEGREKEEKEE >PPA08049 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:108394:113042:-1 gene:PPA08049 transcript:PPA08049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-efl-1 MHSVRRRNTIHTGRKASIMAEEEEVIMEEVLEETDAAVVPKLEPNEIHEEIEEIEEEDLTHIEEDEDINVQDVEGNDFLDDDEDDDFEQPQMGTRADKSLGLLTKKFLKLLQTASGGIVDLNTAAETLNVKQKRRIYDITNVLEGVGLIEKKSKNVIQWKGGELHRGRGGADVRPEEEEYHYKLKAEMTELEKNEKELDQHIKWAKQSIKNVSEHYENRQNAYVRKDELLKAMGGPSMNATLFVIQAPIGTSLETSGAKAHYLNYDPTTTLSIKSQSGPATVYLVTKEEPMMDRGGMRMSAHQQHMMMMEEEAETSSRYQQPKAMYAVGDDPGQILEDEEEMMGDGGMDRMDRRREMEGEGMDEGMMHPTENHLLDSIVVRSLSPPPSDKDYFFNSFTPESLVAIYDDTEEGDSKVKTEAAVDSIQDSLSNSVRTLAQFFGFHDIDASALSSLTSVVSHKLESISRQLAILERRKADRLPQLYSSPLLHVLSLNGIPSYQSLGVYYERRIRATYEQVKTRAAQFTKKKALAPPKRRASKRVEEKEEEEEEEEEKEYLQFLGVADVVTGDSGDCDENGNAPKKRMKRAGKAK >PPA08052 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig160:125481:128415:-1 gene:PPA08052 transcript:PPA08052 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFGTRSLHVPERPDSALSNRSVEPEKVREKPSFGGVSRPVEIVSPPSPSPAPVERPPSVMSDMTTSSDSTVESTQGSKYRTAESDFSGRKAESIASDSVRSSQLSLDGDDEEDEELAEERAETNTGPYSFPNHPDIRRLSRKVSLAFDSIRQGQINLGCILTSMEPNRLVMALKDNEAMANTHLIMQELRPAYVDKKPLGADNVKLGQFAVAINGDVIVRVVVLRHIAEGQTDSFLCACLDINAIIDVAGHNLYELLDRFGVHALRSTTFIARIHGLRDLGERGHRSMKLIVKGEDDDSFKRPQPFVFHGKDAVTGDLMVDVAINVAGQTVWMGESLHTFIGGKSYTDENIMNPGIEHAIKYALSHDVYEYGGAKMSVQKVEEESDSDTPNEADLHTIRCDSAENMLPPVPVSIETKEKEEGELDSSIDESTASEKTTVYRHAAGDYVRNEKDELPDEFIVAAPIATKPIIDVESMTSVIRLFNEKGEHVAADVMKAMCRALILSANNTLSSLGERGALIANAEALEAIDMA >PPA08074 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:225757:227423:1 gene:PPA08074 transcript:PPA08074 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCNEKTSTRREVFHSLEDELVGICLNHVDPKPEGHQSAIEFDPFKDYKEEVDTGPYKERNANRLNAFIGALEGDVHSTLGRVDRIFHVDVICVDMAYSRQGIASELLRRSLQHARDLDCDRISTVATAVASQHLFRKAGFYTIRELPFSCFRENRIPIFR >PPA08068 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:207580:209146:1 gene:PPA08068 transcript:PPA08068 gene_biotype:protein_coding transcript_biotype:protein_coding MVWVSAFFYSSTTLSYRNHLRDDEAQTKTGGTETGFTGQTGTGATVITADQPATDPSKQPQSSENNNKVTVNNNVDVEAQQMKTGLAIDPANIGPSSFDCLIARRIFVQKVFGMVAIMVLYPRRCSTHDDVAIRQSKLSHIRNQKLVDMDRWLVFGAGWVSIGVTIHADAEVVLLALVMTTLCCTATIIFAIKTKFDMTQCAPLLILISLVMFIFFIIALIAALAFMMMGGKRQEISVHDPYYAAVQIFVDIVMMFWYMVQFLQGVN >PPA08043 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:77173:79262:-1 gene:PPA08043 transcript:PPA08043 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEKVEPSPSLHSTASSEVECGPRSLLEDLHLPHSTTHLSPPSNGSFPKRIHVSNIPFKGYGFVTFLNSEAADEAIEALSGRNFSGRTILVSAATTRRNDMIRNDSIVRTGSSVAGTGNTKYSAELGALLLQQKQMLAQQHLQQYLIAQQNSDLIASALGLPTSNLTGLLGGSLPYPTSINLPGLDPLSLQLQQLQSQPLLQQLQQQQSATTAQQQLAAYTQSPTVSSGYDLLHSKMVKQEIGPQQPINQSLSIHASNEVRGLEVIL >PPA08071 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:212441:214681:1 gene:PPA08071 transcript:PPA08071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-xbx-6 MPTPNNAETGGQPGYGGDSDGPFKYSLHFNEKSIRMAFIRKVFFLVTIMLGVVSVITALPFMNQDIWNYFKGPNARGNLGWFYAAYGTFFVTYLVLVCCEGVRRSFPMNLILTGVFTLATGFMTMCISLQFTIESVLMALVITTACCGVIIIFAMQTKYDLTSCMGVMALLSLFLMVFGIIAIIGTVAFKARILYTIYAGLGALMFMAYLAIDVQMLMGGRKYEISPEDHIFAAIQLFLDIIQIFWFILSLFGSSVSMKQ >PPA08032 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:30900:32004:1 gene:PPA08032 transcript:PPA08032 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLPAKSLNDICLSYDQQMMHGSLFEYLLQRYFYPVVAIFGILGNILNLTVLLNKNMRSRRSGPKKIE >PPA08081 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:290264:298275:-1 gene:PPA08081 transcript:PPA08081 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDGKTAGGRVSILASATVDGATLLPMALHVGPRTLPIRSVIHVDVRGGKARSSQECCPAYTALAAAEICRVVQQGMTAEEVMEKEREMGEPLFDPRPEEYGQPGEQRGLDDEEAANLARLTTTPTDTIMVDALLDVPPSTTRQSAPARPHALPTRMRSASHAGASSRLDVSMASSTASVRKALGRVGLDEKEEEAMDNSAMEESLLTAAARSPVRSEDVAIVEEDEEMQLARGAPLDDTMHSAGGRLSIVLDDEEEMDYSWVAPLDATMRSAGGRRSLANPDALEEEDEVMPLAASDRKSRRYVRVRHRLGQSAGTNDAAAPAVPTESEVAKVEDPKERKEAESIEEPEKVEEPTEPQDHQDPKEIDEDPKEIPLAPPSPHTTRRILAARRSSGFIPPRADAVPAAAAAPVEPDATEGETAEEHKEEEYAPPIENGHSPDHYREDSPPPADFHDNDDAFALPDDASRPGTPRSRMTIDALEKQLAEAVTAYDNEKFLHACERRAHRRDMVLLEEEWSSRAGARADTYRLAAEIEALEIEVAEEKARAHAAHQAAIAIAAAAAVAAAVQRVAQALAPAVAAAPAPGAIPVPALGAPVVPAAPAPNAQFAADMAAIKARLLTYLNAPGVVTKVNGKASERLSKDWRSLTITAGHRLKKVKEVAAIGAARITAATVADPANLAQLTDFYFEATVTLNEYDELAERVEAFGAKIVDEYDRTGRKVAWSKPRYGDEYAAIKAMADEDLESLKDFGESCTALPSQGQSNPYWWSEKRKAVVLCFTD >PPA08047 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig160:104202:104507:1 gene:PPA08047 transcript:PPA08047 gene_biotype:protein_coding transcript_biotype:protein_coding MHLMYNNWELSSENSLFQEYFESYIVHQSRWGCKALNFLAHFCDFATIW >PPA08086 pep:known supercontig:P_pacificus-5.0:Ppa_Contig160:312214:314226:1 gene:PPA08086 transcript:PPA08086 gene_biotype:protein_coding transcript_biotype:protein_coding MLARYSRIHKHFVKTSTRLFSSDKRTPGYRYRFPDPAPDFDQAVAAACSQGSETVDVMMNCLDHMAKVVVPLTNWANRSIDLLESKLKESVTDSALAEVLTFVGAEEVGKYRWDGPLNSFDAIGGPLHVSAPLRAQFHGDEDRHLNIDLLGMMENRWNLTYPCDNNQDSNGACAAIDLSSIHIPWTSPYAPDSGSITGWSVTLILSLWLILT >PPA08035 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig160:43852:44113:1 gene:PPA08035 transcript:PPA08035 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIDLANHGQYLNSFRSLLRTRFNEISGTQLTCSYDRNATAIRIDTEGITIRAKSKSEVLLSIER >PPA08067 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig160:206538:207337:-1 gene:PPA08067 transcript:PPA08067 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPKVTVNQPPIPPQEDSGPTIPDPPKKKLAPGLQKLQRPYINTDEVKVLRLGSILERKMKLTAEHRASFDWLAFTRTQDMQQMPEFFMHLQRAVGGVLLQPLFAIEVIHYLFNRKLLTPAERDAISGKIREMNPSILTMYAIGELVRTEGQVFRGAANNQN >PPA08091 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1601:478:919:1 gene:PPA08091 transcript:PPA08091 gene_biotype:protein_coding transcript_biotype:protein_coding MFRALVLLFVFVAVIIATEGNSESTQSPFVPTAPQHRPLVCRKCMAVVGLINEVALENTYRTKQTPSGRACKQWLDSDLDN >PPA08092 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1602:600:1091:1 gene:PPA08092 transcript:PPA08092 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSNEPLPLSDKHYLIFAVGLLSPICNPSDSTMAFYEPIRNKWTECQKLPSIRGRNGVAVCGRKIYAIGGNDLKERLKTC >PPA08094 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1607:175:976:1 gene:PPA08094 transcript:PPA08094 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWNEDVPKSAKGGEQAPPTIPVKKTKANDNGRKKVVAINTTADSDEQSDGDTVARDPSVGGNNVGLSQVEMSRKEKRRVERAMRQSSILTMDDDEDEVTVAPTLSRSFDAAARKLTSVPVLDAVRMMEERLNGGLQNINKNLETMNRRIDRLEDQQDEIADSMETQRTL >PPA08134 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig161:276169:276981:-1 gene:PPA08134 transcript:PPA08134 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIAFIAGYDSEESERRSIRLRKGNVHSPQTFDRLASGSKIIMLKTIDKSAVLIDKTH >PPA08128 pep:known supercontig:P_pacificus-5.0:Ppa_Contig161:237232:237752:1 gene:PPA08128 transcript:PPA08128 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIDEARKDDVNRGEEEEEEEDEEDDYPMNSCNEMNNGKSKDDNPSWCLQHKCDRF >PPA08145 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig161:349183:351954:-1 gene:PPA08145 transcript:PPA08145 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRLLKLWLKKCNDDSETSNWINANTKECPKCHVTIEKDGGCNHMTCKNTACRSEFCWMCLGPWEPHGSSWYSCNRFDDSQAKQVSDFDEAWWVDAL >PPA08111 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig161:156463:156884:1 gene:PPA08111 transcript:PPA08111 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTQHSKTRVSYYYDGDVGNYYYGQGHPMKPHRIRMTHNLLLNYGLYRKMEVYAH >PPA08124 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig161:219177:222082:1 gene:PPA08124 transcript:PPA08124 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSSEPTKEEDLNNNDKKSLPDVAISAPSPDLSTGKELVSSATSVASTQPASDDSGLPPYITPKLIGSSSDINSARVEAKVRATVVQFCPVSSKPDVARVQLVLCNISERPLQFNIKCVGGNSVTAFPTGNGDIDARGQSRLTLTWHRPPEFAAWSDTPNPKMLLVIKFQGRKGEDSSPTTIRLLGKINMKFCKPDKPPEEQLLLDADTSEVATVSKRMPLPRTSSSRTPLSRPHGNNNFSALNMQDLIHLHEELPLEISALDFMLQSFPEVKEKEAMRRIVGRYGITGREQVCPMKQLSDGQRCRVSFAWLAWQQPHLLLLDEPTNHLDMELVSIDALAEAINCYSGGMILVSHDFRLVSQVADVIWVCDKGQVTKWEGDIFSYKDHLRKEIEKVSLNVTLELTVTQFITIHHGVRHESSRFVRNVTKSTTKSNDRDDS >PPA08096 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig161:50586:57270:1 gene:PPA08096 transcript:PPA08096 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDPFDETLHDELLSAITSGKLKHSSSKKHTEVVGAGELLNAIKSTKNVKESRDSISSNKKIKSNKNGGSDCKTLQAPLHRSEVEKIQRRIGFDELEKDLKVWEPVVLARHASKDPNAKKLMDDHIRFGKEMKEKIGLNDEDEASGDEKDVGDGKQPEDMFNDVLDEMDEEDICEDAARIKIMKMRSDKNKKGKEEKARGGGGGTIKVVDLVPFDEESIIDAVVGETNEEKKRKNNKGAKCEDEVKRKKKKDDDEVKKEKEETKNEEEIEMLVNVDIIFDEMEKQVKERGGKVEEKTVKTVKDSIKKKGKIALNTRKNNVGGKADDDTGCVLDPNNYLVSETSKILQVEPDMDDSNDLIQDNSAKMGEAFIDDDVMSEFVDDKKEIEEIEKEKDMDLTLLGWGSWTGPGMSNKKKKKFVVKAQDKVRKDKGKVGLIISEKRDTSMDSLQPKTVPFPFTRMIDYEASLSQPLGKDWNSLSSHSNLIKPSVSTQGGRVILPMSKKNVLKARMDKAEDR >PPA08107 pep:known supercontig:P_pacificus-5.0:Ppa_Contig161:122281:127840:-1 gene:PPA08107 transcript:PPA08107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-iftb-1 MSNELNLAKKKKTKKVIKLDDEDESTPVIVDDDASELKLGKKKKKKTAVIEGEEENIVHKDGLGDLGIGRSNLIDACGSWPDYSYEEMLTLVFDIMREKNPELAGEKKKFAMKPPEVGRAGSKKTAFVNFNEICKHMKRNAKHVLQFLMAELGTTGSIDGNNCLIVKGRFQQKHFESVLRKYIKEYVMCHTCKNTDTELTKDTRLFFLQCQSCGSRCSVAAIKSGFTAMVGKRAAARRAAEQTAGK >PPA08098 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig161:58999:60097:-1 gene:PPA08098 transcript:PPA08098 gene_biotype:protein_coding transcript_biotype:protein_coding MRERMSLTPAEAIQRRKLRDEVVDIENLEKNLPEELFFNMYVFFKLFIY >PPA08123 pep:known supercontig:P_pacificus-5.0:Ppa_Contig161:212674:216934:1 gene:PPA08123 transcript:PPA08123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-abcf-2 MPSDAKKARDAAKKNATKSRGKARDTKAKVDEEDGVGTPVNELENGVESVASENVDDVVDAAAELLKRIELENAQARSVAGALASNPRSMDVKVESLTVTFHGREIVVDTTLEINMGRRYGLIGLNGSGKSTLLQAIYNRELPLPDHVDMYMVSREMPASNMTALQAVVDVDKSQEKLMDIYERLDEMDADKAEMKAANLLHGLGFTKTMQMKQCKDFSGGWRMRIALARALYLKPSLLLLDEPTNHLDLEACVWLEEELKNYKRALMIVSHSQDFMNGVCTNVIHLFQKKLVYYGGNYDSFVKTRLELLENQMKRYKWEQDQLQHMKSNVHRGARELTRDGCPSTLSIRFSSTQKENCTSAEPCPILRKISHSSRITIELSALMDMCLQDYVARFGHGSAKLARQAQSKEKTMAKMIAGGLTEKAVDETVKQFYFFDAGEIPPPVIMVQHVSFRYNETTPWIYRNLDFGIDLDTRLALVGPNGADI >PPA08118 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig161:181944:184873:1 gene:PPA08118 transcript:PPA08118 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKPKVKGNTRQRVISDDNEKDEGVEEGRMSVVEVSKPKAIVSFDDDEGKPRADDNFEIKKSKRYQKEQRRQKRLEERAAREMKEEEDTVEHNVEQVIYIKQEVAEERPKKESGLHATSMKKEVVHDYVDEEEENVKGPIPSLGEIPDSRAVYEAKKKREELRRKGKRSDYIPLDEDKKLSMKGEGRRLIREDDEDDEDHEIQTVAFIRFYSAKAERREEEERRKNAHYNMLEGEEDRENEMDEWERMQINKAVSTRNVVNIIPESDENIRVGEMKVRQMHDEFMMEQYNLRYGDNKEEVEMEVDVEDEVIVRGGLNNQEPVSISSLLDKLKLRLEEPKLKEKHEMLQRMRVYSMCVLECLDEKVGLVNELVDARRKVNADRRDRIEKRRRRDVKMINKDILIVKEEKGNEEEIEKEK >PPA08110 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig161:151108:154448:-1 gene:PPA08110 transcript:PPA08110 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRTPRNKLTIDEAKAETKEVNMEESGGSSANDSSDEEMEAPELMVTSRSRRDGAGAKMQVLLQSANMEDDFYKDAYGGAFNEDDQDDIFQSPVHSDDDVVDSDFDKSEEEDDPASDDDGKDGGTRRSKRIKGREERDDRKKKWVIARMGGACVAANVVDEQKHENLLKEAEETERINVESLKKYEEFELEKKKRREKVTMSKKLVGPRIIEKDCEQGKIVVVPALKLFGGASKAQGLVCAVTGRPARYTDPVTGLPYSSKLAFKKT >PPA08129 pep:known supercontig:P_pacificus-5.0:Ppa_Contig161:239622:241652:-1 gene:PPA08129 transcript:PPA08129 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRDSRRRRTTCRERMDERQSSLTPIEWLFFSHPPPPKGEGKMENEDLRYGNSGERGAMMEELEEDLKRAGRSDLIETARFAMEKSVAPSTLKAYAAADRARRRLASACGLEEEADFSLCLYVLDAMRKRRSKSTVATALAAFGFVSGEDPRASRFGPLLGAALKTAARTVPTSNHDKATRESIEKLIEWGSREGASKADLRIAVLSLISFGALLRPSEAVGIRRDSVAIKMGEEESLIIGVNVPKAKNDQEGKGRTTFFSLNSGSSGRIAWNKYFQTVITAIPSPFFFLSFTEATKGMTTDFVRKEMKRACAEAGVTPFTPHCLRGGGATTSIEEGTPVEQPNY >PPA08122 pep:known supercontig:P_pacificus-5.0:Ppa_Contig161:211134:212229:-1 gene:PPA08122 transcript:PPA08122 gene_biotype:protein_coding transcript_biotype:protein_coding MAKESKGFDTKKFFLDLATGGTAAAVSKTAVAPIERVKLLLQVQDASATITADKRYKGIIDVLVRVPKEQGFSALWRGNLANVIRYFPTQALNFAFKDTYKKIFLEGLDKKKDFWKFFAGNLASGGAAGATSLCFVYPLDFARTRLGADIGKGDSREFKGLADCLIKIVKSDGPIGLYRGFFVSVQGIIIYRAAYFGMFDTAKMVFASEKKLNFFAAWGIAQVVTVGSGILSYPWDTVRRRMMMQSGRKDVLYKNTLDCAVKIIKNEGMGAMFKGALSNVFRGTGGALVLAIYDEIQKFL >PPA08141 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig161:337717:339534:-1 gene:PPA08141 transcript:PPA08141 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNNRQKAGVKSASSHMAADLLSHGNMVTFDIFSGGATSMIDTEDAVSIKKLAKRDSSTREKALRELIDRCTEENANTIDE >PPA08101 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig161:80473:82559:1 gene:PPA08101 transcript:PPA08101 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLDKEKTMLKEEVRQLGMRHDKALEESLICLRGEKGRREDREDREGTHEKNALIEELKKRLATAELVKKSAVNKLEEMVAKRVPEKGGHKNNLKAELKKKERDISTMQQRISDLERQLSRAVEEKNLELAEMINNLQEESTKRETLEKEIMEMNETREEIDRRRGINSGGVEVRRHEDDALVECVRFNESFASSSLR >PPA08109 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig161:141904:142218:-1 gene:PPA08109 transcript:PPA08109 gene_biotype:protein_coding transcript_biotype:protein_coding MFDTAKMVFASEKKLNFFAAWGIAQGVTVGSGILSYPRMMMQSDRKDVLYKNILDCALKIIRGFKELSSFEIPHPVQELSDLL >PPA08115 pep:known supercontig:P_pacificus-5.0:Ppa_Contig161:165897:170584:-1 gene:PPA08115 transcript:PPA08115 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQIRNGEEPHYWFFPTTQITTPEPDDVDDEAASGIVPTPIDASSPKSLYVCNQCSPTFIRHASLLRHLDVGRHKIRPEKISMYDFALGTFKRVLEEVQQGVGIVLPESFKEFTEAPKNPRPEGWALRSTKKTGRYDPVARKLVDDLFEQYFSNGKKLRPDEAKKRMRERNDILPAQRMTFDQIRNRITALLSQKKEHQRKEHGNRQRRYVKLIDDFERDLAEEGISLDDIEEEVDLERPLDEDDLIITSDEIYDLLRNRKKRFPAVKNEIQAPILSPAPRGVLPASACYDIY >PPA08114 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig161:163843:164173:1 gene:PPA08114 transcript:PPA08114 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVAFFFLALVTLSFSLESSDSSIENWKRSPSSKWMRFGKRSPNAKWMRFGKRAPSDKWMRFGKRAALMEDDVEY >PPA08108 pep:known supercontig:P_pacificus-5.0:Ppa_Contig161:130436:135267:-1 gene:PPA08108 transcript:PPA08108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rskn-2 MNEPSEKVSMENFALLKVLGKGEYVRGGELFTHLCSRGAFDLSSAKFVIAELIMAIDILHQKKIIYRDLKLENILIDEEGHIKLTDFGLSKRILTKPVPFPKTMDAQAKTFITSLLDKKLERRLGFKGVNEIKNHPFLADIDWDAAANRHMKPFTVPSLTSETLLGSSPFFATYKLMTNEDGYLGRGSFSIVRKCIRLCDNKEFAVKIVSTRFASQAHNEAAILQLVKGHKNIIELIEVFSDAVHVYLVMELLRNILFESDDDSATLRLIDFGFSRLLPDNSLGNQLKTPCYSLQYAAPEVLDVGDSLPQYNEQCDLWSLGVILYTMLSGQVPFHARSKGESASDIMARIKEGVIDMNGDDWRGISEEAKNLINS >PPA08137 pep:known supercontig:P_pacificus-5.0:Ppa_Contig161:285010:286982:-1 gene:PPA08137 transcript:PPA08137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-egl-30 MRIIHGNGYSEEDKRGHIRLVYQNIFMAMQSMLRALDQLGIEFGRNAAELQDKADVVKAVDYENVSSFEEPYVSYIKDLWEDTGIQECYDRRREYQLTDSAKYYLNDLRRLAQADYLPTEQDILRVRVPTTGIIEYPFDLEQIIFRMVDVGGQRSERRKWIHCFENVTSIMFLVALSEYDQVLVECDNENRMEESKALFRTIITYPWFTNSSVILFLNKKDLLEEKILYSHLADYFPEYDGPPRDPIAAREFILKMFVDLNPDADKIIYSHFTCATDTENIRFVFAAVKDTILQHNLKEYNLV >PPA08125 pep:known supercontig:P_pacificus-5.0:Ppa_Contig161:223598:226818:-1 gene:PPA08125 transcript:PPA08125 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-aco-2 MVLLRASQFAGTVSVRGFHFSPAAFSNVAISKFEKDTYLPYKKLEENIKLVKDRLKRPLTLSEKILYGHLDQPKTQDIERGVSYLRLRPDRVAMQDATAQMAMLQFISSGLPKTAVPSTIHCDHLIEAQKGGAVDLARAKDLNKEVYEFLSSAGSKYGVGFWKPGSGIIHQIILENYAFPGLLLIGTDSHTPNGGGLGGLCIGVGGADAVDVMADIPWELKCPKVIGVKLTGKLSGWTSAKDVILKVADILTVKGGTGAIVEYFGSGVDSLSATGMGTICNMGAEIGATTSVFPFNDSMHKYLAATGRKAIADEAAKYKHVLTADAGCHYDQIIEINLDTLVPHVNGPFTPDLASPIDKLGDNAKKNGWPLEVKVSLIGSCTNSSYEDMTRAASIAKQAIKKGLKAKSEFVITPGSEQIRATIERDGLSKIFEEFGGVVLANACGPCIGQWDRQDVKKGEKNTIVTSYNRNFTGRNDANPATHGFVTSPDIVTALAIAGKLDFDPRTDSLTAADGSKFKLEAPTGDQLPARGYDPGEDTFQAPSGSGNVKVDDKSQRLQLLAPFAKWDGKDLEEAVILIKVKGKCTTDHISAAGPWLKYRGHLENISNNLLLTAVNSMNGEMNKVQNVTNGEWGAVPATARDYKAKGVQWVVVGDENYGEGSSREHAALEPRHLGGRAIIVRSFARIHETNLKKQGMLPLTFADSADYDKIDGSDKISIVGLKSFAPGKPLQAIIKKKDGKEVKIWLNHTFNEQQIEWFKAGSALNRMKEVFSSRK >PPA08097 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig161:57488:58085:1 gene:PPA08097 transcript:PPA08097 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDDYNEMEGDDYGDDAVDEIEMDGNEEGEDGERIDILPSTDKGTASAEKVTTPYMTKYERARVLGTRALQIAMGAPVMVELEYVATP >PPA08106 pep:known supercontig:P_pacificus-5.0:Ppa_Contig161:116122:120279:-1 gene:PPA08106 transcript:PPA08106 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFLTAMSEMQKSMVDMAKGQNDKYETIGEVHGKVDASVTPVTTKPSGPSIDVIDGRIVDFDYDEEECTFENWFERYRVFEHDMKEFDECVRVRVLLRHLIVKCDTQYRDHKAPDDVMKSTFVETVTALKHLFGKKESDFEIRLRFFNQRMSTMGSTDVMKFAGEVNRLYQKGNLKKITEDQMKITIFLAGLDLQNQKAMRTQLFNSVSQRSASTFQELLEKYSALKALERDVAVVQKNVTMMVRSTDKGKKYTKKKYQRLPFGVKPAPGIFQSVMDQMLVGMPRTVAYLDDAIVNGRTKEEHDENLMRVLEKIRDSGFRIRPEKCAFGLKGAHFFGFIVNESGRQADPKKVQALKEMPVPKDIAQTRSFLGGANYYGAFVKNMTEMRIPLDRLLKKDVKWNWTAECENAFKKRRDAISADTVLAHYDPREEIVVAADASQYGIGAVISHCYKDGTDIERPVMYASRRLDAETKCAQIEKEALALTFALKKFHKFVYGRKFLLQTDRPLLSIEYVNTNSFGKADMLSRLIREYTREEEDVVIAHLAIEDGIEEILHSGVRATPVTVDDIRRCTEKDSILQLIKKYLREGWPTKPPKDQLILPYYSKRDSISSVSRCLMMADRVIVPPRQRGSSEGTAQRTPRNGAHEIARSHLYWPGNDQCVESLVRCCDGCASAAKKPSKVEPVPWPVTEKPMERIHMDFAGPMNGRHYLIIVDVHSRWPEVTTMERITAEVRDFVSRYEIPETIVSDNGTQFTSEKMRVFCTEYGIQQVFFPPYHPQSNGQAERFVDTFKRSLLKMKAEGPEDENIQKFLKMCTPNNQLKGKTPAEVFLGRKMRFRLTLMSPREREGRRKRDGMVATVQKKIGSVLYEIVRESGTKVVAHANQMKQRYVREGIDPLSVLIESFDMDRNRFVNVSPPSSPIRAHDKFDNISSPTVPRIVHTKDVENDQKTIDIEPTDVVENDKDPLPTEDLRTTAF >PPA08099 pep:known supercontig:P_pacificus-5.0:Ppa_Contig161:64122:65205:-1 gene:PPA08099 transcript:PPA08099 gene_biotype:protein_coding transcript_biotype:protein_coding MQVATSILHTKQLNCGASKVDRTCDAERVSKQFWESLEWNGKKGTVVTGVELIKKNRIFSVDEQLEIKMEISGDVPCYSLLQPRKCLILDFHSELWWWCGGECTRRQIQATEDYTNQMLHRIISRSARERKAS >PPA08095 pep:known supercontig:P_pacificus-5.0:Ppa_Contig161:17754:19877:-1 gene:PPA08095 transcript:PPA08095 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLRKMTTGNEGDEKEIERLRYETMDKVTSSSAGGNLLLNRFGIADSIG >PPA08127 pep:known supercontig:P_pacificus-5.0:Ppa_Contig161:234961:236045:-1 gene:PPA08127 transcript:PPA08127 gene_biotype:protein_coding transcript_biotype:protein_coding MEDELVNESDRREELRRGSAIRRLSVAVSSVLGTANNEVFIEDPENDTDDFLVMHNKVYHGETLRSRAETMLLFFVPIEGGPGLYAYVPVISILLIIFILCTTSMDEEPRFYKITYSLTGFVMSVAWIYCISSEVVDAVDMLGVVSGINQAVLGLTIIAWANSVGDLVADISVAKQGFPRMAMAASIGGPLFS >PPA08135 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig161:278623:279320:-1 gene:PPA08135 transcript:PPA08135 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIIGDDLSATQRNSITMTTIEKYAKAASSICLVDDSSLKKHTAYFIYDSRDFHNSRFFTMALSSYKKAPIMTKEIIESMEQGNLTVINAYEELSKTERSLHEMCRKKTNELLKSDTEIASDLFPNAPDALNQLKKCIE >PPA08103 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig161:94137:99255:1 gene:PPA08103 transcript:PPA08103 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSEHGRCEKNKNGNGLEEWRSMAASVGFIYDVVETRSSFTRNTKHLDQTLSRETHKIAVVYVGSGQEDKLSIFSNTRGSDAFETFVRGLGWEIITGNEEGAYNGGLPSQSRAIYYSDPLNEAIFHLSTRLQGDVPNKMKHIGNDEVHVVWNENRRRYKRETLATQFCDVLFTIDRVSPSSLRISIETQSLMDLSPLWNGIVIPEGEAPILIRNACLNASRAYRLSKDTMNILPKKRWHVRTKENMARVRKDVKKAEEEEKAILDREITATNEARLSALRNNAKTRVDTMFGVRRTDDVEIVPSSSGHINFFEELEMAEAKNVGDTNNEYEEEKKKEHREWEAKMGIQMKLGDDGNEGKGYWFDKIPLRRGVKEMKKIEEEQKRLAILDNTKKTEKEKRKKGTKREDSEEEAEDDEKRKRKKSGKKHRKHKKEKKEKKEMERKNFSMEY >PPA08121 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig161:208803:210696:1 gene:PPA08121 transcript:PPA08121 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDLEGLAMTMTHGTYGTVFKARNIESQEVVALKRVRLDDDDEGVPSSALREICILRELRHPNVVRLLDVIHAELKLTLVFEFCEEDLKKDLKPQNLLVTNGQLKLADFGLARAFGIPVRCYSAEVVTLWYRPPDVLFGAKLYNTSIDMWSAGVFWSATQLGGLMQHMLSPTLTFHIPRRLLINIV >PPA08131 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig161:246412:250062:1 gene:PPA08131 transcript:PPA08131 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVLNVEFSSLLNTHCEALHSNIRPQLRHSDISRLGLGGEYEWIATVQKKFIGGGYATYVNN >PPA08102 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig161:86278:88222:1 gene:PPA08102 transcript:PPA08102 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRSFAVSASYDPCIKYWDVARGKNMGSIPHPESQINCLSLTENGSQIGVGSWQRVAIFDAETMAASPLLAFEVISKNITALGFQITSSVQSVVLHPNQCELFFCDSGGGVYCWDLRAGTHENLPLGQISPNFVTNLAIHPSGHTLFGITSHGKVVRWNLMGVEGKTDGREVTGEGSRTESPIPIPLFPSHYALSCKWSIDRNLFLV >PPA08104 pep:known supercontig:P_pacificus-5.0:Ppa_Contig161:107140:110017:1 gene:PPA08104 transcript:PPA08104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sig-7 MAVLIETSLGSLNFLKLCKMKYYNLCQFHYIEKDYVAQTGDPTGTGRGGESVFGMMYGEQARYFEKEVVPKLRHNRRGLVSFVDGGNGMLGSQFFITLSNDGIDYLDEKHTIFGQVTEGEETLDALNSQLLWELCYQVRRISTIAVSHNGSITRDEKEEEDEGRSAAEIEEEMREKEAKAQAQILEMNEACEQAFFKMDNVLIDDRRIHVDFSQSVAKNYTWEKGGVKKGDGGRGRTDGSHRREERKTDRGETRYERKRSRSREYGRDGGKRRRERSKDISRHRSSR >PPA08144 pep:known supercontig:P_pacificus-5.0:Ppa_Contig161:345497:347732:-1 gene:PPA08144 transcript:PPA08144 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKLLGNDINTKGQNKELLSQILAPATCIVISVTASTTQGKALENEELASIQEACSLAERLRQDRMSMFQLVQLRMSLIAPNLVALLGAETAAALVAQAGGLAPLARMPACNIQVLGAQKRALAGFSSANSMPHAGILWHTNIVQTLPPDIRKNVVKILANKTALAARPDSQHQAPDGNIGKLQLEKVQYRIEKLIEPPQVKNNKALPKPLDKASKKRGGRRVRKMKERLGMTDMRKKANRMNFGELEEDIMQERLGYGVGQLKQGGPSTGRIRMGVVDEKTRVKMSKTLQRTMEKQKGIGGGTSIRSKVSGTASSVSFTPVQGLEIVNPNIKKDDDSGTKSTYFSSTSSFLNIRRPKAKDEI >PPA08136 pep:known supercontig:P_pacificus-5.0:Ppa_Contig161:281177:282772:1 gene:PPA08136 transcript:PPA08136 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGNDRVTRHSALLNHLRIELLKKDVLNGDNHYVHGNFAKIKNYSKTSADEGRLRNWYAEQGQHHPRTGKISSLMNRCNVCSKIKTHIRRGKLSKSDAHKLMSVHMDGVKDERNYVNSCFAKSEVDIEYLHLTIDDMSNIATKLPHLFDSRSKLIPDSILLRADLSGAVHAKKALNGGHVTDLHVDVGNLYAGGITHIAIDSSLKFGKH >PPA08105 pep:known supercontig:P_pacificus-5.0:Ppa_Contig161:113610:114963:-1 gene:PPA08105 transcript:PPA08105 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVLVALLPILTDYNIGEERKKSVFSFQSLILSFHSTYQPLLLKRLDHTVKVDAEAQILSFIVDLYRQQFVVKGHEDYSLCLSEFWSTIFIKYEDCSQASMFYQSLFLLAGLQIADDSLIRLQMSHRVMNDTFQLIHQALIKHK >PPA08117 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig161:177447:177658:1 gene:PPA08117 transcript:PPA08117 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRRFGCADTIQQLEIEDEKHHDYFDTTSLESIREKKYIPYQFLDDLVNYAER >PPA08132 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig161:259366:264407:-1 gene:PPA08132 transcript:PPA08132 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTSNLTLQKTKLASSQKNNDMVQCSAIEMHTGKWTGDAESGLLASGNESGVVGITLTHFSPPHSSDSARSGVIYVWARNEERWSVELVNERGVKVKDVNWSMCGTAALICYEDNFVLIGSASGQRVWSTNYPANQNVACGVWLNAQLVVIAFANGNLQLLSNDGANLSERKLCEDDELVRIVSPPNDERMAILAKSGIVFILHSFDQVWKLIGGDGSKVESLAIPRAEKDTIKEYDHHIIRCEIPSNSGVHNFVSSLSDSRSYCTIKWNVTSGRSVIKLGRLVSRVRPRFHINIHPSLSCHSPLETPLLFSGAYSRVEDTASIPQTSSLNRHSIPRLSLWRRSTRRLRALISRRVARPIDAPLAHVSSNLSCTNFKVTASLDNTPTLIANSWAGKYCEMKEVVAAVERKGISKKR >PPA08113 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig161:161105:163336:1 gene:PPA08113 transcript:PPA08113 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGGDNNDFYVRYYVGHKGKFGHEFLEFEFRPNGQLRYANNSNYKNDTLIRKEEDDANWPEPDKVGRQELEILLNNEHISFTTGKIGSLADVNNCKVIIHFPR >PPA08116 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig161:173996:174833:-1 gene:PPA08116 transcript:PPA08116 gene_biotype:protein_coding transcript_biotype:protein_coding MLETAAVIAGYALHETLLQSLTNQLTQGLSRYSSSTGVTKAWDETQKCETGSKKEFFL >PPA08133 pep:known supercontig:P_pacificus-5.0:Ppa_Contig161:266264:268078:1 gene:PPA08133 transcript:PPA08133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-scm-1 MLFASGGVGIFMLSIMEFLIFSPCAFLFWFRPVYKAFRNDSSFNFMVFFFVLFIHCIFCFIQTLGLSSYAVGWVNTVETYSVSVPVALLMTVCAITFTAAFVGMLLSLLKVHRLYRGGGFSIDKARQEFTNGVMADRNVQAAAGAAAGAAFNQAARNATTGRF >PPA08140 pep:known supercontig:P_pacificus-5.0:Ppa_Contig161:323546:324609:-1 gene:PPA08140 transcript:PPA08140 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRAQNALERANEDKGEVIVAASILIGMCASFTISFSQDEENGIKVVLPPFMKSLASQDGDGWSRCAVLVAAIKLIDSEGKKEEEKDKKGAEKNRSFESQIQGQSNGLGHRNLNGIG >PPA08138 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig161:289439:290461:-1 gene:PPA08138 transcript:PPA08138 gene_biotype:protein_coding transcript_biotype:protein_coding MERAKEMQKADAEVMRNRDANAAAIAALSGGREKRKWESTTTTLSTLRPRTLRSVLSRDSRSQVAIRLSYALALADTHKN >PPA08143 pep:known supercontig:P_pacificus-5.0:Ppa_Contig161:344586:345161:-1 gene:PPA08143 transcript:PPA08143 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQQQLETLNGKLAEIVHEMELRAIEKKDRPKKKVHVEGESNDLRPAVKTVVALTSVPVGGSVMSLRDEKGHETVLIGYSRVQLVRASRSPFALLPPTTMKEIVKKIPEIVSRFPRRYPPNRKNIHSMEDD >PPA08146 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig161:353863:354495:-1 gene:PPA08146 transcript:PPA08146 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYNDDDYDYSVSGSDNESQGMSDDDGIAMEQEDHNPPKDPATDCIVLNQDALQKDMNDLIREIVDRPKILDFLIECSQASLGNNLDH >PPA08142 pep:known supercontig:P_pacificus-5.0:Ppa_Contig161:343394:343647:-1 gene:PPA08142 transcript:PPA08142 gene_biotype:protein_coding transcript_biotype:protein_coding MGETILGHTETPVTISKALLFCRCDKYKRLPVSSWESSKLAFPYKWWISPHTSLHNATKSFIV >PPA08120 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig161:207263:208326:-1 gene:PPA08120 transcript:PPA08120 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQQLGSLLGAQLANAVKIVEEQVDEELKRMENMDEDDLEMIRRKRIEDMKKAQNKRQEMLTSGHGKYSEVADEKEFFEATKKSNKVVCLFYTGSSMRSKIVDKHMEKIAPKHIGTRFIKIDAEKAKFLSTRLNIRVIPTIAIIVSQNTTDYIRGFDDLGGHDEFKTEVLETRLARSGVITLEKKQEVKKEKKIIRCGNDSDSAEDW >PPA08100 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig161:74448:79033:1 gene:PPA08100 transcript:PPA08100 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEYMPGGDLVYLMATYDVSEKWARFYTAELVEALSTLHGLGFIHRDVKPDNMLIGKNGHIKLADFGTCVRMSKDGVVKCSTAVGTPDYIAPEVLRNQGHDHEYGREVDWWSVGVFVYEMLVGETPFYADALVNTYTNIMNHRDTLQFPDDGPPMSCHSKNLIRLLLSDADERLGRNGADEVREHAFFRNEDWTWESLPTAVPPFVPDLRADDDASNFEVDEQIDQGETFQIPRAFTGNQLPFIGPAEQLKNVLAEGGGEESRRSTRRIEEKENSLNETESMCKRLKEEKDEVMKMKEERKSEHHWNNGREAREEEAKRDMERRKVIDRLRED >PPA08139 pep:known supercontig:P_pacificus-5.0:Ppa_Contig161:311393:314010:1 gene:PPA08139 transcript:PPA08139 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFDPNRDPSPIGGEFIYKDGVMVGHVTSSAYGFTLGAQAPILSPAHRGVVPASAWMTVRKYCENIEKNIYADFE >PPA08112 pep:known supercontig:P_pacificus-5.0:Ppa_Contig161:160004:160629:1 gene:PPA08112 transcript:PPA08112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hda-3 MENLRSLGAAPSVQMQPIQDDAIIIPDRSLIMDHSDPDKRNLEIINDSKMEDDREYFDEKEDCRDVSTFKRPPTSPPFDTSIKKNKLDHDI >PPA08130 pep:known supercontig:P_pacificus-5.0:Ppa_Contig161:242524:244179:-1 gene:PPA08130 transcript:PPA08130 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDQPQVTSILPPSIIVSSISSIVDRQSSIVDRHSSIVQSSSFCVVSSRSSFFCIFINVLLRRIVIFISSIVIYAARIVSCLTNAIDSAFSSIRQFALVVGFVIESSRVHSSVAHLPTGQSHLELLVSALKPHFVAPSPISHTIPRVKNGETQEIIASLVEAANEAEKVKVGRGSIDTVISLILERIQVLVVQETSPGFSDSFKKVKALHSLAGGGMDPQLLAAAMTLTASNSNSLPSTSKRHNRVMSSVDADFVAKQLIEWLQSGAIVEAKADEELAIPSKNSLVVLDGFDTISNRRE >PPA08126 pep:known supercontig:P_pacificus-5.0:Ppa_Contig161:228250:234686:1 gene:PPA08126 transcript:PPA08126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleoside-diphosphate reductase [Source:UniProtKB/TrEMBL;Acc:H3EEH2] MEVNHLRIAPLTRKNSFPSARKFSGLDFRKEFKGSVLERKAKNQRGIISAIIGRISDWTGLSRKTPRRHNLVSDSQSDDVQCPINEFPCEILVEIFSKLDRTSAVKCREVCSKWKVIVEDSTSLRESLLTTKTLSRLCIRGVPKKESGGKKMVEMRWIDYDTQSCKAIIIPYPLNINTNPLFFAFSLFEIQRIIIQDLAFTDEIVHFLRTNLHSCPSLSPVQLTLNNLDFSAAKSLTLHHLLAAVGKSLEVIEIQSAYGIRPLDFTDAHVSQLDIRRLVVDKIRLSGCTNRSLLLGDHSLRLFISANNFPTLVLDRCNVTTAVVCDYSQEWLDSAQFDENAVHRHQVCTVKRCHRKCIANTAYGRKELMHFDKITSRIVKLAYGLNDEYVDPIAIAHKVIVGLYKGVDTSELDNLAAETAAGMTTLHPDYAILAARIAISNLHKKTEKVFSEVMRQLYEHRRPFTNEHSPMISPETWAIIQKNSARLNSAIVYDRDFSYSFFGFKTLERSYLLKMDGKTVERPQHMLMRVAIGIHGEDIEAAIETYDMMSERYFTHASPTLFNSGTCRPQMSSCFLLTMSDDSIEGIFETLKRCALISKSAGGIGLNVHNIRAKGSLIAGTNGTSNGLVPMLRVFNNTAKYVDQGGNKRPGAFAIYLEPWHADIMHFLQLRMNTGGEEERARDLFYALWIPDLFMKRVETDSDWTLMCPKECPGLEDCFGDKFEELYLKYEREDRGEKTIKARDVWKMIVKSQIETGTPYMLYKDACNSKSNQQNLGTIKCSNLCTEIVEYSSADEVAVCNLASIALPKFVKTDGSFDFEKLREIAALLTRNLNKIIDVNYYPIPEAERSNKRHRPIGLGVQGLADAFQLMRLPFTSPAARDLNKRIFETIYYASMEASCALAEKLGPYETYEGSPVSKGIFQYEMWGVTPTDQCNWKKLKEKVARHGVRNSLLVAPMPTASTAQILGNNESIEPYTSNIYNRRVLSGEYAIVNPHLLKDLVWSEEMKIELIKNNGSVQNIEGIPSDLKELYKTVWELSQKDLVEMAADRGAFIDQSQSFNVHIAKPTYANVTSMHFYGWKKGLKTGMYYLRTRPAVDPIKFSVEKKENDIEKKMDSLSMQDDDGCLMCSDHIHTRIPVSDRTTKTRKAR >PPA08119 pep:known supercontig:P_pacificus-5.0:Ppa_Contig161:204963:206140:1 gene:PPA08119 transcript:PPA08119 gene_biotype:protein_coding transcript_biotype:protein_coding MAKESKGFDTRKFFLDLATGGTAAAVSKTAVAPLFFLYSSYQVLGGGPSSWRRFGLEVQDASATITADKRYKGIIDVLVRVPKEQGFSALWRGNLANAIRYFPTQALNFAFKDTYKKIFLEGLDKKKDFWKFFAGNLASGGAAGATSLSLSHSYTLAY >PPA08147 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1612:205:1029:-1 gene:PPA08147 transcript:PPA08147 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPRFQWNVSASEGIREIAVKSSAVWQPDVYPCESAGVTTVTPETISALFDVFPFDKQRCLICFALDGFTGSSVNLMDTLPDERQLRGNSEWDFVGNLSIDDSKTESDGLQTNTITYHFLLARRPFFYVYLIVVPSCLICIISLVGIFFTDANEIVENA >PPA08148 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1613:3207:4025:1 gene:PPA08148 transcript:PPA08148 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGEGEAHVYTTTRTRVVEERYEESGDGVLRHTVKQSTKIIETADGVVVNTEQEERDTIEEEGNREEIFDEADRATPAMVEAAEEPSTSRTSS >PPA08149 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1614:772:984:1 gene:PPA08149 transcript:PPA08149 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIRAVLKAETSAPPPKKRRGDDSESDLKSLDIGPNDSDSTDLDQLSRLPRELRWIIIDLVQRQTGRMKR >PPA08245 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig162:411832:412766:-1 gene:PPA08245 transcript:PPA08245 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSDIEFIAQEVMENLRREAETKLNRRNSCSSASRRGLSSLILARQPSAFSDSVDTQFISGAVKREWNERTNGQLYHRESMNL >PPA08238 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:365028:367031:-1 gene:PPA08238 transcript:PPA08238 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEEGLSEEELREMWNERMEMATTLQDEILSLNLSRESNILGKVRAEMKSLQRASRNLTRQSIDDGNLRHMRNVVDIAKSEYSRVDGLLRSFVLVEPKEGDIYKHSVDIVTEGGAVWAKVISRTAKGVAMDWLTSSPRTVVQQGIIYVEHSHLFPHNFNPPKIVFHFTNGVPDLVAIKLERMGINVVGERIPLDNLHKAPEELLEELMKDETEDGLDEGEEREGEREDVDLPPMIVSSTAPVNLDVSAVFVLISNLTHPGGCDHRFSSTLLREQCVMEKERKARDELLSLIEGREWIVCETTLNSVTSIVGTVGGPTEKRRWAELEWRLRVVGDCPSERSLEMEKTARLNDRSIRVFGTGDELKYVTASANKHFVQSAFHQWILVIV >PPA08172 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:82765:84591:1 gene:PPA08172 transcript:PPA08172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cacn-1 MSELETLETQMKKKMEGGGAGTDLSYWSTLLDHLTTHMARKSIKEEHDVKLKEKLKRIREEQMKDMEDTEEEKIKKEEKKKEEKEKRERMIHIVKPKKGQTKIEWLESEDIDEGERETRWRDLGEEETERITIELYERGGYEPSYGKENDTMPGIDIVDEVADDKDLLQQRMAKRKTPAGISGGTTEADAAFKSLALSGMEADESIFSGEVQLDGCKELWTDKYRPRKPRYLNRVQTGFDWNKYNQTHYDQDNPPPKIVQGYKFNVFYNDLLDMTKAPTFKVIPCEDPEFAEILFKAGPPYEDIAFKIVNREWEVNHKFGYKCQFQNGVFQLEKEGMVSIVDALFGVHDLSFQVVAILLWIIEAIVSLLIVKKVPCESKE >PPA08173 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig162:85197:86709:1 gene:PPA08173 transcript:PPA08173 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLLFAPALFFLLLTNTGLHLLLLFLHLSAVLLFAIFMWFRTHGGLRRALEHLNHGIRTRFGPSVK >PPA08207 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:253399:254853:-1 gene:PPA08207 transcript:PPA08207 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFAGAKLYKNGGGEVDASVLEGKTVGIYFSAHWCPPCRNFTPLLKDFHAELVDADAEFEIVFVSFDRNEEDLKKYLEEAHGEWYYLGLGDALIQAKPPAQALKAWTA >PPA08171 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig162:80934:82582:-1 gene:PPA08171 transcript:PPA08171 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSNGEIDQVPHDDLVFINGLPCYTDKHKLRDGEIQIFERIGYDDRVGASYLALAGDKELVLRVATDKCGIVALEKVFLNKIESRGLWPHFSQLHSAWQMDRLDFMTVYFRQGPSLPQCLNFLPSRTFSMGTLARLGKDILMCLRHIHGVGYVARCLDKELFHLCAASRHLFMADISNLRLDPTSIGQSAAPWTGGSLLAPISSHVKGAGLARGDELESWLYLMVLLYTNTLPWDSVYDDQVEEVKRSSNVVEGLGEKWDELKELVMAQSGVQIVPDAKYEEIGCVLDKMAKEAGIEDQDENYDFEVEPNEADLPKFILEKRPIDAPIKEECEVEEAIEA >PPA08166 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig162:66918:68073:1 gene:PPA08166 transcript:PPA08166 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLVRATSRDITICAIDSSNTKAKIGRDPKTCTVQLGTKAVAVSREHADLNWNSGGVTLVDTSSFGTLVDGEKIIKSSKLLRGGERLKIGQEEFILEKIEEVRKETPRIQPLQQSNPTAKTSIASYFTKKSNVLQEESQAADVSIRKILATETPSEFNISQCKKQKYN >PPA08221 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig162:303371:304149:-1 gene:PPA08221 transcript:PPA08221 gene_biotype:protein_coding transcript_biotype:protein_coding MANCFRSDSVQGFSSWDLASNQTPVPGLSSEKDPLLFYSPDYEQSLMDEYLPNSQTRSEFMSSSDYSESTAPSSDFTPSYSSELTPQLDYDTDFLDIPYEAKEIKRSPSSSPSPPPERKPKHVATRVPRRPARYASPIEVQGGDLRKRGRPSGSKSNSKMAVYAKQYREMKKNETRTLSDEVSRLSNVNDRLQSENDRLSREVKILPSHPRRNPSYQPWSFPSLH >PPA08183 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:130815:131640:1 gene:PPA08183 transcript:PPA08183 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRLAFTTLIRPRIRCRSHWIQVVVLSWREVRMLGKGETVLVLVGVSCIVGKVRLVDATGVINPDGFYNYLTAWFNQDNMMHYVTQASFFPNPPSWAVNGICLLLNPWAVVSILAILVWMTTQLVDFHGWAGIKMNPVSAVTTAVGIDCGGGPSSLWRFGFGGVGRRREQQFGIRAARENQK >PPA08184 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig162:143838:145055:-1 gene:PPA08184 transcript:PPA08184 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRRVSAHSRPSEGFMVGGWDSDEDDAANEVDETLSQLVPMKMTMNIGTGLRRKRTQSRSKNCSFQDFYSMGSESLGCGAYGSVKTCTQKSSGMEMAVKVVDKNKSGHSRARIMREDKIISSN >PPA08189 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:170320:172530:-1 gene:PPA08189 transcript:PPA08189 gene_biotype:protein_coding transcript_biotype:protein_coding MCTPGETNNACTCRQSWFNHTICYCKSGYIPDGEKKCKDVDECASPGRCDQRCHNTEGSYKCSCHRGYQLVRREGESIPSRCRAMGEDPLVLLSNRAQIRQFDMVTNTHVPLIQSPGSAVAMDFHMDNQTLIWSDITLRKIMMCKIGSATVNKHLAVKDKCGENEQTVLVEGDVHTPDGLAVDWIHDLLFWTDGALDTISVMDLKTMKRRVLFADAMEEPRAIAVDPKKGLIFWSDWGSQARIERAGMDGENRRVILTGKNIKWPNGLALDLLEERLYWADAKVKSIFSSDYYGNDVKVVLHSHSFLRHPFSLAIFEDRVFYTDWEHDGVISVNKFTGSDVTKLMTSVSTPMTVRIYHRATQPTHPNKCFEHDCVAESLCLPRAMIQTDPSGGMSDITHLPYSCVCNGNAAIDKFECLAAAVSTTSGFSLPTMFFFLLISLSAIIGYVVYRQKRSGGSFSALHFDNPVYRRTTEPEMGDGFGRSVGMDDVEVPIHPARLFIHDDGGHDNNTMIDAMDRPLTT >PPA08243 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:404919:407566:1 gene:PPA08243 transcript:PPA08243 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLNHCILTHQFTNTNEVFHELLASFHRAHSLILQEEGMLTTLCVAVALPVSNSNSWVLCVCNVGDSLCFVYNSSYGVREVTLGSHDSSEMRDMRNAGGALGPVDGRNPQLQNLTCSETFVESGDLIFITSDGISDNFDPVVGKFCVIKKTEENENPALPKLTDRKLSVAKRRVLENPELYKKEKLTKSEERARRKMVRERIADLPGKLDHASVVAYRVGCTNRNNKTKYRYEPKHENSLPTETVEANSITCIV >PPA08236 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:353048:357668:1 gene:PPA08236 transcript:PPA08236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-knl-1 MTSTSSKSSKSRRSSILKIRQTTVDAKVDVVEQPKNRRRVSFHHQKSVKEYDKEKHELSATSPLKESVKEAMSDFDSTKSNSTLMSLGTPDRTTGDHTMNLFKVVTSKQVIVYAGMMAGGNISSVHHESKDMSFSIIEDPANTTGQNDTLNVMNGGMSNTTMDMDISKADNTLTDGVFEGDDTMAIFGVAAKKVDTSIPLDNTMNIFTSGSGGSDKSRSSQSILMDMSANPTPPSSQDKTMAIFGMGSQSNESIAMEEVTMLEEEKESQTISSKSPLHSNHSKILDETQSPDHNDTMAMFTFAKTEEVEDEDVPIEMSMTVNMKNNCRPSSQDEVPSPTMNVFKRKGDDEIDDDLTMNVFGSKRWREEGDITVGDSGEEGVQKTKESQETPQELQKSVLMDEEDATMNVFGVKMDETGVEMVDDEESNGEKKDDQSILEISTKTAVEGSTEQLTTIPINSDRTFDCFNGLGDTSQMDMSREGDETLAEFGMKDEEEEKNEDEVEQNETFVVKENEEVEKEDEKKEKSINGSVAINQSIIEDNSIPSDNRSDDMMEETPPPSQSPVNGVIRKTRLSTVSSRYTAASSQSMRQRVESTDELVLEKIEWMRRENEGGEIDGILKEHANDLIEETVRSIHKSESALEDLIPQINQLEKGDLLAKSIMKLNSIKVQEYRYLFEWARREAQKVWWEKRAETAEKLNEELERRMMRDEEELKTAKEDVIMMAKLNDLKEDVENLSKEVKMGRGLSRNTIDELIGASKRKEEEKERLERQVTKMELENMRQSTMALKIMAERRNDIDESIKRKMEERDKNKRELRAYLSQLANNLK >PPA08244 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:408525:410501:-1 gene:PPA08244 transcript:PPA08244 gene_biotype:protein_coding transcript_biotype:protein_coding MCDGPARSTEAWVMSHGETMMERREGMRGEEIGQRVPLEISMDTEVLVPDSPTMANLFQAVTSPGMVNDFARDQMATLANRLIEALPANIRNSNGVFDGHSHSHVIAHSHIPFPDCSSLVRDEVNMRNGNTDYPNDHCMNMGYGQYEVQLQHQEQWMDPIYMETIPFGQMLPSTSSVDHMTIGALDVELEDKDLGEFLSGSNEISMDVDPLQKKLETLKLNPKEQRDVFEAARVMQRSPFGGSSPTESERNAATYCYLRRLHNAAVVVQKHFRLRRANQKEETHDEVQEHPSMQEEKVYEAQCYSGQTSEDARSKRRCVYGRWDEGERRTDMNIHNTWICPYYRDYRTK >PPA08197 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:224596:225142:1 gene:PPA08197 transcript:PPA08197 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNLASLFLLLVLIVSTNAYKIVKSVPVSSIGPAWRSMRVRQFANSSPLMGFSDGGFRPRFGPYKRGYDFYVPSSQESS >PPA08177 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:95449:95698:-1 gene:PPA08177 transcript:PPA08177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cal-2 MIREAFRVFDRDGNGYITAEEFRYFMTHMGEQFSDEEVDEIIAEVDIDGDGQIDYEEFVKMMTMP >PPA08159 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:37314:40824:1 gene:PPA08159 transcript:PPA08159 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLLKSKAAFMKAASTTSAVQIRPQPEYSTYDSEQVKKKKKKKSSHKTEDTGGKALDNLEVDYSSASNAIANSANFSTMAKIVDYMKKRRLSNITNDWGLSLNEILEEIQVFDLSKKSEQWLREALPKNPRLTVVDDSKFVFKPPYPIKGKTSLIKVLVKQHQDGRGGILLSDLSECIPNPEKSLESLSSEVIVIPTQVNKRKDKVIFWNDPSTNFEVDEDFKAIWRMISIDHLDEKKIEEYLVKHGIDTMRDLAPKKNMVGPPKRKQAKRRTNVKEVASVFSFPLQPKKLLLLLRVLTLDAGFILADADNRLAEHGFMMPLDLGAKGSCQIGGCIAAGAGGIRLLRYGSLHSHLLGCTMVDGKGTILNLGGRLRKDNTSLHTAHLAIGSEGQLGVVTSVTMGVVPRPLSILSAMLGSNGDHDAEKLNQFVEEALGMELAVDGVAANSENERAHMWRLREESPIAAAKDGYIYKFDVSLPLEHFYRLTEETRDKLKGRGRVYCYGHLGDGNSHLNVTTDGEDEELKKELIESLRVQLGCLTWWIDLC >PPA08167 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:69230:71276:1 gene:PPA08167 transcript:PPA08167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpl-41 MDVSPSNEGAISLLDDGFVRPIVPPSRSRTTTPSHSTAGPSSKGRIVNRRDIDSMNISDDHLGVNASSIPTQVIPPVRKPVISSFPSEPPRKKTKSLFEDYKKQQKKKESNKPKEKSLLDEDGIGEINIRYDDIRYDYAVAPKPMIGDRAYSSMDNLRAMEQMGKDAEARLNKKLGLDRMDQGEIQMPSVEELTSILCSSHTEDKVEEEKEGEVERVGHETLDDEIKSQLVTFCDSLVVSQPSFDSIASDNPSQGKGFKKFKKAKQGRFASQSAGQRSNGVARRVRLIRR >PPA08234 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:345739:351841:1 gene:PPA08234 transcript:PPA08234 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDTLANGEIKVSNDTTPPGSPPDPVKPTCVSCGPSTALEEEAVLALKEAAPSVKTISISEMLPRTNDLLFMNVTTLEGQTYCVELCGKGYRVASARQDCMNGDFRQLDLHTRYFENGSFAAMSIDLGSQYLKMGLIKQGSTMEIVLNKESRRKTPTLIGMRNGERSFAEQAAQLSLRYAKNVFMHVTDVLGKDEGHILVEEYKQRFPHAPLVAAENGSLYFTDGSSEYSPETLLAMILQNAKAETEAYAGQSVDDVVIAVHPFLTQRERIAIKRAAKIAGLKVLQLLHTGSAAAISWGAFHRKDIDGKKHTMLIYDMGATHVTASIAQFYTDDKKETKMKMIGTGYDRSIGGLEITKRMRDHLLEKWEKIEKTEKPLKENPRAMAKLTAEAERVKQVLSANSEIVSQVESLHEDKNLRLKVTREELEGLIGDIVEKLMIPVEDALKMAGITIEGVDEVVLMGAATRMPLVQKTLQEYVKRDLGRFLNTDEAIAMGALFQSAHLSKGFKVKPLPIEETVLFPIQAEFTSVSESGESKARQSSLFPLTSVYPSPNPRAISFKSYRDDFSFTIVERELVKVSVLDMKNAVEELKEGDEWKETKVGFTIDRSGILRVKTAEVVINRPRGAMEALKDSITGMFSKGEEEKETSEEEGEKEEREGEGEKVEKETVKEEEKKDEKEEEKKEEKKEETKEGDEKKEEDKKEEVKKHNSTVIVKDGLARVKLSLSKERLDKANTDENDLKETQKILDGFARVERAKREREAAENELEALAFDTSLLLDEESFTKMAKEKEREKIDGLVKETRTWLEDEAGVDTPTEEFKTKRRALMKAVEVVKKRIQEKKDAPEIMKELKTVLPKAKVVLANVEEKDADLKGDLQKVIEDVEKWVEKNDKEVADNEDLSYSVLEARLKEDRVREAAKSLKRKTEKRRKEEERKKKKEEEELKKKEEEEKKKSEGEEKKEEDVDKKEEEPVKEEEKKEDKKVELSRVSDPSLKALLDFYKYTKEMIRIVEDVSSIVYSDIERVLEDRERGLLGISLSHTTLYSLKQMRVGLNRAVNLINSRSHA >PPA08176 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:93168:94298:1 gene:PPA08176 transcript:PPA08176 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLEAFVNEQKKKSEGSSNGGIPSLNSFGDFRSKLSSSFSSFSLNSRSGDTEVLIESEGSRGVLPNGKNRKGTSSGGSWFSSDSSVFGLSRTQRLFGFFLFLVGATFCFATSAFLLPVLLIQTRKFAALNTLGSAMLLASFVFIWGPLTYLSMLFDPSRRLVSVCYISSVFATLYSSLWKTK >PPA08213 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:275860:276657:-1 gene:PPA08213 transcript:PPA08213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cex-1 MDELQKAHPNTDPFLIQKWERIFSVFFDRNSSKKMDWGDFYLVTRKVRDIYGAESVQWDFARQALAALWEGLCKMADADHDQLISLNEWITTLEKIDTKHPQKWFADYRNFMFQLFDVSKDGVLDMAEYCDGMLLYGVHERQAQEAFKAMTNDPCAKLKPEQWGKFFDELFFSKDKSAKGNHLFGIVLNK >PPA08165 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig162:65552:66763:1 gene:PPA08165 transcript:PPA08165 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTRRTFCDGKCRKHTNHKVTQYKKGKDSAFAQGRRRYDSKQAGFGGQTKPIFRKKAKTTKKIVLRMECAECKHRKQLPIKRCKHFELGGQKKSKGGVIQF >PPA08225 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:309536:311494:-1 gene:PPA08225 transcript:PPA08225 gene_biotype:protein_coding transcript_biotype:protein_coding MVRESSLFGRRVREAGKEEDFFPMAIRIPRTTSKAFNTLGDYLKKDVWVEQTKVSLPLTDYLLLTDLSPKLKKMFKRQGSKRLQVRATGVKYVDCGPGEFTEAFLEMMRVAILPAAKPLHRANVERVIWIAAEFMMADLKRRCLDFLYVNRNAFSIRDLVFVYSRLFKPDEEVELRAVHVPVPSTFLARSAAPSLTPHVSSPVLMRANVLKYLQAQLWE >PPA08246 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:418600:420144:1 gene:PPA08246 transcript:PPA08246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hlh-1 MNGESSQPPYDYSTQYGTPSSPHLPIPPSSEILTLSNFGVAASTSDYASTLNYGDLYSNYYYSTPVVNPTNLYIPETAFTRSFDSFQSTPRDESTKTDSYETQPKESLPIKIEKMDSTGVEWSCPPTVTTTPSLPAPTPSTSKTTKNQSDVSRVSDTDPIPKKMDRRKAATMRERRRLRKVNEAFEVVKARTCQNPQQRLPKVEILRTAIEYINTLERLLKQQGKYTQIMKNNEEMGISLEFPITSSHHYQYNGSQPFGDVEGDSGSDEDDLLEGAFACHATSSDKDSKKRRKGKSRTGQINVDFVLSK >PPA08153 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig162:19121:20901:-1 gene:PPA08153 transcript:PPA08153 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGNKLFIISIVVVAISFYSIHQSKKIKAGSVVAYTLPSPPSLDGTLSPNRALTEVEYILMDQIKGPESLVVDGDTIYTGTHDGRLLKIVKGKVEKEMRLAKAVKQCGGYEDEPKCGRPLGIRRIEGDEFIVADAYLGVFRLISKDEFLVSDSSTIYGRKDFMREILGSKGTGRVIHHRISTGESNILIKGLHFANGVQILPDRQSFVVSECTRARIMRHYIDGPKKGTTETFIENLPGLPDNIRLSKNGTLWVGLASVRMAGQSNALEAMAGYPKAREFLLAILPDFVLRDVFPHLIPPHAIVVQITVNGEIISSLHDVKGEKMREVSQVSDDGEFLYFGSFKAPYIAKLKKF >PPA08241 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig162:370478:372685:-1 gene:PPA08241 transcript:PPA08241 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSQRPVCAVILTISQDQWGMEETMEEKERKRKGDNPRGSKGERKQGCPVLCNTRRAFMYDTDALHSVGNQWEEISPLDPLSEAGKPHPTTATRTDQVDSRLREVEEELEREKEERKKLEHPRLLSVSEDGESRVEGGGDRREAIEGDNEVVTRIHELIKDIQTIPPSRMTGIPKCTQCIGRTLDCHWMGGHKAPHRLSLQERFVFYGLCGITAEVFFTGLWEAIENGERRLVGVSSVYAFGVYAVAILIIEQLFFYIQDRISLLGRGILYMLIAYTAEFSLGAILKLVPLTEIID >PPA08150 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:1892:3384:-1 gene:PPA08150 transcript:PPA08150 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPGNSEFVVKVPELNDMKRFTVLKFNNSIKIDPTTWANPECDIRMEREENRVLTSGLAAVQDFGEGTEYGKAAREEARRKKLGRRANQYSIDKQPWRMKINEADGKEKKLHSIREAAGTHADYWLFLKNGEEFHAYKVDDWHGFVPAITHKTLDIDEAEEEFTKRNRVMNQFALRAQILDKMKQKEADEEEEQKKGRKSGLMIKDEHSSSGSEGDDEKDEDGEEKKKKKVKKGAKPRKDKRVKVENSDEVAKYESEDGEDEGREYDYMSDSGSDSEVHV >PPA08185 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:147852:151480:1 gene:PPA08185 transcript:PPA08185 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPVAKDEKEKKNEALATAILKDKAKPNRLIVDQIEKDDNSVVALSQAKMDELGLFRGDAVVLKGKKRKETVCIVLADETCTNDKIRMNRVVRHNLRVRLGDVVSINAATNVPYGKRVHVLPIDDTIEGLTGNIFEAFLKPYFVEAYRPLHKGDIFTVSAAMRTVEFKVIETDPSPSCIVAPDTVIHYEGEPIKREEEEENINDVGYDDIGGVRKQLAQIKEMVELPLRHPQLFKAIGIKPPRGILLFGPPGTGKTLIARAVANETGAFFFLLNGPEIMSKLAGESESNLRKAFEECEKNSPAILFIDEIDAIAPKREKTHGEVERRIVSQLLTLMDGLKQRSHVVVMAATNRPNSIDAALRRFGRFDREIDIGIPDAVGRLEVLRIHTKNMKLSDDVDLEQVANECHGYVGADLASLCSEAALQQIREKMELIDLEDDTIDAEVLNSLAVSMENFRFALGKAAPSALRETVVETPNITWTDIGGLQNVKRELQELVQYPVEHPEKYLKFGMQPSRGVLFYGPPGCGKTLLAKAIAHECQANFISIKGPELLTMWFGESEANVRDVFDKARAAAPCVLFFDELDSIAKARGGSVGDAGGAADRVINQILTEMDGMNSKKNVFIIGATNRPDIIDSAILRPGRLDQLIYIPLPDEASRLQIFKANLRKTPIAPDVDLTFLAKSTVGFSGADLTEICQRACKLAIRESIEREIRQEKERQERRAKGEELMDDDAQDPVPAIVRAHFEEAMKFARRSVSDNDIRKYEMFAQTLQQQRGFGNNFKFPGEQQNAAGQPAAQPAANDDDDLYS >PPA08158 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:33814:36497:-1 gene:PPA08158 transcript:PPA08158 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTEQEAEEALKLKRIQLNGFNGVCCDVTTFKSGNRKKVDSAVACRNKHHCINGINVFARPGYLPGPTFKYDISESMYRVTPSISVAYDYNGYSSLHNSPQYRDYLSIAEIDEKRKRKKKVVDNEEMILKWRSTHVESNKGTIEPVVDEKELRCDEIRESCNRPPIIVGAHYARDNEGLPIHYNGNYIHSIFKDGRFRFKVYKHVTFDTSKNTVKLFERAAEEYEFFGPRNPCKAEKCGRLIRCDEDDIEGWEDESEISNFTYKNTEGFPHHLKWPFDFPAKPRHIPLMDKSTYEDNTSLDINLAVRYMDHLVERWQNANQHKFISTFTPFLREYCSVCEVKLDKPTMRFDHIFSRAHLVNLNRNNVKYCLSDFTFWNNVLIYNFKMGKRKSRGRGRTSSSN >PPA08188 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:163030:165188:-1 gene:PPA08188 transcript:PPA08188 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVSVGHKIYFMGDPDGRSDVFDIHGSWTELPSFSDDRSCTTITSSGSKIFSAGVFGGYLQDYCLSSRLLYDGEKLDTATDSWSPIARMRVARCCAGGAFFKGRIFICGGETTSSVEAYVVERDTWTTLPNMLYKRSSSTVLVSCGRLFVIGGFGYNMKRDVPTEEYDPKTNTWIERPELTLPYEDPHVFALPIPASLPISQY >PPA08187 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:153637:155168:1 gene:PPA08187 transcript:PPA08187 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLQSTKKRLLVPDPASGALSQSATKAAVKDFYEDLYSPAVQIPLAVPPHSLDPFPPFLPDEARHASNVPSEMRPFPGIRRHSTRDALPLERPPGPFHRPPDESTTVVSLLYKKGDPTNIGNFRPISLLTVTLKVITRCILKRLEAVLEETESATQTGFRRGYSTLDNLHAIKQVAERTSEYGIPVYFAFVDFKKAFDCVEWSTCWNALWSYGAHPTLIHLLRRIYESSSTLIRVNEELVPVTVKRGVRQGDTLSPRLFNVALRSAMDTINWGDDGIRIDGRNLSHLEYADDVALIAKTRPELERMLRKLIDACSRVGLEINATKTNLLTSCKTTRAPITIQNLAFNFVDSTTYLGGRISLPLDHTDEIEHRIRLGWLAWSKLSHLLSSRLLPMKTRRRLFESCITSTVLYGSEVWALRSSDKERLSITQRKMERKMLGVTLRDRWRNERVREIAKLRDWNREAPSRKARWALKVRSMHSTGAGALEPRDYRMDAV >PPA08247 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig162:420407:420663:-1 gene:PPA08247 transcript:PPA08247 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVIINLRSAFGWIMNNSGRMEKEKEEEERSCQEKKKRTREESEDEDERERERRRKSQLTYPFSISYHID >PPA08204 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:241657:245367:-1 gene:PPA08204 transcript:PPA08204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-let-268 MWLYILLWGSTLLPLVHSTEVLVVTVATEKNEGLKRYLRSAERHGIDVHVFGLDEDWKGGDTRIEQGGGQKIRILRRQLEKYKDKKDMVIIFSDAYDVIWANGLDVLIDRFTDHYSQHRIIFGAEPFCWPKKELAIEYPLVEFGKRFLNSGLFMGYAPEIYRMLSLKEVEDKDDDQLYFTMIYLDEKLRKELKMGLDSISRIFQNLNGVQEDVKIEFDQEGTAYVFNTPYNTHPVLIHGNGISKLYLNYLSNYVGEWSREKGCLRCEQRKKMEKEEEEAGESMELPTISLAVFISSTPFVEEVLNALGAQDYPKSKIHLFIYNSQPFHLETVSKWAESQKGEFISRSIINVDMETGERDARQMAFDIISPILNQPGKLFSNFWGAIAHNGYYARSEDYVEIVNGHFLHVSNEDSDGFLIVSDEFANDLQKGRLHTEMWQIFDNRWLWEQRYLHDDFVKILNGPVEEVPQPCPDVYDYALLSPRFCAELIEEMEHFGEWSDGSNSDKRLAGGYENVPTRDIHMNQVGFEREWLYFINEYVRPMQEKMFIGYFKNPIESHMMFVVRYKPDEQASLRPHHDASTFSIDIALNQRGVDFEGGGVRYVRYNCTVPADEVGHTMMFPGRLTHLHEGLPTTKGTRYILVSFINP >PPA08231 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:336176:338153:1 gene:PPA08231 transcript:PPA08231 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHFLTTGFQATHLGNAIKEVNLALDDREKEVEGDEKTFPYPEGRKKRALTLFMGYTSNLVSSGLRESIRYCVEHDFVDCLVTTAGGIEEDIIKCLRPSYVGAFTDKGVELRKRGINRVGNILVPNRNYCDFETWLMPILDKCLEEQNKGFLWTPSKLIRRLGLEINDPSSILYWAAVHSIPIFSPALTDGSLGDMLYFHSVKNDGGLRIDIVEDVRHINTMAVKSEKSAVLIMGGGVVKHHINNANLMRNGSDYTIFINTGQEFDGSDSGASPDEAVSWGKIKPNASSVKVCADATLVFPLLVSQTFAKRVNIKKEKK >PPA08205 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:246567:247743:-1 gene:PPA08205 transcript:PPA08205 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLASPLLGKSELVFLNVPRMGLNQTQIIRQLISHHVKPNITILDITITNRRWILKFAKKEDAVEVMTRMDNVKYRGKPLVVRINNNECNDKPEPVANSPHKLFEKLGIGSTREDTIEPVRMEKDAIWTGTEINEINSFKRELMEMLLRKEGAVCSISQMEELGKMRRKGIISDALNLWPLGIVRMFSPDIRLTGRYMSVLGRDDHPSLIRKASSEGPLVALEEWEAIPSHNVRNDFQLVAYAGALLSHYGPQHVFVDIPWRLLCHSVRSVWKMSPLELAEFVVEKSSSLLIHNEILYQTSSIAHRRALTQTIVPSGI >PPA08169 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:76186:78849:-1 gene:PPA08169 transcript:PPA08169 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKVISRNPDDYLRETKHDIHKLVRNHNLPSDSFRAQTEYTRALNATKLERVFAKPFLANLDGHTEGVSSMAKHPLRDSIVLSASRDGQVRIWDLPTKNCLSTIQSHDGPLNDISVDSVEGMSFVTVGQDCQLKTWRLPHPIGGKKMSVPLNQITLDGVAHGVSHLASSTDFVTCGDDVSVWKSNRDTPVRTYKLGVNTIHCIKGNPIEEAIVVGATMDRSIWILDTRAQAPLRKVTMKLRPNAISWNPMIINEFVVANDDYNLYTFDLRHLSHPKNVHVGHTNAVMDVDFAPTVASLSLMSGKEFVSGSYDRTLRIFPNDNGHSREVYHTKRMQSVLSVIFSMDNKLVLSGSDEMNIRVWKARAAEKMGPMNPRERAAMEYAEKLRETYKEHPQIKRIARHRHVPKSVYSAAKEHKIIKQSEKKKESNRRVHAAEGEVPFVPERRNHMVTNEEL >PPA08233 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:342952:343422:-1 gene:PPA08233 transcript:PPA08233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-daao-1 MWQSGYEVNRELTEIPHWAPLVRGFRRLNEDELNRLGEGYKSGQFFTTLALEPTTYIAYATKEFLSKGGIIKQEKVENLVELTDSFDVVEQEILWEINKLLMN >PPA08192 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig162:182399:182940:-1 gene:PPA08192 transcript:PPA08192 gene_biotype:protein_coding transcript_biotype:protein_coding MFTIVFYVLSPLPLMIARHYQEDMTGTSACIELSLFLTTGIVVSAFALPTVLAHAGAIAPGAAVFSNMASLTIFGTITAWFYLHGEEEGWGGSSALF >PPA08228 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig162:321249:322905:-1 gene:PPA08228 transcript:PPA08228 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQEAELLLMQKREETMKNEIELLKSSLVSRDDDIKKVKADLLPYQLAKYFAVKGRTMKDKCPSGCECAGSDLRHRKAHVMGMHYPILQDYRSMQDDLLLKTVGEMADRSHRYCMLCNNFDGNSREKLAVHLHEKHRSHFDDLVRNLRNAQDVDSADGVDNKDQGIVEKIFALSEGRKVQTKEKKAVSL >PPA08235 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:351891:352478:-1 gene:PPA08235 transcript:PPA08235 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRIEILCELPHNGDAVLVNQKDESQRTSRSISTLDEKSKQPKKNCCKCWHVTDNTRGVVVDVSVKVPHRSRPIQLSLDCPKDENARVLIGEKWLPLEERKK >PPA08152 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig162:5790:8451:1 gene:PPA08152 transcript:PPA08152 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWHFAYDSDDEYAHLKRSSMEADEYFQTYDALEKVIPSNESLTPIDLSGDEEPSGSGADDESLDSSMTNSSIQFEEIDDVLQTDLSMLDDTTTMDTSISRFLDDFMSESRTSEQSGTRTIDALTDSFASSVHIGLPLDESTDSFDSLKTQPLNGDAPLATSSPQEGRTPNEVSYDGRRRCEDSHSTSSTQTAAHSMVMENEEEGQYEDDTDLLTSGYVGSEMMESHEDLPPSSPSSCSSDLFIDDVTLDVQDDLPSDEEYIAKFVLAEQICSTQLSSNPLVHKMMMVASRRLNVSSFLFSSTDGSPVKKGGMHALSFVMNYQRYKWYMDRHNIFEGVITDLVPRMKASIMAS >PPA08164 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig162:63374:64519:-1 gene:PPA08164 transcript:PPA08164 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTLAVGTFFTFFSTLLSLHFIYQIMQDIDLLNEEIHSGLEEFRGLSEDAWSQMQSLPNGISPVFSLHRSSRSISIDICNCVNDSRGCPSGPRGPPGQPGLRGENGQPGVNGRRGAPGAILVATFDIPGGCVQCPPGPPGLPGLRGRTGTKGFPGAKGAVGEPGNDGIGGEQGDAGVNGTNGNNGRKGKIGVNGRNAVKGDGGQPGPIGAPGSIGSEGPAGMDGKPGVDGKEGKRGLQGVNGVPGRRGMDGIHGKNGSNGIDGIDGSYCPCPDPTHRSIE >PPA08227 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:319088:321228:1 gene:PPA08227 transcript:PPA08227 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRKLRLLKPLPVPVSAGYFVTKSSDGFLPCVRYAAEYSNPSSEVASAIESAPPTNRAVESAFAYMDYLYHKAPFARFFRRDALTCFVLNHVSRWLDGKNTRERSIILEKAFECIKEIVYEEREKTEQLGEAIARKSRIVDELGGVLLTSSAEIDRAVFELGQSNAINLIKAQLRFRKNVCKQKAEPRLYRFSAGKVVHGLNTLVANLKELVLADPSAGFIEDDFHSSYLGRTCDLSTELLFPDGTELSGQQKVLDIVLRSSGDTIVFLQGSSGVVEMCRSEFEAFVEDGVIFPLPFYTIKCIGKEDLIYLEDPVHEIFSVGPNFKKTNNFLWPFKLSNPWRMEKKAARLTIDGHN >PPA08208 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:258784:263521:-1 gene:PPA08208 transcript:PPA08208 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGDTVASTSDHKVTMDVDESGSTTIEDPCPVCLSNRNEESGIDGCTHTFCYSCITEWLKVSECCPLCKAPVTEVRTSKIVDGQAVIKKMAELKAEAEAARIMAQANEVPMTSERDAVNQQIHIIRAEVHKLIADKARSKKTKAMNDLYRKATSALNQLTALKEEMERLPRRDIIGDIRFRDVVYRQDLIWRQISRPATAVRFNCAMACADPEGLMTRLKPFLLRELKIVLEPRKQPTDQVLTMILTNIQNYEINNPHFENVLLNTRLFQPSCIRLFAHLLYEFCASGLDLSAFDANSIYSLDPAKGPSGRFIPNLLPVSSRTSDPIYINDNEDDDDDDVIVDGQPNGHRSDSSSSDGITNLYNHRACRVRPSQLINSHRHSNSRNRQMPSFVSSFSLLGQLDSFARQHQFAPIAPSIRRVARAPEVISLEDDSAVRDEPRINSIHPSDMNSWMDPSWLNVTGDGGPSTSRAPIDPTEQRSSRSPRGFSNFGPESDREGREGREGSSRMRDGRKDEKRIDPSWKTENGKKSESRREKRKAEERHQKKQRMFMDLRIGSRKNELLYYYDKLNGKEMSLNEMQKVSSDLTLFTTRINKLAEELNKKLEEKRASNANSNGSQDQGDVIDVDEEDEDEDIIHMPDQSDPSSSTFNDTILIQ >PPA08195 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:193991:196056:1 gene:PPA08195 transcript:PPA08195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gbh-1 MARKYNQQTWGKNEIEKKLGRFDYNDVINDDKTLHDFLEKVCVDGIAVIKNGPKGEKGAVEKIGERIGFIHRTHFGNVFEVCTKPDASNMAYAVPSTLPFHTDFPSLSDPPQLQMLHMFIKAKEGGNSLFVDGFHVANQLRIERREVFDILTTMTDPSGQVVRVQFGNAMRSWFYDCEPEKIQELYRALKVFTDYVYQERNLLKITLQEGDTVLWANTRLLHTRDGYVEDGDKRRTLTGCYFGWDIVKSRVRQLSRKLSLPNAQPSAVGK >PPA08180 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:121493:122165:-1 gene:PPA08180 transcript:PPA08180 gene_biotype:protein_coding transcript_biotype:protein_coding MLREDRADAATKASFFAVLQANQNKPEAQVNAAVDQWASAQAAPVKLAYTQFRAEVQKYQKEEEAAHSAAVASFSAGARAADAKLSQIASQQGLSFEAKQQQITNFINSLDAGVRGEILKAMGGQQ >PPA08179 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:108262:111501:-1 gene:PPA08179 transcript:PPA08179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cki-2 MTSSSSIPSSREKLRARRCLFGKPEPKEVDKWLNECQTQMAQRAEEASTKWSFDFTRERPIDQPGPSPRIEYEAIPAEKVPSFYSRTRTNYKSGLRRVERETTPEDGLDPFLSNGTPMRRRGIWMKEKEKTPEGLPPPLPSPFEMTKKRGMNRKVKESDEEVKMNDGPSTSNGRSMREKRKEKETKETNLRQARITNYLALRKRPSQEKESKGTEKYRRLHEIGSSASSPSPAPPSPFRFADDSNISMKNNSRKRITRAVSARNL >PPA08182 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:126178:128410:-1 gene:PPA08182 transcript:PPA08182 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-10 MGSNSHLRNIRQLTFGGSNAEGYFSYNNKKLTYQATLTGSTNCDQIYQMDLTEDPRTHVPKRMSTGLGACTCSFFMGDDEHFLYAGTFHHVKYAPEETQPPTDSFDTCNKKKCVDIEKITDPKLRDLCNTSYTWDVYNEYDIFLADKYGNIVSRLTDAKGYDAEGVVSPDGKLIAFTSYRSGDLELWVMNADGSNPRQLTFTLGYDGGSFFSPDGKKLIFRASRPKTDAEIQKYLDLLSYDLVAPTDMELFWIPVDGSHPEVQITKLGGANWAPYYHHDNKRVIFASDHNNTAGFGAFDLWMIEDSGNLDTLERITWDDNQFDAFPMFSWDGKQLVWGSSRNASNEYDLNLFIADWVDPPYTDDVSTTTKEVSSISLFSSLLLLCLSLLH >PPA08206 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:248930:250263:1 gene:PPA08206 transcript:PPA08206 gene_biotype:protein_coding transcript_biotype:protein_coding MTTERVVVRMSRSDPSIPWALHFSNRGADVVVSSVSPDGLSSKAGVLVNDTVVEVCGRRVNREEAERILGERKLDVDMVLTRLVTSHTCLPWNLTEKGNEVVVDHIDSRLRSTFNQTSTNRPHGSSSSFHSSSQSYRPPFTTTIPIYTTHSYSSNGGNQSGGYGAGVGYGSHTTNGGHQNGGYGGASYGNQTTNYNAAPAKFGGANGNGYSNGSHGVDNSSSRSIPVNQQTFIDTSNTQRGRESTLSPNRVFYHSPSSRTRRDLSPGASIHHMQYNSPMNLYSTETAAEEYSNQTGLPAE >PPA08215 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig162:278355:279840:-1 gene:PPA08215 transcript:PPA08215 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTRLSHSIHSLRSLSTLQLVYSEHGDPSKVIRPSTVSLPSSVPCGQVRVSWLAAPINPADINQIQGVYPVKPPLPAVGGNEGVGRIEEVGSDVSSFKKGDLVIPARSGLGTWRKMGDHSVDHVWPIDNRFSIEAGATLQANYIIITSVGRSVMQICKIRGLKCVSVVRSRDTGMDELREELSELGSTTVVTEEEMASKMRGKVKARLALNCVGGRSSLFLAGAVAKRGTMVTYGGMSKQPLSIPTAAFIFNDISLRGFWMSRWYDEGNTQERTVMYRDLADWFASGRMKTPPFEKIPMDDATAALEKAQTKFDKKMLFV >PPA08162 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig162:56542:60672:1 gene:PPA08162 transcript:PPA08162 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIRLFVLLLFIITDVVSDGEVYDDMGENSHDSRELSPPQPPFAPPRMNMESIESSPPGGSPHSPKGDSFSPGPPSMSNSTMDSVMESGGIREEGGGEMRSMLVERSPRAGDKNEVDEKDDKDSKPSDGCKDSNDLCKFWSSIGECETTVPCIDKHRLCHFWSSINECVTNAVWMLAHCPLSCKSCKGEPVNGHHPPTGGDFKQSDCTFVTTHEDTSQRRTISINDVRQSAANFGCVPTLTAPRCSRNLCYHLKFRTFDGTCNNLRRPLVGSAFSALKRLKSPIYDNNLNAPTSSFTRIRPSAREASRLLLASSSAIPHNANALLMQWGQFIAHDLAKTTMLNNQECAACTSAGGKCFSVFLSRADPTFGRFMCLPVARSAPVCGSGSSSFREQYNENTAYIDGSMIYGSSDRDQFLFRQGQFLKTKNIKGRQFPPVDNNNNVVAGDDRANIFVGLAALHTIFLRLHNKYQFPLFFLILSITPSRYAQTLQRVNTHWDSERVFHEARKIMGAVLQRITYHEYLPRVLGEEYGRLLGKYRGYDKDVDPSVANEFTSCAFRFGHGMIQEFYPFLDDKFNQIGGIPFNDGMFKSIHILNNGVDPLVRGMITLPAKMPQRLTPAVTERIFGNSDLGSINIQRGRDHGVPGYVAWRKMCGLPEVKDFDDLASTIKNKVVIENLKLVYKHVENIDMYVGSILEEPYKNALVGPTMACVISDQFRRVRDGDRFYYENDNIFTPEQRRQIDKMSIARVLCVAGEAWSRVPKHSFDTFMPERDKLAKCEQVPDIDFNEWKEDI >PPA08242 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:373424:377643:-1 gene:PPA08242 transcript:PPA08242 gene_biotype:protein_coding transcript_biotype:protein_coding MNGENSSIEQAKAEKIAAAKRKLREFESRRVNGGESSSSPVPSMASNGGMEYTNGRQSVHSQYHEGELGVSDGIFQALEVKTSECETLKKQLADLHVLYSQAYSAYNELAARESGRSSAHGETQIVQLQSALALLVEEKTDLLSRLRMGNERVGQLEEMLRQSNTNAHDHTHSSREEQRLRDELIHAQKMVAAHSEELNNLRRENATTQSATRGLQQDKSEAQARLRGVYQEKERLEGEVKELRKELASKEMNIRQLRAHGAINGDIHSVNGHQEDELRAEVESLRCQLEKTLREAADSIQLSTVSTLSHELSISRVRVSQLEDDVESARGQIMIMSGRKDEEGQTEGKETIGEVVSVGERLNEEKEEKRKMEEDKDRELESYRCAMEELKLKMEIAERKMAEDDGRAGSLHDILQDLQNEKATVSRALTQNRELKEQVIALEDRLIVLTDEKLKSELERQALEFELKGVKERQEEDNGDEKEGEKDEDNVSLREKELVGQLGVKERMLQEMREELRRSQNENDSMNAIMQQNGEDENQNSIVVELREAVGRVNTLIQENNELRERLEGGQMQQRLGMLETQLRFMQQDNEMLRGQLNGGMIEERRERIEIGEGARSDGHESQLITVLQERLASAEDETARLIREGVERMEEMEGRIVESEMRREKAEEKYNELERKLYT >PPA08222 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:304615:306390:1 gene:PPA08222 transcript:PPA08222 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVHEIFSVGPNFKNANNFLWPFKLSNPWRVEKTVAAMLSPFLHCQIESIMAPLNEESVQEVAVEEQEVELTPEQKATQLNKLMSDGKRDLKACHALWTARALAVESFEPNFMYGRALAELSGVENDLFQSALFNINNKKKEGDEAEEKDAANESMDDEEREDVREMVAEALAETAEELEKKKEGNDEESKKEAAAGDKMEEDEKAENEEVAADNEESEEAVDGEKEVGADGDGETAEKEDIEDGDDEDEEEELDTNQLAWEALEVASQIADKMIPETGDKEWKHKRVDVFVQLA >PPA08214 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:277137:278185:1 gene:PPA08214 transcript:PPA08214 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRKILQLLISEQKNAVLHTSKRSADSKKLTEITTSYLDDQEKQYSMDSEPAELEAEISLIDDPLATAETIGFQLEREKKKSLNALEYEEKKLNNLGSNRLALDSDGLDTFLSVFFDKINFTDTQSLSSPIDKDEIALEEKLSDLRAGKVARLSKVRPSPVAANHIGVPIKKAFFKHFKVDIKTTNSELINTKLYARRMFN >PPA08163 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:60948:62269:1 gene:PPA08163 transcript:PPA08163 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMKIIVIFGNDISCQQEDGSAQRFLFTAEFVSEGHPDKMCDIISDTVLDAHLAQDPNAKVACETVTKTGMVLLAGEITSKAVVDYQSLVRNAVKKIGFDVQVSYAIGVAKPLSITVISYNTSPLSELELLSIVNDNFDLRPGMLMMDLGLKDPIYEQIARNGHFGHEAFPWEKPKELKIKPELLAKLKARDHVRFWFV >PPA08181 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:123965:125406:1 gene:PPA08181 transcript:PPA08181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-glr-4 MDKVDERDKSILKHKNQFTMLNSLWFAVGSLMQQGSDVIPRAAATRIVAVIWWMFTQILISSYTAQLAAFLTVERMATPIESSADLANQQKIKYGTLKSGSTMDFFRESKIPIYERMWAIMESSSPSVFVNSSKEGIARVKAGNYAYMMESTMLEYYMERDCQLQSIGGLLDSKISEWWGSCTGKGGNKKRSKLINIHLDSIANPSADSADNSNGK >PPA08170 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:79381:80808:1 gene:PPA08170 transcript:PPA08170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cacn-1 MGDHKKKKKKEKKRSRSSSSSSSDSDQGSKFDKRLLEERKKSKKDKKVDKQRMKEEETPEEKRARRLAKKMRKEQKRLDAQSALPDNLEYTNTNNPFNDMNLTQTFVWGKKLEKEGKNNLTEKQIERESRDRIKKNMREMEELKRGREARLAAREDMEVMQRDADRRSNADWQRKDVEFMLENAKVRSRLRIEQNRAKAIDLLARYINFGDDEKEKKDDDDFELEDPTVYLKGLEENDYEDLIEDIKVYISVTGARHVRWWKNMAVIVKDELNKYRESRSERTTVHSAVSKDVSNIFKVFTY >PPA08194 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:187524:188148:-1 gene:PPA08194 transcript:PPA08194 gene_biotype:protein_coding transcript_biotype:protein_coding MECLSSGPSSPVSPVSPSPIDPLYHHRLQIRTRSKMHEMALHHRLITEQDPRGSGHVQLSAEEKRTLIQEGYSIPSKLPLTKAEEEALRIVRRKIKNKLSAQESRRKRKEYIDTLEQRCQVYASENANLKQRLKQMEAQLRKANGRV >PPA08200 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:230354:230951:-1 gene:PPA08200 transcript:PPA08200 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSGEVPPPTGTASSEVPTPATSPIPSGENAATPVTGETGTNTQEGGINGTQSKEGGEGDKDPVSFTDRDATTIGKMMKKVRKNKKKKKKKKGSKYKYTTDRFESNLFLMRLEGTLFCGGILAFVMLLSVNLLLVTIIAIMTGGNIKHYFE >PPA08211 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:273416:274374:1 gene:PPA08211 transcript:PPA08211 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRQFEKEDGGKLILIHEKPITVPLNKGPSRMETGGTSRMIISVTGSGPSKVLPTNDLYFAREGTTELRGGVRLNEVGDSSIEKLGWLRKNEKGWSVPNGVIKITQAHHVKVVNCKEQRFLSALNADQYVSSEDGKKQLHISYGHPLTDWVWVESAVYEDRMVRVRHAEGTTIENSLHCLFNTEIV >PPA08155 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:28286:28991:-1 gene:PPA08155 transcript:PPA08155 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPPPEYLSVVHPSAPSMDNRPPRPPSAVVPPPSMVYPTQSSMVPPSVYTQPVMVPYAVPIHNPAPPPVTNIVIQSGGNGSNGGMAMGVPNGKGVSPLLCPRCKQGILIKQRNKCIKVTIIVLIVITFPFGLLLIPFLCCIWQNKYDLSLFSLSDPMK >PPA08156 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:29414:30641:-1 gene:PPA08156 transcript:PPA08156 gene_biotype:protein_coding transcript_biotype:protein_coding MIHNGYDPVQSLGDHVYTHVVCPSIVRAKFAQSLLPPLPLAKCEMKAEDLLSDSSAKDPLNQCIMLMGTPFYVNRGILRTHTEGSFPVNSLGQLVVPLPKDVFLMCKKLNIPVGKVFLELVFSLYPSGSDVSAGLVRPALAYAYHLRLKYAVDKLEQALISEPVTNAGQLIDHLSLSETYRLTNLLRITAVRAEGSCYDMMKEAVVRPEFSALSLTTRRPVLDRMCSGWAHGWPMLANRSPTDKMERSLAPSGGGPPKPESDCATFRSIESNMVFEEMDRLSVEG >PPA08199 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig162:228506:229775:1 gene:PPA08199 transcript:PPA08199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EEP5] MKSTSADIYRIRPVFFLLKPKEKRTVKVTFKGLPSDRALCQRDRITAVMAYSMTNSNDVKILWEKHRQIAHQPHSSSRKYIKIDFEDERRMSMARGATNSNPKTDISRLTSGEPIPASLPRPSGRVAHSGGRDASITHPNSTKKEQAIRPPSIHPNDLRPVKDVPPPLERLEPSLRTSIPLPPTSSEESKEETMDCIPPSPSIRSKTLPYKSPAARKLPPPPPTEDETEEAPPPPSLLPVQSKSKILPVKAPPSRKLAPLPPSNEDSKEETEEIPSTSPVQNKSKTLPLKTTPSLRLPVVSQSQENESVEDETSNLDDVPSLPQKDIPSLNPSGRKNVNDEESTQQQSIVYILYPGEEGKSKEEADEKDEDSKQ >PPA08237 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:358630:361301:1 gene:PPA08237 transcript:PPA08237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ced-3 description:Caspase [Source:UniProtKB/TrEMBL;Acc:H3EET3] MAGSMQNEHRKALIGFGDSSLPPLYLDPILDYLEVKQVLPESKINEIRRMSNGRLDLMRSLTKQGSIAFDEFFLSLVHSQQVHLADSLRPLVSQSVIASLENKGTEQKASSSIEGFERMEIDEERAGGGGRYDSDGNGKSSFSSFDLRDIEKKLASYEAQKGERVYQFTSPRGYALIISNEHFRTMPQRRGTKADVIALKNLFISKLGYEVEVHENLTGKELHNNIKSFASSTKHSFTSSAIVCILTHGEHDVLYGVDDQVVDVHYLLSSFNTHNAPHLAGKPKIILLQACRGERKDIGYEETDSGRPFAFLECLGMGGGGMMTRNKRPAESDILVAYATPAHYVAEKRSHDSDLLSILTQVNAKVAETYKANSSTGEYKQISEFSSKLTKKFFFFPPE >PPA08216 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:280053:281673:-1 gene:PPA08216 transcript:PPA08216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rsp-7 MYARMADGPAGLPCAYCYVEFSSQSTVPVALQNSGLVSLGGNLLKMQHSRVAIIKPQQKTDAQALEEIESAIQSGGRIDRERSRSPLRRRSRSPRRSRSPRRSPSRRSRSPRRSPSRRSRSPRRSPSRRRDRSREKERDRKRSRSRDRKRSKSRDRKRSRSRDRKERDRSRDRRDRDRDRKRSRSKDRKSERKRSRSRDRERDRKRDRSRERRDKEKDKKKEKDRSSRKDGKEKEKEEEEKLREKLLEKVAARCDSRDDVKQEEDMDVDVKKEIKEENDETGPKDSQAGSIDDEGSRDVPMKEEPNDSE >PPA08157 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig162:30683:32944:-1 gene:PPA08157 transcript:PPA08157 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDVAFDFGPSTHTAWEFEDREIEDVLTGKSLRPFPSMRHEEHVMSGAPLDIKTNSLVPPSTPFQVDLLIEGKEAKEESGANRPPNEWKFGMGVGDVALSVTLQEENGSRQLRVSAIGCAESEWGITTQMRVRAGIGEENMKVLDRFFRRHPSFDEGDVLIRFADGSTLRTWKALLSQASPYMALKMRETEDDGIVDVSPFDRSSFIELLLQLYPTERPIWANFKPLANAAVSFDVRDVIVRLSDHLVNYRKIALYRRLEDAAKLNLYPAVACMVEEAARTNLWTA >PPA08203 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:240036:241394:-1 gene:PPA08203 transcript:PPA08203 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGISRFFDVIVIGAGHAGCEASAAAARTGASTLLITHSKKTIGEMSCNPSFGGIGKGQLMREVDAFDGVCARLADQSAITYQALNRSHGPAVIGLRAQIDRKLYKKYIQKELLEETPNLEVMEASVEDLVVEIRGEEKNVLRGVVLSDGSIVETKSLVIATGTFLGAEIFKGTERIKAGRIGEKSATGLAIALNKLNLKLGRLRTDEKPISFSFMTKKIGIPTEKQLPTYLGETNDTVTKIVQDNLDLNAHVREETNGPRYCPSLEAKIIRFPHLKHRVS >PPA08210 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:265489:266873:-1 gene:PPA08210 transcript:PPA08210 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKIAIKSALCQQEDVSPPGISALPPAPSPPPPHLASLPSPPSPPSPPHSSLPPSVPPPPPTASLPPQPPKLEPSEPPSPFLEDQSLEEKKSPVSTTAFAARSNPIPPSPGNPLTDLLGDDFVKFLDPNYESEDYKETEENGDGEEIIMFIILHMRFFEIQMNVPQREDILFDGLIFEPNTRMSACCFHPKHPLSCFYTLPSLMPIWQTARFDCKPGTTKLMFLCENYSNKAALCGVDNVRIHLMSDIFFLEPCQKRQLSSL >PPA08229 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig162:326448:331862:1 gene:PPA08229 transcript:PPA08229 gene_biotype:protein_coding transcript_biotype:protein_coding MCVTVLANLTKEHCVKEGISLLEPLPQYYYFNLEREIIPYVKDNWVWLTSMPVRVKNTWHATLQKTLVKESDLFKGCKGPKTRGASKRKVVEPSCSSTIPKKAKTTADYSTARLGGGSSTMDIPFNKDGYRYHIIELDQNVYDKNATIEDDGTALRVSDDHLSITGHEGYCIARGTHSVAAAAVQACVGYNKFSYGFRSNKGTKFHNGVGKSYYPITFAVSTKMQSTFYTSFF >PPA08198 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:225580:227580:1 gene:PPA08198 transcript:PPA08198 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSESPRSIRSYHKGGSGTLTGGGIQKIYSGSNIPNLKEYKRKLDSRLGQCILLCLITLATLLCLYGFIHGAVHDTLYTYVNRTHQIVHELETAVDENFGGVRVQNETAEKEMDTGKKWLTSEELMDYSQLLTKTRSTIWHLFGFNLVLAFILAAVLFLIHFANAEGTYVKFLYRGVLIVCLLFLVAQFLFLLHPCLVAAFTFPSMVDRLFVEAYPRDEYQIRGIEDAFSCQFNPNPHYVEFGLASPCLLKLKNSSLPAYGVVLMMLITLVPFVFAVFTYAWSVCIKDKKVVQNARRRVELNNQRRVPIPNQPPEYSMSSPPQTRKANPEFV >PPA08196 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:196424:197023:-1 gene:PPA08196 transcript:PPA08196 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ptb-1 MPKEGQPDAGLTKDYSHSTLHRFKKPGSKNYLNIYSPSSTLHLSNIPPNVNANTLQDSFKEAGYDVKAFKKDHKMALMQLGDCEEAIDALIKMHNFKLAENAHLRVSFSKSAI >PPA08168 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:73411:74072:-1 gene:PPA08168 transcript:PPA08168 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAYPMKNMWLSSIGRAKKVILIVWVVSAVLAIPSAMKIEYALTDDNKQFMCQRRFAHEILGVSPRILNRGFAIYEFLS >PPA08154 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:21502:23933:-1 gene:PPA08154 transcript:PPA08154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-bath-44 MADFAGPLRPSDSWSTTEVRSLTHNHVWTIRGFSNCDVRYLETSVKIRDNKDGHRDQPVPSASSHEPAPITFRIRLHPQGNKESNKDFSFFQVFCNTNNTKYRAKFSVYNCRNEEIPTTVYTGTQQLHGYFEYIRRDLLLQHLSPQDELQLNLNLTVTFDTISKDLESFLTSGKLTDFTLELNEGREIECHKVILASRSPVFQAMLEPHTEESRTGRVVIPDIDYEVMQEMLYFIYTGKTPNLAMYALDLLAVADRYQLQGLKDMADQHLRTSLVADTASRNLVYADMHNATELRKDAISFIAANMSSVIATEGWNQLVEKQGAPLVNEIINSLVCDKPTASTSYTDAPSAKRARLDGFS >PPA08178 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:102387:103171:1 gene:PPA08178 transcript:PPA08178 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNFRAIEELMNKHEIMDLIGTNSFTLTKILAAYPEWNESFRIATSCDNPISHTALLGQSIQPRRSNPALYIIIDEEVEMI >PPA08202 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig162:233607:234224:-1 gene:PPA08202 transcript:PPA08202 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDKRSVTKWMKAIPSLKIAEKSHLLSGLDILYRHNVSFDDMAKANPSLSPYVGDSNLEERIRIHSMYSTVAERMKMKMEEVRHEAATPIPESIDYSSITGLSMEAMEKLERHKPLSLAAASRIQGVTPDAILLLLRHVKCHLGI >PPA08186 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig162:151906:152498:-1 gene:PPA08186 transcript:PPA08186 gene_biotype:protein_coding transcript_biotype:protein_coding MERLYLFLLLSAPLFLCQGNKFSIPLLFGNLSFGKNPDGTTNVNVDQNVNILGNGAKRNTTFTVGNGTFLVKDDANAIVNNSDFGGGGTFGVAKDGVVLDNKINAGNKTIEGGLGKESNFLSSLFGAFSGLRGRQ >PPA08212 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig162:274500:275802:1 gene:PPA08212 transcript:PPA08212 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEKRPYVRRDLSHLGWFDGEITVDTLSQHILNITFHESKGTLLGNVFSSEARERTDYSFSVTIGDRLNSYYIAASIDSTVNSRRYVCFYPTGESNKKNERCKWFPFKSTPISTPPPVAGLLPQKGECATCNEFGPQTNWKVSKR >PPA08217 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig162:282337:283256:-1 gene:PPA08217 transcript:PPA08217 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKVLQVSNITPQATREQLHQLFSYLGRIRTIKVYPTENNVIPSTPQKFAYIEFEDEKSVELGQHLTNMVLIDRAVVCIPSMDDVIPTEESCLAAGGPSMPGQRSLPAHVINKVHDVGDGSQVILTIDPNLEQLGLPSYPPLPANTDPAKIEEIRRTIYVGNIPKGTDGQAIVDFFNNNIGEEVEPK >PPA08224 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:307169:308226:1 gene:PPA08224 transcript:PPA08224 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEKEKERAEIPSILTTSNANSDATANDITSLVRKKRAHDDSEVEGAPKKAKITPFGEANGHCIPITNNDIIENELAPYTGLVSWIPNFNR >PPA08226 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig162:312359:317704:-1 gene:PPA08226 transcript:PPA08226 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSNVVSRVPVADDISEEEMSLLAEEKELMEFEEIEAEAFKSVAAVPVIVEEEEEAGEELQENEEELRGAMEDIVDQVDEQNRDLMASPTAVLQHTDTETSREASEISDDETIAVSAPVSSRAADISDDSDEDYRSKSEYNNWLTREDKTVKEVSKVPRCLTRGRVPSDKEIVPRPSILPVSSWGKGIVIEEEKTTVIAHAETTNGPQSDESSTVRGNATPVRAPTFLDMIRAKIAAADKANAPSK >PPA08240 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:369692:369973:-1 gene:PPA08240 transcript:PPA08240 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVCKVLDAPTNAVAGHRSGKGAISLGTINTPGLKTSKPCMSVFTATGVRKRKSIPWMVHAK >PPA08161 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:54249:55130:1 gene:PPA08161 transcript:PPA08161 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVEERQTEKRPLDKEENGEEEEEIVQKKAKVVIEEEKEDKKEKDDNKGMKKKEQKDREMKMHMKYLMMEKKEEKETRRRKW >PPA08230 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:332998:334197:1 gene:PPA08230 transcript:PPA08230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ash-2 MSLLSRLRVIPIPALEDNYMYLVFNKDSNKALVVDPVDANKALEASQKEKVTIIGALITHHHWDHAGGNKDLRALLPSIRIYGGDERVEEMDKKVEHDETMEECGLKIRCLSTPCHTRGHICYAVTSGEDKIVFTGDTLFIAGCGKFFEGTGDQMHRNLNSILSSLPDSTLVYPGHEYTTSNLKFAAHAEPENQDVAAKLEWSRKRDGQKKETVPSSIGEEKKINPFMRVDKESVQKFVGSTDGVTVMTKLREEKNNFRPKA >PPA08174 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:87711:88648:1 gene:PPA08174 transcript:PPA08174 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSAKRPDDEKVEFSVIQGDEESLSQVEGQQFDLILSSMVAHWINDLPGWMRRVHSLLKPDCPFIGTMLAEDTLYELRCSLQLAEMERLGGMSSHLSPLVRPTDIGSLLGSNGFQLVTLDSDEIQYDELRLL >PPA08151 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig162:3572:5361:-1 gene:PPA08151 transcript:PPA08151 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRVMQSLLRLQGCSCPSNSRVLSTSRVVLKSEHDKIDDEMKKITEKLGKEFEQSLKDGKTEPEIDKKFMNFKQLSEQKAHERSHIFTWKTVLGTFVVGGSMLLALFYIRKVRVEEAEKQRKLTAGKARIGGDWELQNTDGKLEGSEQLKGNWLLLYFGFTHCPDICPDEIEKMVKVVEQLERDKNPVKILPIFISVDPARDTLKRVKEYCAEFSPKLRGYSGTKEQVNKVAKTFRVYHSEGPKTAPHDYIVDHTVIMYLIDPQGNFHDYYGQNRRAEEIAQTIRMKVLKAEMMERRSKTLF >PPA08220 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:299282:302255:-1 gene:PPA08220 transcript:PPA08220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cpb-1 MLRTTVMDTHSMGRVDWMDSVPYDDNRSMVEMGRGAYTDCFSYSSGHSTTFSSDPIWSPSATSDDPFLRPSTILSTHPTPLLHNPPSPKPLSSWPVKKGPGAPSLNHLMATTEPNELFARKVFVGGLPIDVSEEEISSTFSHFGRVLVDWPRRPDTARDAVNGSRHMTGYVFLVFEQEHSVQALVKECHHENGRYYLFVSSPTMRDKPVQVRPWRLSDMDYMVVPSMIMDPRRTVFIGGVPRPTKAKELAEVLEELYGSVCYVGIDIDPELKYPKGAARVTFTTTKAFVAAIHGRFVQIPHAETKKRVEIKPYVMDDQMCDECHGKSCSSRYAPYFCGDVSCLQYYCETCWDSMHLAGPLAHKRANHRPLIRQGDQTKKSCGLNFDIAAEEMRRLKTPPSEEEWTILYGLYMQVLHGDTPNEDIYPPPTEGKEVSRYNAWKGRKGMRREEAKNEYVKVAEKMIEKYERSIERSKWNSQVWTVDY >PPA08250 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:429922:430363:-1 gene:PPA08250 transcript:PPA08250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-egl-27 MARTFRMVLLESGNIHEAEKGSRCRDMLLDDAISTLHRCNYSFGDAIKEMNANDQLLNADANFMTIEDTKKFTKCDLSLCSYRESRQWERTSLESAKKCFLFTVE >PPA08160 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:48117:54135:1 gene:PPA08160 transcript:PPA08160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ehs-1 MADLNQLVNPHGPFYESVYQRLDPSGTGIVTSGPVAELLKTSGLPTQLLGLIWEEADYAKRGYLDRRGVYIALKLVAAVQSGHSPSSASLGLPLGPPSLGGISPMPPSAISPGGGAVAQWGISPTDQAKYDAIFDSLQPENGKLPGGKVRPVLLNSGLNPNMLAKIWELADQDKDGFLDRVEMNVALHLVYRALQGEGVPRSLPDHLIHPTKSMLSRRNSIASASGNLRSRTGSVTSLNEMSLYTPTHAAAAAPNRPYSVQAPRPPSLTPQHTGQRSGHSEGGDGEWPVRVTDYADHFALLDTDRDGLVNGLDCRGFLMNTNLPQHMLAHAWSALVDMNQSGMLSLEQFSLLLYLIHRLSSLGEDLPRSLPSHLIPPSMRTPTTNGVPQPPSLPGSQPTSPLEDDEEAMTLVADINRMEDERRKAEEEMGQIEMEMKRKQNEMKQLSVELKTLESTVKQLEKQKAEANRRLGEMDDQKNRLIAAAETSRGKSAETTKRMENLMEETHRATENKEEDDAKMFALRQEVSGLEGEAASAEADLRSELHSLEGTVGELSRLEREATSLEREKEKTVKVNATIDEALRELEGAMEKGEQCSMLFDDFSRFSLADTPSTSYMNNSVYAGKTVPPDPFGNSVPAQSFSAFGSGGGGGKAPPPRPAPPGGVGKRATPVHDPFANAYCSPSSTLPLVDSFSFLVLLLFPLFPFCSCPFTSPLRFNESDREKEETPPSPFSPFQWAESREGEGQLKREKTNVYS >PPA08232 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:338500:339710:1 gene:PPA08232 transcript:PPA08232 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSISFLFSLLILPSLADDVCFSCASPQLEENWEVTNLPTKPQGLVFTDDCSSDTNTDLKKPCGQGVCYEALIPLNNRAVYLRGCYADFIDETNVTRASAANGICDYGLMGKDTFEDDSSKNPVKGPKPAYAATRWCVNPADGDMCNSQLKYSADLFSNPSNYFKRCTNPGVSPDKQCIECSHFGGNGDCNADTKTYCRGPYCVKYEGYLNGDAMAVRTCAPATPFSGDQCAWMESTSDFNLFGVTLKLPYKAWHCYCTGDSCNPSSIVSSSLLFSVVLPLFLTRFIPFFSS >PPA08201 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:232875:233399:1 gene:PPA08201 transcript:PPA08201 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKEESTIRFRTMPCLKYSLLGACPYGDKCTYLHGPSVDIEKLISEELEREEPISIPPTRSGSVESLYSTTSSSHSSRIGSPTNFDPFFTPRDAKSNFEIGPFFMAVLSYV >PPA08193 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:185368:185739:1 gene:PPA08193 transcript:PPA08193 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSAMGLGMGPMGGMGGYGSGGEVYGLAPCSLTQQCLNGQICVNGYCSKSNVAYAGSQH >PPA08249 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig162:426812:429265:-1 gene:PPA08249 transcript:PPA08249 gene_biotype:protein_coding transcript_biotype:protein_coding MECAETGDGHTGHGRAEDQLVAFYYLWKKTPDAIKPKALNNRNRGAAGLRRGKKDKNSRPPSRELNDYASANEEEVELFDENKRLQYACHHCYGSESKDWHHAGKEPPMLLCTECRIYYKKYGQMRSVEKPATVPSCLFKRSNSETDQEEEAGVRTRAGKKENGRKRSPSYGEEGGGETNGDDEKKMKRLSNGKRKKSLGDKNGKRRKEDIIREEDDDDKKSSSNSPSPSGDKDMKKDEEEEKEENGVNVEIIEKKETEEASTMNGDDNGSDESESVEECYEALDEYPHGTPYRVYRRGNGNSCSRTDIITTTSAANIAKRKETAEVERQKNASIAAEARKKELAAQSQSNTCQPGPSNGLHHPQPRIPMQTMPQLNTHQEMANFLNTMARQAIPTNIYNPPHFTFDMRQLGFLTPQMAHAFMDQNQQV >PPA08218 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:284499:287229:1 gene:PPA08218 transcript:PPA08218 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGVNPKAYPLADTALSQKLLDLVQQAMNYKQLKKGANEATKTLNRGISEVIVMAADAEPLEIILHLPLLCEDKNVPYVFVKSKAALGRACGVTRPVIACSITQNEGSQLKSQIRKIKEDVEKLLIIRSLGEMAAFPLYTKRAVLVALLAFVCFVLFENGPVRLPLHIDGHVDPRFERVKLVFTQNFIDGWERGGASLAVYHHGQKVVDIWGGYADRASLRKWKEDTLQVVFSTTKGVGAVCVAMLVDRGLVSYDDLVIKHWPEFGQNGKDNVTVQMLMSHASGLAYLDENLSVEDAMNHKKVSSIIEKQKPHWPPGTRSGYHIYSHGWLLDQLIRRVDTKHRGIGQFVKLSEVLTDFRVIKYFKAVKNLITDSMLSRALANLPWLESVYQMTVNNPDFWRVEQAAALGVGNARSLAKIFSLLGEKKIVSQKTIDLLEISHYKDLDLMMGDDPVKGNGLFFTPLNRGKTAYHIGHTGHGCQQVMVDLANGIAVGYTNNALKVSRD >PPA08248 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:422106:424791:1 gene:PPA08248 transcript:PPA08248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-snt-1 MVRRSDDEEEYEEKGGEEEEMPMNFPQDKTIIPPSTPGTTKESIKDVVVNKAFHLKDTVKAKVMEETGMPEWTFVILSILFLLIFLGCAFFLIFGKKRHGEKNKQKGLKGFFGKGGADTKDTMGVTGLTADLENLEENMEQNEKEQAEEKEDVKLGRIQYKLDYDFQANQLSITVIQAEELPGMDMSGTSDPYVKVYLLPEKKKKFETKVHRKTLNPVFNETFIFKVPFNEITSKTLVFAVYDFDRFSKHDQIGQVLIPLGKIDLGKVIEEWKDIAPPPDDKEAEKALGDICFSLRYVPTAGKLTVVILEAKNLKKMDVGGLSDPYVKIVLMQGGKRLKKKKTSIKKCTLNPYYNESFSFEVPFEQIQKVGLMITIMDYDKLGSNDAIGRVLVGCNGTGAELRHWMDMLASPRRPIAQWHTLQPLEEEGEKKEGDKK >PPA08209 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:264052:265347:1 gene:PPA08209 transcript:PPA08209 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVNVCSVNILNNPSLFTDDFKLEITFEAYENLPHDLEWELVYVGSSTEKKHDQRLDSVEVGPTPEGRHKFVFEAVAADPSKIPSEDLIGVTALLLKVKYNAQEFLNLGWYVKVEYKDPELIENPPSTPVINKLSRTVLIDDLRVTTYPIKWDENQVEEYPPEVENPDEDLIPEEDEEEGDVSREEKEEGEKEKVGDQKDGEEDIDIEASDEEMEEDDGDNEGGDKTETDANKLENGEETEDIEKTLKDASAASANPLSDSTNVN >PPA08191 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:179116:181671:1 gene:PPA08191 transcript:PPA08191 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRKHSSVEEIPSFKEDFPSLPIDSSILNTLIEDAQDWAHANGLVMRSSENKSSSDSCIHAPFSLLPAPFPASLYRQALQVQDATARLYHRIAYDTQWLLNAHENVIKTDEFTRNLCDILKKVTDEGLAQRKTLVIQRSDYMSHKDPFTSEYTLKQVEVNNIASSMGAHAERVSSLHRRVLSLLGMEEGRIKAAIPHNKPVIMIARALFLAWKEFGRPNGVILVIVEDVNQNQIDQRHIEYELTEQGVNPALIKRITLTQCHESVKLDSDRHLILDESTVSVVYFRAGYSPDHYHSHKEWDARLTIERSDAIKAPWIGLQVANTKKVQQVLAEDGQLELFISNFAEAASVRQTFAGLWALDGNDPVAEKIIKHAQAKPEGYVLKPQLEGGGGNFYGDEVCDKLLHATAEERSAYILMEKLRPLVVQSYLVRAHNSTQLAESVSELGIYGYAFGNDIDPPVVATGGHLLRTKGKLVLEGGVAVGASVIDSPFLYEYRGE >PPA08219 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:297842:299158:1 gene:PPA08219 transcript:PPA08219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nph-1 MNGLGEAGGLVRVCLFDRSSKTGRQIVSNVHTIRAKCGRSDWTFTEKRDKNGDGLSFGEFVLRSNYRLSRVNMLIQVSTLEKTANGELQEKSVGLIELPLLDDTGKVLLTNKSYAESLKEVSLFDDLHPGVASSLRKIILKVNYQSDPTQLIYHIPELINDLTLAIFPTSCDDADSLDMFAHVWVGTEKALANLNTEDITSQFHQLFSSLCLLTLCRPHMTPFSWLANPQKADKRAKEMKGLASALGAKTTVTTMLLTEKAQPLRPLFFSFDLFGEHTLD >PPA08175 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:88838:90545:-1 gene:PPA08175 transcript:PPA08175 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHNCIECCSHWMGEIDRPILSFGLPYSFSFWIKYTPQCQSSFESSTGFERQVNLCCKKKPRPPPIPALTLNKTHHTTSYPKHGLNFSSSHAGYSNSIYEDDRMRPTSGNESIYGVREGHRSLSTAETELSIGERRPYAEIRKDRGLREEEMRGPSQRRFHRNKHERSMDTSMVSREERETKTTSLISFDPKSPIPIQVHEMEESQSEDTESEQSVDDFVVRGPSRTQILRNSNRVWNESSSHPYKREDDIPATPVYGKYLGQANSSIDSAYGGSARRDWNTVDAPRSTPDYGKDHGLPLSNAPFPSSGRLSSFQRVDHKWVVIITRYKNFNWYNQEGHNLLYLVILY >PPA08223 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig162:306766:307083:1 gene:PPA08223 transcript:PPA08223 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLAEVSFNKAGDVFQKILDALKTEAGDSPTEDQTKEIADCEIEQIMNYFKERAEDSKESAVQKKKMMKGKS >PPA08190 pep:known supercontig:P_pacificus-5.0:Ppa_Contig162:173876:175644:-1 gene:PPA08190 transcript:PPA08190 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lrx-1 MCGNKGKASKSGVSNCIPKKWMCDGELDCDDQSDEKNCDNVQCKENQFQCLEQGGKYKICIPSTWKCDGQNDCSDGADEKNCEEKKHCGEAEFDCGNNLCIFANWKCDGEDDCGNKADEMNCTKTPEVTCNPHTMFKCEVGDGCIPQEWKCDGEADCHDRSDEAKCGDTQTKCKLNTEFACKSGNTCINKLWVCDGEKDCADASDEKDCDGVHETCNAGEKQCRESKRCIPDSLWCNRIEDCPAGEDEEDCPYAHNITR >PPA08239 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig162:369047:369531:-1 gene:PPA08239 transcript:PPA08239 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLQAVLRGENIDTLKEITKEKKCDLGGQVELIVTKRDDYPKNFPSASLKILSLSGIGLKRVDGKWFTCTLLTTLDLSRNQLGSAPDLVKMRLVGRLINLQVLNLSHNRLLELPLNGLKKVEYVHIIMP >PPA08252 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1623:441:985:-1 gene:PPA08252 transcript:PPA08252 gene_biotype:protein_coding transcript_biotype:protein_coding ALGGFILILNDNGEIYYASENVEHFLYGFDYNDTRLALALYGANIAFVASCIPLVKKKNLSC >PPA08253 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1624:4:1047:-1 gene:PPA08253 transcript:PPA08253 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLQLELHKIETDLSKNEADKKELEAVTAQAKLKAESDQKEMDGYKVERDKSAAAFKDVITLKNAKIRQLEVELMKVQAESDFHRDKVKSMVEAESTNGVAALQLKVHQLTTESELIKNEIDRYERNCFQSVITKLTAESNELNKELQSERAKIRQLEVELAKVGAESA >PPA08254 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1625:166:912:1 gene:PPA08254 transcript:PPA08254 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFSDPNDCENITQCGLSDPLGNCTDGFAEWWCTCGPDYTGEFCDLEMPIYRVLQLIGGKTANEKDLIKMMKDLLTNPSMMKDLVPFVIGLQSEENRTKMSWSAEDLFEWIAYEEKSLNPETDLVMWNDVVLGNCFTFNHFNSTRQYRMRQAGAQGGLKAAIKLNSHEYLNYTETTAIMTFIHPN >PPA08256 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1628:854:1525:1 gene:PPA08256 transcript:PPA08256 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIPPTISVPPSSLSSDTYRKRRPTESQDEFRLAAKSQLETVTTTLEKYLDSVADRSSDPLYLALKACVEVLKQTHQHYLQPSEDPIETEKRNRSIVIENLPESSRSLASERVDDDYSHIKKILDAADIEVRPETVFRMGERRAGKVRPLKVILPRVSSQRALLRQTKKINDRPEYSSVRIRPSLTEKERKEQFDLRAKKRELNAKGEGVYVIYAGELMKKDQ >PPA08263 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:48398:57797:-1 gene:PPA08263 transcript:PPA08263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hus-1 MVDHFPVVPPVSLTAAESSLPFYFLPLKYLSYFAYAYEALAANQWTRIETIPGCPAANTTCFHNGEEVLESMTFRASNMYWNMGILALMIVGIRLIAFGSLWLKSWSTEYRLVTPLDAMRFSCTIADEGSVDNVARYLRTVAAICRNKVGMKISGEAIELHSAETVKSGGHSLSLKFDAKHSFSTYAFLPFSEDVPFIMLEIEIKKLADIFHTAKGHVRWKLFNKPTGMGLKKPHFLLQMREAHVSHHLPVTVVTEKRWEAYERQPQPIGKQIAMPNARVIFRTLQQFRASAARFVIMQCDQEGKFSLGAQLDQAKTVVHFADCMVDMSATSEIEPFRTKLGIKSLLTCFGGILAAPHYVISDRTAEIQVQLYESELSFVLGTVVHE >PPA08271 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig163:99167:100610:-1 gene:PPA08271 transcript:PPA08271 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSLLIWIHGGYWQEGSRSDVAPLLEPLLEDRITVASVGYKLASPNRPLSALKILSLFPNTGRIVVGGHSAGAHLAFKSCALIKSPRITGLALVAGIFDLTELSETVIGMPIGMTAADAAESSCRAEEYSEGELEVLILVGECDTPRFRSQAADLHEQLRARKIKSQRVELPNEDHYSLIEGFAQETRKQTKLLRKFCSIQSL >PPA08266 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig163:66117:73487:-1 gene:PPA08266 transcript:PPA08266 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPLPLNFNPADHYINTLAITNGPEESRQRREVKRITDSFALSPEGRTLQREARTGEVRKDSEMDEMRSSEQGAVRNRMGGAGGGGTRGEERYKSTWFQQVRALTWRGYKTIIRDPSLLKTQMIQAILIAVLTGIIYVMNVNGAIFQNINNQAFLFVFSGMLTLNSELPVFHREHTASLYRTSAYFLARNLAEFIPYTIYSIVFSSILYWMSGLVADGFAFLIYVVVSALIMHVAISCSYLVACIFTSRAVCGTVLPVFTVPATAFSGFYINVSSLPFFLPLKYLSYFTYAYEALVEIYPSPHTPRAAIFEQGERTGFWYLLLSGQVEVYLPSRTHGLDATIPLNVLSAGSLFGELENSCTDNG >PPA08291 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:276996:284537:-1 gene:PPA08291 transcript:PPA08291 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISLFLHASGIFPSLRIRFINLVRHLTPKSPMLFQQSAGLVPIVPGVNLPVDQIPIFMVVLIVCAVIHELGHAWCARSKGVAVNGFGIFVLGIYPGAFTDIDTNSLNLASPWHRMQIYSAGVWHNLVMALLAYLLFANSTVLLAPGFRAGEGVMITDVDPSSGLYGAGGLQFGDVVRAIDDCEVRSSSSWTSCIRYRQHAIDGKCVSAERVRAGAGSPLIRLSGKNNLGSGQEQAVRSSEIHCCPNATVSHLCFETNVLAPRMNQTEPVTKAAPLVELAGGVIVVGRKEEYEQREIYTCLHARETLEGQSCNTTESCSTKGERCVYPALFNGTRLVSLTVAGKGRPVIFVGSFAELKYLVSFTELTPRFPLLFPSFISPAWVPLTVDQLAKWGRSYVIIRGLVAIDNRVSFPRYLLAFSLALGLLNALPCFALDGQFIVYTVVHTYLRTRLPKKRRSSLIRLLLCYGTLILVGNITIGFYRLYRTYTTG >PPA08274 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig163:110777:113070:1 gene:PPA08274 transcript:PPA08274 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIAKYGGSLVITLQVPSFNHIQERRTHVKTASWLLSPLSILKVTVAVGLIVCAIFLMDGRLQWWPYTILFVFSIFLTIYSLLSLMSHFFQISDIERTKVISIELAVNGISCFLCLFAFLFLCWDEMRMQDGHWSHHSMLPPPNITQLKWMKRVAVVMGAFFINSTLFLFTFLRVRKDGFD >PPA08282 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig163:179197:180268:1 gene:PPA08282 transcript:PPA08282 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRVGEEVADRFARLKSNQHLAGAGVKRTGPVDLARAASLSDEREAAERELLARFVSCMEKAVGDGIQGGATEEAEAELLAELRAWSDRVRREREQNAETRAFLLARRAELMAKQASLAAACRHIEEASVKMTRKDHRERPTVIQSIPCYSTPGTEMVFALLEEELHRPYLPYHPLTIPPLVC >PPA08258 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:28538:29792:1 gene:PPA08258 transcript:PPA08258 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHDILSDQRYVVAHYMNTCNQGCYSDNNSWNRQCRDYNDCDWDNDDGSGGRGKTIQIVMGSGTDEGSCRSQCEDSCGSQCTNTGLSSSRCGSICRNSCQDACRSQPIATVI >PPA08281 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:177056:178656:-1 gene:PPA08281 transcript:PPA08281 gene_biotype:protein_coding transcript_biotype:protein_coding MMPEGYEGIVPATVKTKPKEVRVPGMEHRATGKSSLVLRFVKGQLHEYQEQTIWDRAGQERYHSLAPMYYRAAIVVYDITNQVTKPSPGRSPKSSRQAARDMNGMGGQKA >PPA08293 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:288465:289788:1 gene:PPA08293 transcript:PPA08293 gene_biotype:protein_coding transcript_biotype:protein_coding MSVETARLIKQSLKTIGLGGDAEKVQTGKDFFKYFFTNYPDLRVYYKGSETFTAEDVQKSDGFDGQKLFLAVHLLAEIYDNQEVFKAYVRETVNRHRQYKMDPAHWNTFFTVFAEFLGTKMTLDLPTKAAWEELAKTFNEEAQAHLKNLDLPHV >PPA08290 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:269393:270729:1 gene:PPA08290 transcript:PPA08290 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSTQILEQRPIREPPLKRDSSVYPAENRMPLRLLKEPPLKRSGIYPAENPMSLRVLKEPPLKRSGSYPAEGQISLRVLKEPPLKRSGVYPAEGAMFARLLKEPPLKRSGVYPAESSQMGTRLLKEPPLKRSGVYPAQSPASLRVLKEPPLKRSGVYPAQSSQQMSLRILKEPPLKRSGVYPAAQGPMSLRILKLQEPPLKRSGVYPAVQNRMPLRSLPLSDMSTPQTVMCSYSDDC >PPA08268 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:85936:89249:-1 gene:PPA08268 transcript:PPA08268 gene_biotype:protein_coding transcript_biotype:protein_coding MGITKLNLCRDRYVEWNDHGKARKLQYHTNCRRLDRSSTGSVPRAAFIDAVIASKFPTSRLEMAKVADRFDRGDGMISGKEFIASLKIGSKVFTPKSPEEQKRMIRSEMTRRREQCCCAHKFRIDHEEDTPGRPQPKSDGGVLQTYRFGDQQIRRMVRILHTTVMVRVGGGWEPLDEFLGKHDPCKAKDRTNTAINARFYDDVRPAHATDKMYDFTKLQHARGSPSSSSTVTSPATRSSPRETAVTVLKPHTQTGTPGVVKGIREKTERSVPMFGENRYSRERVASMELLDTPVSRPSSRASDTATMTPSRIPSLRGSARKPPTARASRLTDLTNRTPAPTVPRAD >PPA08262 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:43385:46180:-1 gene:PPA08262 transcript:PPA08262 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGVSEEQLQECREVFSYFDAKGDDRIAVAQNPRPSAWATGRVSPIWIIKRRTQDGFLSAQVINGQEWPTQEDCFVGDVLRALGQNPTDADIRKCCSAWPDPDTRISFEDFVPILQSVGRKREKHTVEDFVEGLSHFDKEGNGMINVAELRHLLTTLGERLSDEEVDQLLAGHNDSHGNVNIADFVRAVMHA >PPA08275 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:113786:118058:-1 gene:PPA08275 transcript:PPA08275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-41 MLLSGQIPPGLLGLQQAAGAPGGPPAGLLAAAAAAAAAQQQQQQMQQAAQQQQPNAAAQQQAQLQAALAQLQPQLAQPQPQVAAPVAAAPSAAAVASAAAAAQQQQQHQQLLMQLLPMLQQNPQQQQALIAQLMQQQQPGGIPIGQQLAASAARLGGKFMQQQPIVKRPSKWCGMHVKIAQDISKFRQAQQANGGGAGPSTSGAAPRSSNATPTPGGSAATAAPVAQQPTAAPQASAAAPQPVSAAASLAGAAQVAAAAAAQQQQQQQRVPPHCLPSTSNGTSSAAPTSQQQQQQTHPALAGYPAAQQQQMMQLAAMKMAGQLPKTSNGGMQNGQPAPGASRPPSVIAQHPQQQQQRPPGLPPGLAGLPLVQPTLGGGSLTNGTSDAAAQQQAAMLQALAAAVSVERLGNRSTLGNSTLTVPHPAPGQQQQHTPQPPSLLSASPVPVPGMISLQQMQPPQQAHHGPSPIPPPGLSQAAPTIPSYAALAASTAAAMQQQQHQQQQLHPQQPPQQSLLAAAAAAAAAQQQAQAHHQQQQAAAAQQQQQLQQGGLNAETLVQLQVLRQLEQHQQQQQQQQQQQQQQQMPQGGMPGQPQIEALLRAHMEMELRQRQAAAQQQEQGFNEAQLRQLMQANPAAAGDFIRRLQQQQQHQQQQQAQQQQQQQQPSQLQQQQISMQQLAALAQSLPGGAAALQQQQNQLMAAVAAQQQQHQAAQQQATHQQQLQQLLQQHQMQQQQQQQAQQFLNPAMLGGLHSQFKMPLSAGVNNGKPAGPDGQA >PPA08286 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:216366:223519:1 gene:PPA08286 transcript:PPA08286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-thoc-2 MMDLIDEILLPGLSLSESNVALSEEVDLVTDLAVPIRATLSAVRQAVLYAVLIVWDQLQAIWKTVHTTRHPELTIERGKTIGRTKYVIKRLSKDTVKMMGRILGKLAHTHPSFVFDYLLGQVQTFDNLIHPVVDALRFLTNLELDVLLFCVLEQLASPSKESLKASDGTTSSWLISLATMVGALLKKTDEAVSVKHEGVGYTIELAPVLDYVSMQLRNNKSADLLILNEMLHSMAGIEKAAQATQEHIEALSGGELLRQEAGSYGSSIRNKKAAVRLRDAILKEDLAVGLSILVAQQRDAILYQGSKEMPLKLTGEMADQCRDTLVQFSSFLLENMKAEEYARKFHYLLILRLSASFQLLQV >PPA08295 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig163:305271:309590:-1 gene:PPA08295 transcript:PPA08295 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYNRDAVLTASLERLHKCPYLNKAVLSLDDDIDLKQHEVVFAFRVWRENRQKLVGFPARQHSRFGTDLFYNSNHTCQLSMILTGAAFIHKSYLYAYTHEMPAAIREHVDKVTNCEDIAMNFLIAHATRQPPLKTTSKWTLRCPTCTESLWSDGEHFNERHECIRLFERVYGYNPLRYSQYRADSILFKTRIPADAQKCFRYV >PPA08289 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:244185:257376:1 gene:PPA08289 transcript:PPA08289 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRGRGRGRGGGGGGGWGREEWRQSDQDSPRASQQPQQQQRGFSDSRRARGGGDWASQQAGCSMQQLQLQPPQRPVMQQQQQQPLAVLATSRGVQHVRQPQQLQLQHSQQGGQYHHPQQQQQKNYGGRQQSRNRPWSNGNSSQLQQHPQQRNQNQQHHHQQRTAQQLQQRPAADYQRQSQDPRRETVQQQAKRGKRRRQTSGFYQGRAAEQHGSAAAAAAAAADPDDLPGFTRCPETGKYFRITADSSGVLEGVQDISGVLALVGHRVSDVRAAERRKKEEEQERKRTEEREEEERRRSTRRPASTLSLLSALSLGRLPGAALPRAAAAARMQQLPKQPTQVTDFLPLSRYAGMHSVVGCEFLDVAADGETLTGCWAVAGSSGGRSASMACSVRASVAERGAAARRDDGQWLSLGSLRGAPVQVRTSVIVDMAMAPTDRDVTCLLYTTATSTLDKRGCPITVCDVSTRPVCCRFEEGDYDVSDSHIYNLQSRLGGNVYSCAWAQKSMKIGLGMEKHATLIDVMSEQTERVGTKGKVVLSQAFDERVIYCILYTAKVANCNQSLKRAMKVRRHAVPRAARGQRVRDGHAGEHGPSCGCDSGLELHELAAAAAQVGPAGRPVHARGKAVSVRHSSSVRLSPLVRRTSRWQRRRPTALVL >PPA08257 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:8213:9917:1 gene:PPA08257 transcript:PPA08257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EEV1] MYKIKCTDNNVFRVQPPIGFLDAGATVEINVYQSAKTRPEEQKHFVMFLHHVATAEDKNSKKDIAKVWRWEDAAADGVTRVQAHFDEPPKGAGAKTPVSTRADDKKKAAGGTTTPVAKKKAAARKKDSSNSKDKTQTAEEKTTN >PPA08265 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig163:60487:64586:-1 gene:PPA08265 transcript:PPA08265 gene_biotype:protein_coding transcript_biotype:protein_coding MQDMVSEEKGYGTKIAVPTPPLDGQYGMMSRQGFAGDIRPHALNDPFSDNGYERGVDVDQFGNDGLYSRLQEVGRILQREMATTDPKMNADRTIQGRHYMSSMIGAEMVDWLLNATLKTSTTGAALSRFQVAGMWQALLESDVIAHVSNEQYFADKQILYKWTHQTRPMRDPVEDTTDELTSAIFLLSTIGPDSLFRMILSKCPSERTPEELELVYEELLHVKALAHLSTMVKRELAAVIGIETHNNAGTVIFHQRKLRIDIRSLPLLLQ >PPA08272 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig163:101487:101949:-1 gene:PPA08272 transcript:PPA08272 gene_biotype:protein_coding transcript_biotype:protein_coding MCEHDPSFTETDCLYSPSHWSVDGKTPKEVIDRFIAVLFASQARVKSITPSVWRTISMGAEESESVI >PPA08276 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:118594:121174:-1 gene:PPA08276 transcript:PPA08276 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPRKAAKVLAQRAQRRAQQLAAAMKSSPVVQQQPQQQLQMQQSPLGGESPIHKRSPSDVGMMATGGPPAKQPKLEDVYRNGVIGIAPSAIKLERTLSVTPPAMNGSGVVQAPSPNGRPLSAAQSEGAQSAASIVVTGAKSEGTTPHPIDPTTMVNPASSSAPPTAAAAAASPLQPPQQQQGMQQPQLAAAAVAAAAGGPLAAAAAAAAAQSMDFRQVRYFPTTTTSGAM >PPA08261 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:39662:42648:-1 gene:PPA08261 transcript:PPA08261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-taf-9 MGSKEPGTSSDVARMEQLLKDAGVQEYEPRVVAQLLEIAHMATRRVLESAKAVSTHCEKAMIDENDVQLALEFTKILVNQSAERERLIKMAAERNAMPLPAIRHNFGLKLPNDRFCLLQPNLEWRAEKRTEEDDVKMMGGGRMQHPTSFSSMGSGGHSMQHMQHHSQQQLHHQS >PPA08285 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig163:204257:209069:1 gene:PPA08285 transcript:PPA08285 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDPSIGLMNDLLDICECVIKGRIAPADGFKKIEDYHDRDPSTPSILLDVLSMVDLEAKDDENQKKLFTELAKLAMNDVVPEECVRAELECMDAGTQANRLQIIRTKTKLFFKQSKFNLLREETEGDVVYIQWLILNVLRKAVGSGCTFFLYMLGYSKLITELLSVDDTLPASTIAQRMLSLIGTFSLDPNRALDILLEAFERLPSRRSSFVEILKEMQTTAEYLQTFLVWKFTLYQTDKSVTPFSLYRLAAALIEEKLVEQDDIVKYLAPCSSDLMESHKARAALASVRSKKAETIIASNVAIDPHKHSSVGQDGQELAQITGISFAAVTGVQEAEDAKLRGDDEVLRRI >PPA08269 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig163:91444:94090:-1 gene:PPA08269 transcript:PPA08269 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRYQATCRFWNYGRAPACRMHWLSSLCVEVDELAASREKELAEEEKRLREREAKIHELNEFVEAKRLELDEASLQSAPASVEEAVFRVAAHGLFEEQLKERQANVDALTKGRRRDGEGSGRRSRRTRQSMARPVDGFDRSCGPVGEGSGVAGGIEETGEMAVSGVEGMQ >PPA08270 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:97896:98571:1 gene:PPA08270 transcript:PPA08270 gene_biotype:protein_coding transcript_biotype:protein_coding MYHSYAEMARRENVVDVREKFSNFLSTSIPERLKLIGIAYNMLEMGYPSAAIAPHLTTERRKRQTSELSGGDDSNQGDNVKRQCLEDIDERS >PPA08296 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig163:312768:315045:-1 gene:PPA08296 transcript:PPA08296 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSIPTFQLLSEVIDWSRALLRLPLARLSELHYTLRYDIALPELWYGEARYGKITERPDGGRVGDAKEGKATAGGVSGYLVALARGRPVHSALPRTTKPRPDDGMAPPSFNGSFVAPIQTPVNLPVYADDEYLGPLEAPVDSPAFLHNATAIGMYGYTA >PPA08277 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:132542:133405:-1 gene:PPA08277 transcript:PPA08277 gene_biotype:protein_coding transcript_biotype:protein_coding MNRESIQRARTERRTTRTETLQREKEERRKRKDAIKKTIRETKARGERVDNALKREWAELIEEDQKRRAVSLTNLSDLKHPKCP >PPA08280 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:162523:174652:-1 gene:PPA08280 transcript:PPA08280 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-adr-2 MFRTISLQLGPTEAFLAAECDEIRADLNGKELRKPAISLLFEILAGTFKCFSTITTGGVAGAFSASLVLGPSTISSCEHKNKKDAKNECARRAIRALLTMSVDSVREVKKEAADDTVARTYWDAVVKRKAGTVVKTEEDTVARTAWDAAVTFEELDTVARKTTVFKIEEDTVAREGTVSIEGEQRKGGCFGYQCDVQRPRADGAMAQTRLSNLMQEQAYALFHKLAETCPAAANTHRVLAAMFLRDQTTARLHCVSLATGNKCIKATSLSFDGCAVNDCHAEILTRRGLVRWLYTQVQLSLTSPGKSALVREQGEEGGKLRLRKRFSLHLFISTAPCGDGRVYQFGSTKNKDYRNVGRLRHKIEDGEGTVLGEAADERLSIDSFAMGQRLRTMSCSDKVLKWNVMGLQGALLSHFLHPGKFIVIKLLICLSYGIYGIPQIYLSSLALAHFTRESCIARACYGRVARFKPSEPEYTVNSSLVLHRSTFVLPTTVSRARPKSSNVSANWNATDGEVELIDTRTGRALQAKDTGLGAVTSRLSKISMLTRFAALTPGAGSIKYKDVKRSATDYSKTQFELIRYLEEEAKLGQWQSKPNDFSQEDSVVSKLPIQSY >PPA08294 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig163:298818:303968:1 gene:PPA08294 transcript:PPA08294 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDDLSPLTELEEAELDETYLITSLVPYEDGSLINKTLPKELILRLWSSLALDGINWQSIDLFTFQKHVKSNVLDGIARRCGGFLKELSLKGCENIHDSALRSFTAKCANIEYLSLHKCKRVTDTTCDNLGRHCHKLLTLNLDNCTAITDRSLRSIADGCRMLETLNISWCDNVTDRGIQMLLQGCPLLSTLILKGCEGLTERVFEGVESNLKELRVLNMHQCYVTDITVTCLAEGAHNLQSLNLANCNLISDASLQALAKGCKQLVSVHNHDSLIEMCVKFDPDMLHDSDLTADGRVRGITLELAHCTPLSDSGFTHLGRSCTKLERIDLEDCINITDSSVICFSMNCPNLANLGLSHCELLTDASITALIANQREILEVLELDNCPNISDAGLSQLKVCPKLRRLDLYDCQNVSKDAVCRFRSQRPGVEVHAYFAPLTPPAAAAHGRQGGPICR >PPA08279 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:139649:148740:-1 gene:PPA08279 transcript:PPA08279 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMEPGEVADAAAAAAAAEAAAAEAEMPLDSPPSAGGLQIVEMKEKTEMDEAEEAAAEEEQAQQLQPSGGEEPAENGPTPANGEPVVTEASEEEAPPPPSAAVVVVPNGMTEAAAAASAEQPTEVTPTPSTSSTIPMMLPSAPLERKTGAPVPPPQSLQQQQIAAAAAAPQHSSAGSSSAAASLMLAAAVKQQQLHAEQQQQAQLIQQHRMQQMMAQAQASAAAASNSPQQQPKTPQRQPAPQQQQMPQFSSPAAAHLHQLQQQQQTIQLLQQAAQQQQAQQQQHNPQSGMPHPLLQAQPGLPPHIQAQLQHQQTLQATRLAQQQQQQLQQQQAQQQQQQQQRQREQQQAAQQAQLRQQQEQEAAARQAAALAAQQQIITLLGQGVPFEALPPGLVQTLQAGQQPQQPQQQMQQPPPQPQRQQTPAPQSQAAHLQQLRQQLQQQQQLQQQQAQQQQQQQQQQQQQQAQAQAQAQQQAQAAAQQQQQLMQQLQQLQQLQGLNPAVLQQLLGGGGNQQQVIDTLRQLENIKQLQTMLERQQGQQPQQQQQQQQPGPSGLNAQQQQQQQLLQQQMNGLMSADRERQLLQLQQQQLQQQQKQQQQQAQQQQLLQRAREQQEQQQRAAAAQQQQQVAAAAAMQQHQQMIAQQQAAVAAASAAAAAAEQPSTSAAGAAVAAAAAAAAPDDACFKTPTIPIRKRRTNAHAEEAARLELAMSLEKKNRRAHKYPLLSPFRRARRLNALLNPTLPDLAVKKPLMHAETCPCSTTYVRSLRLPLFSCTAAPSFNVPAPPSEKQGRIGRGTSQLNRAAAKAMATKARKSKKDSLAAAAAAAAAAASPIVDTPTRGTKRKNGKRRAKRKCGKLDRSDRLSSAAENNGELTTVKLEVRAESYGGITRLDCSGSDSGQSSADSTRDYTLVSSRWTDAEIEQHLDEYRQGKQQQEQLLKEEIKEETEEMKKEGEETEDREAIIERKVKIEVASLVNEEMESTRAELRALVAEVDEKEKRLAAAREKKRREDAGEEAMEEGELKEVEKAADRPTDESIVAASEETKEPASVTAVKAVKTRKTQWMRYKAVGHRFVVSRKPGRKIVLARRVRLDERTLARTMKTPFVREVIIDEFSLQLFKNIIDCKVL >PPA08267 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:73986:80476:-1 gene:PPA08267 transcript:PPA08267 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-wht-7 MVFHIMSGSLSLCEDDLTPLLDSDTALEDGGEQRREEEEREEEERRRPEGEEEARLLRKVASQTISLFDMPHRSVSSTVTMCPSMHFSWHNVSAQTLDNRPSSSPLPFLKRASTASCSTTVDIEKRPDQKWILNEVFGVAQPGEVLAIMGSSGAGKTTLLNILAHRNTNGMEVEGAIKVSPRRFKTMPQVGIKHFPQAAIKLDSNLWELLDSKLIPDTREVNGLRVTKDFMQKISAYVEQEDAFIGSLTVREQLRFVASLRMGKRYTRKEQERRVESVMKDLGLLPSADTVIRTKYRSMLSGGEKKRLAFAAEILTSPPLLLCDEPTSGLDAFLAFQVVSVLKSLARSKGMTILLTIHQPSSQVFALFDRIYLMSEGRVAFSGTPAQARETWTSGITASNIVLNFAVHTM >PPA08278 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig163:137491:138098:-1 gene:PPA08278 transcript:PPA08278 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAEQSRRNREINARRKLMRYIALRLAKIRQSIRLKRQVLIGVCCLGALVSPLTFH >PPA08298 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:324151:330648:-1 gene:PPA08298 transcript:PPA08298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rab-33 MLLNPIDIDQSVKHECFVGLPYRVPYPTSTLRSSFEAPSQSAAVPAAARSGSAAATPAAAAAAAAAAPSSCRPARGSSCSSNSALQEEAHVQGDHRGRRGRGQDVPLVPLLQRALPGVHGGDDRRGLPRAQRAARGRDAQECEKREAIAGGRRPSCRELRPTKHYYGCASMITNNERNTDVQLWDTAGQERYRQSIVAHYYRNVHAVVFVYDVSDQRSFSSLPAWLEECEKHGLGATTEIPRILIGNKCDLEPPANRVSTDAAQIFADRNNMALFETSAKKESEADHVESIFMTLVHHLHRSKAMHVQSERERADKWLYRRFY >PPA08292 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:285887:286832:-1 gene:PPA08292 transcript:PPA08292 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSTALLLFFIGWSSAFLLDYFLRLKNYRPYLDVADKYGLHVSLFQLRFYTSRSKDVDHYLQSPRAYVDVFYSIGALVAVIAQVGITIYLSVLLFSDIGSFFAKPSAPVLTPPPSTSSLQPAPPPAPVAVDDVVRPQQIGE >PPA08264 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:59012:60236:1 gene:PPA08264 transcript:PPA08264 gene_biotype:protein_coding transcript_biotype:protein_coding MIEMIRHGNNHSHQSLFPSSKVPNVQVTAVRDLVAMKKGLKAYETPTGWKYFGNLMDDGRIALCGEESFGTGSIHIREKDGIWALLAWLQILAVKRKSVEEIVKEHWTEYGRNIRFRYDTMNVESGGANLLMTFLEASMNASKGKELSANVVTYKVAHTDNFEYTDPVDGSVAKKQGLRVLFEDGSRLVFRLCGTGSAGATIRFYVDSYVSPHDTNKLYSSAQVSTLSHSSHRSISLFSGSTQTIGIDCS >PPA08283 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:185949:190299:-1 gene:PPA08283 transcript:PPA08283 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSGIKLSGIFSGRAVVSTPVASSRSNYKYVGMPAEKDGTLKGDLDYGLNNVFFTELFRGFGVMLGHVFMEPATINYPFEKGPLSSRFRGEHALRRYPSGEERCIACKLCEAICPAQAITIEAEVRPDGSRRTTTYDIDMTKCIYCGLCQEACPVDAIVEGPNFEYSTETHEELLYNKVRIIGRLKSK >PPA08259 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:30287:36506:-1 gene:PPA08259 transcript:PPA08259 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSSAIALGVLGAAASAGFIYLFLTSKPSAARRVTRGLCGLYNLGNTCYANALIQGLSSIPSLVNWLRRLHCDEDDTRFLKELKKLVLDLDAVADATLSAHDVSEALRSHGWTIGVGEEHDLHELFNVFSTTWEEELGWTIEKRMSTGLLDVRELSEETRSREVLAMERCSAAVRLQSVLRAPSQGILAERIRCAVPGCTYKRIKHEACSVIPVKITKTMQGMRALRVETLLRDHFRMEVIRGASCDDCKARSGRTDSGLLKQAGFAKLAVKHLSRGEQ >PPA08287 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:224385:233195:1 gene:PPA08287 transcript:PPA08287 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIDSLLSEYSLPVDAAFFLARPAFRYEVQSVFDAKKKEMRAKEKKEKEREAAGREEGEEKEKEKDKKERRQMDAVLKVMMYNEAIDEVVTRLGERLEPLLRKMIRAEISAKTYVLFWMLESYDLEVPSAAYEREAERIRKAIAAVGDSAEFGKTRRAREEERLRALEYKLKEEHSRQTEHVTRVKSYLQTERENLFKNTPNAILSLLHTCILPRAMFGESDAIFAAKFVLLMHTQRTIGFQTLFFTDKVMCDILPVVSLLSENEAHSLGRCLQLILSQHAAWHEDAGAYEKECTGTPGFQLRLKKPAGKDEQPTKPMGGEHAQHNMHAKLLHKWQSRVGRSLQGALNNTAAEYVHLKNCIVVATKLLPCFPLMKHDADGMEKAAAGVRDREKGRRDDLSLKVRYDYGLGTNRRLQLLQLHAFVPFIV >PPA08284 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:191777:192860:1 gene:PPA08284 transcript:PPA08284 gene_biotype:protein_coding transcript_biotype:protein_coding MRCQSKTSQRVAIDGRTGFVGDVIIEDVEEAIGGRYADSEALTSSKYGSLVQDATALLFSITSFRSVQEGSPSLCVPLPSSSRLFTRAFVSILPSPLDEPYRS >PPA08288 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig163:238192:240913:1 gene:PPA08288 transcript:PPA08288 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVWYYMRNRNMKERCPRRPWDKRKNITMGEEPLPKKPRKEKEESRKESRDSREDSKSECALGETG >PPA08260 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:37068:38756:1 gene:PPA08260 transcript:PPA08260 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKTSTSKDVSDDEGGEEYTVERILDKRIKDGAVEYLIKWEGFSLNESTWENVNNASCPELIEEFERKRMESSQPKKRKSEVAMPKPRDDHERDLKGAEVVGMTTGKGGEKVILAMTTGKTGEKVRYHFSVEFGLKPIITEPKPFFVVRFADFSATLMPVKEGHSRIPQETVGAHIAGTP >PPA08273 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:106475:109361:1 gene:PPA08273 transcript:PPA08273 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHRPEWQALQRHSRLPEGWIHSDTGLVEVEQIKREAQYYCLQDLVHHCQTWLEAHPRFDLELPLIHRVPTVYTRQDAEALIKQSNRPVIKLFINRHNNKYSYTINSDDNILKNQELFDRLVCKFHDRILFVKDVGSESTECSNTGRFSSIIHHYQTVCQWKFYGKRILRAEVCCTSIVYATDKKHTKVEFPDSKIYDEAMSILCFEDRGLCARCGENSCLSRSPSTFEPSLAIYSNIHAEDPAKIDRD >PPA08297 pep:known supercontig:P_pacificus-5.0:Ppa_Contig163:316453:323893:-1 gene:PPA08297 transcript:PPA08297 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKEKYGSQMEWLSRWASNVDEPIATVTKKRLFAGLAATVTLWILITVIRGHERMGGEYGSYLSHFDDYDGQGCPAVPLPERIADLRRILRSVRSEVVDSSARLDAIIKQYEEISHQIPEKQNQLAQVQAEIESAKSLLRDLNDRRNVRVSLPSRPLLPVSSLIHSRKEQTGSIDDAVDYSRCSLTTPLRVFLYPTARGHPLYEAIRESAYYDPNPSTACLFAVVLTEQGNTSLKDFPYWSERGANHVVLNVMGTPIRVNGTSGAQLVQTEFEQGGSGASIRITTGIAPVLTFTFRRSLDVYLPLTPSTMRRRTANDWKTLPRLLPYSRKYLLTLVVRDKWPTFDRDRANIDRSMRQSEDAMEVVECGEESCEEKMRGEFTVMECGKGDFEEKMRAAFSASHFAVLTSPSHLVKDLLRALRQDGRNTRGDADGRAAAAGWLLFSKT >PPA08300 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1630:621:929:-1 gene:PPA08300 transcript:PPA08300 gene_biotype:protein_coding transcript_biotype:protein_coding MNIALWGDEQPEDGDEVGSTRATILLQTKLIEISDLCLYHLLRRKYTVSLCCGEFHWTASRKAREMEDILTTRQFGMRSHRVGLE >PPA08301 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1631:124:940:1 gene:PPA08301 transcript:PPA08301 gene_biotype:protein_coding transcript_biotype:protein_coding KAAAEALNESDAQIDGTLPAQVVKSLESSGSLSEDAKTLAEEWAASELPKENKDAESLLDKGRSAIAAATNSKNSLKDEWGKFDDYHDRVVGLRETSVTADERSSAARKQGQKLAEKAGDIEKRADKLLNSTGSGIRDEINK >PPA08302 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1633:82:1017:-1 gene:PPA08302 transcript:PPA08302 gene_biotype:protein_coding transcript_biotype:protein_coding DPRRLFEGNALLRRLVRIGVFVEDRMKPDYVLGLRTEDYLERRLQSQVFKLGLAKSIHHARVLIRQKDIRYCPSPFGGGRPGRVKRRNAKRGGGDDAGSDADE >PPA08303 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1635:147:805:-1 gene:PPA08303 transcript:PPA08303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ncl-1 MRVIIFDMYGNILQKFSCSRYLEFPNGVCTNDKGEILISDNRAHCIKVFSYEGQFIRQIGGEGVTNYPIGVGITATGEVVVADNHNNFNLTVFGQDGQMIGALESKVKHAQCFDVALGDEGSVVLASKDYRLYLYRFQNGAGLLQ >PPA08305 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1636:51:585:-1 gene:PPA08305 transcript:PPA08305 gene_biotype:protein_coding transcript_biotype:protein_coding MCDEEVAALVVDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQSKRGILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQ >PPA08306 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1638:145:458:-1 gene:PPA08306 transcript:PPA08306 gene_biotype:protein_coding transcript_biotype:protein_coding CIDRRLRRMAAAEAAGGNEIIQDEDDDDDEDGEAGEGADPNQRDVQYSNSRMSFGRRNKPKRNFIMPSLRQAIPQDSRYVEAISEGEW >PPA08307 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1639:308:760:-1 gene:PPA08307 transcript:PPA08307 gene_biotype:protein_coding transcript_biotype:protein_coding TEGHRGVRERAHGHPLLPAPDLRPVWNGIHARLRCLPRTDHDGEGVHAVCDCRRPDLARGAGPDVLLRQ >PPA08325 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig164:170960:179630:1 gene:PPA08325 transcript:PPA08325 gene_biotype:protein_coding transcript_biotype:protein_coding MRRANTRAQLIAPSESYSSRDDLLDSGDSHAPSRSTVREIPVLRAPSAAASSVLDAYAAQQPPSASLASYHHRDPSGDRDMHDEYYRREVLTRTIITRSTEQLSNPLRASSPVERYITYNPADDTREIREVEYRVNRVRDIEEEERRIHEDRARREKEEADMRRLNDEYRREQERIEREAMEKLRRNRELKEREFESSRYEREKAELDRIERDRHAAPDKFRFCSDRELLEQQRRDEWEKREQERRALENGDLERRRQIERERLERERQIEEQLERERIQQEKDRLEREKQERERKERERLEWERIERERIERPTQPKKDHRSILAHFSERIEIERIERIKKEKMERERKEKEREREEAERLKREREELERLERERRELEIRERELLAIAQREAAERERERLEDEERERRRRAEEAREAAILEQKRRELDEKERLRRQQEQEEKDRLERLRAEQERREWEKQEYERRERERQEEERRELELIEAQKRARDARERERTEDEKREWERQEEERRERERREKERREAMERERLRQIEEAKERDRVLALEKIAQERRYHRDRELARERELAELEARTNELKDLERKQQERRRLEREAEEYELEQIRRQEAENRAIRDRERQQAAEREAARRLDDRRSRDKLDHIIREKTEKEKMEEAKRQLLADKEWRDRRRHDPFSKENLERLTRKPYYSRENLSQPEVITKVERQVIERVDRNLWVDDRMIPTSYTTSSYAIEGPDDYNRGRIFSRNDGGSARTSSRLLRSRLDQARKDFLSGGGAPETSSGMDV >PPA08329 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig164:194531:198004:1 gene:PPA08329 transcript:PPA08329 gene_biotype:protein_coding transcript_biotype:protein_coding MLISILAAIFVFAESRVTFPYSEVLQVNDLVNLQASFNCGSGCKVYVDRPDDALYISSNGNFVANFNEIVGNTTWSPDGIVLPAGKSYKLQSRTSPVADFVFYVVGSGAPYYGTPVYAPQQNKGVAANFQSRYFTVLSRANAIEFSAISGSYPFGYPQIFNTGYDAVSDARCLPVYTARSQYSAEQSYPMIFSPIITFDFGFVGKHAVTAIQKDGKNVFKSNVSSAVYMSPGYVGCSMVGNQLYYSNVKTVQDGFKIVANSLDINGHYTSLAANEAVKLRVNGYALDFSGTSTMKKSFGYGTQDIRDPCSSLAFAPESCRTWPYPCTRRDCPMTTESLPPQDQLLTVQWLPRAVLHHFLFSSHL >PPA08358 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:377395:378112:1 gene:PPA08358 transcript:PPA08358 gene_biotype:protein_coding transcript_biotype:protein_coding MDGREFKIVTEIFNREEETLRRKRDAHEAVTDARYLRAVNEANEAAAKDIEAGQAKMAATAAWDAAVAAGNIFGPQLRELVRRTRLKALWEQRRAEADNSCDHAAFLHRISTRTLNFTLKI >PPA08326 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:184405:186419:1 gene:PPA08326 transcript:PPA08326 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTPDFSLPQGDHLYEGNHDGPSIYTHNYMLMDQVIKRPEHAENLLKEEKLFVRCSYCHRTRELATARLQYVSCKHCYTYYCSRHCRQNDWSKHGGRCSFARINTLCKDVIMKVRGDTEAQWWMSRVAREGYSKRGRGSVNIRLASPQLAQAYVNRGWPALANYQPESLLYYYTIAALVQSLERKDPSLVMLCKKYDPTDKFILSVSIIADVEHCPSTPPPETTEWPAPGSGPGHLAVSGPNQTNLTVSSADSAPQYRSQTIPVIDMRTLVPTDV >PPA08331 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:201872:204106:1 gene:PPA08331 transcript:PPA08331 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGDYVLENRGDKNPEFVFYVVDTKARILVGSSAYSISYGRGTFAVSLSWIRQTPGSNWAMQLDFGNEIMDMSGRYDDHPWYNGENEEDEESYTPSQFPPTPAVFRRFFSIH >PPA08340 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:243721:244874:-1 gene:PPA08340 transcript:PPA08340 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNGKKEKDEKRQRPHCKTIDATVMQALFSSNSEKTIKLKSYNGRYLSEGWNMYLQVTLKSNRGKYISHGYFDRAKPVDIADAWEMLIPKNNTDGSWSFVSRYGKWLSAHSSDNVVEFMPSNLGCEHWWIETV >PPA08338 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:233147:238513:-1 gene:PPA08338 transcript:PPA08338 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRATSTRRLPDLIRKDPIDFDSCEAYHVGELHANEWKQRHRNASGIFRPDPFGINDYFVDYSLNKKQRWTINEDIAEMIGEVQDEHFNIRVSASVHSIRKQKKAAQDMSPATFSRGDLEYHTATRDGEIVHKQSKLGRSRLRNFTHDAQPPRRVNGKMSHPAYSERHDRSESLLPVEKGVEHQKLHIHYTTQIYASAQYLHDDAIIKKKKVLSKGRKFVNLIDTSDIEDEEDYDDFDVTVEEPFPIPTVVDDLSALIVKKEKRKRAVKKTPTKRFVDEEMKPCFVTTSTKTAVVAGELEDSEIAQPSTSRVSLPSEMFTRVSFSSANLDSATRLPCYPPSWDVHHKDSLRVLGTSLPSCVIARKCGDELRLSIVDDCPSSHPSRLHSLPPSAEEVAPEIDDVITVEGEWCEICWGAIDWECEDRLAHPFALSCSHLFCSGCWIHHISQSMRLQKLPTPCLHPDCSNTVSIQAAKGLLSSSSLETYESATINNLKAVGRLIQCPECARPLYTEGSLHISCPCGASLCSHCSSIAHSPFNCEVFEQYENFMVKSGFDPVYSTHSSLPIIRDLVKCPKCEVLMQRSVGCNHMTCVCGQSFCYVCGSDLADHKVCTQRKFTITMLNVITPSNVRFQFRSQLHRAINARIELIERRKVLRQRLFGLPVQEKKLYERTFLQLSLFCELCGLGYFNERKSRREMVKRVRSWLDDFLFTDDKQDISKKGRALKEFHDRVVV >PPA08328 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:191587:193920:1 gene:PPA08328 transcript:PPA08328 gene_biotype:protein_coding transcript_biotype:protein_coding MHSWFSFNTVIGDNSFAPKGIVLKAGKNYKIESANAVTGDFVFYAVSIKAPNYGHSVYVPQETIGITMNGVDRYFTILSSFNAIRWAAFNGTFSAGYPRIYSTGYDAAVDEGCTPVYQARSQYNAEQSQPLVFSPIQTVDFGDAVFAVGDHSVIANQKDGKTAPLHNSVSSTVYMSPGFVGCTTVGKLVYYSSVKSVQDSFKLDADSLDYLVTYNAVSPDEPIHIRVNDDVVDIMYFGASSFTKHYPKGTLDFEVSWTRVTEGSSWALQLDFGTVPDTERPTIYRQRPKAEEI >PPA08354 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:363165:363887:-1 gene:PPA08354 transcript:PPA08354 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTKEVGDKEEAKVEEPQTDTEPAPAPPAKPAIRVETARDEEIEDVDDVDAQSFDSRGSISTISGSYYALLTADQRKVFHPFRTIRPPFRRRPRQHG >PPA08323 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:147434:148895:1 gene:PPA08323 transcript:PPA08323 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVEHRISEGLLISHTIVLSLTGRESMGNGEAARVDPAHFRVSTASRPLQLFVPSETSDREVRRLVNDIIN >PPA08333 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:210287:211565:1 gene:PPA08333 transcript:PPA08333 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEKNHRKFRNLWTCTNSATIRKEIIADDTSERFVWNRKGTDFEDWEKRASSSCTLHRPDPFGIQSCFLDYSLNKKKRWEIPGEFGERIEDIQDPFRNLRVSSSLHTVDHSHNLFLDLYMGNPIADQPCNSLYDVSRDGSTVTKSHSKTGKRNTRLFPDVDDHKTNDKHRPLHIHYFAWEIADSCSLRKKDRSWDGISRRCPKYFNGLFEDDYVDYNTCN >PPA08336 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:223277:229329:-1 gene:PPA08336 transcript:PPA08336 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRIPPNTFTPRDVMERVGENRSSYRSECRENTRNEEMIQFINVDSQQSFSNSFKKFVEYFEEPVEDRAATYNVISLEVSGTEMVDLVQAPELVRQVDLASHWPDARKQRKVVFDKMDDEPTLFSFENKNPRVEHFCLMSASASYTGFHIDFHGSSVWYHVLKGEKVFFIIEPTDENIKKCTRVVLKAGNTLILPAGWIHAVFTPVDTLVFGGNFIHPRSLKMQMKIVQHENKLKLQKTEKYPQADEVFLHYMDYVVEKVTGRRHIRPTPRNRQHKGLMYVGEKYLEEKRHHIIPNERDFNTRKRNSLVNETIDECPEYEQWLRIMENYEEADFKTFEVDDFLISEDPRIFYHPEHFGVEATLKGGNPCGLPLSSSLRALKIDMDEDILGEVIHPSEIVQWEALLEVFLKKKRVNLPEGLTRPNSLVYSFFRLLNKRRAMEFEKDGLGEASYQVPERSVKLNERWNVKIVKHEQEEDSTANITDKNEKQIQDASSSLAEETAAQLALSLLFAKQQPPRQSTVNDKKKKNKPSKANTLKEEEASSAPSPTSTPKNAARRSQAVPKLLDLDTTMNAAESPSKFASPLPIPSGIGSRVQHMKSAPTAIKDASSKAASRRRSVAVVKQEMVDDEPDVKKARMSLTSSSQSTTPHGTTGFTAKNILQQQKPVPRLVDTPQRSAPFAAGSVIGIKPSALAPYSPSVPGVTKSPLTPTACPKPTTASSRSRRSAAIAAEQAIADTSKATDDVVDDDGEEVVLKRDPQIRDEADSDFDDDSEEEAEDEEDHDFKPDFHKREAQRVSGIKPSATVAVPAARPAAAVAPLRTSPVVNWTTQETDILMTICMKRLIAQRELNSTQERWLSTENIADVHRQLPHRTITNVKGDEMSGGLGWMRRAQEQNVSVNVPPVGTA >PPA08356 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:369764:370933:1 gene:PPA08356 transcript:PPA08356 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEPLYATTQNTATANSKQEEVVVEIGSAMKSPPPSCPKKKKKSSQPHRDYKKEEEVRRWNEAIARGNVYRPQMDRACERIVKDDETVDEVHADWGDPQKITD >PPA08353 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:357317:360727:-1 gene:PPA08353 transcript:PPA08353 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVCMVNSTAFPSTARSDLSSHPNEAAANAQPQQCQRTAQDMASQGYNGTLLWSPQMQSILFSATFYGSLATITVSGAIADKFGPKAILGGAASVYIIVTLATPFLSQHSYLAYFLSRLVMGLAEGFVFPCLGSMAGRWFPPNERSTMAAIYTSGNQLAASLSSVISAGLCASPLGWPAIFYLFGALGIMWLIGWCVVATNVPDENAFISVRETLYLREAIQRKRILLSPILCRQCWLGRMARGTPWKRLIFLLQQDPPHLIEMEPKQKTNEITLLCLVEMEGQLDWKRIAYWTCSGSRIWSFDSDRILVKELFCSSSEDQRWKEQMEDEQEKEGKRKKTNDHNQIGEKKDHGTMERREHE >PPA08351 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:354987:356125:1 gene:PPA08351 transcript:PPA08351 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mnat-1 MASSSGRVFTLARRCYSAVASSLPTSSALQNLSNSRQVGHWQGANRGDSRSVWVNLYKQLQREAGKLGQYNHRSFAHSRIRDDFEANRTVTEPVQQQKLLKARAHSQTGRISINPKYLSLFHEGQDALATIKRQVVIEQLYPHQNTFIEESLVDAKQLAMKECTKCKSNEYANKSLVMLINECAHPLCKYDAIGRI >PPA08310 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:26513:29413:1 gene:PPA08310 transcript:PPA08310 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGKRVKKEKKTVAIDVGSDDELYDEEDQFHNEDRKIGKGKIRQVHGKKVEEVLNVEGDDSEEEEEDDGNSDFGSDAEEVDPDDESILPSKEQWGRKRKDFYKSGYVDNDYGGVGSSDEEELAHLEELDAVSRQKQLDASTAVAAVQDDVWIDEDEEKESEKKKKTVKLSVEKEWSIQLAREKNEEMIEWIGEYNRTRNVCDSVIDELSEITSLLPKESAVRKQLLLVADTYAAYEEEIWF >PPA08359 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig164:379634:379945:-1 gene:PPA08359 transcript:PPA08359 gene_biotype:protein_coding transcript_biotype:protein_coding MESHGREKSTMVGRGKNPVLLRVYYKGAIPDHCLRARQTHLTEFYKFARQSKKDEEKIHYTIETDPITGA >PPA08346 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:288325:290941:1 gene:PPA08346 transcript:PPA08346 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSGVCSGSESLHGTQEIAINAIRAANDKLQNNAFEKNNIPDEDCSAEAMEAKLIMEEFSELFHNDRHECGRQKNSTSPPSFMQRVAKWIVTNDIETIEVEFEQLNFADFFSNLQLHISQQASAPMNPTIKENDDFSVEKVPITSDSESPLNEISSKENNENAKESKPGSWRPMPPYTTEEKEIILNQCRKWLTELQMTKPTRKPQNDMLCARENYEALAALLPHRSKSSIQTQEFGLKEKKGST >PPA08348 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:341784:345094:1 gene:PPA08348 transcript:PPA08348 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLYVLTLLVAVALASMKEFRDHGDCDKSRKVLMYIYEPLLNDCFAVRVNSCDEKAKGKHYSLAQCIHKIAETPRCANLSLPLKHSDGSSACNSNDVEARKCPKGAVCKGTICCDAQIETDYLMEKYVTSCDAGVKASRENGQILFSKNCSIDFCPEGAASVQRSAQGERISSESFDATEAEEKSDT >PPA08335 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig164:215877:219258:1 gene:PPA08335 transcript:PPA08335 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEKNRRRFHHYVCQTGPSYIRKDTIPFDSCEVYPIRTGWEHAQTRKTWEEAMAPFDNSAIFREDPFGVNDYAVNFSLNKKKRWEICEEIAGRIEEVQDPDKKLRVSASVHTHDVSQNGYWFSGTPRDLGCTEYHSVTREGEVAHRKSETGRTRLRTFSHPTPRPARSPSARAALRSRVRHFAHEDSDLPVEKGSQIQKVHVHYTTTKLTSLPSLHNKVSHRSWNDYWVPYGKEFKFARGTDFEDESGGLCRCMIHASEDYDDRVYDDMWAEEEEEVDDEDNKEYDVIDRKMADSDGIVVVDRSSLPIVVEDLSAFVENIRKIKNRK >PPA08308 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:314:18245:1 gene:PPA08308 transcript:PPA08308 gene_biotype:protein_coding transcript_biotype:protein_coding TQAYKSDAKWTSDRVERVNGTIKNIQKIIKLEREKVEDVEALVRNVVQLKISDDEVRKEKRGMERAARHEELKYKQAAAKHEELKVIVVKNSQPPPSSRVKQMTPAEEAEARRRLVDAKTLFPFPAPRRFMPDQQQNKTTTKALNESALHKSTLNHSLSSTGYPTTVVVVNSPLRAQLEERLLEVTKPRKAIQLPSLEKFAIRQESRPAALKEPPSPIAPAALAAPAAAAAKQPVSLFGGATAAKPAFRLAEPQATSTPKAAVQPPTTSAAAAAAAAAPAAATANLFGTTATPAPTAAAAAAAAAKDKEKVKESEKKEADPAAAAAAPAKQPATTTPSIFGGASTTPAAAAAVPLPLFDKLSATAAAAPAAATTTATPAAAAAAPEQDKAKDEKKADEAAATAAAPAAGAADSPAAAKPAISSIFGGGTATDASKPATSSIFGGGTTAPAAAADAAKPASIFGGGATAAAAAAAPAAAAPVTPIKSIFGTIPSSAPFGATGSSTPKSIFGGGVAAAPSPSSAFGAAAAAASAASPPAATSSSIFGGGVAQPQQQQAAASSPFGSAFGKPAAPSASSFSFKSAAAAASGESQQQQQASSGFGGQQSSSIFGGGVATGGFGAPAAAAPSTFGSVFGGGGAAKPAAASTLIVDLSGFAAAAAAAAADEGMDDGGSGGGATSAFGGGGFMGGLGSSTSANAGRNVFGGGFGATTATNTQASSIFGKPSTTTAFGAKPAFGGGTSAFGAASPQQTTSAFGASASPSTTAFGKPAFGSGPSFGAKSIFGGGTASPQQQQQPAASSAFGGGATGGGFAAFANQGGGFGSVAAQGQQQQQQTSSIFGGGVGQTQTSSIFGGGTTAGTTKVIEGLP >PPA08344 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig164:250153:254263:1 gene:PPA08344 transcript:PPA08344 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSISLVAFLSCTVHGRITFTKSEVLDNVDLKGTNTAKFRCAAGCRVYSPTKNANIVIVDSAGKEGAFSKSLTDLSTLQMGQSYELPPINGGYQLLNRGMADPAFLFYAVEKGAANYATGVVYVDAATTTTISAKTDSIVTVLSSSGAVHLSGWQGDFSTALPTVHTAPFDSIASCRAVYTASSPSTIANTAFPVYSPIATINFKKTGDGSVVVTGDYSSTASTVSGSSTVYSSLGYVGCNSVGDSLYTLLPRVFGIDSMTYLNDNGGLIVAVNGDYNIAQEAYAVQININGQESKLYGKNTISKTYDAVTNMKVIVSWTYHDGSTDRFALQIDVTAKDRWVVVLAPSDRK >PPA08324 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:157609:158642:1 gene:PPA08324 transcript:PPA08324 gene_biotype:protein_coding transcript_biotype:protein_coding MEESDYRSISSLSSVSDYRSPTSQLSPREHPNDHSIEDEEMEPTSHDRVDTSHTLTGSEADSSSPEVTIIEKTSETDANEGLLRGLAQLSVEVKI >PPA08350 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:349953:354781:-1 gene:PPA08350 transcript:PPA08350 gene_biotype:protein_coding transcript_biotype:protein_coding MRDARKVGSVPWRAMLRSTPIYACLSAQFAFNFTATIMQNGIYTTIPFISQLVTKNIISIVADKLKQKRILEPTQCAVLFQTISSFGAAISMICLATVPSCERPWLAAVFLALYGAFFSAAAPAGTGVLGVTGLSTSAKNFLSIVGVRWKSSSVISQNRKRILASFDKNPGQYEEPTFWLLPHEVAPMLDIEPNARDDDIVTPNRPDPSNPAGAAKQSLFYCRDCGSSFILYRNLLKHIEKGKHFIRPEHVKLLDRVLGLFMRAIEDTLVPEPLSPVSEVVKAFKRASDPELPQGWAIKHGRKVGRYSEATKAFVKAKFDKYAKRGAKLKADEAERLMRADQFIEPKDWMTKSQLRNYINSLKSQLPKMRAWRRQVEHEDMDDEHFEVEVEPSDEDIVITEEDFYRHLTPTMLKKFFSDVDFFLSSLKPWNNDFGGHDCGKYRECSRAAMKTRYTWPIVFFTGGLLNVVAGIIFYLFGDATVQNWAKEGYGQEKAPPLTPSAIHSVEKAIEDAQINPNTEERRPRFTLNV >PPA08317 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig164:103522:104804:1 gene:PPA08317 transcript:PPA08317 gene_biotype:protein_coding transcript_biotype:protein_coding MSALCLLPAGPVKQWDEMRCVAGSGMGEVRLWGTDGASSSTTECGRIVSGLYCYFNPDSYAN >PPA08315 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:88412:95029:-1 gene:PPA08315 transcript:PPA08315 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRVAAAISRMRAISSTSAVASFCTSCRRTFACQLGCRCFSAFSALAAASAAAVAAAAAAAAAAESAAGAGVAAGVPAGFVFGAQMGVAASRCSSCAVSGCAAAAGAAAAGGVAAGAAAAVPGIFLNAFLLYLIKRFSRKDLGAYKYLLGIFALYDIILIIMHNLIDLKAVITEHFFGVISVNFLNTSKFTSIYGACFMVPFSLLNIHFLYRYWVIKYPYRVAFFSRPKFIVLLVFCISIITFFWYCICEYGLDTTEPGYLEARLELAAEFNLSYIDGWFVFQHDGEISLRTVATLLTYDAVMVVMSMTSVSLALLTYLQIQREKTLSFNDRHSQLRILFAVCIQTLVPFIFVYAPYLSFFNLPLLGFRAPTIAADIAPYVHSVFPMLDALVIILLMKDYRFGAVVDWAKRFRNGARFILFGRKEEQVVSIASQVSAINSQPSLSRTDE >PPA08332 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:205951:210037:1 gene:PPA08332 transcript:PPA08332 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSIFLAVFCSSLINARVTFTTSEVLDNVDLKGPTGTTATFRCYAGCKVYSPTRNANIVIIDSDGKQYKSLIDLADLKTGDFYELPESANLYKLQNKGAADPSFVFWAVEKGATNYNAKVLYVTSGSQINVSPKTDSLLTVMSSSGAVRFHDFKGDFTGALPSVFATPADSISNAQCRAVYEAINAPSVPNTTFPVYSPIATINFKKAGEGKSVIISGEPYVQTSTGQDASAVYVSPGYVGCKNVGDSLYTSLSLITSFDSSFKVTDSNGLSVICNGDYTIANQADAITLTVNNDVQKLYGSKSDYAKIYSANSFQIGVKWEKKEGSTDRFAMQIDVMSTKEAGDIQTTTKTVE >PPA08337 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:229865:232121:-1 gene:PPA08337 transcript:PPA08337 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSERLDMIETWRKKAYQNSHSDLLCRLQTGLFEIMQAMISTDPHSQSMQMRIQALSMECKSAVREDQTIENDEERALHYGMLTSVCQLFTFLSREGENGLSSPQSNMQNESSHSPLDTDIFNEVMESAARHAQKKKEVIARRNTFNANPKDLVKKKLMKTVNFDQSLLTSSKYDHKGVFDTLEPQEFTMEYYQSEGIEKVLIFNCKPEEIGMKNF >PPA08352 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:356304:357011:1 gene:PPA08352 transcript:PPA08352 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNQCRSASKKFIDILKIVACTCGEVFTTTNLPLIANCGHYVCASYKNDAKPFECSVKADSNSLFIDKVFEDDVII >PPA08347 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:336281:337597:-1 gene:PPA08347 transcript:PPA08347 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEQSDLPGPSNVDTDKLEVTYNPSRPESSFSPLSNSSQAPLILSGTDNQTDNLYTDSPRLSKSSPGYGDEYEARLLSMWCASLRVSEDAYKKYSIEYALALARDTNVTYEELDGYWGKEYNKVCSLSRQRSRREMLLYPKSVHCLVPLSIAIKPFTLIRPLMLIERSSLQKGSFTTLSKSIHRYLVRGMSVRVK >PPA08342 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:245833:246380:-1 gene:PPA08342 transcript:PPA08342 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLSNGGRRMLKAWNGKYLAASTNKCYTFHKSLNEDKYWTFERINDNEVALRSSQGRYIKHDLLGSSGIASEAREWEILTPVKNVDDGTWSFKSRWNKWMSAHREDNGNFDRPYVVEFMPANMRCEHWRLESW >PPA08355 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig164:366247:368665:1 gene:PPA08355 transcript:PPA08355 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEEEKAEEAEVVDVDPDTTEPDKERKADALKKSSGGSSSERMEEKTCQDMGIDAEEADTQEETVKDTDKEARTATSTKGATTAGPWPVGAKMGKLPQPSQHTTRSSVFDVVVESTKKDDVPSEFELDSVKRLQKSLLLACSLREDHPQVHRHIVQVRPLTLPDHRREESPLVAEEKAAQPLIYFVTFVL >PPA08320 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:132053:137202:1 gene:PPA08320 transcript:PPA08320 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPFGRGSFICCDGGVQFGADQVPEQWQKVCSEAAVRRQLLAQLRDTKKNLAELMGGAEIICPGARGLGQTLQQLQTLTMRLMHNTECDSYDVLAMLGDLPAIPKRYYGKAFGDQNVLSGQLCMEYVGGAREMHFYEAATLEQMKQIARALGQLQAASIKLSHQQTGENSIRSGVTSDSIRTKDVFAEYNKNNPKKKYLRTLGPLKKLEPSLTEQVEKVETLLDVYYGSTLPSTIHTQLGLPAVLVHGDVRTENVLVDKHTGDLRAIIDWQCAHFGVGVEDLLRASFFSQTAEDRRASASTLIEEMYNAFVNNLGNMPAPYTLQQCNDIYSLLFPHCALYFAIHGLSVVMPIGLSFKVLI >PPA08345 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:283860:284853:1 gene:PPA08345 transcript:PPA08345 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAAAVWEANDWEMLTPVKNGDGTWSFQARDGKWLSAKSQSGGVHFMPANLRCERWILEPWY >PPA08314 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:76850:87407:1 gene:PPA08314 transcript:PPA08314 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-npp-14 MPLDFEFHALRKVRLTSTALTGDTSRRLAISSSYGIGIAIVAANRLLSFYTDDVHAQKADRTNINEEVTGVRTRTTNYFDEKASLVAVSCNCDGTLMGVLADTPAGPMVHVFDAAAFSLDYQGHISPLTTVRVSAEPGATARCFEWSPAAADTLVAAASDRSLITVAVKAEILHSQSASYTMVGEKRLGAAVRAMSWSRKGKQLVVGDDLGKVVQMKPELDVLGEKLVKVELDAIVRSTMPPETCTIASPAVVGLCWMTTTEFLVVYGNQAAKDVQATIMITKKDKPITWTELADLAYGSPHSALSPVVTSLCLLEWNALLTTNTKSSEVSMVGKTGEVWQVWVPDELHQASLPTNAATAETFPIGAELDFSASEQVVLSDDHQTRVAASPILFVLTTDGLLMGYHTVNLNQGALSVQEIEELEYSQCASVIEELEYSQCASVIEELEYSQCASVIEELEYSQCASVIEELEYSQCASVIEELEYSQCASVSHYGIAETEM >PPA08343 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:247173:247682:-1 gene:PPA08343 transcript:PPA08343 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLFGPNGGKRPLKSYNGLYLTEDMAHRRAITRGWATWRKVALRSSEGKFISHDYFAAAKLKDRVNEWELLTPVKNDDGTWSFKMAECQ >PPA08309 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:24630:26122:-1 gene:PPA08309 transcript:PPA08309 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHDYETHSISHLNDFINGATVANVLRAARLSRNEQKEKECMRFLRAIVAPKLVGESAYWEIGKEFDIDFIKERSATLFMFSSIASAMVTGQRVYSFRRQPNCKFVELLDSSHPRSPVGLTAITDRSILRALRWAYKKNNLLVLKEYEHFLCAYPGRLLFNEYQILHMANRFDFPDIRTGILMKIRTIEDIDAFKRDENYESQLSMVDKELFDIRADNIRKVLEKGIELTEPEQTELFTYDVRNGCSALSSCATRYVELSDKEKEDILEVEDNVYRGGFNAVVTDIIF >PPA08316 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:100633:103299:1 gene:PPA08316 transcript:PPA08316 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRYVHQLNNITCVGPHLEVYSLQRASGAYRLLSRTTVFGHCGRPVMGVRRIAGSDAFVVTGSSLLCILKEEELMDAAFPSSRIHSFSDEIVTIAYAEWKETQYEFALVLRSGRTLRVTASVDAAANRLRVDPPVAEYDGAKCGLLMSRDFVRDAQKRKWFWLHKIANDDNWKWSRSVIEWFPTRKRRRGRPMTRWSDIFRKTVGPNFLNEARKASWNAMHIRALT >PPA08357 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:372528:376872:-1 gene:PPA08357 transcript:PPA08357 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIVHDREIGTDPVKSTMGTFGRRRGPMNLASLTVRTSATPSCPTRDLWTRCSWMRNLARRLPSVRPEEAAEEACEEACVTVEVVVDLAETASRLSTFLSLLDFTHCLCSTHPIDDLDIPEEQDKCDELHAMNVECAGRCSAGEKCTNSRLYHDQCARLELFRHANPVIGKAVRTKQDIANFRSLHPKGALVEGSQSTRNQLVAEFRGKWYTENYFKGIVRRYINIVYWHGHFQLNSILALSTLLATHCWALDGASTTRLFYTRDRIFLAQ >PPA08330 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:198479:201293:1 gene:PPA08330 transcript:PPA08330 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSIVAFFMMILNLADARVTFPYAEVLQAPDLDANGKAGFACKNGCKIYVDVKNTFLKITQNGNIITDFNAIVAGSPFAPTGWRLEEPADNYMIENRGEANPKFVFYVVDFQAPNYGSHVYVPQDTVGVTINSEDRYATILSSYESLWFFSFNGNFLDGYPRLYATGFDSATDVDCHPVYQARSKYNAENTWPTLATAVITVDFGFAAVHNVSVFQDKAKEPVKGAGLSTVYTSPGYVGCSFNAGQNYYSTLTQVQERFTLAAERLDIDAAYSNVAGQEGVQFNVNNHKTLFVGTDTYHEHYDKDTYEVLVSWVRGTPQSSFAIQLDFGSANDPLISTTTKIDRTLTILPSFVIVILTFLRV >PPA08313 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:74477:75362:-1 gene:PPA08313 transcript:PPA08313 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKKSAPWAPGRGRNVNRPINAEAASSDDHLFAPADECDIVYGAGRNNDDVDIDDDVDIEDNLSGKFIVEQKPEGAATTAPASASDADSSCSDADPSASTADPSASDADAPPASPPSSIASRVKARHAAKREEK >PPA08322 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:139405:142857:1 gene:PPA08322 transcript:PPA08322 gene_biotype:protein_coding transcript_biotype:protein_coding MKCGKVVKLWMHVHKPKPGDDEEKRRKYAVIVNKARGVLEDIVAYDEKNKTSKVFLCSLKTTSIRPIANHPLHFSIRHLEEGKIASVTVLQNEKPVGMAHIRYSSAADLLDPSPFLFLDYISAPDCYALSDFPLEIRPVESPVRPLFKKNRSSHWLRFKQPLHGDLQPKDGLAVALFISDFAVLRIAGLMLKAAGKSPLDWFLVVVDCEIISSDRALVEAKIFNESRKCVLSVIQEGCIVRSKEGKTKL >PPA08318 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:105812:114098:1 gene:PPA08318 transcript:PPA08318 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDEGDDAREGGAATVIAVTENRAINLWRIRYREPDWLQLLQKEEAAHSVRPSAVAYCSRTRTAVSAAEDGVRAPQRLTNNFPQELCVWAMGDARFSLLRRFQTRGGAVRSLSVDGEGRILYGSVSGSLLALPLDILMAEPERSCDRTPIRTFAVVHHHEKWDESIAPGTRLTELQCLGEDGSAMEVTGERAAEWVDNEGSFLYLTSWTDGDSAITVFHNDTLLRMSSYHPPDHRVYDTGYTVLSDSARRSAILAAIPTMSRSKEGAEPYLVVQSTDGEIALIRECFGVVRAWRYRIRDEEAYGSPVQGAGKRRRRNQMLIVNAVAIDRSDDTVPEGESVLDFALDKDLAGEGMIMYPRSARLAKTSITQLEYCRRRGECTALSKNGWMLRMRVVDGVLSVAEEDVSRELGVEWPVRLERRGEEEYVIGFNGEKRDTSECVIGLLMRNLVVVSRRLKTCLLTIDCGGKSTAVISSGHRQVHVDLYGSEEREEGYGSLEYGSEEGGVERITVYYVQNQGIIRHSQRALTAGMRQLAWTPHRDKIVFCTGVDRYLLTGSIDGEIVLGSRDYDSLSVRQRWYVPETTVACVARPDKHTYPPTSILLVALIGAI >PPA08339 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig164:242716:243205:-1 gene:PPA08339 transcript:PPA08339 gene_biotype:protein_coding transcript_biotype:protein_coding MQALFGSNSERRVIKLKSYNGRYLSEDIRINYHLGKDEVYGFQTVAGPPGIHERWTITQVNDKEVTLKSNEGQYISHAHFDEAKPAYKADAWEMLIPKNNTDGSWSFVSRYGKWLSAHRKDGVVEFMPSNLGCEHWWIEAL >PPA08349 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:347111:348625:-1 gene:PPA08349 transcript:PPA08349 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTDDFSAPPSLSLPAAFSRGALYSPTINTCTEPKEVFLKKTEGCLVRDNITAEFGDDPCIDEIVPAACRPQGAPICEKTADKQLIIRAITADGKRITLDNDRQSRLMAHNGMWTFTFDDGYGSQLFVPVVAAVCASAGSTKCACAPLPLLPVANAVPARIDTLGPCADPTHRLCERVDYMSLAPSAKTDLATALAGGLWRISNACQMIRMDGIPQCMSQYATSAFGVTGLACASLPEP >PPA08311 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:62607:65148:1 gene:PPA08311 transcript:PPA08311 gene_biotype:protein_coding transcript_biotype:protein_coding MILENYVGKRVNEEAREALSQLRRAKEQTVSTLRLSDLDWEDCLPLREVRHRNAEAPTFKVLDAVQRLEKAPEKMTYPLSCPMYIIHPRPV >PPA08319 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:124062:129793:1 gene:PPA08319 transcript:PPA08319 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSPRTIKGGATVARRWLSMQEANAALRPFYFAVHPDRFASMPDVRDRNEKALKIFNGYLNDLFPRPMLSSSKPIQVVFSIKDKAAGTLRDVNISLQGNDPVHIVRHALESCKLSTAHFKATPKPPAGNAGMAATGSTSSMSMNEAASFYWGEYMKRREGTQDTASILKKRREEAIEKTKQAETFRLTLKDEIEDLKWRTGCAAVVWQMEWAESHMRRCLTTLHRLLDNASKEDRDTMVTILLKNTIREFISVFISRSERFALASLPRMDPPPPVLATQESVDVLTKLVKSLHVKLDKLFSSSATVPAVHPVSTNPTTTYASVVRAIADSDKIKAKSQRAVLVGSTEKKTPEETQEHDELILKEIILATHDKELKEAYDSGSITHDRFPSNKPPGRRIVKYSLPSTKLRDKLLAGIRTIGKPSSFEPNITQAKYLCWMSQVWCSGLRTYPIPWSLVPPSAQWILQAN >PPA08321 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig164:137976:138682:-1 gene:PPA08321 transcript:PPA08321 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTAVRSFAKAIETEESAREALEQSEKQGRRRRGRSKDVSVKEGRASRMNSDIQAVAQNFFFEKRAEGKRASPAECSELIRTMMNEKKDYFRFPLAICPSEKSLTSTFGTWEEKRLRPIASASKGRGRPKKAVSTNGPNSKTLKRSIEEVDDCVVGGTEAEAGKDFNTCDLITNVINDKEGNDDEEEDETILVNRQDDEEIMMCMVEEEFNNIFYH >PPA08341 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig164:244948:245445:-1 gene:PPA08341 transcript:PPA08341 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLGPNGGKRILKAWDGRYLSEGRMRKDGLIFAKTVRGPPGDKEHWIIERVTFKARNGQYLRHWWHDLANLAKAVDSWEKWTPVKNADGSWSFKSGYRKWLSAHRPLNPVTNDGKVMVMPENKGCEHWRLESW >PPA08327 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig164:187776:190924:-1 gene:PPA08327 transcript:PPA08327 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTPLREIQRLSSFVVVSAVLTVLTGHEHEVVDAIVVLDVDEDVTVDDSGSSVVASDVVDCSSRTVQKLGMVSSWSLHSTCSLTGQTAESAHEMKRLYDRDLPPRTLKSLNAWWDSYSCLLATGNRLRMYAASDSLDQLSVSASFPDAVTVISNGITILVSASDHVDRFIANCSSFSRSDRKTLRQYGEKGRQLFVKMLDGKTGTFDVKFNDTVEMMKGMIEEREGIPSDSPRLIFAGKQFEDFKTLSSFGVKEQNTLHLVLRLKGC >PPA08334 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:212874:213322:1 gene:PPA08334 transcript:PPA08334 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHLKLHFASDSQKYFARQERKSLKQGPGSKGKTVGYNGLRKEIRYYTRLTQPFKEAVDRSVLIENLMLEMGNANPSNSSISALGRAGEMCSNNELVRDNTPQNPTPKSSPEPEYHLLPPYRP >PPA08312 pep:known supercontig:P_pacificus-5.0:Ppa_Contig164:66539:70860:-1 gene:PPA08312 transcript:PPA08312 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPAGPWQSDITDSTIFRVYRVHFMLTLINWALGTGTFIVVALSLERFVSIVFPMHFRQWNSPNRAAKAILISYIVPLFLYIPYGYTRYTGVERFDNVTNTTRWSAIDDEISKSAGWNVYKWCREVALRFAPIVILSLLNVKNCRKEHTNTKDDTLIYMLAGTSVMFFICNIPAALNLLFIDEVRKRSFDYQIFRAVANILEITNHASQLNNAKTIDSRSIPRLHEQPFYVFCACSSDYRTTFLLKFPCFKKAYSRGTLRTIVKRTQSIITRDRPTTSDELINATGQKAGGAGGPPLPGQVRVKDTDTVDVALASCEEMEQRSDERESEQALLRHQIITSPHASGTSTFL >PPA08391 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:200507:202012:-1 gene:PPA08391 transcript:PPA08391 gene_biotype:protein_coding transcript_biotype:protein_coding MNTARDYRSVLLHYFLLGRTGEKKSHRKLVQTHGENAPSLHTCFNWFTRFKRGDYNLEHQPHPGRPSSRVRGRVLRELKANPKSSVRDIEKTIHIPKTTVARILHDAGKTPKFPLGGASYPWVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVRVPPKLLSEDKILVLMDNARPHHAKITQKKMDELEMEWLPHPPYSPDLSPCDYHCFRSLSNFCRGKKFKNRDALVKEFEAWINSKPQAFWKRGIETLPDRWRQVVTTKGAYIDY >PPA08372 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig165:112336:113496:1 gene:PPA08372 transcript:PPA08372 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDDTLFQLFKLCAADFMITLSSDHTNGEVSEDFRIVLDGMSIIRDATSRLVVTEKIIQKCAAAFMNDICSDIENDFITSEDRDVLDDCDL >PPA08384 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:171378:172526:-1 gene:PPA08384 transcript:PPA08384 gene_biotype:protein_coding transcript_biotype:protein_coding MLREFLLGFFLFSTSLSLNCYKGVHRKAPEGGKDLTMVACPEHQGEELCCTKHWTNSIPGVYGCRRRCPTFKGNEWCTSNTCYCKEN >PPA08390 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:193307:196439:-1 gene:PPA08390 transcript:PPA08390 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTCEGMHGVLRREALTITGSVGQGNRDPVFTSTPAAGVTQSSMNPSSYSKFFVRLSRFASSKTRFWKKKR >PPA08393 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:208235:209264:-1 gene:PPA08393 transcript:PPA08393 gene_biotype:protein_coding transcript_biotype:protein_coding MNTEEEKVSTEPTPEKEDPSAIPAEKTEQVTPTGEVPAEKADSDTDAAEKKEDKEPAEAVEPGDEEEERFGTPEEGEGGGEGVEEREGEAGDLEGGAVDELTLDEDQDIESPAYIPKSGKFYMHDSREDTGAEAPLEERRTRADGQWSRDRYDESGLDLQISTTTSTTGFDSEVRLRHSTRRDEGNGMD >PPA08396 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig165:233812:234633:1 gene:PPA08396 transcript:PPA08396 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGREALSLEPLSGASGPWSGPPQFSDDLLDRLNQDTADSPTGPADLSMRRGTLNAMSAGKIGYTRGTSGI >PPA08399 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:249762:252758:1 gene:PPA08399 transcript:PPA08399 gene_biotype:protein_coding transcript_biotype:protein_coding MDKWVLVFRDLSMRARKRFPTLDTVVAAGFMTEHEKERFDEIQYRFSKYWLPIQWALATAYNARREGYIESDYYTEFLSQEIKQFRTDIAWLCNYDWVPLPLIYPTIVCLLVHAYFFVCVFARQFTGEKIDLIFPFMTSIQFILYMGWLKVGEQLLNPWGEDDDDFETNMLVDRNLAGGGGYELSSDDEDSFYSLFTGPRRIGHFMDEKKPL >PPA08386 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:178314:180644:1 gene:PPA08386 transcript:PPA08386 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQPYELIAYKLVPIELLIRKRVFVDLTDFDYEMKKLWMFQDKVYALEYIKALPIYNQLDDPSKKVLLASALVCTNLTASFYSYTHHSDRVAYPDGSVMTWDSKIHKQSPGSTRLYTGIISAIREAELDTREYTLLKSIIICNPLLEGLHPYDIKLLQDEKERCAKALLSYVLTRRGTEEGPACYAKILSIVDVVTRLTNWQKSQCLLLFSLDLFKNRTPFAERMYLSL >PPA08366 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:76211:78083:1 gene:PPA08366 transcript:PPA08366 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIQQSNMTIRVPQSLTPTSPVRTTTTTRPLPVPVPLEPSPKGPISYAAVAAKSPPPKSPTSLTSAIKRNVTEPAKVASKTSKKREEIDLDRMTKRNGGKLMHCEYSAKMQKFNSRRKAEVEKMMALHEKYNRFLEKNVMDLHYLPCTLAKEAFSERLTEIKAGKRPNEIYVVTGRGVHTEDKNPVIKRCVKGIVDKMMFKCEEMAGNAGVLIINCTKIRNLPMRSQVFLYCFALCNT >PPA08389 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:192504:195166:1 gene:PPA08389 transcript:PPA08389 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHSAEHAAQTLIPGEDDTVPIHITEPSRTFAETTCSLLLMCSAMLLLHALPRLLGDFIVGTIAPLGAVFCYHWLAVHSYKLSKKCNLVFDEANLLNLTKNFEYELGFIDDCVTPAAGVDVKIEIPKPRTLWEALFFDDRAYKMEEKKTKQKKKKKKKKK >PPA08405 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:284674:287630:1 gene:PPA08405 transcript:PPA08405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-epac-1 MAGLAEKMLEYLLETRIDAQEEDVTSVNPTLQDFLLTHPIYMPTNILCNSLKKYYTRHPMVTSIFPSTDVVIQEDTEQILTAKRRVVTFVALWVKTLGLHYFLDPSANSFIEELYCCVLEDAQTLPGMSPVLSRMKSCREARDFKN >PPA08398 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:241538:247544:1 gene:PPA08398 transcript:PPA08398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acy-4 MLVGSSALLGCFALMMIRSLMETRRTRRSRKRDTFPTVRLSRPLRLLLVSSIICLFNAFLIGGVRRLELGACSFECATLRTNGAIAELFPQSCQSIEDFQIELFFEAVLLLFIAVCVFLSLLAISKMVIMSLMSAVAIGLLWFSRNPQLNHRQFHIWLGNLPEDLARNTSAIAIASQLNMFCEETSLIDDLRVHFTIFFLIALILSVMQSRRSELICRYDFIWKLQALDEQQEMQRRHAQNRTVLENVLPSHVATTIMEDRVKNDLYNEACPDACIAFITITEFSKFYIELDGNNEGVECLRLLNEIISDFDQILDDPEFSTIEKIKTISTTYMAASGLREKVEGVKHVTEIARFSMRLLRKIKEINEHSFNNFNLRIGINVGPVVAGVIGAQKPHYDIWGNSVNVASRMDSGGVPGKIQVTEETAKILESEGFKLECRGEIKVKGKGQMTTYFIQTEEEEDMF >PPA08369 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig165:89065:92437:1 gene:PPA08369 transcript:PPA08369 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRDIRFFICLLLTALNVGMWCLFMYYNYQHATALSEDESRATEESISSSLSNRDQDRETVFDSCRLQETPTWTDELRALLNTSYDPMRLRFFGFYFPEDEIDFDRCEARCLEFETERSYKAGRWISPKDDHVFKCEVVEARCVKIGVTVYSFLHTQIVAKREPKRKRPTNAPSVYIFVVDSLGASHARRLSANKNKNNNNNNNRVFPETIKFLQEQFGAVDLKYMNKIGENSRPNGIAGKSVTDMKRNLLGLPTVYRDWSMEESCDTFMDGRGFILQDFEKLGYTTMLAEDWHDGLFNWPGCVGFKNQPVTHYMRPFQLRYGKEKPAVLLHHQGADNCFEPHLFLNDFTEKFIKAYPHPSVLATFPVH >PPA08402 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:259499:260812:-1 gene:PPA08402 transcript:PPA08402 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVDKSAADAEVTLSDADFASSSLVSEIDLNRIGIKVKDDPTLGNMQEGDIAMPSVKDFVDANNKLGRNAIRQPYRRWPNGEIPYTMSSQYGSYARSVIAKAMKEYHDKTCIRFVARDPSRHPDYVYIHPDDGCYSLVGKTGGRQPLSLDSGCIQVGF >PPA08382 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig165:157817:158237:-1 gene:PPA08382 transcript:PPA08382 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVQYPTHPRPTLCIVCGAAAAGYNYGVPSCTPCKTFFRRAIVEKRVYNRCLRSGFCERSGEL >PPA08365 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig165:73155:74199:1 gene:PPA08365 transcript:PPA08365 gene_biotype:protein_coding transcript_biotype:protein_coding MHCEYSAKMQKFNSRRKAEVEKMMALHEKYNRFLDKDVMDLHYLPCTLAKEAFSERLTEIKARKRPNEIYVVTGRGVHTEEKNPVIKRCVKGIVDKMMFKCEEMAGNAGVLIINCTKIRNLPMRSQVFLYCFALCNT >PPA08381 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:154626:157365:-1 gene:PPA08381 transcript:PPA08381 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLDAAEQVPSSSVARVAMIAALRAVTLERQVERESWIVPNPRTIENMLEKHMQYLLTMEEAHQKLRISTYAPKIVSGLRIDDFKPGPSKLGHDFGPMQSQPYEPSAVKIVPVEVLIRDRVTVDLSNFDYSVKKLWLFQDKIYSIEYIKALPVYNLLEECSKKALISSGIACANFTDAYYSYTHHSDRTCYPDGSVMTWNNEMRKESPGSTRLYTGIIAAMKEAELDSREYALLKSILICNPLLDGLHPYDVTFLHNEKERCTKTLLSYVLARRGAAKGPAYFSRCLSLVNVTNTLTSWQKNQCIVMLAMGLFKNSMPFAETMYHSH >PPA08397 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig165:234645:239736:1 gene:PPA08397 transcript:PPA08397 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVLLFLLALSAYQVLLSILDNKWLQAGTLCFSCCVVAVLVLIYRGYTRCIPPREGNDQIISWILVAYSLIVVACAPAVLHSALTLLIIFMCYTLLPLPCVPTMIAASLISVVGLLVQFIYNGPNQVLTDFLLFLGVNIIGFAVYYPTELVQRKTFRETRRSVETRIMLSRETTKQEQVLLSVLPKHIAHEMRKDMDDPNNRQEERMFHKIYIRKHDHISILFADICGFTNLASECTADELVHLLNELFLRFDRLAQRNHCMRIKATKTNEEKMKHICVRYILILGDCYYCVSGVPDYLHDHASWAVQMGIEMIEAIKLVRDVTGVNVDMRVGIHSGRAHGGVLGLKKWQFDVWSDDVTIANHMEQGGLPGRVHITEATLNALGGAFEVEPGFGEDRSSYLKDHKIKTYLVVEDESREGGKYSEYPIPLLQIIPHRQPRQMGKELRTIGYESGIRRGNSIRQVMNKKIEKPVQEEVESYLIQGIQVGIFSILKVDN >PPA08403 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:264946:266364:1 gene:PPA08403 transcript:PPA08403 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFPPLMLTWLISRSQAAVIAYWHGNGHMSESFVRFADPPDHHHHRANGYAAHHNPHQQQQPQHRTTAYQQQQQQPHCALHNPDRSVRFDPQLLQQQPSEQQILQQQQQLQQQIHQQHYQQQQHLQQLQHPTANSDAFWSQQQQLQLQQRGVPQAGVSSSIL >PPA08378 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:141624:145595:1 gene:PPA08378 transcript:PPA08378 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLFLLLLLTLTHSYKILVYIPKFAVSHINFMGKIADTLVDADHDVTALISEMDSSLPDGTSKATIVRISPSEKANEMSNHFAETRGDIFDRDFGSYSEMIANARSNSLSFSRQCRKLLTTPGLVDQLKEAKFDAVIAESLDNCGVGLSHLIKARALIPVSSTLLYATAVFGLHPSLIAEHSMEDGRFHDSIWTRLKTIYLHFAGQQFWSTMNGPLQAVFDELYPGTPNFDYLLSHAAVVFSNTDPLTDFARPTLSKIVPIGGITVSEPQPLDEHWDSILSLRPQTVLVSFGSIAKSAFLKPARKALFLKIFASIPNTTFIWKYENLSDDFAKFNASKIDNVVLTDWMPQSKILADPRLSLFISHAGMASCQEIAHQGVPSLLIPIFGDQIPNAAALAHVGIAQVFSKSDLMDEKKLRGAIQEMLGDDQYKKRALTIRDQLAARPTSPVDRLVKNVEFAARFGTSKALRPLNLELWAHSQCFLLSISRFCFRNHFRAGWR >PPA08407 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig165:291407:294308:1 gene:PPA08407 transcript:PPA08407 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDGENGPIPSGTSVLDLYGSAELATQLGFFHMELFHATNELETIAQVFGRDAFPGHIPSNLDLLLRRFNEVQYWATTEVLVSPAPKRVQSLRKLIKIAHYSKQQGDLLSLFAIVLGLSNVAVSRLSMTWEKLPSRVKRMFSELESLLDPTRNHRAYRSLIAKMQPPFVPFIPLLLKDLTFIHEGNKTFFNGLVNFEKMHMIANVIRTFKECKASASGVTEKPSQPSRSPGLLVGYAECEAHLKHFLHLGKDMLRVKHT >PPA08404 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:281889:282821:-1 gene:PPA08404 transcript:PPA08404 gene_biotype:protein_coding transcript_biotype:protein_coding MIEMIRHGNNHSHQSLFPSSKVPNVQVTAVRDLVAMKKGLKAYETPTGWKYFGNLMDDGRIALCGEESFGTGSIQIREKDGIWALLAWLQILAVKRKSVEEIVKEHWAEYGRNVFTRYDYENVESRGANLLMTFLEASMNASKGKELSANGVTGWKCG >PPA08360 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:25274:32222:1 gene:PPA08360 transcript:PPA08360 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNGFARGSGLESRPPLKFHSIHGQHIKREEGGSRVVRKGSFCNGIAFSNRPIAIDERVGIRQTTVTTKWSGVLRFGLTTVDPALHRSLELPKYACPTLSELPGYWIRALPDRYAKQGALVHFSVSRAGDLMYGIDGAAKGIFIGGIDIARPLWVIVDVYGTATAVAFESLMNTPREESIESQFESRVRVNSPSPPLSLPTRQQPPSRRGGLSIFGFSLLGGGGHERRAAPATAGRDRRDTQPPPPRVPAPETPVSRPRLLITSPPRQDRASAIMSEILIPHSASTGASPATSTSSTAVAAMTSVRPSARPPSCAQRRRTRRR >PPA08394 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:210310:211005:-1 gene:PPA08394 transcript:PPA08394 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVNSTKIQIDVWFIINNEQSMQFLINVNGHSHAWVKAPIQFFHINTIQVDTENMKYYLQDFSSPDENVDNCCEMDAEPFSESPQCPCGNDNSRELPTGP >PPA08387 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:183636:188011:1 gene:PPA08387 transcript:PPA08387 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRVKKEPQNRPTFCIVCGEAAAGYNYGCPSCARCKTFFRRAVIEKRMYSGCLKSGACERGGIVRLCRSCRYDRCIKGGMNPLLVSGVDVAEYGGAGSGTGGELADSNPDLTMTDSNEDELPSTSIPQSKPRRKRSKNASVECQTDCRASDEISKYTPKMVPGLRIDDFEPGPSKLGVEFGPMQSQPYMLSSVKIVPVELIVRHRISVDVSNFDYELKKLWLYQDKIYSVEYIKALPIFKMLDKRSRMVLMASGIACANFTAAYYSYTHHSDRTCYPDGSVMTWSSEVHKESPGSTRLYTAIIAAMKEAELDSREYALLKSILICNPLLDGLHPTDSTQLQNEKERCTNTLLSYVLARRGVAKGPASFAKLLSIVDLTYNLTTWQKSND >PPA08363 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:61731:66252:1 gene:PPA08363 transcript:PPA08363 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTRSRRNSQSTPEEGDTTETEKEAVQPISLMILADGKTYNIVKRRVSDEARREVGGDSRETKRKRSDTPVPSGRQLDLSSVALNPVEESILPGGSADALRKMLEKGHFDPEQVQFLKELMVDIAQKAQEEALQKSSLPNQPPALETSNYASTAQSEMPSTRHAPLFLDQCSITMLFKSAPLEHYSTDPSILPWPPGYQPSTWRKEPSTRASTIPPILQFRPNRAASPPVFCLDWNPPRTESHDILGKSVMDAPKRMPSDAPQEISIKSSPEPQVNNNKTLYDRDAEQKKCDEKMCVDIMKKMEEGGDSLEELRQMENKLLLLTELYKDELLGTVSKNCLEKIGTRIEEMLEEERKEKAVRDREQRKNAVAALGSYDEEEDEMKQLRHHYDLSCFKKEAVVDDDCMITYEGPPILDLPPPMNKEKNKTSRRKRNEDERAPPVNDTSSRSPSSAGVMGEDVRAALLLAPFFVQQDAMEEQAEAPSDFSVYAMFPSLGLPIPQDIPPAKVMDEYTEKLSQEPPAEEVEEDGEAPLAGGSDPQEPPAEGVEKDDVAPLAGGSDEDLSPVEWMGEDVIEEEVETSYTPPDPSSFYEEKKDESPCATGPFQRPSFAEDQKDDDDDEHWQADDDDINRGVFDNRLVIDDIDDMETEEIKDAMKWHAKMNCDQSESVAKSPIDSTEKVEQVVYTVDDDEEDDEIIDVTSIPLPPPSVADRWPLAPFETTLERALNQRPDDAPSHSNPSSSFSSGSVQFQSGSNPPKQLYKDVPETRARKRMMRDPRLNRK >PPA08395 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:211280:212789:-1 gene:PPA08395 transcript:PPA08395 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLWLSLGLSLKSYYLEKRIEIQDGKEEVKAGTIPNVKYARFKLSHVSKKRQEQIGEDTLAEFADRPVNIRFLANCHHALDIVFTPSDLLGQSTLNY >PPA08406 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig165:288639:289971:1 gene:PPA08406 transcript:PPA08406 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSRHSQIVLDCGVYAPTSTAPHCLPIDTCRQVFRHPDASTFVLTVRMDKRVADVIEMCRPHIRSSASQEMLVLTEVKSNGERLVLAPNDISLPTMLTLNGKLFVSAREEVDHLISDMVMDCYNLT >PPA08375 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:122903:123182:1 gene:PPA08375 transcript:PPA08375 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPKSFLLLVLLVLWATATGVLVDKKILHLIIASSIILVVLAAALTGVFIYTTDDNAGATIHFMSSTDTATRL >PPA08371 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:102500:102948:-1 gene:PPA08371 transcript:PPA08371 gene_biotype:protein_coding transcript_biotype:protein_coding MELKKMLGKSAEQPPPPLDTYSAVKMAINDAAAYSEKAKRAVWVGRPEESTPELTLASDQKAIEELCAELNDGSLSQALTDGKIRYHRHPEVKADRKKRILKIVFTDEKTRDQFLSLIRSKRPSTVSRVPAATRKKS >PPA08400 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:253096:254812:1 gene:PPA08400 transcript:PPA08400 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKIVDEGYGRTPELKRDQFWDSEWEPTASMAHMDVAPEGSTLKDVKLSSSVSMVRMVPQASSAYLTRVESRSKLVPVKPEETRRMSTLDVLKVWTSRRGSVMQNAPSACSSRRGSVMMSQFNNTITTVDERIESGMSRDPSVAELRSYSPDPPL >PPA08383 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:164958:170713:-1 gene:PPA08383 transcript:PPA08383 gene_biotype:protein_coding transcript_biotype:protein_coding MFREDELPRALRGRRRTMGEATDVNENRQRLVSEMQLRNARLSTQDDHHRSITLSPSPNGNLIDRYPEGYRAQASYRTTRRHEEPVYGTIWKGHPRAAPPRRFDLPPTTIDLEEEIHEAPGQRRHQSAAANAPANRRSNEVEHPGSKCRRSLETHEAPRNDDHRSNRIVNELSYDNGPNGDNANGQPESNYRSVASEVPPVANNYRSSVTVNNFPEAQEEDKQRDYYRALNAVKSAEVRGSPSEPAQLFERKEYPPIDHARETPLMAQSPSLQDEVFTNGSPVPLGKKKIVYRIPGDLYPPTEHHRGGARIIDRSNLLRTVSTSSSVSSLQDSPIKKLLSTHLEGDPKRVQRISSSSGMPAPVVKTTILRCSNGRKAAIIQVDEIARIIRWIDPSEENGSFSDHLDVKRGTSMTSPGKAVMDSTSIAPYELQLIDIVEEKIDRRIPLDWPELFVLKNAHCRLVIPLEDRLMTIRKLRNGESHDADRLERQILDEYARYEHVIDRVVLCGSPYTRLAHAIMRADAHWFHARTIRDPSERSDMYRKAIDCYAELLERAENSMEADDVALLTLVQKVTRILAESANFDPQLVVKAALIVDRAEKRLDQKADGEAARRIKTIRYNLDCVNEAARKHLSLRMCKQTSVYLI >PPA08364 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:67513:70286:1 gene:PPA08364 transcript:PPA08364 gene_biotype:protein_coding transcript_biotype:protein_coding MYEVKLEHRVIRSHANQLILRESSRDDDLEVMEDSTVVYHSGGPTASHGVAFLLRPHLARGAVFRSLSPRLATLHLPDQRLFLVNAYAPTSSYDDDAYDAFIDQVETALRSAPRGTMPVLVGDFNCRVARVPGNERFVGNSASQSPNSRGRTFTEALVRNKLRAWNTFPKRRHGRTWTWRSNDGVIYHQIDFLAAPPSARVVNCGVVGRFEFNSDHRLVRMCLSLSGKVRQKRCREKLDFDRASFTVNASLLASLPLASPTSATDAYCNIKAFTDAAADNCWRKRHTPPWISRATRNLLALRHQLQANSQGPVAYAVACKSARMSLAEDIRKRKEAQARQAALMGRSIVKEILKLQSTKKRLLVPDPASGALSQSATKAAVKDFYEDLYSPAVQIPLAIPPHSLDPFPPFLPDEARHAMSLLKCGHSPGSDGILPEMLYHSRDHLAHSIAHLLNRLVAGDTVPCELSEAVVSLLFKKGDPTNIANFRPISLLTVTLKRPNRQPKPDSGFSTLDNLHAIKQVAERTSEYGIPIYLAFVDFKKAFDCVEWSACWNSLWKYGAHPTLIHLLRRIYDSSTTLIRVNEELVPVTVKRGVRQGDTLSPRLFNVALRSAMDTIDWEEDGIRIDGRNLSHLEYADDVALVAKTRPELERMLRKLMDACRRVGLEVNATKTHLLTSCKTTRAPITIQNLTFNFVDSTTYLGGRISLPLDHTDEIEHRIRLGWLAWSKLSHLLSSRLLPMKTRRRLFESCITSTVLYGSEVWALRSSDKERLSITQRKMERKMLGVALRDRWRNERVREITKLRDWNREALRRKARWTLKVRSMQMEQWTRATTFWTPYNRKRPPGKPRARWRDDLDRAIGNWWNTPHEDFAPILI >PPA08377 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:125544:138203:-1 gene:PPA08377 transcript:PPA08377 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPTAMMAGRGGTNGTADCLPCTEQSTTAQILLLIATAGWAATLGVYFWEWSEGVRAFAILLLLCLVMLTTKIIGEEHHSTSFDYDHKYSLPECESCKQLPTYLLLIFNTIMIITTAVLIYFDPVPALLLPCKMVAGSLMLPMILSAHAHDGVSTLWITDRVETFPRQLCLAFALFACPFPQLLRLKLEMHVTIIPLIVVLPTVISTVANEGEAELRVILLLPALVLIVYKSTACCEYMDDFRDGLVVSRLRHCSAALVFVSATAAAAALHLQKHSTSCGILILTVTGTALYNACLVVKRWENLIPTLSGRKTMAIFWAIAAFLFKAADLFVVYRCVEFNRIPIIPSAAITICFYLTFTYLADPIRGILPNVIYCLLTIATFASAIYVIAKNLDVIPSKTAWMRHQLSEEERIRFEAAWLTILDCMLMFIYFMMNLLVKTDRHAQLATIKAEIARILSTLMHNNPNSDTPQRDSPQTPQWLKRVLNFCLTQFVLLLAVIGWGAAIGVYSKEWFWAISALAYLLQLILVILLTAAPCGVLLSVLFFIVFAISVAVTLIPDICTSPLSYPASIVGLSVFLPCMAVFLRYPPAHVRSHLRSLAAVVAAAAAEAAAAKQSNSLTRSDADAYLSSLSSQYAVSKTSSDERCAWMIGIIGSVLLKFIEKRFGKDTLAIVFFDLEPPFGRCKAGLSSIEDVDPLKAYDDADTFAMIGICVEVTERSQHDFMEAFGDFFVVWAVEAGYDKMLRGMANNLHEFLNNLNFMHYFINQCSFRSKMHGPNFTCTQIDDSTLQLNYISRRRGLNALVLGLIEIEKVTEHRRGKDTDVHTIYEIKLNKSQPHPCQNKQFAIPPKKRNSLIDLKERRIRPFVSRALANVIALCVTEREEVERNDGFFIPSCTSCHSATNSPINLRDFNKIFPCHICFDNNLVIQHVGIFLLNEYNLANRKGLHLQDLVELIEPTCAQMNFASFLENANTRFVVRIKVQGTRKKKALVLSGQMQLLESGNSIAFLCSPYANTVHQLLDMGHYFSDMPIRDATRVYIILNQSRMWERDRNMHLMNACRANYGTEAKLREVQERNRQLLYMNVPPQIGESMLYLGKEFEPQTYSEATVLACSLPDFPAITAYCSPKDVIDMLANLKTRFDRLIEMHKLYLVHSSADAFLVVGGVHDTSSHHTHHHHSNHIHHGQTPACLDLAIGMIAEARQIVVDYFRLPLRLCVGIALGEVSAIVIGERRPKFVIYGDPIQVAGSLCNLTEPGRCLVSNSVRTSVTKSLSSVYVFSAKGFIQSGERKLMTHYLERNANLSPAQLVERNDDTTFFRDPISDKEMESWDRHTEFAKKAETINWNRGWMFRFLSNRSNDSNDSGISVGGRSVKSLPSQWESSSCVIS >PPA08368 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:82900:85020:-1 gene:PPA08368 transcript:PPA08368 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIVLLLLSVVILTESIKNFRYDCPNPFGTGTVTQSDPCDRVLGCTECQTSDFCRKINGPDQMCSVNGCCVDDPQKGSSNCTRECHSHAHCEQEEKESECNEGCCRKKPNRGASNGAKWSGVATSPSVDGEHLIIA >PPA08401 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:256501:258716:-1 gene:PPA08401 transcript:PPA08401 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVGFFHEQSRSDRDEFIDIEWRNVMNGADDQFEKYNLNVIEHLNEPYDYSSIMHYGPYAFSGSGKKTISAKRSGSERMGQRVAFSQIDLRKINKLYNCGASTGGASTGNVVTGNSIEQNQRQVRNPAPPVQQPLPPVTYAPTVYNNNNNNNNNNNNNNYGYVKPAHANANVYQIGSQYGQNNNNNNNNYGYGQRRMIIYG >PPA08392 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:204629:207592:-1 gene:PPA08392 transcript:PPA08392 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTRPVAQADGRGFAKLEMEESGAEEKEKELREAGVDVETEEGEEPTRGGHRRGTSANRGRGQWRPPRRHDGPHGRQQGAFNRGGEQEEFPPLERTGGTDNEEPTDDDFVAPAARRDARQDRGSSYRGGEGGGHWRSGESRRHRSGGPRRRGDGGRGQTGAYSGGEGGYGRQDARADRGQGGRGYGRQGEGRGGEGQRTFNRTFHNTNRGRVGSRGAAQEDREGDGPKRYSTQRGPRTNDPAPTSSSLTDIVYFDPSQQASRGGTRAPPVRERKPLDIVPPSEQVWLDLKRVISSYYIHK >PPA08361 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig165:52206:52893:-1 gene:PPA08361 transcript:PPA08361 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLVKNEPCDFDFSRFVGSRVSSKWAELVAPIYTTLIIQAVAQKFFFEKRAEGKRASSVECSELIRTMMNEKNDYFRFPLALSIASALKGRGRPKKTVSSNAPNSKTRKRSIAEVDDIVVGGTEAEAGEDFNTWDLITNETNDKEGYDDEDEEEETILVNRQDDEEIMMCMIQEGFNDVFYI >PPA08388 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig165:189854:190338:-1 gene:PPA08388 transcript:PPA08388 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIFGELNMNEKFPLGTACKIFRKLDFEIGRKNFDYYAKSVFDESDFSTIASMKGE >PPA08379 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig165:146647:147550:1 gene:PPA08379 transcript:PPA08379 gene_biotype:protein_coding transcript_biotype:protein_coding MTFEEEKLCTGMVLVFIGGCVYNVSIVVLKLKVLNLETFEFEWMNEKEKIILTNGVILVMPFLFLPVKGYRFKFIHCTLMLLFFTAALVFVVFAEKPYLPALSAPNSSSWFPFGSVPNDYQVDGRSDCSR >PPA08374 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:120611:122284:1 gene:PPA08374 transcript:PPA08374 gene_biotype:protein_coding transcript_biotype:protein_coding MHILISALLVFPTIFTSNLAEGFSAGIRYTLYLPATALLMYKSTAWMIFVRKAKMLWSIRFSAAAIIIGSTLLAATYALDRESGPFGAGASVLFFTGFVLFNTSLMVYRCQSLDRPKCHILRTSLTCMAIISGLSFNAVLIHMANCAYFFVIFGLFAATLYSMFLRGPLFEIHLTSNQNITFRALDNSSTMLVALEFEEVNAILYHARISPCLSVVVKRCRATVASLNKAAPSMLGPESEATIEFMYLAFMLLFVYAMMTALLKSDRLAIRAVTEAKNNCQSNDNTTTASTTAPATVAPAASKAATYEMSSPVTATAATTSAAGASVSASTPAPDPLPSFEAVKIIAKYGTDERPNTAGNVIYMRKSALFMPD >PPA08367 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig165:78861:81181:1 gene:PPA08367 transcript:PPA08367 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSSFWAPILVFQMTSTSLFFRLYYIIFHKRKQPQYGTFFFKMFLTQARDQFVLDVTILPHILYFWTFHYFVYSQMWSAAMISVNRYVVVCRPMSSMDKLYQKLSTRSLALINLIVPFLLCTRLFFQPPMYYYRSSTGIVQLYSDFGAVNVTHDPNHC >PPA08362 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:57390:60461:1 gene:PPA08362 transcript:PPA08362 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVDSEAGEEVDERLNDGFINQNNRVQEWMAHAHDDVLDVSCVHFHEEFRRHHDELLEALWQENYTPTDARMVPMDAEELNVLEVFYSPAPVLGVGPFYGPLHPKLVRMRLLRAKLWFFEKHFKRASWERSQIRRLVTIPAAITVNEEYFDRHLGQLAWHFDEHVPSSIVKKAKICIQIGTAYSSDSDFNQWKKREANGGVRRADHLAYWGKHQDPAEMPYVLDLHYLEEPVPRAYSTEPPYHSIAPPAPPPVMTSPAEEERIPIEHVAAIVNDDDIQKVLAPPPPLESSISSESSFCSTSDDSPRKNVGPFSRPRRSALTPERSPPRHMQR >PPA08373 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig165:114732:117336:1 gene:PPA08373 transcript:PPA08373 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASTTPKMPLHTPIPAEIKGLSVRHLYNMADRNEVLATVSFANGKFSVDEHEGDKHNIQTFCSPDCFQLVHPHKDDETFVINIRHSCVQGWRMLFTCNNEKTLEKTRTPSPYGRPLCSSREQNRLIREETTAVIPKGSQKQFDQAKVLSGRVLASLFIRTISRCPAARSCTSTKSPAVLQLEAKSGEITFDLANGATMETEAAEFLPPRLVTPPSSDVAIYVCDSRDDGDFKQAWAFQVDQVHAEELLKVLMHAWMEVDGMMPAVTSKSAATEKQGANKLTRGTVAKKSTKLVRNVAKRGVSTRSKKAK >PPA08380 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:148076:150959:-1 gene:PPA08380 transcript:PPA08380 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEVAHVDCSRLFRGDKEYAKDYEFIEEQLMANYAKENVYCYFIDKKAHLRCMNATRDRKWEYAILMQNHDMLIKTHDEITEILKIYGANDIGSGPCAEIRCIASLEKKLGKLGLCPRSMTVEERSKCASSDIHWGKGDMQAMISRAAVEFIFTQIKVFPLMKQMNDMEYGVDEQLWGSLQISTEIGFPGGFHSKCERSQSITRQCVWHGHLEGAAATWDRFTRFMTNANDNDCPSGKLRHGICMLGVEDLPMLAGSKKILANKVLPDFDYAVISCVSELLFNRTRDGSSVDTDYYENINLQRSTLFFLVILVKDKRGSVTLRINHFVIYPSPSLVLY >PPA08376 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:124078:125040:1 gene:PPA08376 transcript:PPA08376 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMDWRLAALDLAAIYLTFELLRSLSPVLITVLYCLFLIFVYLRNPLRDATPNSANFISIIGSFVAAFFAMFLQVPLFETNNLLNATFPEVAFLPEQKGKALEETAWFAFPAFLLLLYYFIRTALLNVDIRTKEAAAAAAVRLAAAIARYRQYRKQRDVMPSLKLCPTTPTVPPTDPAQY >PPA08385 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig165:176513:178250:1 gene:PPA08385 transcript:PPA08385 gene_biotype:protein_coding transcript_biotype:protein_coding MRYDHYLTFLNPQTRPTQCIVCGAAAAGYNYGGASCARCKTFFRRAIIEKRVYNGCLRNGACDRDAGVDVAEYSGAGSDYSGSDKPSTSRLKAVPRRKKMLLCKNEVECEADCSECIKRGCRHCCASTSQDSEDVPSDPGASQRDEELLDDQLRIMRNPISVECTLEKIMQNLLTLEEAHQKLRISKYTPKLRPGLSIDDFAPGPSKLGYEFGVGPFLA >PPA08408 pep:known supercontig:P_pacificus-5.0:Ppa_Contig165:296306:299198:1 gene:PPA08408 transcript:PPA08408 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAQRPASQEATVVKYLLSRGYDKSSRPAFVETETTLLNISVNSFSLYQMDQAKEIVEFSAEFLLTWYDPFMSWDRNMFNVTWIKMKEMYVWKPDIIVSTSIETDFLLDADERYVDIRHDGYVRQSYYGVFFNQCKMKVVNCFPYDAQECAVEIGPWSYTNAQVLSDAGNAITEPRDGFKGNSEWEFIYMIPEVYEETDKDVGFNYTAVRWQTMLKRKPEFYVWVLLVPSFIITTVSLFGIFIPTNHLGDREEKVTLGLTTLLSTAVILEIVASTMPKASALPLLGNFILAEIFITAIGVLCSVAVLIFHHRRVS >PPA08370 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig165:92708:94816:1 gene:PPA08370 transcript:PPA08370 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTWASYLAHDDADAPFHADAQYRAFFERNREEFDNSFVILMGDHGMRYGKVRYTTIGKKEMNNPFVRISIPRNLRSSEALSNLHANADKLLTMFDVYETLVDIKETFAVQQQPQGRRDFSRTIARLGTKGSSLLRPLPPGERSCRSLPIPPSFCICEQDREIVQLTPDLDGIGTAIAKAANEMLVEEKVADICARLRPKKVRRHVDDSVWRRGASGEQQVGIRAARENQGYMR >PPA08411 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1657:115:503:-1 gene:PPA08411 transcript:PPA08411 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSFSITFSTIGKIFISMHRYSVMRTNTLIEDIWSRRMQYIMTVVTMVLSL >PPA08412 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1658:61:756:1 gene:PPA08412 transcript:PPA08412 gene_biotype:protein_coding transcript_biotype:protein_coding MREVSLIAAVLTALLAAAAAESSFCSQAPDADIEKACEMMQEWDQTARKEIRKRQLFGFGGGQITGGAQGCRNLQCFCSMIG >PPA08445 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:226425:228897:-1 gene:PPA08445 transcript:PPA08445 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGCGDRRRPLYAWDDGASATTSSSDDYYAAPTFAGPDYGTPRRTPYYGHADYDDSPGILHRQTGGREWHNEPRTSWRAEAPRLTALPPRPSSMTPTLRRQPSMMLPAKADSSLKRRIVRTQSERRKKDEEEDEEKRALWRLREERRLLEEERRALADERASMRREGKRLRALAARPPATRQPPASRLARILTSPAPKRRTAPDAAPPPLPRAPPRPPRKGRSLLSIFGGDLEYGPGIVEKLKAKFGRISTGSSKRRKNHKRHHSVDDILEESSGEYGTYDRRTSRQLTVPERSTQTLDRSPRHSHGSEQLSMRASRSMADLGLDEYGNVSSLLSLSIPNAILVSNLLTLQTNSRVKES >PPA08452 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig166:255170:256363:-1 gene:PPA08452 transcript:PPA08452 gene_biotype:protein_coding transcript_biotype:protein_coding MIIAIFTYPLQVFTSYRLLTNTFSSPIYKLIILNGFLGIFQIAVHLLTRHLTAFYECAPFYEFLKNNQLEWLAGYLMAFSYIVRIHCTFLIAVNRFRCRTGSERWIRNDRFPLSLLSNLLLPLLFAAPLPIFGDFSYAAIPAGVDHVVYRPELPLANMNRLRNLLSVVPPLLYFAVIAVSSFFMNIFVLHKLIAMRNIAVTHTVKTSMFKASERGLAVTSVTTMLAQVGIKQHVIWEHGIRKDNTTGQN >PPA08421 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:58838:59585:1 gene:PPA08421 transcript:PPA08421 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFILFILPFVSTHIQQLKSTSKYNEEYMLPTHRRVLPSGGEHDMICRSCGKIITKGTFVIDKTDQGPSPGTIDYEYEMAVGGTNTTIHVFTNPAGKNP >PPA08472 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:364653:369028:-1 gene:PPA08472 transcript:PPA08472 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCTMTIWYGALIIGAPGSGKSTFTAGLSDCYTQLKRDFMTINLDPANHVTPYHTDADIQELITVEDAMDRLGLGPNGALRYCMQTLSANVQWLIGKIMRIRYLLIDCPGQLELYRSEGEMDKLIVALETAGVRLCALHLTDSLYCPDPASFVSVVMSTLSSMVALEMPQINVLSKADLFTEAELPFDLEFYTNLPDIRKLVDVLDS >PPA08468 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:351140:351987:-1 gene:PPA08468 transcript:PPA08468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fbxb-30 MTATSAATSSPDTPLDGVPLHACGIKKEDSPREYELLFELALSSDLSEDVDPCLFWRQHAYKFPRLAVIARSLLAIPPSSIDSERLFSTVGLITANTRRSRISAATLKKLIFIAAFCQREKLRFNDIAEFGQFSDELSAVESVNMLYQPGTQ >PPA08420 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig166:46914:49167:-1 gene:PPA08420 transcript:PPA08420 gene_biotype:protein_coding transcript_biotype:protein_coding MPQWRHVACGCIHGDCDPESRQCTCNKGWIGEKCDIESPRPAMACNRDLDCPSEQRCLETETGSSLCMVDPCYSTPCKHGGKCIVVKTYPSRFQSEARCSCPPSFKGQNCEEDVNECADNPCENGGECFNKLGDFECRCKAGFMGRTCSIEGCSSLCNGGCVHHEGRGFACACANGTIVGDGCTPVHIPNRKNH >PPA08450 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig166:250648:251620:-1 gene:PPA08450 transcript:PPA08450 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVLAIALFAAVIIAKEAHHELADEHKDHHDIIKHSKLEKLSPEAQATGKKIHEIFDKAHKEIHAFIESLPKHVREELKSLKVHHPYHNSDFTEEEKAAFQKHFEEKLEKLSSEAKKAAKKIHEIRVAHKDDLSAAKAAIDKELKSLPEKVREELESFHLVTAAHPTYYVGSTHPIVHRAERHSTTKAH >PPA08423 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:62407:63858:-1 gene:PPA08423 transcript:PPA08423 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDCDNVLDERIDPYELERLRDQYETQVRRGQPSHLAKFSFAHGLIKSTKNDVHQGIAILEQLLRENGQDISMRDYIYYLAVGHARIKDYDRALAYIETLLHAESTNRQAMNLKELIEKKMRNDGLLGAAILGGGAAIAVGSLLALIFAGRK >PPA08417 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:20800:21898:1 gene:PPA08417 transcript:PPA08417 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLFAETESGKPQHWGCLAAVIIIVTLLNIGIIVVVRIVLESRDEINELIIKDDYTRNLLISFLGVFTLSFFFGCWCCFCCGGYMCHLLFIAPLAVMNGVSNLSSRFISSHNIPVQRLHNETDG >PPA08434 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig166:138085:138758:-1 gene:PPA08434 transcript:PPA08434 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEIRAHPRSQQSPIFIKQRSSNVSVLWNLRYEHILALNSRLSSSNSAALMCQIRAHPRSQQSPIFIKQRSSNVSVLWNLRYEHILALNSRLSSSNSAALMCQIRAHPRSQQSPIFIKQRSSNVSGGNGLVN >PPA08466 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig166:347734:348075:1 gene:PPA08466 transcript:PPA08466 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARLAIVLSFAFGVMAYYRDTFEGRTPYCSGFTANSERVLMINLHVILVILVLDVLNALASAILWKYNN >PPA08470 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:357264:357803:-1 gene:PPA08470 transcript:PPA08470 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPVGDRFYHDGFILKKKAVLKDDRILLHCTYRESYGCRALVHQDTNGNYTVKTEHNHSPDVQTSEVVELRRELAVDAVAQPTAAPRDLVNSIRNKATSGAVVSMLDKHHNRSDSCNPLCSSRRS >PPA08444 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:208264:211327:-1 gene:PPA08444 transcript:PPA08444 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLHKFNARKPRGEEEREAPPADAQLLAAREARGGGEGREGLQTLQQLQPTPRRSTTTLVLSPEGERRTARFVPTVVGVRASDGRQLMSPTATNTSSLSSELLQAAAPAAGVVSISVRESAPSVIYTNNRRTSGSYDLLQHNLQPVQQLHLQQPCACAWYTIGFRFGLR >PPA08449 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:245807:247788:1 gene:PPA08449 transcript:PPA08449 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPCHIHTYHSPVDFKNPPQLSDNEYTRVGYCLYCPDPQEFIINANPHNGPTASIRLSNLQMQAYMTSEDFGKKDICSDDQKDLDMVPVVASCVLLVLTLFTLAAYFVYRSRLPSDILNITQQEFAEEEHEHHHHKEHSAMNGNDNHAHFEENGHNGHI >PPA08430 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig166:98106:102716:1 gene:PPA08430 transcript:PPA08430 gene_biotype:protein_coding transcript_biotype:protein_coding MFARCSDSETRIGMEIGHEMSMMLDAEEPSRVISVQMQLVTMQWLTTNISAFHQEIIDRGVLEKLIRQHVRRVELTHLPEMFDKRTAIPRIAKLYSKQEYSERFILILEGRALVTIGQDSMTFEAGPWHAFGQEMLQKLMETHSVAGASITHSRGSLSAGDVSGDKAASSRKSGFVPDFSVAPEGFYTSIVGIRKMDNETKRMSHQCGGTLISPRFVLSAAHCFP >PPA08455 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:259961:262735:1 gene:PPA08455 transcript:PPA08455 gene_biotype:protein_coding transcript_biotype:protein_coding MYCWARSTYFVPIEEELPDWVPFFFVLVAAMFYAPCLIWRLMYTTSGIRLKCIMGFANDKANVQPATRRSNIQGLSAHLFSIFKHRFRCNSQQSFCQRLLNLRFYEAFLTYLYIFIKCLFLANVLFQMYLINKFLQTEAYGIYGFGVIMDLLGGHAWKESANFPVVTYCDLQIRVLGNVQRHTIQCVLVINIFTEKIFIALWMWYTLLAVITFTSIMSWVFTSLPFGQRKKFIIRRLELADVDFRRHSYDAELDEFVRDYVKMDGIFVLRMILIHSGVLVCTEVVDYMWEQYLAQIGERGKFSEVHTLERAAAARDNNSKNVALYRKTAMLVPLVSREDSREGHD >PPA08469 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig166:354795:356354:-1 gene:PPA08469 transcript:PPA08469 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLPSADVPNAFVEIKQAAPPGMTAFLEYVSKNYVNGPPNGTGPRYPIDEWTVTARLGAARTNCSAEAFNSVFSFRII >PPA08413 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:1531:4563:-1 gene:PPA08413 transcript:PPA08413 gene_biotype:protein_coding transcript_biotype:protein_coding MTMIIQFSLYIIEGSIILLVNGLLVCSLLRKASLRSKYAIIVPRFITDTIVGLAALVAGVLILLGLFFGTDASNVNNAVNFGLISFTSMTFIIGIIAGSLPKSQNISILGWYIFLELILIIVAVLSVFMHDMICTMARSGVKWWTGESANRIQPDNASDGLHDHTETYPYKCSAEASAISDRMLKYVVERVLARGTTTLRSRIFCMFMPWNLLFVWSDPMSAVVQLAISLDRLISLIAPIFYYKRPVAIQNEESVFSFRMLSLTSQTDVRVRGMMRHILH >PPA08464 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:330708:331215:-1 gene:PPA08464 transcript:PPA08464 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIHSFMGGKHLYVYLYGFPSHCSKRVRFACRSLRRRGGRLKKEGFLPFVYPEYSQSMRRREYKMDTAVTRPM >PPA08435 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:139010:143068:1 gene:PPA08435 transcript:PPA08435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tbc-7 MSKFPSRLQLVTPELLFKLSQDGASFTHLWSNSAVGTVASVEQVFGAYCSSSWAERNDRRERTKSKYFGTGESFVFKVTGRDNNQQVEIFGWVGNNNNEPGEHVPQMFMTAGDRLIVIGSGDGDAIRISEELTRGISSRCSTFNSPPLVEERGFEINEMEVRQYRVIIR >PPA08427 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig166:84087:86891:1 gene:PPA08427 transcript:PPA08427 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEAKEDPHGEPAFLGYSKRGMNIVRPGVEVDAVIFGFWLDEVKEMAFTSTGSCNESLLDIRMADDKFNVKVDKMITVPVTFPLADVPMYRMCIKPKDSPHWVEISENRAMVTTEIPPKQYYFSYWVQIAIISVLLTLSGLFSGLNLGLMALSPQELLLIQKSGSKTERKYADTIIPVREKGNMLLCSLLIGHALE >PPA08442 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig166:191694:192810:1 gene:PPA08442 transcript:PPA08442 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVIFDNLTTGPHILRCDPSGEVTEVQHLAIGGHSELVNKALDGTAETTGEAMQAHCSPDLFVITFGGLPEALTEKRMNIAIVGKDTSFNILTANEVKNELSFFTTVERR >PPA08456 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:263025:264440:-1 gene:PPA08456 transcript:PPA08456 gene_biotype:protein_coding transcript_biotype:protein_coding MHVILADAIGYDQSAAVTGTLMCNGRPATNVKVKMYDDDTGPDLDDFMAEGVTDGQGRFSLRGTTDEPCQRRTTIFVPSSYVSSGKNPTKMYNTGVIELAGQFQGEERDCVNKQR >PPA08462 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:319712:322307:-1 gene:PPA08462 transcript:PPA08462 gene_biotype:protein_coding transcript_biotype:protein_coding MFNVPLEMNKAMEARTFSKTSINITEAIAFNERAARNEYTQHMPFEECVDDPESRSMRPGFRDSRPNFECVWKRQNATGNVTILVTGNSIAQIATNILKWIIEKENFTQVAMMRLVSMPACYPLEVYYYTCTGSASRFVVYDELYPRPSLMLTTGMGVAMQKRLSRNQSLDDLRAPLEEFKKYYAPYFARLDQLHFPNLIRHNTSAPLCAEEKGMCWWYNRRNLHSYFTDFSHLTVDGQELMRESYTKILNNCYCPHSQSPRRKVEFHRARKINELHIIGSILVRERNSVIRIGIRRHVQR >PPA08426 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:74946:76278:-1 gene:PPA08426 transcript:PPA08426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dhs-29 MAYYFAVRRKARVALIDVNEEGLRECCAEIDHEGGDARLWVADIRDAANLNTVAEEIDVEMGPVSIVVCNAAVLYFGAFLDLKTNELQKAMDINIMGTINTIRAFLTKMEQLNSGHIVTVSSIAGFAGETYGLAYCPTKFAVRGVMECLQMELRDRGLDGIVCTTLCPYFARTPMILNVGMRPTST >PPA08460 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig166:315919:317227:1 gene:PPA08460 transcript:PPA08460 gene_biotype:protein_coding transcript_biotype:protein_coding MISRVLCCLLIFVCLAEARVDFENSKIYDEYDFKGQSTITITDLCGDSCHIYASITPESKKFATNLLFQLPKGFVSVADVAARVDPSTKQKQFLEVNNTASLLISNANAQNDAGPVVLYVVKNYPIYKGAEMYEADGVYRPASILPQSVTVMSAKPFTIKQKPLTGPQAENGEESVSSIHALSRHFDR >PPA08433 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:116625:117244:1 gene:PPA08433 transcript:PPA08433 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTSEPSTSYDPLAQAVAGHHFGQRELLKQLDERRQRMREAERELKKDRAPRTTWMTFGHNSTSRRPIER >PPA08440 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig166:184263:190421:-1 gene:PPA08440 transcript:PPA08440 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVLDTVRLYIKDMVHLAGPQMKIMLMDKETTSIVSCAYGQNEMMQKEVYIFERIDNGVQREPIKYLKCIVYIRPTPDNLHLLQEELRNPRYAQYYIYFSNIVSKADLKMLAEADEQETVRDVQEFFIDSIALSANLTSLSIPRPYDHTVTNMSVQSLRRSAEGLIGLLLSLKKRPQIRYQRSSEDATKLAQKVGEVIRREAQLFEETVADTLLLVIDRFEDPVTPLLNQWTYEAMVHELLTFKQNCVTVEGNKYVLNERDDEFYARNICSNFGEIGANCKALIQEYQQKANTHKNVESIADMKQFVEEYPQFRKMSGSVSKHVAIIGELSRLVGAHNLLEVIDVLNDWLPGAFEFHGVY >PPA08458 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:267503:269847:1 gene:PPA08458 transcript:PPA08458 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSSPVKKEDRLTEGPGQLIYVGGNKRTFRVEYKIGSGTYSDVYKVHRTNDPGKQRALKIERFDSVPEKDRRLTREQAVFDFIQGYDNDCIDKSHFPKMSICAKTSVYVFYVMQLLGPTLHDVRKTMMRCRTRPRTIAEIGRQTLAAIESLHGLGWLHRYGSSSQLPAPDPEQLPLLLSPVPNYLPALCRAIKPHNFHVGLKEVERMIYIVGFDLTRYFKDQGGRITSSHRLTRSKGATRYASARAMKYEDLSRRDDVESWCYMMLEFYDRHNLMWACMDMSKGHAIAFKQHVMSNPIELRNKNHLRMPARFADIITMTFKLQIDDKPDYDGMSAIMEKIIKDERVRDNCTIK >PPA08443 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:193522:200695:-1 gene:PPA08443 transcript:PPA08443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vps-45 MELCYSQQPRFNWNLHWVSSALRHYSSDEDDSDVRRSSVGSTASSTVSTSSMAESLTSSGCSSSEDLATAGEEQRPRSIAPPTTPPRKESLESSSSRKSLTARYLEERGREIKVAAHHPEDESMMMEASYAELPFDRYSSTKPYGDEACMRPPTSAAPPVPTGGDDDDTATAPTVAKVEESPAAAAACCMSLLPDHTDSAPSGPAHLAGQEVTIVEIEEEEIIEEDDEGAAPALEARQQSIDTDDDGEIEDIANRHLRLCLDDMNSPLMAGDGNPLRHSLIHLAMEEDRPALLEAMSETYSFVFEDLDSERASTSTSIIKPLHKERCAKMKRISIATEVAGVYTYLDEQSAESEEEWRDGAAVTIEDYHSMVAAAAAEAEAAYVRSMDELARWKASIAASDSAGSSASSDPIADLTSRHLVFST >PPA08471 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:361253:362981:-1 gene:PPA08471 transcript:PPA08471 gene_biotype:protein_coding transcript_biotype:protein_coding MKISMGPENPLLARYHKLNRAIGDVIQDMGLVSFTPLAIHSKADLARLICSIDKANGFQLIDTDDFDSIALIVS >PPA08432 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig166:115385:115596:-1 gene:PPA08432 transcript:PPA08432 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKRLRQEIRDFANGKTTIPINVPPPFLPFVESTSLADGSINGDYSDLLLLLFFDSLVPDILKQ >PPA08451 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig166:252544:254141:-1 gene:PPA08451 transcript:PPA08451 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFADEQIKQNRRLHFIALVIALVMAAATYPLQLFISYRLFTSRITAPIYKLIILNGSLNKLEWIAGYLMAFSYVVRIHCTFLIATNRFIQCRSGSDRWLLSIAPPLIYFCILALSSFALNIYIVYRLITMRMQVHASTVKSSTSSASERGLAITSVSTMFGQSLMLVSVLLATVPTVRHALPFCGSNRSMPLFTPTSIVKVRSKLNSSHSKE >PPA08429 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:91334:94005:1 gene:PPA08429 transcript:PPA08429 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDTTTLNTKTVAEILRMGYTRIPVFSGDRNNVVSLLFVKDLALLDPDDNFTIQTVCNYHQHALRFVLHDTPLRVMLEEFKKGDYHLAMVNQIVENEDSDPSYQLQGVVTLEDIVEEILQAEIVDETDAVMDNVSKMRRKAARHGEHRLH >PPA08419 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:32270:46064:-1 gene:PPA08419 transcript:PPA08419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-crb-1 MRVSVSFLLREVNCDSQFELGRVIRSSSCSNGGKCVRGLAATFCECPNGFEGQNCETPTTTTTTTVTSPSTSTVTVPVSDPCAHVRCANGGYCLLSNGEPTCACPASFTGTWCERRVTACASDHPCKNGGTCRELGDDEGSFECICERGFSGNFCEDRVDVFQSLPTPTPEELAELPSSSSSTSTPSTTTAEKTTSTTVSMTSSTTKPPSTTTTTPPQMVIMPEAAPEVTCAQCLHSTRCIETDEGAVCECDDGFVGPRCEHTTKSCSGLACPAPTVCRRSTTLTTSTIACGCPVGFSGSDCSLATAVSFSAQSLFVHQSPHVMIGSTSGPLPYSASLSFRTTLKDVHLISGEDLFGTKLYSVFLEDGLLTMNISSTTYIILPTRVNDGEWYAMELRKAEKDLLLSLSSPTRHLLTRPLPRSSSFDVFSTRMGKISSTSYFVGCIADFVIDGEYRDMAALPRGVALTRGCTHHSPCASSPCGTGECVDLWDAFSCVCPSPLLPPLCRHALPPSTFGHENQMSYAHLGISNGVASELKYSSSLSFLVRTQQEEAVLMVLGERVEPGDDARDLATFMSLEIRNGTMHGRARVGRKSIIDVDSETRVDDNEEHLVVLSREKSTLKISIDGVPRGEAQLESRFDYPLFTDSLLIGTANGSAHGAFTTENHFKGSLQDIRVNDLSALLHPTSIEVKALGSLHHSSGILEGLISDDLCSSSPCGHGTCSLLFNDYACTCKRGFTGRKCEQEDHCIDHSCPTGALCYSHTDGYSCSGPAHFVESSFADFALSSAIPVEKIEFAIRTNSESGHIMTIEDFSISLVDGRLQLGGGAPFQLKQRLSTGQWRNVSIHKNVVRIDGQIYGIPRASSLSHPSASAIRVGATTSMDSFWGCIKGISIESFTPLSFLSNQSPTIGWTAVRRHRIDSSGCRAVPQCGRSDQCMNGGRCEDVWNKHMCHCPAGFTGEYCEREMNECTKIHCGIGHCVDRIGEAQCSCPSGYSGASCEVIDDPCDSHDKCSNDGVCRRSNSSAAAWNCLCLPGHHGATCALEGSSLCSSDNCAGRCVSDSEGYSCECQEGWTGERCEIPPSPCHSTPSHSPCGSHGVCTTAADAVGGYKCDCLPGYTGSHCDSLSSSCSPSSCSSHGDCQPVLNGTLCHCIAPWAGPSKINLDRSCSLRVGGCSLVPCQNDGECMEESSGETKCKCMEYYLGDQCEVAGSCLTSPCVHGSCRQKTPTEHMCQCEEGYRGERCEVEIDMCETAPCANGATCSSSRGQFTCKCAPGFDGSLCDHDIDECLSSPCRNGGKCIDRVADYECQCTENYGGRTCEDDVDECATVPSACVNGVCTNTPGSYQCACTMGYLGRRCTLRNPCVPDSANRTLHMCVHGDCARPQVKSDGGREWVDSECSCHPPYSGPTCATKIEESRLSLAYVVGPVIAVMIVLALLGCALLIFVLKGKGALQGHYSPSQQEDMGRYPMGTMLKLPPEERLI >PPA08457 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:266865:267143:1 gene:PPA08457 transcript:PPA08457 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVNSTPEKQTECENKCRFTFVTNQMVRNSTLNVRCMNSCLGIVPAGGQ >PPA08431 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:109154:114416:1 gene:PPA08431 transcript:PPA08431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidylate synthase [Source:UniProtKB/TrEMBL;Acc:H3EFC1] MSIANEPQPKNVDEEKYLQQIGEILKNGVVRTDRTGTGTISIFGMQARYSLRDNTLPLLTTKRVFWKAVMEELLWFISGCTDGKVLADKGVHIWDANGSRDFLDNLGFKERREGDLGPVYGFQWRHFGAKYEGPDADYTGKGKDQLAEVIKMVKEDPDSRRIMMSAWNAADLDAMVLPPCHTFSQFYVQNGELSCQLYQRSGDMGLGVPFNIASYALLTHMIAHVTGLKAAELVHTLGDAHIYSNHVEPLKIQLERTPYAFPKLILDESIKSIDDFRSEHIRITVA >PPA08446 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig166:235125:235364:-1 gene:PPA08446 transcript:PPA08446 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEFMALNFVKNNPFFKKDAEKRMSASFCGSMSLVSETVMINLLTKPLDANAI >PPA08465 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig166:342953:344674:-1 gene:PPA08465 transcript:PPA08465 gene_biotype:protein_coding transcript_biotype:protein_coding MWTQLGDEWAVNEAVDFAPAFELESDLPEVKLFGKWNLQEVNVADISLVVYITVKEKYAKTSCRCSSTPLSCRLREDSTRIGRAGTVRRQSVDVAPFRRVNQAMWLLGTGAHEAAFRNIKTIAECLADELINAAKESPNNYAIKKKDKPERVAQFNV >PPA08415 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig166:13554:14040:1 gene:PPA08415 transcript:PPA08415 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVIAHILLIVLTSTIAEPQCEWLGCGEPNCVDGFIEVARSATPLEGILTVFGEVCSTAVKTLCCLIGFTGQP >PPA08424 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:64346:70705:1 gene:PPA08424 transcript:PPA08424 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDRERERDRDPSLRKVFMRVYKSDADVRGACNAVGAAFVVGFGSTIGWDILSMSYFATFGVVLLAALYHARKASHNPVINCQAAFLGFIMGTAILKGGISGHPHYMIFSLYVFMLCFFHFTEFIMTAFINRRALQPDSFLLNHSVAYWVAAASSWVEFWTEAYFFPLSKTRFLLHIGLVITLGGECLRKLAMVHAGSGFTHRLAMTKRPDHRLCTSGVYSYFRHPGYVGWFAWCIGTQVMLGNPICTLVYAAVSWKFFSDRIIDEERDLLHFFGEEYREYQVRKEGMV >PPA08447 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:241316:241912:1 gene:PPA08447 transcript:PPA08447 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYGADCEEALDRMLDLGFLEIAKYYTSAVYGTGYNSVIANIFRPVAKPGQMYMWEEDFYLEF >PPA08439 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig166:183573:183814:1 gene:PPA08439 transcript:PPA08439 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLGLAVCVRENRSARERSDRNESEMRMIFEQWDSEWSEMREEEKISGHIGNSLNEV >PPA08453 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:257328:258848:1 gene:PPA08453 transcript:PPA08453 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTADQLSYEVYDAFYTRGTTFKPQSDIVTFLSAYNFRVQSPPSTQSNEATVRLIGFDNALDNNVDGCPYAYKTPNTPTFVGFSIMANAPIVSLVIGKKEALSLQTDYVFNSVRDLSIDGFFTSPGWNGCAKPNNGGFQSFRTPDDLMSDSYLLQNHINNFTVTMDILPNFDSKHQLNVTEGNDLRKIYSVRNAATTTRFPQYSCTNN >PPA08425 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:71342:72431:-1 gene:PPA08425 transcript:PPA08425 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYFRSVHPIWWAIIPPALLLNFSCNIAFYAPNLLRVIPVAGPLIADFSIANPQIVRYTNLFAVAAHAGEGLLALGLSVSSGNSFATSFKWFVQTFIFGFPSLRMILGYKKKAAQGKKNKRDLGA >PPA08414 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:6869:9655:1 gene:PPA08414 transcript:PPA08414 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHVPLFDYPEFFDRIISSDDTHVDLEDAITFPTVLRRPVEYSWESVNVFEKRKQIGLNDDFLDVCAKIDSRIETERRTGFETKMVECLRSMLKLIEQIINPSTKQTIAKKTVNTESTNRHQVEGASLLASLSPNSLPTVKCAGRVKRVQRKKITEQKSDQSASSSSSDRSTPIKSKLSKTDDEASKENIALELTDESDFTAYSEEIHWVRCSLAEEDVTPSPLSITSLCTPSSISSSSLSIQLGKLWEETRKPFPLFKYSKEMSNEQDPLTVYYFPEFCPSSTIIDPNDLVLSSKKITILVLLLVCLVAFSAGHPCKWRGHAPMCFIGSEWECQPGWFEVMKSPQVVPGVIAKFGGTCNLLLWWGYKTLCCENGFTGQP >PPA08422 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig166:60124:60766:-1 gene:PPA08422 transcript:PPA08422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EZK9] MAQSVPPGDIATQPGTKIVFNAPYDDKHTYHIKVTNSSARRIGWAFKTTNMKRLGVDPAAGVLDPKENALIAVSCDAFAYGQEDTNNDRITIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA08437 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:175214:176871:1 gene:PPA08437 transcript:PPA08437 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSKKKSAKSKKTLVPKKGGANASNKSKKALPAAKGPAKKGAPGAKSASTSAKRTPNGKTPAVKGAPGAKGPPGGAKGAPALQRVPADKRPVKTPSTASSSKSGGPSSASGKKNGASSSAARSPNAADARQAKKRENHAKSLNKNVAKGRQDAPTSDVTTARSPPCDEPKKASKEKAAAKMPAPPPRPASRGGNAKKQQEKKAETSQSRGSSSSTAAARVSSSRAAKPSRVSRSKLPMQKLTISSHIEDGIVVSQWYYTELRELGTPPSSVADLLQGGPRQQLTLPQQQV >PPA08448 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:243266:244852:1 gene:PPA08448 transcript:PPA08448 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIMVYAQIDLSLSYIREDDSLADAPTITVPIDSITNFSSCYEKVEVEGEFVDSQVLQLDFPNNEGWNVRLAFTEEAKLDIIRGDYALFQVSVTANYSAMPDFFQDAREEFEIRQSSHHFTYSLTGQRSERVCEMKR >PPA08428 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig166:88791:90795:1 gene:PPA08428 transcript:PPA08428 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSGISILLDDLTGSGYMALIASSAGIVIFGEIFPQSLCVKKGLAVGARTIWLTRFFMVLTWPLAYPISKLLDCILGDEVVAYDRKRLMELIKMSTAEDGLVAEELKIAVGAMEISDKTVGDVMTKIDVSTD >PPA08461 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig166:317388:318069:-1 gene:PPA08461 transcript:PPA08461 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKVYLILLLVAGVSSLECVFEVQAGATRLDVEGGVDKCESSDQYCAVLNYNGIYAKGCSKTAEKITGVGMPAHITCTEEKCNEDNSLCCCKKDRCNSAVGMSVAMSLFSMLVAGLFVKN >PPA08416 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:17872:18973:1 gene:PPA08416 transcript:PPA08416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lin-32 MTSSEIKRESSSGDESEKRGRGKACLRRYKTPSPQVLRMRRCAANERERKRMTTLNVAYDKLRTVLPEYDSGRRLSKFDTLRMAQEYIAHLNKILKAPSSQKESRSQFVS >PPA08436 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:144975:151487:1 gene:PPA08436 transcript:PPA08436 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFIKETFTSGVSYVFPGKCFDELLVKYKFDNSACTSLVISRLLGLAITAGSCMLFFPQIAKIHAAKSAQGLSLCAQLLALLGGASTAAYSYSKGFVFGQWGDSFFVTLQVIVMVMQILWYSPNKAYTFPFFSLCWAGFFAVQGGYVPMQFLMWLQAAGIPIVVVSKGLQIWECHSARSTGVLSIISVVMQLGGTIARVFTSVKETGDALLIGGFAIAALLNAIIFAQFFIYGPSKKDDKKKKQMTTATVSSRLSGFFRRRGTAFVDFWKRLGEDYASTARGTMEEARAKPWKAVTTLVASGVLIAAHRTCPDELSMWDDLRERRNLMSTVPPSEHSRKTGTVGVKPGNVWLCKEQTMWDDLRERRNLMSTVNTAGRRVQ >PPA08418 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:23426:27005:-1 gene:PPA08418 transcript:PPA08418 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLGGQMIGNSKGAASSSSAASALSPYADFAAPSSSSSSSSFMPSYSNYGGNGILRSSSSNGMFARAAQNLLSEYGGLQTASRSQAPPPRGQSGFQSLLNTFLGSSVSEDNLPPPPTPRPRPQRSLFEQFLGYSKGGYQEEAKGGQSSLIFALEELPPPPPPPAPKQPARLIPSFADLLSPSYDSKPSQQLNFLELLGMERPTTTTTRRPMYIFDRSEKYGLAQGASDIDGILNALMRSGARPSAPTEAESPSSAGLLAQFLGK >PPA08441 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig166:191287:191679:-1 gene:PPA08441 transcript:PPA08441 gene_biotype:protein_coding transcript_biotype:protein_coding MICKVSDRVLFLPPFSYHSSPVPGQLLSIRESGRQGEKQSSMGACCCKQKKDGASKNTDVKKKLVESPPHDQTEGNYENLTIHR >PPA08467 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:348729:350383:-1 gene:PPA08467 transcript:PPA08467 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVHLGMSPGVCTLYQIPRVISYNYSVLTASDECRCLTITCAKRGWRLAVKGSIVHKIRCQGKQWMSATGLLATSVVCAKESPTGPTDTTTTVDITTTTASTTTEPTTTTSTQTTSTATETTTPPLSRNCIPPPEPWRCNKAPFPLAPFSPVCYNDPGDGAYLISKCLNNEVLVLAVPEVPGYYLPSEGATWCIPEKRTYSSVTLSRPRFEEVPQISCMACPYMSGDVPTTFDGAHEAAVYNECTVRCNNGVLKGKCAGTFVAVPADTAYYSYNGPLAKFEFIDRVLNVYDHDFYCETSDAGK >PPA08438 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig166:182352:183137:-1 gene:PPA08438 transcript:PPA08438 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDGDQARALDRLKALIVHPKTTTLDITRLIMLYALRFEGANAADLRALVQKTRSNKKDIRKRNKVSRFKKRVTLR >PPA08463 pep:known supercontig:P_pacificus-5.0:Ppa_Contig166:326041:328725:-1 gene:PPA08463 transcript:PPA08463 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSDCSYAVELYDLVPLQILQWFYILTSTVSIILVFYSARHCMRRTIFENVTKELIIFLYFFIVIYSICLILTQLSQLVYRYIAREKCDAQVPKTWCIFRYILTVVACSFAILHSGITAQHGLSAFSFGKRAQMIVSRVAIILSFVYPAIYGVMAYYKDSLAGRTAYCSGFTANSEGVLMFNVYLVLVMDVLNALASLLLWKYNTDRLRAEQSYDLSISFHRRQNLYAMRQFMPIATLHAIVYLVFFVTVYFGQHIKSTMSPGWYLFTSAVANVIPHYCFLCPLILLFLIRRDRFERTSHIYFGALKDQWNKKS >PPA08454 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig166:259407:259932:1 gene:PPA08454 transcript:PPA08454 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSEITGTLNFLNPQPDDDISDRLHYYYTTTFLLLTAVLVSLKMFGGRPIECWLPAEITLVNWGMMPCSGSVLPKHPI >PPA08459 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig166:271462:275899:1 gene:PPA08459 transcript:PPA08459 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPLDWIGRELPLRSRGREKRTEVDESEAEDANETVDERERQKKELFERKRKLEEEMVEIYKQEGQAKTVEQVKVGCKRSGSGKSESRRLGREAGKCIEASTTGFKERCRLRDLREKRSRRKRESRERQMKTAEEKSNEERSVEMDGDKGIAMKPPSPKPSTPKEVKPEVKPTSPPVAKDAKPEPIPSVCPRMAMSRRGSTQTLKNKELSVTDKLPLGDKPSPAAIARAFDRDEIGLKRLDSSLEAAKKAEADAKKKEYQA >PPA08497 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:174273:174618:-1 gene:PPA08497 transcript:PPA08497 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLPLNVTLSILSPTIHWNSISLYVGCGISSLLCTSLFYIVHIHTFVNDFAQVLPAKFACRGLSCKV >PPA08513 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:252365:254370:-1 gene:PPA08513 transcript:PPA08513 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGRTALITSASRGIGKEIGLRLAKDGANIVIAAKTATPHAKLPGTIYTAAEEIEKAGGKALPVVVDVGDEAAVQNCVDAAVKRFGGIDILINNASAISLTGTLDTDMKRYDLMHSINTRGTFLMSKACIPYLKEGKNPHILNISPPLLMETRWFAPHVAYTMANTFCRFGMSMCALGMHEELRPHGIAGNALWPLTSIWTSAMDMLTQGEGAAGSRSPKIMADAAYAILRKDSTEYTGNFAIDEEVLRAESVTDFDQYAIVPNAPLIPDFFIRDGAYNNQFSTKKKGEKTN >PPA08520 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:279497:283499:1 gene:PPA08520 transcript:PPA08520 gene_biotype:protein_coding transcript_biotype:protein_coding MSITTILENLTNSEFQEYCIGRSSQNYETFKDFVLFEDSKINPEQSYTKVLEKFRIVNDEDIETRRKSKSFSRRKESSSVKDVDVHPNKYLSAKCGLITAIKPDGILLNELCLQYKDIKSIKSMHDELKKHLLELDYVVLSHVPSCKNTHWMRGSAILIHKDLMGRKKQFEEIATVKDKTLNVLEVKAGLGYKPTKIDYVFKGKNEKVIVKVHKPFSPDDIKRSEAHYPIEFVRMILTVKKLIAIVKREKEAQKIADAARKKEAAQQLNGVSAAKEQAKLAKKADDERKKEEAKELKRREREAKRAETEQLKREREEHRCFRSEDKKEARYREKLVENIRPDGILLNELRLKADSVQNFQNKFKNQISDLGYAIMRVSLDTRLGYSYPQELPRTMQTLFRGA >PPA08494 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:165483:166306:1 gene:PPA08494 transcript:PPA08494 gene_biotype:protein_coding transcript_biotype:protein_coding MELKTNCTIRQCAQAHTVSNEQCCFAGVDPMIGQVFGCESQCPDFNGKSEAKSAVNDDQGHTNYCRTAEGSCKFS >PPA08511 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:235852:237815:-1 gene:PPA08511 transcript:PPA08511 gene_biotype:protein_coding transcript_biotype:protein_coding MVADLQMVKGEMNSLKKRLIEAEELNEILRREKNEEIDKLALDYEKDVKEKAKEIERLKNALEEERMAKILPKFPALVIDKLCKKDSVNSLRKSIVVTKPPPTPVGKMVHVKIDVKKAGYEALDITVEDPGKDDVATTVTEVESGILQLEFEARLLGNYLVHVLYAGACVPGWNQSCGVYDASKITISGMNYNASDNTISFHVTIWRVGTGVLKVAVNNEIISAYNSNR >PPA08491 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:141260:143554:-1 gene:PPA08491 transcript:PPA08491 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLIVPLLSLIPDCVLAAPSKAMCNVLYRFVEQYHADRASAAAWLSTNCYRIRQRPADMSCADMITFARDCQYSLTQEVRTETYNRYLASVQPTTLHKYIHHNRQTVYDYGHFGNAYYPNYTPWHEISGLYGTPRAQAAQLENEWQWTRGGNYPYMRADNIVNQALQQTMLPTCLHDVIYCAKLSIAQQQAARAANAAASDPSILQYDYDIALGC >PPA08501 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig167:198952:200387:-1 gene:PPA08501 transcript:PPA08501 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVPREPVPKPATREEHKTLMKKKKGRNGKGSSFTTKAIKIEDRECSPMSEVSITDIATQSLVKKEADHNSRSASRTKRFDDEEFDFVVPSTPSSLPRSSSLVSSASGTLSNSQTESLIGQSDRNVGDGGVKNGPYREVADTLLREVFERAEKAEKRAEDAERENDELKKKITAMQRTMKLGVRLVTRMADHPDRLDDVEAMMTNLLAKWNNQTAVLGRCRCQNK >PPA08508 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:228170:229114:-1 gene:PPA08508 transcript:PPA08508 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGAKSSWGDEAVGHGSGAYKGTPMFHTIEGHAGFVLISAIIPLDNRTADADVASPPTNEECVRSDTSAMKKQIAAMNAKINRLETEKAERDVIIAQLKKNLSESTAKINELTEKNENLEKNFREALSKLDGVKL >PPA08499 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:186994:187427:1 gene:PPA08499 transcript:PPA08499 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTRAMAAAAAAAPPSFTVVSLRDVEFCFSEKQSSASRGGSPAPSSISTHFSTAKAESTSPSVIVIEEEEDEESVHELLDQDRSPPSTGTRTCTTSAAADL >PPA08503 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:210042:211270:1 gene:PPA08503 transcript:PPA08503 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLVGVVSTGSLVFVALSMVYIASMVNDVQSLQEEVTVNMDEFKVMAESTWSRLVAMHVNPTGSSDAPPTFATLLGRNKRQANSQCNCGPSSRGCPAGPPGPPGNPGERGADGRPGEPGRQGANGIALAVTFDTPGGCISCPPGPPGPDGEPGFPGPDGQPGQPGLSGPAGNPGRDGQPGAPGNNGERGRDGQPGRPGSDGQPGVQYTPGEAGRDGAPGRPGPQGPAGQPGQDGAPGQDGQPGENGKDGQPGQDGQSGQPGEQGSDGLPGADAAYCPCPSRTSSYSEPVHAAPPASQGYRA >PPA08509 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig167:230311:232007:-1 gene:PPA08509 transcript:PPA08509 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSTNEIMVETPSIQDVNSLSISEENERNASSIADVVDKILKKAKTEIIGELQKIIDVKQSAHGTKGKVMGTKDEEIERLNKELGRVIKEKNEEIERLKNMVDREREAKIPPKHPAKVIDKFCSKVSVNTLRKTIVVTVPPSTPIGKQVCVKVDAEEAGYDELEIMVKGYESINYFFSDSDGDEVATKTIEIEPGVLHVEFKARLVGYYKVKVLYAGEVSFTLHESKAGSGITEVAMNGEKISHNGLRCGDKLYNITVDEKKDYVITIRFNGETVPGTPLYLKSGEIPK >PPA08510 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig167:234331:234812:1 gene:PPA08510 transcript:PPA08510 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVLKDRKLLRCPESTWNQVEMGFRVGIKYSTPAPFPQVGIKQAYVPAVSSTHLAYKVPFHSPVLIAFGHERA >PPA08500 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:191892:194651:-1 gene:PPA08500 transcript:PPA08500 gene_biotype:protein_coding transcript_biotype:protein_coding MLRERASAVARSAEGDAAPSATDAVEAANAAVAAAEDAAAPPSCTISLDLLSAKRELYVHYFHRTCVMNWMETREHLLTILDVFLFLCEASSIASSIYYPLPAPTLNIILLTFVTVQLEIVDGYPSRNETLSMFIYLIHTILGTIACALCYQISWLALIPPAINLSFALLILCGMFHKELEKCLPCVRFSSVAPWLVVLAVPGTFLADAFEPRVTLVLSLTALVDVLLLIRVEKWVYRPSTCRLDC >PPA08489 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:131800:133861:1 gene:PPA08489 transcript:PPA08489 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRAGEVALKSGSSPKCKWIFTKEEMKNTASLREGLDEFKELQLRQQSTKFIQLLGDRLNQNLRDHRGKITQLCMCAAMIHLHRFFYFHSFTFFDYRDVAAACLFMSGKSEECPRKIDHIVRAWWNEKFPGIKIDQEKYDNAMGLLVAIESLILQTIAFDLKVALPHPLVLKAIDNRKITKVVYFFSTDV >PPA08490 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:135195:140630:1 gene:PPA08490 transcript:PPA08490 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSEGGTKWYHKMDPTMTEDQLNEMMIEFIKVYKTCKNELAITKFIKKGEIRNPISDADDRATPPALMADDMRLPPPPPPPLSLASKKVDINAYKERRATEGGSASGHHGQHSQQPQQRRSFMPELAKGVTASMPGFVPPKQEPPADVKPDRAGLDWTRESGDRNQTERKPDLTRGRAPVLLAAAAVPTTQQRQFVAAAAAVVAVVVRRRRAAVPVHAPAAAVGQWRSGDGEVHDEWRSGEGRRQGAKREAEEGIQQFQSQFRIVFDKRARPDMAMQQGGPPPQQQRGHSMMPQQQQVQQPQHGYNSVPPPLMQQTQPHFGSSSKHYSGGQPQQQPQHSLSNGQQQQPYGRSQQMYHQQQPGYGAAAAPQPGYSSSHHSHHPSSHHHHMQNGYMSVPPPPPPPMATTGNDMEDVINVCSLSSHDLPPSIGQGLDPSLPKGLGLRVDPCLELLHKCVSVLELLSSTEVREGAETVVVARGQIRGVRWVREPFHLQLVHFLLGDFRMMRARVVHEHEDFALAQEFGRDPDRHLFQLGSEEVSLDGDAGREDLPVDGTEDGEEETEEFLLSVKFRLWSLLGFLIDVHPLKFPLRIIVGDPLLIHGDDVADPIEIGSTLSCREVMRNHLGELRCLPSIMQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAAYGGIPSPFDPGENNEAKRSGSLEQCS >PPA08498 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:177814:178684:-1 gene:PPA08498 transcript:PPA08498 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFEGTVIDRPSIADINKPIVDYLYIGDVMLSPEDLRRLDIVAITEGKRGKRGAPIVTSTRWTKTQPIGFVFASDIDAKTQTVIRTATQKIAENTCLSFKENSGVGTQLQFYRGGGCFSFLGQQVGSFQKISIDFSYICYESDA >PPA08515 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:259705:261521:-1 gene:PPA08515 transcript:PPA08515 gene_biotype:protein_coding transcript_biotype:protein_coding MDFILRIATRLINWIFRPTNRPVKEKAKGKGVKKSLPPKESNLRAIRNFTITLNELENKTREICKIEADYKCGRIEWQLFQDYAIDSSGSLSVKTDASFTEIFASITAGSHWTSLTIRNYGLTTNFTTNFDRVMFTYRGFPVSLNELHKLGGILSPLPPSPVNSEKNFPAPKPDLHFTKALIALWPGVDGPEWHHFRMSVFGNARDGITGRTRLHQWLFSESAIVYSNGKDVSIHAHDLHAHDILIIMMNCLRPERIFRCDTEFWGMQFRGELFDTIEIDSICELVFGKKTSAIMIRWVASSARYRLA >PPA08484 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:90464:95948:-1 gene:PPA08484 transcript:PPA08484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gar-2 MLPLESEEPPPKKFSVATSKFHNGSIANNYGTLREEDRPRRECIRVAYNNPVYEEIIERIPERCVMLKQRSARRRNAGKKYSRILEVEASPPRVHRNIHQEPSSVIERESTAPYCESESSSSDDDESTVLDSMGPPKSASIHGFIPPDFHNQLGVDLDGNPINMVPLPRSATVTPAAVTGDASASHTPVTSSTPVGAQPAPFPSTSTLVPDRAEPPLSRCPRTLAIDRREERKSLKRIRAATVASTPIRRHSSHPPAAARTSEGAADQLQAGCSSSAAAAHHHHMIVTASSSKNSVPTIAVSHVESLRKEGRMWRMLTALKSRSGRKRVKKEYKSRSENRARKALRTITVILGAFALLWTPFYVMATIYGFCESCKNSPGFNALYSISYYLCYMNSPINPFCYAMANQQFKRALTRIFKLDFRRRLPDGWRNHVVDEEDKQPTIIPNLTQNYFIGKFYNCSKRCPDFREKAEDVDILNSDGFERYCTNAEGRCKYNQ >PPA08474 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig167:9830:18830:-1 gene:PPA08474 transcript:PPA08474 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQKLADKETEVDEKEEDVPMAQRKRFTRGEMQRVLLERNQYKERLMELEETVKWTEMQRAKKAQAATGAPGKKQGGMWDFFAGLFGGDSAPPPRRGRNSVPFTAVSRKVLLATKDSMKRVNPSEEEFLVLLVLSFWKTDPISRKATSKMTKSADFIDGDIISERRQAERREQYRQVRERVRKAAAGRLEACGWSVAPAGEGSSASIPPSVPVPVCCRPLLDQQPTLKVWCATGVILRGGRVPGGGAFIVGQQSAVFAGGAPTGGPPTAEQQAPALPSFSSVPLDLSSEWASSSMVWVASSNQGRSHVAILDANNPNNVVDAFHVCDSHLLSITSVPGVFESDPSMVEVEAKKLLRGSGGLKECPAGLDPVELGCIEWCELRTLEAAEDGVETLCTIDLKPSPERKRDFSVSEVAPGGSDAPGEYKLQCRVMIVLHANRSIH >PPA08478 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:42371:43331:-1 gene:PPA08478 transcript:PPA08478 gene_biotype:protein_coding transcript_biotype:protein_coding MHNDHASRMVHYIIHRPYRVYVCFALAPVSASNPRANERANGRRRQAKIQSTQWMIVIRGHSGEYIQHSWGFFNAELAPRAQEWEMLTPVKNPNGSWSFKSRWNKWLSGRGTVSFEPHNGECEQWWLEPW >PPA08518 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig167:274198:275009:-1 gene:PPA08518 transcript:PPA08518 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVLLPLFAALIAAVALALQCTKPKTGSKEDASQKSTSMRFGAVPPGC >PPA08495 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:166822:169967:1 gene:PPA08495 transcript:PPA08495 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLYNLMSSPAEQPEASPKDDNEAVDASLPIEVDADDFDLVDVSQEEGQEGAGDEEENPREKTEEDRVESTVEATEQQEIQDHTRGLIFEISNRNAIIAQMKGLLEAKDRENKMIESGKNDLLNEISSRNEIIGQMKDLLAEKDRAFQEQGEIKEREMKSRDETIAQLKSLLEEKDEEIKVRFLKLINGNCVIKYVQMLESCCENEESLHNFVENYKNEEEKLAGSLKETRFYLVFFFVYVICIVLNGTRYITGMMSFICFVVCFRFFKEVYVERKRQANLSTLMDSLMSLTPSTDEFDSDSEESGPDPIIPGPIRCIVLQKENGEFGMYLIVRDNLMITGCIPDSPADRAGITRGDEILSINGVIVEAASYDNVLEMLNGCVGETTLIVRYNPQKLNN >PPA08480 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig167:54107:54658:1 gene:PPA08480 transcript:PPA08480 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLADGGRRRFMAYNEWYLSDQPPRFWEFGRSQLLFPEDPEKKQQWIIVKMNATEIAIKSVGGSYIGHGVLNEGVIAYEAKEWEMLTPVKNEDDSWSFKSRWNRWLSGGGYSHGYLDFMPSNLKCERWKLKPW >PPA08486 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:113482:117883:1 gene:PPA08486 transcript:PPA08486 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSEGGTKWYHKMDPTMTEDQLNEMMIEFIKVYKTCKNELAITKFIKKGEIRNPISDADDRATPPALMADDMRLPPPPPPPLSLASKKVDINAYKERRATEGSSSGSAAHHGQHSQQPQQRRSFMPEMAKGVTASMPGFVPPKQEPPADVKPDRAGLDWTRETTGGDRNQTDRKPDWIKQEPVDRKPEFSREDAHRSSSSSQQRPSRPPSNGSSSQQLQQSSRSSSGGGGHQYPYMHQQQPSGSGDRGMERSMMSGDRGRDGDRERGEKRKRESSSSNLNSDKRARPDMAMQQGGPPPQQQRGHSMMPQQQQVQQPQHGYNSVPPPLMQQTQPHFGSSSKHYSGGQPQQQPQHSLSNGQQQQPYGRSQQMYQQQQPGYGAAAAPQPGYGSSHHSHHPSSHHHHMQNGYMSVPPPPPPPMATTGNDMEDAPLPSHPDCQLTLFVSRPNLRLLYAIRSIPILTSAITRDS >PPA08519 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig167:277462:278631:1 gene:PPA08519 transcript:PPA08519 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLLFFLVALLLVVGVAGQAGAGAGAGAGMGSSLLSNLGPIVAPGALTGLPVGTAGAGGAGSAGLGLGSSMAQQVASGL >PPA08481 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:68930:72830:-1 gene:PPA08481 transcript:PPA08481 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEESDVVESSVPASCTGTAIAPKKLWIKAEPRSCADAVRRQQKAVYDVACKIPLPPTSPPGSPRVKKEEGKKKDGTGKEDDEKDLEIIDKPPAEVITLSDGDEDTVATVPGDTVAGSTVAVKQEARPREVDDDVWIFASKRIPKKKHVFDPTKVKKEKEEKPKVSHAAQTPPILPFNTSRVKVEPAEKARMSGVKEKDTTLQVRARITSRNSRAKKSMMYRRCVSQLEEYDTYGRVRYPPYDRSIDRFQARKAVKAESSDGEWEERVDRQPRPLSAVPPTDCEKQDAAAADVLQAEVGAALARLKTLIPEIGETVQSARQQKKLQQVQPQPQLHPQQRKLRLLKKMQRQRALQQERQLQQPQQGRLQRLERQSDGPYPAPGSFMHALRTGCRKRHRCCDADEVRRGIRASRDS >PPA08485 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:110462:113007:1 gene:PPA08485 transcript:PPA08485 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRAGEVALKSGSSPKCKWIFTKEEMKNMASLREGLDEFKELQLRQQSTKFIQLLGDRLNQNLRDHRGKISQLCMCAAMIHMHRFFYFHSFTFFDYRDVAAACLFMSGKSEECPRKIDHIVRAWWNEKFPGIKIDQEKYDNAMGLLVAIESLILQTIAFDLKVALPHPLVLQAMHAIAKSCASRRGAFGTRRRRSPSPACTLCAPGLISRLDIYITLHLHLQRGRRRAARKPRRAYAPPRPVARAQHAPHRSAARAHPTHLAANSASTPLVKKCSLQAPRRCVRREKRAPRA >PPA08479 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:45252:49669:1 gene:PPA08479 transcript:PPA08479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-coq-8 MLSIQDSDTVPQPLLDIFERVRHSADFMPKSQVNKQMTESFGPEWRSLLKEFDEKPFAAASIGQVHRARLLDGREVAVKIQYPGVAEGIDSDIDNLVSIMSIGGLFPKGMYLENFVKVARHELKAECDYEREARAGRQFRELLKDSKDFYVPEMIDSLSSSRVLTAELISGRPVDQCIDEDQRVRDWISAKFIQLCLKEIFEWRFMQTDPNWANFFFGRHPISGDNRLILLDFGATRSYGKPFVDLYMRILKAAYDKNDDEILKHSRSIGFLTGYESAVMEKAHCASTMILGETLASQEPFDFAKQNVTKRIHALIPVMLEHRLTSPPEEVYSLHRKLSGSYLLATKLKATVACGPIFRSIYDRYTFGNFDTAVEIDIDRVD >PPA08483 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:84952:90226:1 gene:PPA08483 transcript:PPA08483 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKYHHLPESRTVSRLSKCVKWLRRSPLIQIVFGVLVGYSLTSTFRWEESVHDVADKILTVVKSHNDSSPIPDFFLRCVVMIERKTQKPTSFTSALAEGWTRRCNETIFYTNSKNVAKVVKDLNVVLIDSPLGPFHWQFYKAVVDHAAKTPVSWTLVADEMLFVMVENLRKSLADMEPTKPIVVGRIMESRYPDLISSLMASFFPLINWRSVSVEAGVVFSNGAVDAMVRNWDTGFLDPRSTGRALFSCAQSMGVQLVDPVDEDGSHLFHDKNMKSLIGKKYPDRHEGHGEKSCCSEHAATFGGMSYKEQRVAEYANYHVRVFGNEGTHEYVPTTTVKPTTKAAASPKKAASTEAKKNVTAKMRRRANKSLEAFCHL >PPA08473 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:4959:7161:-1 gene:PPA08473 transcript:PPA08473 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYDAVASAPGADGGAAAPAVMDSAQPTVWMGSQNQYIYIHSAITDWRRCIRRIKMPDAVLAIVYVAGRVFAALANGTIAVFHRNKQGTWSTEGYHVILVGRAFNSVRNLTVVEGRLWAAYKNCIVVINPTTLDAEGGAEC >PPA08482 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig167:77018:81226:-1 gene:PPA08482 transcript:PPA08482 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLAELNATVGDDGSASKKPDRPSSPLRPNPKYCSMEQPAGFRPAAANHRWTDKKGQKPTAFHTSPHLQKRGLVWRPDDDRPHSTRRTSDPPPPDPPPPDRALPEPMDRSPSPVDYRTPPRLAAPLPVAGLYTREKAIADWDRQMEVEEIERRIRERVQREDLERRKEREREKARLLLLKPKSNCLSNETYLTHDQAIARQREKDEQERKRREEKERREFQARVEARRRVAQRNQRIGEQRAARMTVGSAQ >PPA08504 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig167:211591:212836:-1 gene:PPA08504 transcript:PPA08504 gene_biotype:protein_coding transcript_biotype:protein_coding MMAELDVARRTEFQLRLANKTITASLNSKSEELEKTRVMAHRYERHLREVEARLDDISKCVYNARVLEHKVLGLLAYVNAHPHHT >PPA08514 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:257546:258561:-1 gene:PPA08514 transcript:PPA08514 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSRIFARISQDADPNTQDVKDLDELRDFDDLLLDSSHRLTSTSWAAYNFYKTGAFKHLIIGSSDPAPMSCSQLMVA >PPA08487 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:127673:128349:1 gene:PPA08487 transcript:PPA08487 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRPAQSTSATAGQPQAKRFTADEVSRLLNNLSGYSTTTAATATEPQTHPAPELRPLMAVPVVNPLAHRNSRWPQPQTTIAVVPKPERQPSNFELRLRALESQVQLILSDQRHVATKRDQDLANLKESVDSILDTMADLQDRLDNVVVSAQPESPETTNKTDPGRPK >PPA08477 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:39110:41845:1 gene:PPA08477 transcript:PPA08477 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQVLQGGKLMPPSGLFGSIDVVPHGTIPMAAETNGAESDLTPEEEAFLLKAVRSVGDKAAEAELLSLHKKYEPTLPEGYRAKAVDILNRTDGHETKVPASRIARFASFGQLAMGLGAGAAAEVTRRVFRMSSSEGASERVIGADNLFLTEANANRIVATLCRILGNSGTVNILVKILGNVNMD >PPA08512 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:240908:245571:-1 gene:PPA08512 transcript:PPA08512 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVSRLLSRAVSWFFPASQSFDQLLSEHETLLGDDEEARPVPETDLQRWIRKQKTAPYQELTNFKLILTNDPKLACKIHLHVDSEHVQPTFKKITSGCKIKILTIKVINVCSLSSHDLPPSIGQGLDPSLPKGLGLRVDPCLELLHKCVSVLELLSSTEVREGAETVVVARGQIRGVRWVREPFHLQLVHFLLGDFRMMRARVVHEHEDFALAQEFGRDPDRHLFQLGSEEVSLDGDAGREDLPVDGTEDGEEETEEFLLSVKFRLWSLLGFLIDVHPLKFPLRIIVGDPLLIHGDDVAGPIEIGSTDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAGVKGGGIFAMSLDQLTVGFRRRSTQEKIMKQNGAVVSSSFGNFVLDNRRVLFEYRGEQLTSMMTDSLRLASENLDGFPLPDHYLRFSSALVILPPKHSSMHCEWAVKFSIHTRSDFQPTASKASWYFFSKAIIYSDGIDLVVYFHQADARELLERTIRHIKSAAFCVYGSRSQRIYFNGGDVSTKLVYRVIKEITGNNPTRFNPKKEEIVPVEVE >PPA08517 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig167:269474:271443:-1 gene:PPA08517 transcript:PPA08517 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIPQNIAPRELKNFEIMLKGRYTSDMCLIHADGRSTRTRPGNASQVELSSGTKAPSSTSVKDVDQDEVVKRIAHFKQTGMVKVPEWSDLVKRGVTKDMAPVNPDWYYLHPLSRVNTFRNIYGSKPRRGVQPNGYAKASESVIRKALKRGRKDLDGIAAELRSTAAPAEL >PPA08507 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:223824:225326:-1 gene:PPA08507 transcript:PPA08507 gene_biotype:protein_coding transcript_biotype:protein_coding MPICNCGKSHCDSTTAEYAEMWRGNEEQRRQAAALTVKETVLEQTCPNRSTAILAVKNHHGRDEIAKFECFGSGGQVHDINKICFIMKLTQRMRENVQQLQQLQQSLEPANGA >PPA08475 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:29772:34019:1 gene:PPA08475 transcript:PPA08475 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAPPTAFTVSFGDEGGEGEERGGPGKGRGMTLQDAARRGRMARRSVGGGTPSAPTRGGSTSSARPSTGSSPLVRQNSGDDKGFLLERLLHGDKARPATADPSPSSSSSSGVHSMGRSSQKDTDNNSQSEGRQHSTMITSQIIERDSDASSSDTETTVSRSPSPVTRRARPLAPSSSHPSSTPSLPSPAAASNENTSRMLLTELAKLKAMGGAALTSPRGGTSTVGSTASGSTPKARQTLMSSMPNRSVFGMAASSAGLTAPRPSALPPPSPSATTTASERQHQPPIHSPQPVSNATGGGNFRRADGGRFSMRSTSGVNGGVTVGSAGGSPQTAPKRPPFKVGGGRPLSGNVAAEKQRENEMTAWLRRKDYNPMKAAMEAKKAQAAKNRSDQFVNNRSISFHVGNNGQHKPPPRGAELVRNKSSESLHATAEDEARSHASQRTYRKYSREYARPPGGMQIVKV >PPA08516 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:263175:267372:-1 gene:PPA08516 transcript:PPA08516 gene_biotype:protein_coding transcript_biotype:protein_coding MMDYDGPSSWRMDSVIYENITKDCRWGALTIRDYGKFDIDGEKAMFTYRGCKVSLNKLTKKPTRAWNAVRFSIFAHRFDRVSGTKDLHKWFFTDTTGIHSNEEDLYIRAHQLSAVELIRMTLSFLKVDNIIAEMLGKKVHALKAPVKVPEWSDLVKRGVTKDMAPVNPDWYYLHPLSRWLNSPEEDVPISTQNLANSPREMVYFELTCPCNFLGTFRGYCLTPDQKAVDEKIWWDIFKDHAVEHIYNGLDEIFEMITRNIIRREFAIRDYGGFDINGEKVMFKYRGSSVSLNKLSEIRSLISPDSGYYVRKENEIPVEGSDIIQFKSAIIALWPMVENFYRSEHELENNSFRGEVFDSNVIHSGGTIEQEG >PPA08502 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:201584:207377:-1 gene:PPA08502 transcript:PPA08502 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLFNVGDRIYGKVKPIDPIASLGVIKSVQEGADGRRYRIAYEGYGEKDGHFVEPYNAAYQIFDANIVEGVRRKRAEQFKHSLEVGCDYFGDTERPRISNEESFAVGDEVSCLWVDNNSVYKANIIDLEVSDGDFRYKVHFPNKKYKWTNFTKFGRHWDQWVEAQEVPFLLSRTKKKLEEIAKRNKALAKSKPIATGDLAKQKSDKEGDMGDKENASRKRKRRTGQFEDDVEDGNNNNEDGGGERDEAVYKQRGRRTEEFERVEEETEGKGGNFDVDDPVELKKMEGGPEDEEDEEHSEEYNPEEMEIIRCRAVDEIPALFERTKASSDEISKEDKIRDCLMWSRPKDSTPQAVPFLKLARASQFHEVDKFSVVGVSEFSKEEIECFIREMVRPETSKNFYRMRMGSFAERTTSELVHYHYHMNRAIIPEESELYHKLKEQQRRLEAIERNLMGTIESRFRPDDWKESKMRITAPLRSIVEYSNDDERHSDEVEDDNMANQEAFLNEDVEERTVMDLTNVKKQELIENSAKHLNETTMNASIAAPHENIDSDHASSSSHHVEELSVKREANENRSDEQEIDEGGEEVGSGGGTEPIDQSKPVRERSGLRKRTKLAAYLGSIGFFDYQEDLPHNSRQHTPLSESGIASGSFEVPSK >PPA08521 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig167:284356:284664:-1 gene:PPA08521 transcript:PPA08521 gene_biotype:protein_coding transcript_biotype:protein_coding MFAALNATRQLRATSVFLAELVETSDSFTKIKKITELSYFEYHGSDARDLKHTNEMLDINKQGGKLATAAVNIEDRKRIITSLDKNPG >PPA08493 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:157337:163017:1 gene:PPA08493 transcript:PPA08493 gene_biotype:protein_coding transcript_biotype:protein_coding MANPHRPLHPRSSNGLVPDARSEEWKSSTKRETLGVLEHELQRLLATSHEAKLDLNRKELSGFRNLFSRFLRAKTFVDWSKIQPLPEHAIQPYGTLPGYDKAAIATMLSKLVVVKLNGGLGTSMGCKGPKSVISVRNDLTFLDLTMQQIQTLNKTYDVDVPLVLMNSFNTDDDTQKLLKKYANVKVDVHSFCQSRYPRINKETLMPIVSDIESGDHEAWYPPGHGNFYEAFHNSGLLDKFIAQGKEYCFLSNIDNMGATVDLSILNFVLNASAAGDAAPEFVMEVTDKTRADVKGGTLIQYENRLMLLEIAQVPKDYVDEFKSVSKFRIFNTNNLWVHLGAMKRNVEQQTLEMEVIVNPKHLDRGLDVIQLETAAGAAIKNFKGACGINVPRSRFLPVKKTSDLLLLMSNLYDIDNGSLTLSGLRSFPTTPLVKLGSSFDKDFLGRFQGIPDLLELDHLTVSGDVTFGKDVSLKGTVIIIANHGERIDIPPGSILENKIVSGNLRILDH >PPA08505 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig167:213519:214138:-1 gene:PPA08505 transcript:PPA08505 gene_biotype:protein_coding transcript_biotype:protein_coding MDESFEGQRADMIASFHETEHRIVVQKKRVEDDLKQKQHELEEERRRLERAMIQMNELQNEHSEENMNQRRREEIRILESELWNEKATLGK >PPA08496 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:171196:171670:-1 gene:PPA08496 transcript:PPA08496 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSVVNNNRRRMVNHQQFESMAVGKRRRLINEPLEDKLPEFHTETNDDQMRRQPVCELLEDRLPEFPTTSPVAQTIEIQ >PPA08492 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:144163:145859:-1 gene:PPA08492 transcript:PPA08492 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVSTLSWTVDEESLMVSTLSPLRSLMRSRKASASSNRRPPVVSIDSAEISIPSSSLFPFGSAVAKTTLKQQQNGPYALDPNCNSSELREIIVQGISDSPSDSKHRINAAIARNLDGSFGIVCAECAFSYLAHTIQYCHHTRNNITCLVFRDG >PPA08506 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:214439:222876:-1 gene:PPA08506 transcript:PPA08506 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSLRPSTSEPNLSRKKRDSVKNAPRTPVPPSRQKKPLSKKFAYNHFLSVYRSSNDVMPKRQTIQNSKTLEDKRSSSSDHESDNEGSIKFLQADLIKKVKKYDKAWFESIRGFSSATFGLSSTVFYSNADCLHYIDIDGDNPRVEFMKQSGEVTCLTSSPDHSFLAFGINDHSNEGGGAAIRVLDASTMEAVIDVSHPNKVTSMCISQGSESLVALSEASTDMIKLTVFDMKQLKQSAIGDLVPNTDSARWEISFCPADEGIVCVFGGDYAYLLRVLNGYVDKFSQIHFDDVTCHSWASDVTMAFGTTRSSIRLYRETLPLQVVDLSESYAAFVNSEIPECSVVAMTFTIRKFAVATQNGILLVFPMHEGNPQWEEAISIVEILDGDILHHQRVAVTKIGVAPREPKDWAASYFQLSECLLDSGARILSFDQTEENLVYTNGKQLLVSCLRHINGTMKDGGRIVTVKHLKEVTNASISNEFIATLDGDGDIVISARNTGRIISYSQIKDVLGIFFTNQLYQLVVVTKLGVTAFEVAFHALEPREDLIVQPFVYCCSNHCRSLVALFQSHRFDIYNTTDFSKVCGYGTNLKKVNRLRLPNSTPSWWSVADQRKRERLCEMKRVSAPIISAPQGISKAVFADTDDFLTVLGVNDQIFVYDCRRGDLVWCVETKLQFYTDILHVGNNAFVLNKRFAVTRFRNGKDFGQLSMHTSTLGYQSSSHLLAGRGELAFLGTACGQLLVSRTADIEDPELFMQHCHYPLSYLSIHNDNLIIGSRTGCVVVVCLETSAYEPLHHDDYVLWPHSRIYNFTYLIQEIDLERNIIRKETDSFVKAYTKRRDEEVEKLREECDRSVKGMKERVKKIEEAFEMSEQEKQATIANLKKLYEDEFAAQKSHDTEDAWPYQWVLPSVVV >PPA08488 pep:known supercontig:P_pacificus-5.0:Ppa_Contig167:129403:130135:-1 gene:PPA08488 transcript:PPA08488 gene_biotype:protein_coding transcript_biotype:protein_coding MHFQQQNGPYALDPNCNSSELREIIVQGISDSPSDSKHRINAAIARNLDGSFGIVCAECAFSYLAHTIQFNHYRSIYRSPIDNH >PPA08476 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig167:36620:37672:1 gene:PPA08476 transcript:PPA08476 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGGPASKSALKWVQKEGPSMLEGLQMVVRSQLGYDIRQKEKECRYKCLQTVVGGGTKVDPSNPSASSNDFNLPQLPPLPKDLQRLEAVAAGLKAFAGLAAQLNDAAPAGVDGAAGK >PPA08522 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1671:142:1360:-1 gene:PPA08522 transcript:PPA08522 gene_biotype:protein_coding transcript_biotype:protein_coding MNAASSRSHAVFTILVEKIAVPNDESVWESKLRLVDLAGSERLKKTMAEGERKKEGIKINEGLHALGKVIQALSAEEKHIPYRDSKITRLLQDSLGGSSYTVMIACVSPADSNGEETLSTLRYADRVKSIKNKPTVQLDPTQALIQKLRDENASLRLEVAAYRAGEVPDIIVPLSSLPPSMNGPAKAQPLQKTAAPPSQTPGMGMGTPRRVVRREEYDEANDRVKGMEKTIAAMKEKIAEMIMYKAKQSEALCKAETEMERIQENTMILENAVREAL >PPA08523 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1673:317:1977:-1 gene:PPA08523 transcript:PPA08523 gene_biotype:protein_coding transcript_biotype:protein_coding EEARIAEELKRKERGNVDKEERPLFSHHDPVVCRKPVFKDEAVETIDINKRKEGDEDGASHWQIRGASKLLTADGKGLKRSAEGKWDESQILTKKKLKLMEKKRKAAADWSVEGPSQKKKEKTDDGKKKAVKKEEPQEEEEDGEVDDDEEEVEKKPFNYAEYDPKALFELSQDEANAQTYSPHNGSGRYVQNARGRGAKRGKHGGHTRAVGMSMSFGGRGGRGGGDRGGRGGQRGGGGRRRPYWPFSNANRTNRYEH >PPA08524 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1678:163:1618:-1 gene:PPA08524 transcript:PPA08524 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLKFRRDLFIANAKAAVAAKDKEAATEYVAASKQFDEAIAALATVSADDIDPDEIPPSPQPY >PPA08552 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig168:204429:205623:-1 gene:PPA08552 transcript:PPA08552 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLLPLQSMLLDSPMYKYRRMILRETIYEKELRLETNEVKLRTALQRAASAVQKKEETECSLRELHDRQQLSRTEALALCRELTQHSISRV >PPA08536 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:128450:136102:-1 gene:PPA08536 transcript:PPA08536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-xnp-1 MEDGDTVEEPVNTRAATEQLLNFTDSLKSEIDQLLAPFIPLRLEGDAERSILRTKAQDFAENLDDLLVSTKKKLYDFISSLDGTVASPAEEETDLTPLDLVSLLSSHSAAEVPKEGKEDSENSPPVDLTEGVYQSEDRVEQRTEDIDQVEYISEEVEEPERSNDISLEEEKHKVSAKEKERRVQSSDSDVEFIEFVTNKVEEPKSVKRKAKILAEKMAKKRKTIESSSEEEVNGNDSDAGSVIDDEDLALQAEIKKERDAKRASKRPPKKEKEGKKTRKPRKKLDEVDKLLRSKDKVLAESDDDEDEEKNGDDEDGEEEEEEEVVPKRTSRSRAAKNGSSSKNGSAKAKNEDIFTLDSDDDVPKVRKRNSKQEKKKEEEDEEDDDEMPNLEEDDDDDEDEDDPHKESDDELVRKVTRATRRSRVSDSEEKNGEKKEKKRKRAAIDSDEDESDEDEKSRKKRKEKRRKAQISGSEDEENGGNESGDETKKKSQEKKKKKKGLLGKNDLAQETIDAEKAEKERRKRLEQKQKEFNGIQLAEGPDLASALTGTQTSVRLKAVCVDPDKNSDSPIPVNVHPSLVRILKPHQAQGIQFMYDSAFESVDRLDEAGGGGILAHCMGLGKTLQVISFLHTVMSHPKIEDKCRRVLIVVPKNVVINWYKEFAKWIDDNDEDLAIFEVSELDSFKNNTDRCWALKHWHASEKPAIMIIGYDMFRILTFDEGDRKCWFVFVEIVVICCSVLAVLSLDGARNLALDYKRKKKMHCPFPLRVLIKCIVLLLDIVYQMPKGRVAPKKNKKFVKMQEDFRKYLQDPGPDLIVCDEAHKLKNDESALSKTMVKIRTKRRICLTGTPLQNNLLEYHCMVNFVKPGLLGTKTEFSNRFANIINRGRTKDATPTEVRFMKRRCHVLFEHLKKCVDRKDYRVLTEAIPPKQEYVIYVRLTKRQIQLYRAFLESTDNPNLLLTAVGESLSKRLLPDYHVLSRVWTHPYQLVTHEREAERKRMLLDDAEEDENFIDDEDEDLTETGSDSDDSVKEVRGRRARGKDKKKRGGGGSDSDEDDEELSQIPDSRRTTAEERKSRRLAGEAAPDRPITPPEYIGWFSKQGLVSEEDATDYTLSYKLMLLIDIIKKCEEIGDKLLVFSQSLESLSLIKRMLEWLHMNDKWFTDGHQAMNADDEEWGWVDGLDYLSIDGSVQSGKRDAVQTAFNNRANLRARLMLISTRAGSLGTNMVAANRVIIFDACWNPSHDTQSLFRVYRFGQTKPVYIYRFIAQVR >PPA08564 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:279426:281164:1 gene:PPA08564 transcript:PPA08564 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAAMPTVGSVTSNWASLGQHGLFMSTLTTFGQISSIFSMPVAGYLCMTSFGWKSVFYLHALVSGVIFAFWYCVYRNQPSKHPWISHTELGTIHRGKSAADLQKYDSKGKRKEIPYLYIVTTPAVWAVWIGALGDLVAVQYSSPTVSLFQLIHIFSPLYLHEVLGYSVQKTGWTAALPVLFQFFVKIFAGHSSDRIVGVSETTKLRIYNSLALGLSGLFMAALAFVPRGYPTWGIVLLTLSNAMFGFNGGGFNKCATLVSRQYSHFVMANIQVILCLSMLISPILVNSMLRTGALSEWRLVFISHAVLLIVLIKSIGCK >PPA08585 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:413298:415296:-1 gene:PPA08585 transcript:PPA08585 gene_biotype:protein_coding transcript_biotype:protein_coding MTNISLHFPRSIDIPNNEEEPLFAPFGVQCTVDGKALLSLRISMCTDDDTTYLQYYDLDTKESSFCCLSYHLETHYMTDFTVVNERTILCGRFFDYAVIEFTDSSFSSISKCRRLGIDLSIDDEESLEISNRSFALGATVHGRYLYAAISDEEGVLSTGIKKFKVRRYNRNSVMDEMGEIVAESKGWTPMEVWQNGKIVVTNDGYIDLVSRDLCNLIVIRLRIDPKRPDDQVIDRSFLNINFTDEHHATEYAMGMSADPSQQHCETSPQSFIVGNSIVTCHPEGLFSHRLIRRRRNGRRKGMVKKQIVEKIFTVTIQTEHDPRAYGPEYFKEDWKCEKRAILDDEPSLENLARRSIVNSMGKEMRRDESICDILHNSPILARKIIYGLY >PPA08537 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:136720:139098:1 gene:PPA08537 transcript:PPA08537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mtx-1 MELHVWPSDFGLPSIDPACLQFLACSKMCASPVTVVQSSSPWNSPSGEYPVVFDNSKPDAKPVTDFDKFVEVLRKSGQEVVIDGDLTTTEKAELDAYACLLAEKLFPALMHSIWVDDLNYSTVTQYWYSSKLSFPYSLYYVEKRRKKYQKMLGECSVKHLLGAALHTLNLLSAKLGDNKYFCGNKPTSLDAVVLGYLAPLLRLPLPNDTLQC >PPA08578 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:375385:376624:1 gene:PPA08578 transcript:PPA08578 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPLTGTQTHESDPLPPELSAKSPKKESAESNKSRERKSIDVNPNVDTWRSTKDEETLKNMKESRRDMTMRGKDETEIENSEWSVMKEKHEPSKKRKEKGGPFRSLFKPRPTTPPLRHSLHPNRPPPQPSPPPIPSIPIQPYRPPPIIPSPSTTPPTFPSLLNQSDEKTAINNQTLRTQRTMMDEETISRMQTPPLPVRIPYSFNTVINYIHKNHKDISYEVETRAPLSTLPPDEGPKLMDESKKLSMREEIKVMEEKTAHLIPEPSTKRDMCSKKNTSIEGLGTHHRSKT >PPA08527 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:6041:7149:1 gene:PPA08527 transcript:PPA08527 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEVLSETAPPSSSPEWNLVWQFIPWIITPIAIAIQLYQSQLASFLADAVRMVYSNREHDEKVRKLATDLSLLRSEQRHLSPVNDFALYFKKDRAIIKLQEQYDQAVRDRSSSAPNSLLLKPVTMAVINLLPILLLNLSSQPTAVCVPYAAFWPFHWLLRNM >PPA08549 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:189985:193522:1 gene:PPA08549 transcript:PPA08549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gsk-3 MHMKVTYSDLKVVGNGSFGVVFLARLTDGKSTDGPGEQIAIKKVLQDKRFKNRELQIMRKLQHPNIVKLKYFFYSGGDKKDELYLNLILEYVPETVYRVARHYSKQRQQIPIIYVKLYMYQLFRALDYIHGIGICHRDIKPQNLLIDPDSGVLKLCDFGSAKYLVKGEPNVSYICSRYYRAPELIFGATNYTNSIDVWSAGTVFAELLLGQPIFPGDSGVDQLVEIIKVLGTPTREQIQHMNPNYKEFKFPQIKAHPWAKVFRPTTPSDAIDLISQIIEYTPNSRPTPAGACAHHFFNDLKNSETKLPSGKSLPSLFDDPPLSTNVHNAHANAENSAPPNPNAGSSTQPE >PPA08584 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:412266:413146:1 gene:PPA08584 transcript:PPA08584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EFS3] MAAKGGDAAKPDAAKAAAAEPPFGLKLDPDAKVLFKGKLGETITVERTITNTSPDRQCYKIKCTANDIFRVRQPLGFIEPKETVSVKISFMGKAVPENLKHFFAFYHTKSDRKTDADKNARQGEQKEDKKEEKKEEKKEEKKEEKKEEEKKEEKKEEKKEEEKKEEKKEEKKEEKK >PPA08576 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:366330:368813:-1 gene:PPA08576 transcript:PPA08576 gene_biotype:protein_coding transcript_biotype:protein_coding MASPIEKLTNLRSYFDGEKIDAYLLPSTDAHQSEYLAEFDFRVKFLSGFSGSNAYVLVTRDKALLWTDGRYFVQAAAQLSADWTMMKQALPDSISPEEWINANIPKGAVIGFDPDIVTYGDAKSMSSSLKSVGYTMKAVHGNLVDRFWKERPALPNKKLICLSKEETGTAVGVKLDRVRAELKKKQCTALVLLSLDDIMWTLNIRGFDIQYNPLAYSYLLITENDAHLFIDSAKVFVPGSTNFAIGEMFEKRMYQKESPIQGMKARKNDVELGGIRKASIRDAVALSKYLLWLEKQIEGGADLTEDAAGKKIDEIRTHEEKFVDLSAFA >PPA08572 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:323577:324565:-1 gene:PPA08572 transcript:PPA08572 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLSPLLLFLLPSSVARVKSKRQVLTEKLFNGYDYKKSPDGMTKVFMKFGVQHVHFPSDDSPLAVMICTVIYTWQDKRLIWNPLDYDGIRKLILPANHKLWKPQLMTNMDGKNHQVASTAHLLKGFELEVKDGRRESIAQISMYRRVELELGFSKDDIKDEQWTVVTGGKATGFMDDNATGTGMFEIESVHGASSGNMYNQGMEK >PPA08586 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:415711:416964:-1 gene:PPA08586 transcript:PPA08586 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSSQTLDACNYANEIDWNMTITEIDAGFGTISAVGAHAALPHYHPSNGSELVKCNTSNVFLVDSGAHYRDGTTDVTRTVWYGTQVPAEFRRDNTLVLMAHIDMATTVFPVGINGIRLDAISRQALWKYGLDYNHGLGHGVGHFLNVHEAPGISHRRFMDSNLLYEGVVITIEPGLYIETKWGIRIENVYELVCASVPSGASFLTFRPLTWLPIQRDIIDVSLLEERHKTWLNDYHSKTLSIQKEEIGKRSGDHSALIQWLEKACAPI >PPA08592 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:478964:480750:1 gene:PPA08592 transcript:PPA08592 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEEERPQGNLLIRCLREREEQLLAQTANEERDLGALPPLTWFSMHLDASNAYNSLREEYYDISELWYSAKNHKTTGPYIQEPYGNGAQRKLAVNAQEIQMPYAGAGLYASEGPTKSSVYDFWALIYQRKITSIMSVNYPREERLNAATHNEDTETIQYWPFMEGQQMKFMPYTVICLHSECKISPTIVSSACGSNSILVYRLSKLRVSFNNPILPTQPHLDVTHVCYYRWPDGRLPVPTENCSIADSAVGLLEIVEQDLNWPDSPLLIHCHAGIGRTGALMAILLAIQQANDQHLVHVKDTVQELRKFRAKAVMNYWQYIFVYLTIAEVFVKRGHLDGSTRHRLTQMWNELLADIPRQYN >PPA08548 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:185547:186194:-1 gene:PPA08548 transcript:PPA08548 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNEDGTLSQAPPFPDWFNEQCRRELMMAGDPEEGGVTTPTSFMSSDAPLREYDTGVGHVTCHNDTAQRHENMKLLLKIQLEYYFSRYDRGKGTVA >PPA08559 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:246806:251523:-1 gene:PPA08559 transcript:PPA08559 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSISSCPFDLSLLHSTSYRDMVTFGKHGPTEPTSPYRSLERSEARTARSPANVLTARDVSPARAYPSHGLMTGAERSPARGLTTARDAQSPMGRRDPQPRMLFGDILTSPCGGVKTARPLANSPYEPAYERSAPNLYYSGEKIEDEPKTARAHSHHDGIKTALSPAQTMNTAKYSPYERNVMTAREGPSPLQSMKTARQSPHEKTACDVSPARGLPTGRAHTDRLSRDVTGRPRSSYETRTAREARSPALNTAVLSPYEQKVFTAHSARSPMHSTKTGRDSDYGRMNTVSIDYETEKTARMAERSPAQVQTAVARDASPYETTSRSPADGVRTAVSGRSPSIVTARKHSPTPRSLYLSPLMAKDNAAILEQQNEDDGSYTQVVTARAVSPYKLPQGIISPLRPSMTSRDDDVSTKTCVSGGVDTNARWTARGAKSPMMSTSRDISASPMRTARSGYAAPTTTPTHSHPHVMDELPNLMQPHELSTSPQQQNTAVYGGGAGELLGMRLGSLLVLSPSLPSLLSDLSYSISGHSFICIECNDCSGMDTESPNNLATARSHRDRQIYGVARSPLNVRTAREARSTSRARTSMRTANSRTPGQLERHSLHSMYSLVGPKHNTMPDSHLRQEDSYSEQSQFTFQKTPSGYTQKPTHSNEVSTGQQRTPTKTDTGSVYYSARQTTPSRTIRPDDVTLTKRTPKRDFSQHGGLESSRSPLTRTSVGCTPKKQPKVEEMPKCSLKSGCQVTHTPRIIPAGPDSDKDSPTTRSAVAAKTPERSIRTARGLPATRPMTPKAPFHDMRTPRTARASKASPASSLLRSPAPSSHMITAISPDAASNSNNSNEDVVTALRPAAISPAPSTTRRNWAASTTEPPTVDAASKPRVIVRANQADGKIHVEVCLSFKLNTTGTIRVRGEMVPSLHPRTVSVNGQQICFDDLVPRSLEVVEM >PPA08532 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:119505:120250:-1 gene:PPA08532 transcript:PPA08532 gene_biotype:protein_coding transcript_biotype:protein_coding MADPEKSGPPPAYDSPPAYSPPPTIPVAAQVAAVPMAAPAAAEQRHTAIPIFITARTNVYGVNPVEMDCPHCNAHVVTHIERTAGALTWLIVGIHCLAALATKEHLLLI >PPA08558 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:241813:246248:-1 gene:PPA08558 transcript:PPA08558 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTNASRHYGKRSNGRTKGKGKRTIIDGTRAPVDKEGKAMSVPIKLEIEIKEEFNDNPALSSFLSLVTTLRLPIERERGIPAMTAKVKEFQPRIMQLPGEVIKYKLEEVRDALGRVMAIVSAIGTSEQLRVLTSFIYPPAPPRPWMLQ >PPA08545 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig168:160805:163451:-1 gene:PPA08545 transcript:PPA08545 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLILLIFALLTVESFNTTRRVFNFSRYNRPEGTDGNLTEPRGTIQPMKKSTTMPRTTIDMDEEKDDVIDDEEKEVIYDGINTDDVPNDSEPEEMDAVGPTKYDEQLRQLSPSIDPTTKRANMLMCFKKNYRAYCYVGDGCLTNDQNAVHTKLVRLEDEHEFNSRAITCDVAVVIHRKSDQIERGHRIVGFHTKPDKRNLVLTFNNREIAINKNGTLTCSSKGLFFTGEIYLFKNRTKDAKVNHSDSSEKSSTKDSKSLSNVKHEEIKKEKESNIVWRPEVEKAPPCAVPLDKIPIVKSDPTSEISARGD >PPA08562 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig168:271487:273004:-1 gene:PPA08562 transcript:PPA08562 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKDDCSKWQLRDRVYDLMTSYRIEFIVGFHFRLLVFLNGNFVLNFKEGDILVKSQIERHSMIPKLLYSNSTLDN >PPA08599 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:505288:511346:-1 gene:PPA08599 transcript:PPA08599 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVYRGRIAVFSQNVLRDERIVIVAEQKGGVTEEESFEWMSNVLQAIDSIHQVGIYCLAIVPVNALPKTPLGGIHVSETRARFVGGELHPTTLLMCPHACVLNLPKPREQQSDVGPAAIMVGNLLQGARIASAQGRALPMSSEQEEQMSLLDVLRHRASTNPDHLLYSIASVKSTEAETCTASGLLRKAERVGALLADKARLNPGDHVALIFPPGIELVAAFYGCLSAGVIPVCVRPPSSSALQTTLPTVRMIVDVSKAVAILSTPAIIKLLKSKEALHRVDAKSWPLILDADDLPSGKRKNHLELPERKSSDVCYLDFSVSTTGQLSGVGVSYAGAISICKSLKLSCELYPSRSVTLCLDPYSGLAFSLWTLAGVYAGHQTTLIPPSELETTPALWLTTISTLKARDTFVSYCVLEQSVKELASHLPLLKERGVDLTCLRSCVVVAEERPRVSLVSAFSRLFAPLGLPSRALSTSFGCRVNPAICMQGAAGPDPSTVYVDTRALRNDRVTLVGKGAPHSLALLESGKVGREIGDAGLLPGVRVVIANPETRGQCADSHLGELWVAAPHNSVAYFTVFGEETSLHTDHFNAHLATGDTRTRFARTGYLGFLRQTQSINEDGELHDAVFIVGSLDETLLLRGMRRCCSKISEKYHPVDMETSISRAHRNIGECAVFTWSHLLVAVVECTGSEQEALNIVPAVTSTILEEHHLIVGVVVIVDPNTILSM >PPA08579 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:376810:382842:-1 gene:PPA08579 transcript:PPA08579 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHGKFFPYPLVFPLKFIPFDDKHVFTLDSTIEELKSFAFLHIFFINASSADEYRNNIRHTVSEWFAGLSSRPELQWLIVVDTTRAKEKKNRSNLMDKIKTDFSKHNHKLIEVSDSVEHSSFNALTGLVQSSLLSHLELLTETWERCLKAGRERHVAKDWNLVECVNQEVDYARLFWSLGALDHSLKLYEDLDSFVFFLIVSLAESESSSYPPWAERLGGEEMEECPTLLESMREVDIKKGNSTIAGIRHLLLAHKLLLTVHLYHARVHSPKTAASPGARCDHAAVVMKYANNTLHGVIEHLLVLKSSIAPFRLSCWVIQLVGESLYLSCLIADINTIETAKEAVAAMHSKRFKELLCIAELAVEERKEERGRLLEWMDGVMKKREAEEKLTKQHRDSALSVLCEALRNSSSLESTMLRTHDAASAFLTQSGRKRSAALIGYELALFLTRQGKPAAALPYYLRFVSSLMENGEKSESMLRVIEEAVEKLHPKEDYERIVRFLLYLVQNTRDDGKKLECANRLKNELERGKKESLHQIRLTEWTDHIGCPCKVRLNMKTEITKVVPGEKVNLGVRISSSIPSISLEGARVKVRLSLLSPSTTTAYEKPAFDVEYRLAESRLICVNRGSKNVSPSDSPRDEEVGIELIHDGIIERIDNEGVTVQLEAVVRKTGIFMLHNVEITVCSESLLLIVPQSCIIIDRKMIMVIVEETKHSIAFPQGKVLESGISECLSVSLSSGSLAIPSSTLKVTIEDGEEGDIEFMSEDGLWESLVVLPVPSLEKEQKVGREIRLCMPLSGEVKPEEGIRKIRLEWSGRLFVHIVRFSPLFSTRFTNSHLESKMLLDLEMSRPSSSLWSIIPLESSLSIKNPLAPIEPALMYFDTQPLLPRGVSSLTWLAAPPSDGLPSVPFKLRLRYRVKPIDGRAMEEAVDREYEYRLEGDVELKRVQYEVCSQVLSQQPGAQLCRVGTPCHLLVSIRSLSVHETDSLVVSVATDERLWEIGERSKAVTLKESGLGQVYMMVIPLVPGFLPFPSVQLHAAANSIRSEDRFWSDSSDSIPSPPLFTFERTAGKQIRVLGASGGDGGSINCLYDAYV >PPA08553 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:216665:217212:1 gene:PPA08553 transcript:PPA08553 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGCTIQSCHLHAPQLHLEYRFYKLLGQPVHYFGPCGKYNALVMELLGHSMEDLFDLCERHFSLKTVAMIAMQLGAIMIIMRRFVG >PPA08529 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig168:42256:43453:1 gene:PPA08529 transcript:PPA08529 gene_biotype:protein_coding transcript_biotype:protein_coding MFVAPIDSATPVFKGANWFEREVYDMYGVWFNNHPDLRRILTDYGFEGHPFRKDFPLSGYNEVRYDPELKRIVYEPSELAQEFRKFDLESPWEQFPAFRNESMSAAYEKIELNPTEKKIKL >PPA08598 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig168:500404:501266:-1 gene:PPA08598 transcript:PPA08598 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSPSYHSIPPINALFKEMNNLMKSDREELGDAIGLNQNQIKIWLQNRRYKDKKRLPEVAEQMKSKLDYDEGKAKRAVKL >PPA08575 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:338526:344765:1 gene:PPA08575 transcript:PPA08575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-bli-4 MSECVCTGDACADSHHTVIRLKKRDDAMARKIALDHDMEIRGEPFMDSHYFAYHKETTGRRRKREVIESLESHPHVESVHEQIPRKRVKRDYVHDDNDSAHRYQRASGSSVVDQGISKQRRQANGGLEIPRLPFRDPLYNDQWYLVGNAVGGFDMNVRNAWLMGYAGRNVSISILDDGIQRDHPDLAANYDPLASTDINDHDDDPTPQNNGDNKHGTRCAGEVAAIANNNECGVGVAFKSKIGGVRMLDGAVSDSVEAASLSLNQNHIDIYSASWGPEDDGKTFDGPGPLAREAFYRGIKNGRGGKGNIFVWASGNGGSRQDSCSADGYTTSVYTLSISSATFDNHRPWYLEECPSSIATTYSSANINQPAIVTVDVPRGCTKMHTGTSASAPLAAGIIALALEANPALTWRDMQHLVLRTANPTPLLDNPGWSVNGVGRKISNKFGYGLMDGHALVKLAKEWRTVPEQHICTYEYKLAAPNPRPLTGRFQLNFTLEVNGCETGTPVLYLEHVQVHSTVRYGKRGDLKLTLFSPAGTKSVLLPPRPQDFNVNGFHKWPFLSVQMWGEDPRGTWVLMVESVTTNPNLAGTFHDWTLLLYGTADPAHPADKLHPPGPVPTGQSILGRMQQLTSQRLS >PPA08544 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig168:155753:156678:-1 gene:PPA08544 transcript:PPA08544 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNESIRWKKEKFFSGYIVLLKPKKPAKGVSVQPKTKKENKDDLHKNVNPDTAVQSDEQTKKGTGDNELNIGTIIIICVSSLIVLIIIAVIVGSVIQCLHNKKSARRKNKLESAVQKARKNEPPRKESTPLIPSMTPTTNQITESNSDRTYTFSTFSIIN >PPA08583 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:408626:411964:1 gene:PPA08583 transcript:PPA08583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dhod-1 MSTIPSTKTLANFDWSVKYCVHTNASLSLAQPIVQVVLHYTDSTSQVFEFTREQMYSKLPPGYITRSTCIILSTGLAGYGVIEMMFGGETFYKKALMPVVHKYTDGEDAHKNAVKMAKWGLIPRFGPNHWEYPELECTLFGRKLRNPVGLAAGFDKDGEAIENLGKSGFGMVEIGSVTPLPQPGNDRPRVFRLLEDEGIINRYGFNSLGAGTVQRKVKSARENWSEKSALFGVNLGKNKLHDDARIDYEIGVNYLGAYADYIVINVSSPNTPGLRSMQNKKELQGLLSTVKKAVDILNVKEKPALVLKIAPDLVESEMKDIAMYSSFLLQVVLDKAYGVDGLIVSNTTVARPSSLKSEHSKEIGGLSGVPLKEKSTECVREMYRLTGGRVPIIGVGGISSGQDAYEKIRAGASAVQVYSALVYHGWPVIGKVKRELAECLRKDGFTNVSQAIGADHKNSHSPLISGISIVGSLFSRMADVKSGSENAYQDNNNATTEGRSAESTGEKDVKTAEEIPPPPRPKKSIQRRDQEEADCEVAIEIE >PPA08528 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:10672:12647:-1 gene:PPA08528 transcript:PPA08528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mex-3 MESWINRQYIDCFHFAGCKIKALRAKTNTYIKTPIRGEDPIFTVTGTAADVAEAKTEIKLAADHFTQVRTCRKSPGGGVPGMNAPGHITAYVRVPLRVVGLVVGPKGATIKRIQQDTSTYIITPSREREPIFEVTGLPANVEVARKEIEQHIFQRTGNMPITDPSSAMTSAEMQSVLQGAAARAKFTGVHQNGAVAAAAFAAAAARHFGPAAAAADHASMYANEQFQMQSILQALSKGDGTSLHGRSTSSPHSLFRPSLPSAQHMALAAQIAAARATPRVNSSTRSSTSPSMGMTFPPPFSIPPPSSSTWSAPLGIVTSSTSNVMASLSSSDSASCDWGNGGGMSSTGGWSMAMPPPAHRGGVDMLGTRLQGMNLMPRDEGLGDSPPTTNQLGGKDYSMMSSIWASSDVENTVPKRVDNGLSPVGTA >PPA08577 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:369717:373849:-1 gene:PPA08577 transcript:PPA08577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-aps-3 MSSLTRSSVTFFILTGLFLLLTGAFIQSQILSPIGGVSKRSIDIPAGHPRGKKPFKQRWIHLDLKGAPPRVSYLSRLFPLLSRLGVSGLLIEWEDSFPYEGRLSILKAPSAYSKSDVEEILRLAKSSYLEVIPLIQSFGHLEFVLKHDRFAHLREVINEEGSICPSRNESIPLIRDMIKQMRKFHPHSTRIHIGADEAYRIGEDAPCRIRLENELKQDKERLKLIHISRVAKAALEEGFTEIFAWNDMFEKSSVETMKEVELGKLITPVVWGYKEDVTKEDYFPQGLFNRLSHVFDKLWFASAYKGAIEQSNIFINVDRYLANHRSYVKLFRDFEKDLYPKVEGIILTGWSRYSHRQPLCELLATSLPSIVLNLIYLDDVTATGKHITTRTKEFLACPSTASPGLYEAKEYNQSIYDPPKEQLFTQCVFPSSDLFTAIQELHFINWKSKKVPGYVENERVKFNDRVHEMESKLRPLLSAVIFDDAVHEFIMTHVRSLIVAKSTPPPPERMIKGILVFNNHGKPRLLKFYHHYTEEEQQQIVRETFQLVSKRDDNVCNFLEGGSMIGGNDYRLIYRHYATLYFIFCVDSSESELGILDLIQVFVETLDRCFENVCELDLIFHYDKVHHILGELVMGGMVLETNMNEILQRIQEQEKLEKAEAGISAAPARAVSAVKSMNLPQQIKDLKLPDLPSLGNFKNPF >PPA08588 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:419085:421054:1 gene:PPA08588 transcript:PPA08588 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRLPSLMEPFHQLHSFTYEVDKRQPLMYPYKMHYQVDGGIILTASHNPGGPKGDFGIKFNCENGGPAPDHVTDAIHKITTEISSYSICRDINMDTGIIGHHEFDVDGMGKYIVDVIDSVEDYVELTKTISDFPTMREYMKGLGGNQLRILIDSMHGATGPYVSTIFNDLLGCVGTDLLRTVPKPDFGGCHPDPNLTYAKDLVDRMRVGEILAVKRKSVEEIVKEHWAEYGRNVFTRYDYENVESGGANLLMTFLEASMNASKGKELSANGVTYKVAHTDNFEYTDPVDGSVAKKQGLRVLFEDGSRLVFRLSGTGSAGSTQTIGIDCS >PPA08591 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:458469:461796:-1 gene:PPA08591 transcript:PPA08591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-smp-1 MKGKTTDECQNYVRVLAKQAEGQVLICGTYAYSPKCRIYRYDAATSEFKVYEQFDGEAISPYSPHDNSTAIYLRKTDEIISGTVSDFVGNDPLIYRRRLTKVKSGEEGIRTQRDDVRVIDNPNFVASFEYKEHIYFWLREKAAEAVDNNEERQVYARVARVCKDDKGGPRPSHDKWTSFLKARLNCSIGAATPFYFNELKAVSDPVPSPSSSSDHLVYAVFSTPDSSVRMSAVCAFSMQSIRDTFDKGTFKYQRTGSSQWTSLPRSEIPSPRPGSCSPDSSKLPESTVSFVMRNPLMHQAVSSLRGPLFVEGSSRAELTQIAVLPSVQSVDGGRHTVIYVGTSDGRVVKAIQIGNETIVIQSALVFPSGAPIVNLLTTESRIIVVSPDEVASIPPEGCSFAPSCAACVRLQDPHCAWDERLSRCVSRSDGSGWRMGDVIQNVVRGESPQCPLVNSMGDDADNGIVLGGAVISPRLGSTELPTSSPTYTGQTIALACLVCVAVASFVGFFVGFRIASARRMVDAHHSASSTSGSDYDSFGRARLTRHDSLTAASVKMDPHTIYGGPPSKHSVDGTSLVIPAGMSMSHHGGSSGTTTPGRDRNALMTSLNNTTLPRDYKVKKVYL >PPA08581 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:389304:389501:1 gene:PPA08581 transcript:PPA08581 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKIDISDLHNDPVLAFQRRNYHPLVINICFLLPAVIPFYYWGENALVAF >PPA08531 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:111115:116109:-1 gene:PPA08531 transcript:PPA08531 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPTRPKGPRRTQDEGEKRNRALLQGRANKKAAHLKSKGTIATVEQVVAKYKDLSGTDFTSCESFRDFPLSDQTIKGLGEAEFTCPTEIQRDSLAFSLTGVDLVAAAKTGSGKTLALVIPLLECLWRQRWSKGDGLGALVISPTRELARQTFDIINEVGKHHGFSCCLLIGQGNDVNFEKRRLHVMNIIVCTPGRLLQHLDENEMFQCDNMQMLVMDEADRILDMGFTKQINAIVESLPRERQTLLFSATQTNNVKQLARVCTKDPVMVSVHEKSAHATPEELKQCYLVCKEEEKVNLLWSFIQHHKHKKTLVFVSSCGQARFLTEAFCHLRPGTSLMGLWGTMKQNKRIEVCDVFERRQKACLIATDVASRGLDFTGVDWVVQMDAPATIDDYIHRVGRTARMNKSGSALLFLSERQVPTMKKMLTERKIPIQETKHDPTRMTDIKLKMQGVLAAFPELNQFAQKYVVSYLRSVYLMSNKNIFDVDSIDAKALAESLGLAAIKRIRFLQKRKEGKKLIVYEGHEFGEESDDGEEKDEDEGLFTVKKKDVFNVAEEVKKEQATRAAAEEKAKSARVITKIKEAKSQLKKGIPLNQKISFDEDGAKKEGGIGEGRAKGLDLEKAIEGMAEQDKTDKKEMRERQKAARKEKKMKEKEKEEKKRKRKMGEDDEMDVRIGGDSDEEGSVDISWLPDPDKKREESSDGEEEEGQEQGEEGGREWESGGDSEDEEAMEREFGGRRGGRAVCGSSSEDDEPVPPPAKKRATNKKKREDAESAALALLAAKFK >PPA08557 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:231634:232523:1 gene:PPA08557 transcript:PPA08557 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVAKQFEELDNLNDYSSSQKGRALGYVQQYTEIRRVSEAVLPGATTYASGSDIGSDSENSSEFYSQSVTTSSDRETFAPCYSSPAISYSGTESLSAEDYEIEHAGIVVSVANEKHGVELDLCIGMSMTAPGGPLVVSTVTVPE >PPA08574 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig168:331105:331589:-1 gene:PPA08574 transcript:PPA08574 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQNHQRTRKINPIICLILNLATAAILYMTLREARRTPELLGKYRLSMHWLSRTAIRHSIGPNAKKVEYRLIYRVYNSIQILVEYVEKEEKEKKEKKK >PPA08554 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:220097:220861:1 gene:PPA08554 transcript:PPA08554 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSKLKFHEGFKNGKHIAYREHKSLTGTARYMSINTHQGKEQSRRDDLEALGHMFMYFLRGSLPWQGLKADTLKVFFNQT >PPA08573 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:325263:330418:1 gene:PPA08573 transcript:PPA08573 gene_biotype:protein_coding transcript_biotype:protein_coding MESHSISATSLLLENEIETEKGCFSRLLDLVYKSEGDDDEDFSTVKPIPFFQLFRFASKRERLAIGLAIFLSILVGMCSPFHTYLCGVITTLYVDVKEPIGNLEFLHQVWRLSSLYGVFFVFTFTVGYAENWLHAWASERISQRIRSFYLDWRTTLLLVWSGPICLLNSFLIPLLSSSASSKVVHLLDEANGISEEAILNIKTVASCNGEKTMIERYKSSLLSSLSPALRCGFVTALFDTIAPAIHYAFHIFGFWYGTVSYHSGRIEGAGDVFAVVGIAMGSSNSFTRLGPHLMAVMKARAAAAKVYHTIDSKTEVTEDPLTKLDPSNAAMKLRFVDVSYTFPSRSQPVLQNLSFSLSPGESLALVGKSGCGKSTTLKLLTRFFSADSGQILLDGKHLEDYDKKKWRRMVGVVSQEPSLFYGSIKENICLGRPFSDEQVEEACRVAYAHEFIMGLEEGYSTLLGPSGVALSGGQKQRIAIARAIVSNPRLLLLDEATSALDTKSERIVQEALDAASEGRTTIVVAHRLSTIKNVNKVIVMEEGRVVETGGYDELRCRHDGIFSRMVAAQEIERHEEERSIVESNSEESEKSDVIDNKNNEEIASEEFPVWKGGLLSLFFFNKKRALITTLLSVMRGIELPILALSFYFIFSTLKDIDYEEHLFWTMIGSTILAVYTSVIILISVRKYESTAKHKDMQFQQTVHTYTSESTMRDLRIACFSSLMRRPMAYFDRKETSPAATSVLLSQQPPIAIAILNNSMSEVIECLFAGCVIAVLLFYFCIPSGFHYSDKAYNEVVEIDKSGELATEIFDNVSTIQQLAVEGHFQQRFDEIQMRRRKPLATKIRCLSIVHAIDESEYMLLDFMATTIGIYFVYTGLINVKQMYATELFIAFLGVAAICMSDSFKDIISGSSAARLLFKLIDPTVNEKEKKDENHNEHMISRGSLHADSISFAYPSRPHKKTLNGVSFAVGEGRSLALVGPSGGGKSTVVNLIERFYNPTQGQLYIDDIPFSSIPSFSLRSSIALVSQEPVLFRGSIIDNVRLGSENVSEEEVRDACRMANAHEFIQHFPEV >PPA08546 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig168:163925:166393:-1 gene:PPA08546 transcript:PPA08546 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKEEVTTIIGTDKVTENGTDQSGPKAIMNLTCFVGNGCLEELNGKHTSIVNSIARVPVIYQRAMLFATKNSKAQLNTIVKKREEKEEDVIEEKKEEQSNIGTIVLISLSALAVCIIIAIVGGTMFQRYNTTKNKAKREKIEAANKRQEKHFHL >PPA08547 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig168:167636:170000:1 gene:PPA08547 transcript:PPA08547 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVMCNRCSAAAPKFVIIDRNLNENFQVLFKKPGLLITEHSDELELQIQFQTNQRNLRFKGQQAQLKQEIDRRMGLENEVTTMRDEISGHGLVPVIPHVKTRAEAVSTFTTSTPKAHMRMEELGTKKNTEMKLTTEYCQNPRLSHSSTIPSLSSFAIEVDDDDEIMNVTPAVFRDKSQSRMETQQLLQMKTPLPSIRTKSNSKMMIDYDPILSGAPKPPLPKSPAPKKNIQSLTMAERLSGTRPKTPQKIYEGKPATNAKCV >PPA08530 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig168:43753:45326:-1 gene:PPA08530 transcript:PPA08530 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDNGGEHLFELGTMRVSNLLRDTPFPDTLDRDSRHPSSSSAVRVLCKDLAPVGGIALKEHFEVNIAPMVVQFTFKFFDAMMTFFFPERNEGHAHVREANLDVEEGEREKAPARRLSLSRRITGGLSMVRSSGRATSATLPIAVDDIDKMRQRANSNNLFLYIKIPEVPILVSYKGNKEKNIEDVRNLPLNFPLCEYHEKNWTWLDLSIAIKQRSRRILLQQFMKQKLLRRAKGDDKTPISDEEKKRIAIGVTPALVDKQKK >PPA08593 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:482899:483974:1 gene:PPA08593 transcript:PPA08593 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSSSVGISLECNHSTGEWIRSDGKTLPFNNTLHCKKESEEETTIDDESSAQKQAGPDMLVISLAIGGGLIVLMICLVALWCVCIRGKKKKTPPPSVPPPSAPTSEPPTDKAPPSSIQPQSSSTVWSSIPCCSLPSPSSISLSSSPPPPVQKIVVVVKREEDEEKKKTIKMESINIKGGVQDEKSLAALSQTGEKLSERTIEKSRIEKTNGDNEQSKTAGTTREQNNTQSDVQKKVMKSVEDKKRQKTEEDSKSFDEAYNKELEKIGKKKK >PPA08582 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:406688:408040:1 gene:PPA08582 transcript:PPA08582 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRAGFRCVTYCDDGFYKDENSCKKCSPLCHTCSKAEVCDTCPGAQLLIDVDHFAHWDHGQCVEACPEGLVADYESNLIQARCVLKENLCGEGYFLGPTSRCAMCDEACELCHGAGPIFCDKCAPGFGNRSVGYCRPCCKEGDKALENHCEDCSASAVASLHLSHSSPFWSFVWLLLAVSLVGLVIAAAYFFFRDDRTRDSIEYAPLPHYNAASGMMKAEI >PPA08587 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:417060:417930:1 gene:PPA08587 transcript:PPA08587 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPTIASALKGRGRPKKTVSSNAPNSKTRKRSIAEVDDIVVGGTEAEAGEDFNTWDLITNETNDKEGYDDEDEEEETILVNRQDDEEIMMCMIQEGFNDVFYL >PPA08550 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:196682:200537:-1 gene:PPA08550 transcript:PPA08550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-osr-1 MLIDINILHLWIDLLPLLEDETVISSQSDISPSLPTTRSLFGRSNSIFDPIFETPKLKLPENVAVPPIDLPKIDTKAYDENPLMPSRDVVENTLLSLMDGLIKSGQIELAKSAFKTQLDVIKKIRPEQYDKYKKMGVESMAADAVMKQAEVAAQAPRSGNRFIDMLSDNGIPIGSSIKGVEDALRTQRQLDSSDPSDQIAKAVFEKFQTQILPGIVANLVAGRNPFKVPPHGPGGVGGLRPMTPTSEIGSHRIEAKMLPPTNRNQQLPSVEHELRRSPAAYHRQADMESSLYSRLSSSPRLSSLLQDERILSLLSHNRRMRDEPIDDTPSGHGLDSKSNLLLGLFEGDDDEESDADKIEIRRAPSSIDSATPLYTIRSSLMTALMQKPEVRRAMSKLRVRSDRVDELLRPKPFPFPSDHKNAGFIRPREIPKRPRKMLPLIIGSNREEFLRRAPQKGVDNVTYRSRVIDNMMNNPNIAPLFMDDSLMKKLVGRPMLTDEDKGRVRRRILVTHPRFASKGGGKEDDRVVQTVEERAVPSLFEMPKGRHTRIRWTGARESEVPGVGGRFIIPSLDPTRPALNTAISTQGRFRDEWDTTWKVPNAWQPGDEVRFSMKQKTQRFIGGDGNADMPAAGF >PPA08567 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:289397:290818:-1 gene:PPA08567 transcript:PPA08567 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSIASSLSEQHQTELIQLAARQLPRAALPSLASLRMHTPLSYGEVASSSAAAAQQTSFGVPSSRSSSMSSCASTSTLSSRGGNMNSSNGNNGFWKNPILYKTTICDNWAAKKPCKYGARCWYAHGFNELRYVPRLDQLPENVREALFVEPALARAFFQEMTSSIDGGYGCGSSSRECESRASLVSMTSSSSSVADSCRLSMSPTSASESISPPMNEMKRQMYSPPVAATSYLDDRRASRWAPGAAWTDGGETPRACRVNATVPSETIVSDTTVPVAKSRVTSKEYRLFDSAHPLTIDLSFLD >PPA08534 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:123680:125946:-1 gene:PPA08534 transcript:PPA08534 gene_biotype:protein_coding transcript_biotype:protein_coding MEERIYKRQVTKESTSMRVVDEAQIQRHFAGHDLDELYEFDPVEEDDDDVVPVFAPPKDRLLADVLHGRKEAVVSYLQHDTLFQNMDDEKLTEAECAEAWDDYEKEKTAPVMSAYGGYGGRVGLPMPGMSQMDLARAQAIQQSNNLRGDPIYIGCFGIRGMNHENALKVVYLKRCLDELMPSIPHHLRGGIHDFTPYFNTLIQEGINAQQGQSAQHSPIWLLNRTTSIFRTVVKLCLENVPSLRPTLKRIHQNTPQFFDPTFAK >PPA08597 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:495551:496089:-1 gene:PPA08597 transcript:PPA08597 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVCLRKKKTEEPPPPPPPPPTKPPSEPLSLPSPAPSPLLIVTPPPSPPVVHQIFITVKHEEGEKKDLNIKMDQDVPDEKSFAVLSQTGEKERTRQKSKAEKEKSEAEQRDTSDVQMRLKSVVEKKNDVDSK >PPA08539 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:145674:145952:1 gene:PPA08539 transcript:PPA08539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-che-14 MDVKRDRLLPSAIEDSFMALFSALLVLICVVIHSRSIGYALAVAVQLFLSVTCALAIYSFFTLEFPLLNLVRVRMK >PPA08556 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:225279:230634:1 gene:PPA08556 transcript:PPA08556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ced-12 MLTPAKYLKPVDTSQPAHVVKGGVVVDQRLFQWLPPNHAQGLSAPPLLMMLDLSKESLSKLISRAAVAYAVNEAFPCDEDSSSSSNLASHFGFLDSNDIFLTALSRLHNGFTLRFTLSPERLMNQLWSERLDRPLTATSPTGDAAAANSTAVIFDSTLAKLEPYTGQKVVLHALDECAITDELLSVYERPDLDRSTTELLMGITCGLIGAGLEWNRLPSKVMEIVEALIKTPSLHGDPTTSLSLVHSVRLLTLALESEDNSMCSRALDLVCLPALCPLVSRTPNAERITNALLSLFRAVYHASDDERRVALKEYFNEPVFKNVLLAASVHVLERETAITPSTSSSNLHNAVPSPIKSCLLDLLQIRMSDYAAAAAEETCSTDTIKKMLEMKEVEDDDDLRAEWVATLREAPRATLTARFLLYMHKHNGELLDNWRKENRLRLLCGARVATCWQLLPLAMNLTEMMVGLLALEGQENREWLWLGLSVLLEDTQQTSSGALAGFPVERIFVSCMERAHALWTEMATVRGEEQQVASVLTEMLWIVVRNRIAKTVVELTGELRSLSYEKLRDEWDEDLRKRADSDEMGGLVAELRRKLESLALSYVTTNRINRIKMGFQFKKVFKGKPVKDSAGELWKLEGNGNFLTIRDIDSGSSQRIEVKEITKAEIVRTKANALGQLKLSIANVPDLIVCTEEEAVLQSWVDGLNSLNASTHKLLSKEAQALVQRLLTMEVRIRLLSLPSSVKTEDISDYTRPPIPSLPTSFKWCTDALKKVHV >PPA08589 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:453002:454912:-1 gene:PPA08589 transcript:PPA08589 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTGECTQCGAKFNWKSSRMLPTKNESNKEKLFKINPDFVTGAVLTSVGGRKLHQVLFQSGMSTFSTPTFHRLKKHYVIPAIEEYFYRSQAILIDEINERVSKGEKINLSGDGQFDSRGYCGAWCRYFILCADTGRALHYILMHKNETGGSSMKMEVAALERGLNELSYMIGGTEAIESIVTDRHGAVTTMMQKKFPGIKHYFDPWHFFCNITLALITHCIQAAYMTPGQHTFDKDPTFKQFKACTYSAPTNPAIYIPKGGRIVKRLEDMVFTAKNTEDIKSVSWLLHTSPCESINALATRYASKEYYFSRSGHEHRTKTTIVHWNFLKESIMNGSIPVVVRKRMVESPHTTEKKRQNAKREQRKLWEKLTRPVVPAEGDPNLDDEWSEEEEEEDDGVLPPLLSPQLQLCQLADQN >PPA08560 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:252095:257066:-1 gene:PPA08560 transcript:PPA08560 gene_biotype:protein_coding transcript_biotype:protein_coding MYPHGARPALTPSVSHPRVMAMPVLPPVPLHVTSSRKVHRSADGIINIIQEISFYAEGGAKGLAGKQLKEVHSHPPGQERGRFSAETNARVFVGERLIRMHIQTAIRPNTSDSLEIDEVFHFAENNDAIVVPIAFEATLEREEGTHSDLLMRESRAVSASRDLSLTWHCGVMVALCYCRPFVAGEKPAVEFDLSSLIIVTLKQRILKDPGEQYKELARKTTLTQPTSDSAQFRERIFAGTDVLRVDRDIIFVCDRPMLSLSERREYTPAYPVAPRRRSASLTQSAPRVKHTPNKPRSRSQSRTRSWTDSENCATANEDLPTATSPYSLYQVRKGDQRSRIKNQIVEDESNSRIPPYVPLPLGTARDHSPVSSNVDSSRTSRSKRKKWTVNSVVSKADARTPERYDRARTMRSLDTARNLSLSPLNRSSDYNLKTAHARSPNGEIPAINAIPIVPTTELGPHGESQLVEGMELLKVAAPVDSLMRTCADGLSPRRSASNCSTAGEIGRLIDRSFSSTVSPPFPLFPSGLTMFVWMSLFDSVSRSGKKTSALKDDVTQATEETDTSEIEFVRGDMDPPDLPPASASTSNYACQSPPFSSPRIMPQTAESSVPSTRACTPLRTARQESPRERAPSSGENRRLTGCTRITTLGYCSHTTCSAALDKRRGLFGYGIRPATSPMRSARSPAPKTAVEASPMRTAQRIVLSPMHTAVRVNTSRSNDKSHKSQKESKKSNRSGKREAAKARLMASDYRSSTNLNTARNLFDTVASNESLARMITARTPTAHTGRDSALSPALGNLPTHNHSYATGRPQSIAVSPEKSEKEREQSK >PPA08595 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:488018:490199:-1 gene:PPA08595 transcript:PPA08595 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATGLNKEKYYHGLLPREDIKMLLSKQGDFIVRMSEPNQGQPGRSFILSVSKDENGEELVQHHLKSGESVTKQYHCPLKTPVGRQRWELYHDDITTTKKLGEGAFGEVHLGVWKSHGKRGDVKVAIKLAKLDNLTKEQIKEIMREASNGALNSYLEKNQLDDNQKAVMCLQSSWGIEYLHTKNIIHRDIAARNCLYGDGKVKISDFGMSREGPTYQMDPKRRVPIRWLAPETMRVQTYTFKTDVYSYGIMCWEIYHNGKEPFPGLTPAEVAKFVLTGNRMEFEVNINPDIKMIICNNSWPEDPNERLTQEQISRKLEMLTSTPRPDFEGEARKRREADEADEKERASSEPPAPPPGAVTPVAPRTKSSNATPVSEGAISGEVKGKKDKDKNKTVKRKEKSKNKK >PPA08566 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig168:288051:288983:1 gene:PPA08566 transcript:PPA08566 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLEVICLVLPALALSSCTIRDGNGITARIKMRNGELDIDLQNSKMETGEWTAVAFGPDMSDLEIYLFDLKGTRVRAHSGASTGGGTLHARFSRTLKGAGPRKHDLESCAMVALAYGAMEGDSVGAHYDRPYQTRVCFAECK >PPA08535 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:126209:128348:1 gene:PPA08535 transcript:PPA08535 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRMKQNLAEKVGRATVTTSLPAEVDKGIKQVEVWKKRHGEMGVKKSNVGDLLPDGDLLCTGAPITQYFCHKDYESVRRISNPSKHDDVANLISKLGANLAGTEAATGKAGSTDASTGTWAGIVANNIQRATDLERACTKMEQKVAELIQKEWGATMKEFDKKNLKEMQDCITAVNRTRLDKDGTASALQSKDTASRRERSTAAEATYNAQIEAARALFATIPTLEAEQAANLLATVNKHGGIYGEAAPASM >PPA08590 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:455140:455474:1 gene:PPA08590 transcript:PPA08590 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIDNNFIPSQDDSNTCSTEGSGDRLGEDDEWNCGEKKEEDEWKRGEKREGRHQDGERMGYPSDYEINNF >PPA08538 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:141205:142390:1 gene:PPA08538 transcript:PPA08538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ptd-2 MTHHPWPIRAYSSFIYRYPLLVFIASLILTGFVPVAILYLQPPRLTPNAEVGFDTKGTDYSGARLAWQQLSITLQSSNRLLLKNNEHAIQPVRSRRGVADLDVITLRHVSSVLSQMGRPKRGWAEDLLAAITNIACYEAPIPLMNHLSQVILELPSVSSLFEITTVTAICQMQDEIKKELKAFDQV >PPA08568 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:293195:294020:1 gene:PPA08568 transcript:PPA08568 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYRMLLLTVISLSIVAALECVTGYTLFRGKTVGTETEKCTSDSDSCYNISAGLTDLNNVKFAGCATYKCMFTKNMCVGQTLLGRTVRLCCCNTFDQCNSKFTNMSWFEKTKTKIGDFVSAFG >PPA08541 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig168:150016:150931:1 gene:PPA08541 transcript:PPA08541 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFLKVNAHVTVRGKLICSKPFNYRLTLVEEDLVFDEMIEEQGALSSPPGTVNYEVKGELDDPRPESTVEPVVTVEHSCGKVNGCVCLELMHVSGDVVFDADVNLEKTHHKRCKIQFALYAKASIG >PPA08563 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:276986:278067:1 gene:PPA08563 transcript:PPA08563 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLKQMIDKMDNSDAQSINEEKNPPIFSLSLGSISSTMTTVSVDEKVKEIEIPKETIETKVTKEEPKEPHIVKTSRIDLRYPIVLLSMFALSALMSNVVCFNFVVLFMPSTLETENANHTYIGYAKSERTLLFSSVAIGALVAVVPVSHATHAYGTRKVFFAAGMLTTVATALIPYGSRISLSCFVALRFIQMNISIC >PPA08540 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:146787:149356:1 gene:PPA08540 transcript:PPA08540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-che-14 MVVASVMLAVSNLHLPEYNPLQLFVSSNPHEWYDNHAEILYPFVEEKVAIPLATRLVFGVARENSSSHFDADSFKVLSHDPSFSLSSVSAIQHLASTLSRIRELPFVRHPHAFWPERFLEWSKTWPCSTGGVCCDVNSKGFANEWLDFCLRNSTVYLPTHYNDTPLFDNNTFALVGYTAMMPTQLRYSHRFSNLSGNIHKIEEGYGLLKGGWWTTEWYLMGTWFDLQRAVVSDIFTSTVVSMAVVAVFALVQLRLQSLAAVACFAAIIAVCVGIVAALGWVIGLLEAIILVLVVGLSFDYTLHYGASLPAKGCSSHRIETSLRRAVNPVSLAAFSSILAGAPMLFCKTHAFFQVGVFIVVLTSVSWLYSTFFFLPLLSFTLPTGESSGCPDCKQRIMPTSIPLNSKF >PPA08561 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig168:264741:266561:-1 gene:PPA08561 transcript:PPA08561 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYGIATEKELLSGMIIHVKNRLSDNDEVDGTLFTTNRVIEGKVRRIIETAREKFFETFVEWREGLEEVKSKRSDVTSDSVLHRIVNSDTLNIEDIRRKASAAYKIAYMAANVSVQKGEKCAILLSFPWIFYDVLLNIKMRPHAILQSQQEKERSNIPIARPTGDPREHGKKGEHLLLFFDYISSEEFRTRSSLSFEKLKGGTLLRGEWRKLTEIT >PPA08555 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:222778:224067:1 gene:PPA08555 transcript:PPA08555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-csnk-1 MDEFAQYLRYARRLDFFENPDYEYCYNLFKGVLERLGHTYDYEFDWTPKLNNASTPSGSLHASDAGKEGDRSAAAKRGSAQPGGRRDDALRPTNPHAFGSTQVINSNAGDGVEDANQAGGRADDEDASEVKCCCFRRRRKTNRAK >PPA08543 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:153642:155581:1 gene:PPA08543 transcript:PPA08543 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEKHVDSLVGDTSLELFWKDEWSSYFIGSAKELSIAIEWMKKNTKRDSSKRLRICLFVGDNHVNVTPEKEVERPMDELVYFELKFNDKTRIAEHKTGCIKYISEYRSETLFSTVRKCADSMVGDKTTELIWKDEWSSYVIRSEDLLSSAIKWKRMDKKIRPWESVWVRLFIEEKTKDKEEKENSADATVHESEETAKEEKVVDEETSSPIQESANDLLLTSTMKPIEMPSEDPIETDESVQQQFPSEDCVVADSMENEFLQQLPLKKEEVEEHPMKTRTDLIERENALKIREKELQLKEEIFDRKVAEFQMRMEEFEEKLDELEWRINDVEYDRDTEHFESRMDEMEDRLMIKKIPSQNEYFGRFSYCSFS >PPA08542 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig168:151289:151959:1 gene:PPA08542 transcript:PPA08542 gene_biotype:protein_coding transcript_biotype:protein_coding MPERVHAVIHYQGMKHGFPITRCCDSLYRTVRKYLNFMTKDENRELYWKDEWSSYYNGSAKDLWTAVQWMKKTVKRVSWDPLRIPLFVGENHMNEEQIKESEKPMNGPVYFELYFNGAKYRFLDLHITPLT >PPA08571 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:316692:320646:-1 gene:PPA08571 transcript:PPA08571 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIALLSIAFIVYYFGINRILGLPPGPPPLPLVGNMLSFDWDMDKVFLEWKARYGRIFTVWLPYPIVVIGDHKVLQENVAKNGDVFLGKRNPEQLMDIVCGGEYGLVFENNEMVKEQRKFAMKTLHDIGFGSAALEDTVHHYAQEIVTRWRKSKDEEVDVTENIMRAIGNIVWNITFGITLEFDNPLLIKFRELQQEMLPLMGGPLMMFIETYPFLRKLDFLFGYKIKQLQEVTKETNNYIREAIKSTNISFNADNQPSSYIEAFLAEQKKREEAGKPMGNFHYDQMLNSATSLWGAGFDTTVSILRMCCLELINHPEVQLKLQKEIDEVVGERRIRNDDQKQLPYMCAFLQEVYRLGKVLPLNFLRKTMQDTEIEGYTISSGTTVLPQFSMVHADANEFERPDYFCPERHLNEDGAFVKDPRITPFSVGRRACLGETLARMEVFVMFATFVQNCNFTPVGKVPPSIGYTTGFSRAVTDFVLKIQPRN >PPA08570 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:313979:315998:-1 gene:PPA08570 transcript:PPA08570 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIILLLVSFVAYYFGINRILGLPPGPPPLPIIGNMLSFQWDIDKVLLDWKARYGRIFTVWLPYPMVVIGDYKVLQEHVVKNGDVFLAKKNPEQLMEVMSWGLHGLVFEDNSMVKEQRKFALKSLHEIGFGSAALEDTVHHYAQEIVSRWKKSGDENVDVTENIMRAVGNIVWNIAFGITLDFDNPLLIKFRESQQEILPLMAGPFMMFLETFPALRHLDFLFGNTIKRIKTLSDETNGYLEEAIKTTESSFNADNQPSCYVEAFLIEQKKRKESGKDEGNFHHTQLLFSTASLWGAGFDTTVSILRQCCIELINFPEVQKKLQNEMDQVIGDRRIHA >PPA08594 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig168:484853:487209:-1 gene:PPA08594 transcript:PPA08594 gene_biotype:protein_coding transcript_biotype:protein_coding MPERPSMISGIIKFVIGLFTFRPKITANSMDKNQSEVGIVKDQDDNSADRLYRTSNARELYVKIGDVFFKLNSDIYDENEEGSFKIWKWFPRFSMRDFDADEEDLVYDPDYVPEEEEEEEEEEEEE >PPA08533 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:120953:122594:1 gene:PPA08533 transcript:PPA08533 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSFVSASVKFCIISGTFSISILLLLPLYFSYSNRLQESFNAEAQAVRRLLELDDFIYRDKRESENESRIEKINLAGFPPGFIPFPFQKYRLVNKLHGRRNFISRKIPVEEINKRINGRGTNEFGPQSRSSYFKKTSSGYSSEKYSGLSPLDAYPTIPPAFVTSNSKSSLLQCPPGPPGPPGLPGWDGEEGPTGEDGLPGISLRPSEPEGNGYDIEDDCIICPAGPPGPQGDVGGVGIDGLPGVPGIPGTPGRPGSNGAPGYETGWAGLPGQRGLPGPPGDDGEDVLSGIGLPGPPGPDGYPGRPGEQGRPGTVLYVDAPAGPPGPQGEPGNPGPRGAPGTRGDTGEPGLDMDYCPCPHRDGRYKVHGTTTDLLFDGWRRPTGWIIKKS >PPA08569 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:294673:295940:1 gene:PPA08569 transcript:PPA08569 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHWSSPLHNKMCIGDKMLKAATPHKTIGCSSESIEEGHTPVIMKITIERAVYSWSRLEMTTLEKLMIPKLSKAGAEPKQEEIFTGRPLKRYTVVLRRPCVPGITLASIGLSLRYDSRERVTVAAVEAGSVASAHLRPWDIIKRVNNEAVNTKTMCAFMIIKSFKESGQVILSVEVMNNTTSSRDTMEMPEDVVNICANQIGVMRSGTVKCLPPIFIVRSPTTPAASPKKNIISPGKKDTKNEKEKRITHAEGKAREEEIVSDTPEGTCLRQAKSANETSVRK >PPA08551 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:200313:203534:1 gene:PPA08551 transcript:PPA08551 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKRRASEGHQRVNIRGKRRASEINKTVQSTVLEKRKKVNPQFSILGFPNEILLDIYQHLDLSGRRGLRVNERMKRIEMDVEYHYRTLDIIIHENTLICDKIRIVVEKGKMREEIEMLKNTHFNRVNIISFVPIANDIIESMKFVNTKSMLYDYPNKKGVIIKKCKVNKRGLRWLYWKILEGKLDVKYVKVEGLAADLMKAKEMMVADNHDPTTKCLTCCDDFVINDDKLVVEFKGIHECPCRCMGSVHFFVTNGKEDDSDDEWDSYEYESSIDWSDWDDEFDSDEEREDNYDIYRLSRANEDNRKPNLGEDSFPLLSLPPEIISNVFSFLPLKDRLRARVNRMLRSIESDSKYYVPGVQIEEPDDDGSTRLRRALLGAVFGFHHFFLADDKSYSTDFIKKIAQNIKIGFLFIEVHGTSPFHHELYSLIKTLKFTELTLILESYTDEMKKEIMADVNFREIIKLPKYLNLHAMGDAIGPEELFAIYKIYISKYHGDEDYPLYDDENDDEEEEFDDDFLYRYHIFSGNFEIVVPHAVTDGIPFEFKMRWHETEELLVESKKGKKYMPIPEGETEN >PPA08596 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:494387:495001:-1 gene:PPA08596 transcript:PPA08596 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTPTEIPSTPEDKKLMEKTWSEEFDVLLTLGSDIYNYIFKNMSACKRLFPWIIKYEDEGVDWKKTTEFKDQALKFVQVIDTVVWGIIDGDKSEPFLYDVGQRHVQYASRGFKASYWDVFLDAMQYAQDQRIPKMNNLNAQEKQRAKQVEEGKGDTV >PPA08580 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig168:384776:385023:-1 gene:PPA08580 transcript:PPA08580 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLLLTDDRWKLAPKLLTPSRVRLVNHIHNTAHEVTLYNSGQEGLFFKNRFFAG >PPA08565 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:281790:287100:-1 gene:PPA08565 transcript:PPA08565 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDERDFSSTVIGEDSDSTDEPSVKKLKEDQTDTNSTADAGASNDMKGSQKWINEKWVFRYTGTDNFMTFDDVKGWTAIPEDEVEFIDQLWQEQEAIEKQQAAAANGGESEVNEDFLAQYNLSYGVEYDYEKMARPADTLAGAGAEEIDGGEPEKKKKTKEELKKEKAERKIPRPAGWVDMEEKVHAVYVSGLPLDIEEKEFTEIMTKCGVIASDARTHKPKIKLYRDEEGNVKGDGRACYVRKESVDLALSLIDESDIRGKKIHVERAHFEMKGDYDPKKKRRKLTAAQKKRYMEQQNRHFEWRPDKSRNYRPVSDCTVVIKRLFTLDEMIEDASRIFSLKEELSKSCATYGNVKKVVVYDNNPDGVATVSFDSTDGSDQAVKMLNGRPVRGRNLEAALWDGKTKYKVVETEEQAAKRLEHWNKFIESGGKKDDSSDEKKIDAHEKTPEKDDEETERYLRKLSTMGVTMNLLMETNAIEMIKPFTAHPSRKEDH >PPA08526 pep:known supercontig:P_pacificus-5.0:Ppa_Contig168:307:5154:1 gene:PPA08526 transcript:PPA08526 gene_biotype:protein_coding transcript_biotype:protein_coding MERLREDYRLKEERPKSREGEGEEMEMSEEEKEERREKESGNVVAVSLVDQGGREQALALSFVEHTLRADKPWLHLLSFDFHRHCRGLNFDKVSTLVSQLSPLLSDMGYCWVDREGKIAKEQRGVIRTNCVDCLDRTNVVQSAISFRVCMGQAMRMAVFGPCAEPPERLVEAIKKMWADNGDAISRQYAGTAALKASMTRGENKWFGMLKDGMSTPESRQASIDDDNLPEEREEDLNENLQRLLKETIDFVLPANEVLICGWPLVNPATYGDQVDTVLLLTRSSVYIAIYDEDAERLEDVHIVPLDQVEGIEVGRPHRAPRVCLRLVTTMGAWTMRPGNSRLFNNVIIGLKSGEEADEYVESIAEQLRVTLEMMRGGGEWRVRRPPRLIIGDGVERGHMKLFNKVAGVFKQKKEGGGRMNTSAVFYANPTTPSQPPVSVLVDVPAEGGEGREEGGEGGGAMMRTSQSEGGLAGLVKRGLKGQA >PPA08600 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1684:110:801:1 gene:PPA08600 transcript:PPA08600 gene_biotype:protein_coding transcript_biotype:protein_coding MLEETESSTQTGFRRGRSTLDNLHSVKQVAEKASEYGIPVYLAFVDFRKAFDTVEWNACWQSLEKYGAHPILVTLLRRIYESSSTLIRVNEDLVRTTVKRGVRQGDTLSPRLFNVVLRAAMDEIDWESDGIRIDGKNLCHLEYADDVTLIAKNRPELERMLKKLMEACSRVGLEINASKTHLLTSCTTTRSPILIDGMKFDFVSSATYLGGRISLPLDHSDEIEHRIRLG >PPA08601 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1685:43:640:1 gene:PPA08601 transcript:PPA08601 gene_biotype:protein_coding transcript_biotype:protein_coding ACKLSKIRVVRKNIARVLTVINQTGIIYKKTRAMRRALAKHDASIKPPGMMYARRMAKAKRISNRTGATENAFVRLVHTTALETIESPSRLD >PPA08602 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1686:87:778:1 gene:PPA08602 transcript:PPA08602 gene_biotype:protein_coding transcript_biotype:protein_coding MLEETESSTQTGFRRGRSTLDNLHSVKQVAEKASEYGIPVYLAFVDFRKAFDTVEWNACWQSLEKYGAHPILVTLLRRIYESSSTLIRVNEDLVRTTVKRGVRQGDTLSPRLFNVVLRAAMDEIDWESDGIRIDGKNLCHLEYADDVTLIAKNRPELERMLKKLMEACSRVGLEINASKTHLLTSCTTTRSPILIDGMKFDFVSSATYLGGRISLPLDHSDEIEHRIRLG >PPA08604 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1689:35:1347:-1 gene:PPA08604 transcript:PPA08604 gene_biotype:protein_coding transcript_biotype:protein_coding METKFRLEIGRLSTKVTIRVFFPDDGQCVFLSNPADPSRHRCDWTTDDKCRPAVLLCSKIAKDFSKQNSESAADGHLKNPSRAYRECKDVDEVLDLYSGGKNKNKRKRQLSLDVKKSAGVSRVEEYDAIDDNDIQIRTIQRESTWLYLETLSPMIEFHSIICDKDPSNDEILKYESKREEED >PPA08606 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:9832:13326:1 gene:PPA08606 transcript:PPA08606 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSMWTERSCALTGKKGYFNHTLKKVVYRNVKTPAKNTWRDKVKKQCHEDAWNLANTPSVPHPCDANLGDQTMKTTSFRLRDLDADPRGDSGEARIIWRDDECRDMDRDVSRLHEALENKHLIMKQIQLPWRNARERVLMRAKNEAARFPLAYSCPSNRMLRTRAIRSRLEAGAGSGVSFPEFVYQSLQAYDWRMLAEKHDCCFQLGGKYNNKIGKSTGSGGTWLDGEMTSPFHLFQFFRQLHYTDAVMMLISLCLSEL >PPA08611 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:27238:27959:-1 gene:PPA08611 transcript:PPA08611 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAEKTPSSGIQIRRCEKDTPPFILPLAYPHKAVIRIVVSRVDMPSSSTSPSRNTWGDDSEGDDDRRRTTHWVSLESYALTTPEFDIHTRLLPHQVNLLNSTFAHRRVTPSPWKQQQGVGYAKSGEGIIRKYSCDDYVYSKHGIALRCDYTPVVIIPSGERFALQCLEISVDI >PPA08615 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:51788:52860:1 gene:PPA08615 transcript:PPA08615 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFDGDDHSFWIRQGFYYDSRSGFFLRVRPPADTDDEARVINRPRADPSNIELSCAKIAQCAKIITYRRRSSGLVIPFGVRMSPPRARDDRDFYPSFNFDAMNRALPDDVRPRAANRLEGLRPYMAPRAPPAAPVAEAAAARERIAKLRKDNDQSTQLALRFSRQCPVCKESPIERAAFTCGHIVCLACAEKMKLVAAEAHNSQNCPMNRWRKNQ >PPA08647 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig169:255563:256454:1 gene:PPA08647 transcript:PPA08647 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIADGLTKIEQLEDIIKDSEKVKDALGKEIKKLQADLERCLNYYETLSKQIELFSKFSKNSMFKNFRNVPGLNKNRAMEKTYMKRGTI >PPA08626 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig169:111586:112385:-1 gene:PPA08626 transcript:PPA08626 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGIKRSNDNVEQQPESKRRKEDKYVSKSWNFLAREYHGLYHNANASHYRKH >PPA08633 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:155456:156167:1 gene:PPA08633 transcript:PPA08633 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTYRMCFPEVNTPWPVSSILIDHRSVIMPAHIGALIKADVAETAAEEEQPPNLSEEDEWSDGAPMQQDVAEEEEINIVD >PPA08607 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:13407:16193:-1 gene:PPA08607 transcript:PPA08607 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCVSCRKFSPNKKDFHEFTSKNHIRPLWLNALTPDDTAKAELDFQLSTASGRQYVCVAHFSPDSFIEGSRVLKSNATPMSMRISPTYHDDTVPHSPPPTPVLPLLSTPVARPLSSRPHPSFRLASAVVPPCCRCCCKKETAADVEKDPNWTPPSPTIQNLPEAEYFLVSKASLLELLTGCNSCSSGKNSLSFTEDAHALTCTRKCTSCGNASKWSNSPVLETGNASSKEKLRKVNVDMVTGSTVTAVGTARLNNFLKAVGMNTVSKRTFHRHKNEYLLPAVENVFTHAQDEGEKLRVAGDGSFDTRGYSAEWCRYFLVDADTGEALVHVLMNKKETGSSGKLEVMPCLKKAIEILAGKIGGIQFIDTVVTDRHSAIFAMMKQDFPTITHNYDPWHYFRNLTMSFIKHTKTLYMTQVRETWSAIIVRKAYDAVVRAQGNGVLASEMFRSSLLCCAGLHDFSSDPSFTQFKKCLHGPPPLNFPYIQRDGRAFKNLEAHIFTAKNIEDIKHVCWNLKTSTVESLNSLAWRYAPKDYYFDRMGHELRTMMAMLHWNELRKDEAEGTRTITGKKGYFNHTLKKVVYRNVKTPAKNTWRDKVKKQCHEDAWNLANTPSVPHPCDANLEESDDEDDLLPTPETSTQTLEEILEEARIIMEEDDECRRQWELRNEENE >PPA08642 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:195623:197907:1 gene:PPA08642 transcript:PPA08642 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPSDQAPPPTWAFSGLIQTAVQKGWIPADSPAAARASVASPTVAITPVPSLSAADIVREAADFARLTRPDAHSDEHLQNAEVKYDMEMSTLRSRQDAQLEARQQERAFHAQRAARALRRAHEAQLEELERELQEQERLALLELTQKHELERHALRARLEPPLLVRDRADAVAACARNEQLLRGFGEVFAYDRGVDYRDLVRGDSDDEVDAARVVADADRVREADVIVMSDDEDDVFEDELTINDEDEEDEEESEDDDISDEEEDEELAKKKAFIEELRAENEQSTRIAYSRLCRVCYADAPRRRAVFTGCGHVVCRACAERLEMDADADEGEEVYAVDARAPDNADEDEDYEVDADADSLDSYADAREDVEEADDEDATIAQRQSLDLAVTELHLALGLPAPTAVEVTRQALLAEEAVERQALLDTIEANAPHASQAADASDEDDQEPERNGIPDPIGSRNASPAYSREQATMREFAEAYDLPDMPHSHSHLFSHDDEDGDYAPQADHALPADYALNADAFIVVDADVEADDARSLACPLCRTVGGFVPLFEEEAEEYF >PPA08622 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:99778:100608:-1 gene:PPA08622 transcript:PPA08622 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQRHANEFREYGCELLDHQSIEAQNLVRLLEERLRSHQQRPMDVDENNNNNREHADALEFRPIAERAHHARGVPDVQHLNEAEARLLVLADDRMDRLVADVLGNRDELPRVRPIAIRMDGVDLDTEEDEDDWENQDEQAFNLDVPRESHVEARVAAAEAAAAAAHAARAADAARARIAALREENEQSKNPAHHFCRECPICDVSPMHRAVFACGKDFRKLTQKVAVD >PPA08620 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig169:82629:86959:1 gene:PPA08620 transcript:PPA08620 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFTHFYAPCDSSDIVQACEKPDCQATIDDSGRKVVCAGDAKKLWILSSAPNEWSQIDQIMCRNVQWTASDLALPAPIKTACHETNPAELFCFDDLTKACADEKKCDVTRCNADASEVREYANIKGADDDGQSRFSLGASVGFRRRRVENACSPSISTPGAKSTYFLGFFSEEIRGKNVFDATSSEANGGSERKIFCHNERWHWLSVNDTGKPSLVGDRKISCSVEALGQGTALLSWTIGGSIGGIAACCYVRAKRKARNDPRTTEKETSLGVLPSSQRVVAPKSWHWPENASKRALVSADAPSRDVTGPNDRAEFGTRSHEDKSATVDATTFSGKSSKKKSSDDDAQLKQIAFSHQLLLDKALATTSSHEAI >PPA08646 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:230248:234279:-1 gene:PPA08646 transcript:PPA08646 gene_biotype:protein_coding transcript_biotype:protein_coding MREQRALSPPSHRLVRRNKRSVIVQVPVEIPDDVAEDQELEAELSQLSDEQLEMLAEVVQSELDRFDPQVPLQQYEIVDIPVEYLSPRGLPRDRRSLPVEPMDDEEEEQLVFVPQEVLEEALMEEALEEAAEEELAQC >PPA08640 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:191197:192225:-1 gene:PPA08640 transcript:PPA08640 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNFFGGHNFNRHAFLAAYMDVHHRPRPLSSPPTELRAVADAVAVANARRPLVHEGADRAVSLVLAQGHDINVDADRLVGEGVDADTDPYADELELARDSEVEEDDEDEWKIEKMEVIRKLREENEESTDLRFSRACTACDVAEPRRRVVCTRCGHTVCRECVDARLRLVCPTCQSAASFVKLYEEQAAGRQGFSRACTICVTDCPRARAYFTRCGHIVCLACALQLQADADADADADSDADDSDDDEDEIQVCCPMCRTEGYFEELKERLEHN >PPA08635 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig169:159074:160086:1 gene:PPA08635 transcript:PPA08635 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFIQKLPYLDKPIWFSATLLVGAVLSKFVLKMPTAQMLSALFESSIPQGRMRILLQMLSRPRKWKKSITNRFATSIPRDSPSLPYICRSSLPEHSFKKLTPFEALPRELLWSLCEYATEIVFDLRLVI >PPA08644 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:217417:218988:-1 gene:PPA08644 transcript:PPA08644 gene_biotype:protein_coding transcript_biotype:protein_coding MFESEEKFYDYINRLREENESRSSIRFSRACGVCLTRVPRRRCVLVPCGHALCTACAKRIRWDADKEARAIVCPFCRSEGKLVHLKEELIKEQQDRKQITDTSLKLTDVCKAARNDTQTRYNELVEHAKAADRTYEAAAAPVAAAAAGVAAARAARDAAKATLDAAVAAFAEASLAAYQASNSNSGCAGAFEAAAAAAAAAATAAKAFDAATLDAKVAEWRQRVDVDWKDCFERVCINAWKAADAAKEELQRMVIEKMQLDNENSAVLGHRFSRRCRMCGVDHPPRRSVLTACGHAVCRACAEELMLIEALKKAAIAGCSADHDACKADADNKQIRCTECETVGRFVPLFEEEEIMENI >PPA08619 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:75293:80290:1 gene:PPA08619 transcript:PPA08619 gene_biotype:protein_coding transcript_biotype:protein_coding MILLFFLSVLSLSQASQCSDNEACLRFYLCGGVITEIEYYNSTSNAAYFACAKNETFETHFRFWVEVRRHVDDSASAFDGLKIKTKEEKTFWLREFADKFKTIIPPDVPPNYLMWTIIAVIAGIVFVGGVFAACCFIHARRRRKTVNGKEVKGTSQTTMEREDQLGVVRSPTSIKTQYYTPETYFTATGTDRATGTERVTGTPKDDALTPSKDNRIRTSAENEKEETPEAPPTGSKAYFSKALPKVVLKARMHGETIRNPSPPRNEKACLHFALCGGVIEKVQFFDFEARSLDDHRCPLLRDVSVFDLPSKESFEMRFRPLGANELSVRLTSKNMRHHHDIEFAMNVVRFTFSNETAVAVTASQISMESGHDSEFAGNITMKTREPAHISFANLTVHSANKTWELPQFSQRLMDLGEDHHR >PPA08627 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:114474:117734:1 gene:PPA08627 transcript:PPA08627 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTKNIDDWRRKAFALTASDASMGMALSKMIDFMSTVTKDGLGAPNISFALDSLQRERSQVMDFDEKKTDALRSVIYGVSECVGTMVSTLVDQNAKLLEQVEQLTRTLNSINEEGDFGGGNHQYPDVATGSGASYYDDDVVEADSMRGVVVKEEDLDAVDSTDSMFDSPAQSLQPQQQLHQLQPIHQHHHQQQQQQQQQQQQQQQQQHHKYTPSEPYQGPPIEFDWLDRMDEPGPSKANRKRSRPKSITSQQDGSDDESDRSNSAPVRRVDPRKTKRCHHCSKMFTSTGARANHMFSIHGDADKPRLACTHCGLAFARTDRLQDHLRKLHNILPFQCSTCDAESIRQAETARRALGGEWALPAIDSG >PPA08621 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:96055:98573:1 gene:PPA08621 transcript:PPA08621 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQNREEIRRLRSQLHPESNLQDCAHPDDVCDVDCIEEDEDANEAFFQAIPAMNREEMDRRWLQLHPECDLPNTDFTDSEFTDSVNSDDLVDELDVRNRVDQNLLESDEQEADCFDAFLRKWSEKLERDRLQLRREYDLPEVAINSEDDDSIDFEFEEMRAELDAILDLHWEHYNFFAGVRDAQEEERQERTRRQDEYRQKYREEHAEQLVEFVKLHCEEMNENRETADAAIIEEPDSDMESADVRMRRCADEDDQHITRRATT >PPA08612 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig169:29936:30792:1 gene:PPA08612 transcript:PPA08612 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGIRDVDITYRRLLALKKAHKKDANVAREVFKLLGRSPSKGCLLTSSVDHPLTGRSIRRWTEEASEDGIVSSIEKRGETTLVTLTFPSGQSTIPLSQLESSLDEGSFDILDDLL >PPA08638 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:178221:185017:-1 gene:PPA08638 transcript:PPA08638 gene_biotype:protein_coding transcript_biotype:protein_coding MATIESGTSLESTTPLDASTPIITEGCYAVVRKVGGEHQRVVRLTANSNVLVEKLRFEAESAIGHPFGLFEVIGKRLVPATVEDLRKRDGGDIEMAAVDADNVGLNGNEQGEVIAPSAIDAETRQQLTEEQISEMKKGGAQANTLVSKLVSGSASFASRTTHAKSKYIRRKTKKHSDRVFILKPTIRLLCEAYLRKDYDRAGCLRLDQLSLIIHQGAVHTGRKVLVFDQVLGLITAAVTERLAGAGACIHLHRGTVPQSIPCFNSMEFGPELEPSHGCESVHPSEKGVQNLLINLGVLEGSTPSIRHPIDGLV >PPA08645 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:222640:225957:1 gene:PPA08645 transcript:PPA08645 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEKFLDLKGKKIAKATGGEGVCSESFLVLTSIFTDHHEKPFELGTGSYGTVIGFVSKTDKNAGVAVKKYLGPFLTEKKVQRCFRELQLLRSLNHENVVKWVSAYTEGSREAYTIYLVTEYAGPDLRQLLNLETKRADLLKNPASGRVKKVRFPATLCTKILHRDVKPSNLAVNKAGKLTLLGINFVILAWLVSMKNEVKSLYRAIETIVMWDEGERGLISYDDKADIWSIGAVLCEMITGDVLFEVELKREGQIAPYPIDVVRKAIEICGQIPDNVISKHLLLMSVQQALKHPFLDAVRVRKARAAAIPIPGKQGILRSTSW >PPA08623 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig169:102894:104483:-1 gene:PPA08623 transcript:PPA08623 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSAMDNAQLAGANVNASNKRVVQKNVLIDRAIDRNEETNQEKNEQAAPNPVNDAEPSVKRRRQGLNVWPAHLYGYPDNWMDLPVPSDSESDSESDSESDLEQLLPEPEDERRPEPENAPECDSDSDNSVEPEVVVENEENSESSDEDEGEEDEEEDSPFYRPGSAVVVCQNANRKVTSSTPRACRSTQLRGLRNVGSAKKTRSKFPASVHTTCRSSIMPSVDTPLNLAGLPHDIIRKILRDKDVRLKAASLVRAGLL >PPA08636 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:161590:164349:1 gene:PPA08636 transcript:PPA08636 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGEFSTDCSPGWFPRENYPARPAPTGPKRPHDRSWLVEMSRGTRFFVDYGPRSGRIRFSQLLLFLILSDEIEEGTNEGEVRGSVEGKMSDFFFLHEIWTIVCKADEMGDAATAAVAIGGTGEESLEEWEEKEGRIGVGATARGGGEGERLRRGINPFLIKAAVEAATTVDDVIEATLKLSRAWLAFLEACKSMKRAPTREDIAKNDDDVRQSAEAEPEQLIETKETATRKLEESYAVIGRVKNLIERFQRENVDCAARGLRFSRACRACNTDSPPLRSFFPACGHAVCRGCADRAAASEEDTSCPICHKEGDAIYLFEELAES >PPA08637 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig169:169840:173985:-1 gene:PPA08637 transcript:PPA08637 gene_biotype:protein_coding transcript_biotype:protein_coding MASFQVQQQQSKDKEGWGPRKHDEAWQAAQNQKKADRLQREADGLAAIEQGLDTILIGSRSVDPCSLLELLYDKLRGSGNVVVYSPTIQHVTRAQQWLRERGAIHIVLTDQMYRVQQVLPDRTHPLMSQMVVGGYVLAAIKTIKSGVREASFLSRASESAIVGMTAMEPSITVAWNQLKVSIVKDGMSFRQDMNGE >PPA08605 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:280:432:1 gene:PPA08605 transcript:PPA08605 gene_biotype:protein_coding transcript_biotype:protein_coding VMWPLCAGAREAVFRNIKTIAECLADELINAAKGSSNSYAIKKKDGIEFT >PPA08617 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:60597:62654:-1 gene:PPA08617 transcript:PPA08617 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFDDRKVENALKDEISDTTYTIESRPGEPIAGHFTIKCNSDDKYAVCYSGIISYEDLNLQERQERKETEKFRTKLKELTPCNFKNASTLPVGKLRAQEKTRSYGVHLNLIWIRIKGYDMNSRNGWSEVNQIECKDAKWNINGRPRNYKYELCFIELMCYVTNPWRTGESNCSFNISKACVDKRNCDLTITPTRATCASN >PPA08639 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:185576:189964:1 gene:PPA08639 transcript:PPA08639 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIHPVSHVIFDFDGLLVDTETCYTEALARMLKPFDRVFTMEKKAAMMGMKMQDAVEWLISHEGIGERVTTQEFMKDYIVHLDELLRHGPLKEGAERLVKHLDKHNIPMAICTGSNTKEYEQKSASHRELWALIPLIVLTGDDPHVKNGKPAPDGYIETMKRFPSPPSSARDVLVLEDAPNGVKSGLAAGCQVVQVPTGPEACFRMGADIDAKITVLSTLADFDPTVFGLPAYD >PPA08628 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:117835:128912:-1 gene:PPA08628 transcript:PPA08628 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSLSPGWHVSDHALKELLDDDPEDGMKLETRIMNLDLKLFGLPSLQDKMDKAAGVVKGPIVLQITRIRDCGRPSLGESSPSNMLRVFLTDGHQAVSGLALEKINGLSEDKTPWGTKILLKGEVKVDGHFILLSPVNVSVIGGRVDRLIERWSIEKSSMKGIGRKSGEDAPKWISFGKRDNNADAVKNTRNFKANDVLKGVTEKEDEEGEDQFEKARKEKLEAVEAAERKFAKVKVEAPKGGLTEEAKIEQMRDKMRKRGEKEEERREQRGMKGGRRGRRGSDEEPDVPSEFARPAQGITLSSFLGEGIAPPVAPTTFSVPSQPPMTSGREGGGGGGGRGGGGRGGRGGDRGRGGRGGGRFDEGRNDARGGYGGGEKKFDGNTQRNQHDDRREDGGRGGGRGGMNRTDRGATRGGGERRGGRDGGGNRGGSHSAREGAYNNHDNRDKVGYVDRADRGGKSGGGRGNERGGGDGAGNRGGNHGQDSRSGVDGRGGGRGGRGGNREGFTSDRGNGRGGFDGGRGGREGGGRDGGEGRGAFTGGGGGGRDGGGNRFTTGLGQSTRTEKIPSLMSDIDFPAVEGLNRGVEGMKIGGGMRSDEGRGRGGGGGGGEIKTGSKVKAPWDDGNFYPATVLSIVSGTMATVRFDGYDHFKTLPLGVLLHSTPSHVSRACRICLTEAPRHLCVLTPCGHAFCRACSLKLRFDALDASSPMQCSACRSEGAFALLREDLREGNQASRSSDCVAERDNDPVLGVAEEDRALADTADARAAYMEAQQELSAMTDTLLRKIDALSAMLTEQTNALLDRLAQRNAEHPSAEYLAVSEEEFNARQEALDERASAAEFEVQRLTEVERAADARKAAAFVKYDDSKTHILQLIDQLKRENEDGATRGLRFSRACRACITESPPLRSFFPSCGHAVCRECAEKATASEADTHATIRVSRACRICVADAPRFVAVFDPCGHAVCRSCALKLRWDAYEAKSALMCAYCRGEGRFVLLLDEVLLIERNRCDEDRWVGIEDSALLERLRGVADADADDMLFAELEQADAARPVARAAMRAAGEAAEAANAAADAASEAHSASTQVLAAYYKRQILIDQRGATRSALSAEDVVELDRKLAQNETNEAIKAAEIAVDALQEGMDSCKAKEARIEQFIVEYKEENEDCAARGLRFSRACRACNDEEPRLRSFFPACGHAVCRECADKAHADVSCPTCHKADDDRVVAD >PPA08614 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:44284:46716:-1 gene:PPA08614 transcript:PPA08614 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAMDNCQSADVKGGLADHFSSLADDCILDIFARLDHYDLDQCNVLSRRMRTLSDISRPKAEKTKLGALRIVQHKHAGIELRMRNATESVSDKRIWWSLVMSNANNSALFTIDHTPECLDPDCQGSHKMKDGIINFVARTLRRFQFQSIQLVRNLEMEIHDEQFGSYLSRYRLFRVVGLLINADWLLWCVVARLNHRIEGIWEFDTTREIALAEVNALSGLYRVRCGVKLEERKIPFSASTCFLLLNLLEQRNRAARSLAGRTLGIRFTRGRPLRALATDRVTARGEDGAKKRRVHLTGAAAAREAKAESRTEQ >PPA08625 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig169:107654:109191:1 gene:PPA08625 transcript:PPA08625 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPRYRAVFTPCGHVVCRACALKMKLAATKEELAALCLFCRGEGKFVGLDETPRIVGHQDNGAHEESGKRSDVNTENKDGRVNYASDSTRKRRFRDVIRSFFRSLFTSLRRAVTRLRNTATYRSDVVDVPPPTLAQEDALISAVAVSYEARMEAVKAAVTASRVSAAWGEVAQDLMREEYERERQAADDAIPDAVVIQGQVVERILAAQGASDRTKAISIQAYAIYLELSKEYEAKHSSVQRMIVVLEKENEKWLVSIPSSAALGLQFSRACGACNEEEPRRRSILSNCGHAVCRACADAHASGAEAQCPVCSTAGNCSPLFEEVIDDQDANL >PPA08641 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:193485:195052:-1 gene:PPA08641 transcript:PPA08641 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFANFCLGALVGGWSVYLFMENQAHNPGRNDEKESANAENEELEKLYADELTITSETDDDEDDSDEEEEEDKERDRKMELIVELRKENDENTTLFSRACRVCPVDDPPSRAVFVECGHAVCGDCADAAASDAESRECPLCLTAGNYKPLYEEHDVDDESSPDNTPRFSRLCRVCYADAPLKRAVFTECGHIVCRACAWQIAIDADDWDGHASYADDEYEAIDAVHASDADADGDATMTDEDVDAYASVADVGQAEEEEERLDCGGDDGSVNFSDASEADTASGADDASDAETVSEEDTDDDDDDDWNPLSCPLCRTKSGFGPLVEEPIESNP >PPA08609 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:20290:22531:1 gene:PPA08609 transcript:PPA08609 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASISPSAVEFYNSFTLFTPHQCAVVPTHQTIEMRFRPIDAHDLFIRLESTMSSLKNIEFSMNKISFRFAEWNSLIVKEGQETIVPSDAEPEDDDHIGWAIFGSLVLLLLIGGGIAACCYAIYHMESLEDLELVEQSRAAEQSKKDYWRYYRGREEGGAHRVCDLY >PPA08613 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:32954:35042:1 gene:PPA08613 transcript:PPA08613 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQLYISTSYYAHTHLFENQIGSTERKEKREVEKLIDDLDIPEEQDKCDELHAMNVECAGRCSAGEKCTNSRLYHNQCARLELFRHANPVIGKAVRTKQDIAKNQLVAEFRGKWYTENYFKGITRYRPSVLDLIPNTARVFDINCGSYTYEERCAVDSMNREIIRDIVSNVWNDPRSHSIASLIFTDDPTEIDPGCTDGVTVHGGHCVSRLHWSGPFTLSNRRFPFVVENHFYDCVEEYVEPRGGGAHYLVDAEINTLRAIIVGCIAKYSTRDTLLGTGWGINDTHLLRQGQNILGTIMEEI >PPA08631 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:139312:139717:1 gene:PPA08631 transcript:PPA08631 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSFLALPHRSDADDERALAEAARIRAAASAALSAASAASDAMQPVKEASIRAHHALVEALRAELALERDGTCDEAHRTPRKSG >PPA08648 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:256614:258270:-1 gene:PPA08648 transcript:PPA08648 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQVAILIVDFCWGFLVCPVLLFPLPGELCMGFICGSETGMHIGVVLLFQTMIQVAFLVTCTLHYKYTTIVRMTNHRQVAEKLAVRLCYWIVLEIPVAGKVVKSIQRQSLLSELLNDNKNIQGVTYSFMRFRWIPIMLAASVVGSMTLTVGGCAFFVFQTVRMLSMKTVSMSEQTRKDLLTR >PPA08630 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig169:136148:136547:1 gene:PPA08630 transcript:PPA08630 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLHSSHCLTGQSDERSGEMKRRFHLESAIHDALLSDADDERALAEAARIRAAASAALSAASAASDAMQPVKEASIRAHHALVEALRAELALERDGTCDEAHRTHRKVR >PPA08632 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:141432:153165:1 gene:PPA08632 transcript:PPA08632 gene_biotype:protein_coding transcript_biotype:protein_coding MRGARPADSASHAPAAPAALNRLSSAPSSPHAATRASIRVSRACRICLTEAPRYLSVLTPCGHAICRACSLKIRWDASSGGGQIHCSVCRGGGTFVGMQEELIAVVGGNERTGDESTDSSDPPDREVADEERISASDEDRVLAEAVAARAILPDVSQQSFDAWIASHEAKKKICDAAVAATKAREAIQAGVKEARHARHMARNMLYRSEDAEVRARVTEIIAKIDAVQPELNILQRRAARDEIEAKKKAANAESEPLHVAMEEALRRVEECLMKMELIENLMKRFAGENEDSATRGLRFSRACRACSAQNPPLRSFFPACGHAVCRECADKATSNYSTMVTTRRRANEPYDSKPNRRKTNDNNATVRVSRACRVCVAEEPRCLSVLIPCGHAVCRACSLKIRWDASLGGEPTRCSICRSEGAFVAMVEEAINEGGEQVRQNRRFGPSSSSNPLLSRDREVADEERISTSEEDRVLADAVQASSTLSDVLQQAIDSTEAAMEALEKVRFRMVPYCKMFDSPLNEREVSGVHQGMRAYQRWRELRRSSADYCSSSDSDSDATTFSDSSDESSDVEMEQEGRSEAHPSETTASADPISIKEVPEESGALEQAAHHQLLHAKDPTADSSPDADSPDAPSAGTSTAVASGPLVASTASTSVSSSQPTIARNSEDSDEEEEEDNEEEAARKHTALIAAFEAMYKRRRGESEWRWKTLPDPSTRPPSKSPLTQALALACRNALANNAQRPPPLVVQAKPIRPQAATPTPISTPAPAAAHVRPVAAAVIPQRQAPPTPATHPLHVSATPSLHSPGAAPSPQQAPTPSTATHHSTAAALPSAPRAPTEPKAAQKRRRARRSRSPSPSNSRSCSPDLAAEISRRGLFPMDRRKMISMQQTPAVKPGTGILRTVARTADYAEDENATHVRCNLCQQWFAKANKPKIRYHVLFHCPMKGFQCKHCNYAHHELGKIKRHHKNVHHMPKEEPHKFENDLINAAYDVYMKKCFPNFDTGKLSIGRSINYPTSVDVLSKCMNCLTTYHVVDKHINTKMYPCSLHGCKYKTINKRELVIHRQACHNDNSMTIFATRIDFTDTTKFTIKSFFPELNDVNCEKAEPELKRMAEAAAPGFNKDHELRPLLAAQDGLSHVLNEPLEKPAKCVKCEKRRKEMATEMGSVAIFHNVYDLVLHTKSHIGGDQQWSCPERLCKYSSRYKIDVDMHCFNHHPRNNYQAVNR >PPA08629 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:129512:130011:-1 gene:PPA08629 transcript:PPA08629 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFHCCEANKCCGKRGKEEEAKCDKIHCRIMSEARGLRFSRACRACNTESPLLRAFFPACGHAVCRECADKATASLADTSCPTCHEEGDAIPLFEEMTENE >PPA08624 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:105144:106030:1 gene:PPA08624 transcript:PPA08624 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQRRMIVISMNRSVERRTTPPPFTSHARVASVSRTRRVATQWNSVRNNRAVVCPSCRSEGKFVDFIEDNHQATNNAIRNDFSRFRIDKLLSTVEDDNLNYISSDSANALVREVADETRSTVADDDKLLKDALDTFEACIALGNAALSASDKSTAAAEALTDIIEVDIVELLAGRPALRGAAAAAAIAQQIIDARAAAAAAAAASD >PPA08643 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:205876:206638:-1 gene:PPA08643 transcript:PPA08643 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAFRRADQHYILGQLRYTHGVDFHRRRCFAAALELLQLPLLHVLAAVVVVHAVDRLNEGREQIAIIITDYLGINKDPGHSGRDLLDFVTTGSFENLTDIVFDGSD >PPA08616 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:53455:56845:-1 gene:PPA08616 transcript:PPA08616 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCEPYITENRTPAKKSMLQTSANALSVRFKSSKNDLSKFAADPTIDKFAFDMNKLKFSFNTTPLAMEIARGEPLRAKFTMKTEQPAYIAFTDLHVTWVNKGEPDTVVTAEVPGFAERLIVLTPCKPLNVVEECANPNCKIFCLNEQWFYLNGTSAPKPVGKRNISCAAVAQISEGNITEAPPQASTEQERTLVCYFRNRTKKRRETGHSPTVKGISGSSKAISASSKETESPRRGAIFEGKRVSPSTLACGEMENKVESWRSDSKNAKTTAPPSKETPSTSRHSADKVSAEQISFTGAKGSNDKISAEKVSAEQLSVTGQGMSRENFSRESQKEKRATIATSIG >PPA08618 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:69042:74892:1 gene:PPA08618 transcript:PPA08618 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNDVGSKSINDWRREAFALSASDLSMGTVLNKALDFMSTVVKNGLGAPKMEYALEALKHDRDMAMEYDQNKNDPLRRVVYGVTESIGTMIAELVEQNKRSAKEEREASAKPEEAKEQFESDSERRGDSIAKSSQSAGAEEVLFSTDSMVAPKKEQAEPLEPNMQDNFGTLLHKICNSPDEMPRNYDHNEIIPASSSQSRVTAHVGMAPFPQSLGMQQLQLQPGFSLSQPTHDSGEQWLPEEDEQEASDNDCDMPQEGRSEVQNSLPKKLICSICSKKFAFQTWLVKHMEIHATGRGPHPCPDCSYSSTRRMNLRRHRQNTHGVVPFECLTCSSGFDRLKQLQKHWAESGHPAFALSGSDVSMGSILSKSLDFMNTVVKNGLRAPNMNFALDALKHERDLAMEFDEKKSDPLRDVVYGVVESIGAMVTELVEQNQQIAYTFNEYPAHQEPFAAVIADGKLENHDTTKKSHLVANFDADIMILPKEEPVDETAPLLQDHIEEPIGRSENLELNVKQEDNPISPAGIEESMALNDAGIASVQLEQQEPRPPISLTFIESNQGDAGIASPQLEQKSDEQSLQPATASQPTISLASSYSAKVDEEPENANDVDKNTNANADKATRPLRSCKGMNSRKKAIGKGHTTKEVKRRSIVKGKNNTRIMVWQTTHALTSIALDRRGRILTCLICPYTCARKDHLRQHCTTKHGIATFACSTCNARFDKLKQLQCHWRESGHVNAREMVLNIPLVP >PPA08634 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:156764:157131:1 gene:PPA08634 transcript:PPA08634 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRLRKWISRKDQLDSQTISLSNKSSLEELPREIVWKNIEYAPGTIFHLRMTSRTLRSHVDAFIHQPDTNTTLELIALIYANSGMP >PPA08608 pep:known supercontig:P_pacificus-5.0:Ppa_Contig169:16298:17094:-1 gene:PPA08608 transcript:PPA08608 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFPVLEVAQQAKARMEMREENEQRVFAAGIDPEEAADCSCECCPPRTSQEPNDYCCRSLFTFDTVRNGTKLRDGLVANMEEFGQHSCIIKDPLFRNYILTQNAARSAAETFAMMSGEKITDKNKSYRYGAYRLFVATTMGHLGKGTRVRLPSCFVSAVRKLWPSPHYSGFSSSNITDM >PPA08610 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig169:24096:24504:-1 gene:PPA08610 transcript:PPA08610 gene_biotype:protein_coding transcript_biotype:protein_coding MERLPPSGTINVHTQNTLDMRLARCRNVSKLLKIHYDGADRTITATWKKIIIEWIE >PPA08649 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1690:395:729:1 gene:PPA08649 transcript:PPA08649 gene_biotype:protein_coding transcript_biotype:protein_coding MGERAARHFGELQARECPHAQAIRAILNDFLREITVIEEGITPISDCPRLFVTIPQDDKPGV >PPA08650 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1691:398:1066:1 gene:PPA08650 transcript:PPA08650 gene_biotype:protein_coding transcript_biotype:protein_coding HSADTGEVHQLLASLAVSAAEWTQAVRARKGESLPVEAPPSVEAPPTCQAPPTLAAPGVIAGPASCQQLTAIVDGPPPPPLYATPNKQ >PPA08756 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:713379:714730:1 gene:PPA08756 transcript:PPA08756 gene_biotype:protein_coding transcript_biotype:protein_coding MVYCLGMLIYYQIFDVPLTDDDPVLLAAELLRDVVVGYYCGLYERGGFSPIFINIAYLFIALSCSVSVRTEEASSVTHKIEITYTQTYFDQFKEAWR >PPA08821 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:1147723:1152098:-1 gene:PPA08821 transcript:PPA08821 gene_biotype:protein_coding transcript_biotype:protein_coding MKRINQLISEQEEEEKIDEFLKKACITLDIEQPYVCDAIIDAFANELYFVVERVIFTPEELCGIFVDECGTPVNPLKVLWDLPVPGGKPAVKPWPAVNAPKKTQRVLHLSDIHIDRDYAEGSEADCASDKGDEPVVGLCCRNYPDVEGAPTASTKIRVPAGKWGSVNMCDLPYRTFDAAMRHISETHKDLDYIIITGDLEAHDMWDYTREKTQANIANFTQALIKYFPNTPIYESIGNHEGVPSDAMAPHNMEEYDTRGPSWLYNTLAQTWSRWISADSVKGVQYRASYVERPAPGLKLISINSVYCSFCNFYIYINQTDPDSTLTWLISELMDSEAKGEKVHIISHIPAGDDYCLKGWAHNFYEIVNRFENTIAAQFYGHTHNDHFQVYYEDSNPVGRPTHFNFITPSITTYLYNNPAYRIYTIDGGYEGASYTVLEAETYTTDLDEANAKDQEPMWFLEYSARDAYSMPDLSPASWSALIDRLAVDDDLFRTYHRYFFRSSYEDNCVNEPACRQKYVCYLRVAKSFEEDHFCAGFTTL >PPA08651 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:5447:6437:1 gene:PPA08651 transcript:PPA08651 gene_biotype:protein_coding transcript_biotype:protein_coding MKARLGTMENFIDEPRNSSDSPIEFVPSATMLPGNSLRLRPSHNAIIYFFDTTFACLAIRTIRRYSLLWPTASFRSTRNG >PPA08758 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:718735:722766:-1 gene:PPA08758 transcript:PPA08758 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRGSGSITGAPDIRSSETSLKRRKTSFTDPPLRPHDNELPGNDLLLDPLIIGQSLHVSNYVDGPIDTVRRVLAAHENERVDDTPIITEMMALDRDDEGKWYWQQTARWIKYEQVVEGDMTRFSKPHITLLTIQGLLQARNCLKRGIVLLDLDKEGFTNITDAIVDAAATKYKWDERAKARMRVVLGARKFHLGGTPGVDVYENKKSARKLVEGLPSSDSYISRETEDSSDSEEEPPTINVGEAAVKHDLKRFKRIAKVISSNEHLLMRADLSTVSATILVGEAPFLSRPLTAFVRLKKAQNLHPAIPDVPIPSKFLFLLLTPSQNYDEECRTIGRTMGAILADETQ >PPA08668 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:145696:148472:1 gene:PPA08668 transcript:PPA08668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-eef-1B.2 MSDGLLSEVSALLGARLAVRAADEAHFGGKQVYTSVKPSTSCGSSGSDDPLSSAISRAKHIAQSALGGAGSDAGKVLSEVAALRKEVSGLKGDLDAIKGLLEKLSLGGVAPAAAKAAAPAAPGKEEKVDDSTQSLPSTSVALDPPIYYDRRHVLIVGDGDLSFSLALSRLLQYYPTRITATVLEANEEEFLQRYGPAAANTLAQLKAHFPKTSLRFNTDATKLETYPDIDYSSVDVLVFNFPHPGGKTNLKYSRRLLNGFLRSARIVISEQAELHLALARLQSGICVRPGVVSSPSEPYHEKDSWQVLEIAASNGFLVDRLEIFDPFDFPGYGAAGYRRGVKGFENKIGAQRIVMKSCSNSLTLSLQELRLLNQDQPGNVFHCLRPFHRHDLSIVYGDGSSLTVDECDSLERQFVDHLKDLFGGMMARQEEVIEIRSVDPKNRPNRIYRLWWQSVQSPMNKDRCNSFHEEMKAEDEDFDLFGSDDSDDEEKKAVVAQRLKEYQDKKSKKAGPIAKSSVILDVKPWDDETSMDELEANVRSIEMDGLVWGGGKRLPIGYGIHKLQIICVVEDDKVSVDDLIEKITEGFPDHCQSVDIHAFNKI >PPA08808 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:1070762:1076542:-1 gene:PPA08808 transcript:PPA08808 gene_biotype:protein_coding transcript_biotype:protein_coding MFRIILVVGVVSLASATYQGDPSRQEIELFEWTGGARLPFCIDAPLQEVAATFKKAVGAYITAYCAGNRTHCNLRENVVFGPQHVIFMEGFPRREFDSLNLRFMVILPHYARTYEKQIRPLLPRSVLAATLFKFKPRFRNELNWHLISIEKYPRFSPTTEFMNIAIIPIIVFSLPLMVFLAYWTSTLRPNMSTESFMVSGASGGKNYAYRRTLEIIAEQNLEYERERKLALTRHIQMSPPRDAVGRGVGMLLDVAKLSIDTAHLPSDRFRKKTRAEDRERLTASASQPQINIEPGSETPSLADLELGGEPGGLRLPVPLQEVPEHEALQIEDEDEYDEQQASSFLSVQNVHPHYARAHRQFRRLSSFDEVGVSKRSPMIVSLHVCWGLSSPPIHFHSLILGRAQTMEEWFTDVSNGEHSYACDGNTAKGNYLHRCEHEKSETIINRKRKHAPKENSEIVEEREEWSEEESEEESSVDEEEYSEEEDSVRYSEESQETASTSKEDNV >PPA08674 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:185302:190570:-1 gene:PPA08674 transcript:PPA08674 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-inx-5 MVMVCAIMLAGTQYVGKPIQCWVPAQFTGAWEKYAETYCFIKGSYFLPDDAEIDSDFIKRDEEVIGYYQWVPLMLAFQAFCFYFPSLIWRSMNFNTGINVKAILESAAKIKKRVDLSSRQGQVRAAASHINEALEMQRDLAVRKLELVRKYKRSGGYLSVLYIITKFLYVLNIFLQFAIFSTFLDLKSSFWGFNILSDLIQGREWEETGNFPRVTMCDFNVRVLGAMHRWTVQCVLMINMFTEKIYVFLWFWFLLVGVISLLSLVYWLVALVIGSSQKEYVAKYLRCAGAIHSEPNRDDDKTVSGFVHHFLTADGVFLCRLIQTNGGDLLTGEILFELFALYKKTKADIEEDKPIETSFDSPDSSATLPR >PPA08670 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:155789:156351:1 gene:PPA08670 transcript:PPA08670 gene_biotype:protein_coding transcript_biotype:protein_coding MHCVSCGGAVASRLAARHAANMTPAMLQLQQQPVAADGGSRRSAVQPAALPPVLVLQ >PPA08685 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:312946:314481:-1 gene:PPA08685 transcript:PPA08685 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSADKDEVLPRAEGRGFRTSWRHRSVDDRHKRVIVDKKNATRESRVARVILSITPGTHNTEDAINRQLRDEGRVAAAMENFNLIYALNKCLGSREGTELNSRFDDQRDLNKEKIERPVLYICL >PPA08734 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:581055:589691:-1 gene:PPA08734 transcript:PPA08734 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVGRSDNRLSKGREFRHNKCSTHKRQNNIIVPNAWMAENKKKCTVVAIQATRILKDGSESRRRTLLLPVISARNHLSLSWIDPIKGLYKAGEQINTRVDTGSTAPNYVVHCNGRYQAAAGTLSGSVAPLAITILPEMKGVCLIAVYTAQKQIEADVHMFVVEEACTLCGKLGGFRHVQVAVEESCTHTVLPIGGATKPGAEIAVQLDSKGEGIALLSAIDDRLNWISDKARTWTDLLPSKFWSHQSPTDSTNATANLINYGEIDKEIMNQCKIAGKAYYYEYKSCPETIATSSPFSDTCLRHLYGPCKRAMNAEPPVSANCGRGKCTTVSDIRAGYAGDIAESESERQQPAVGSVFPMFTKTTPVTVNDVPYLKEKRPIEVRRKLPHVWIFEDYEIPARGRLRLNYRLPDTVGRWSVISSFWAKGDHDLCLAPEAFVDVHKQFFVQANVPASVYLNETVAVEIIVSGDYVEEETSLVICQAMDRSVCSDVGVNGEKGEAVFSRIELSPSTPIVTKTIAMRFLAVGSHNVTFTLRKEEHYPGRYHCDGGDVMDAVQHTVVVQKRAETEEHYRGLILTVDKPVIDSTVFDGFNAKKPVIDIIQVREYRESGRPGTLMTEITTPLKSTDQISTFSIEISKFLPLPQVDFEDAQDVGGRRKRSAQQLSSSSSAFLTDVLQQLALHIFKQEQLRAVSTTPIGALEALDNAISSAVSDMLRFTDCGGHSQEYCGFANEGKPASNNSYSVFLTSMSASLLCKASADPQLRTHTDRLFFTAYMLTQAAHDCEMYACLATGTAKHSWNELYETFYEFDDKTGMAKIMTTAKD >PPA08672 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:161963:169305:1 gene:PPA08672 transcript:PPA08672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ucr-2.2 MLSRQAVRSAHSAATRTVAPLAKAPEQSTKLPSGLTVASAEHNGPVAHLVLAFRAGSRYESGQQAGLVHHIRNMVGADSKQYGGVQLVWSAASAGSEIHSFSTPDYLGVRLSVPRDSSGLALSVLGHVAADPAFKEWELEDALPTLSHDLAYLRPSDRVFEDIRRAAFRNGSLSHPLYASEFTVGKYSGDELRRFAAARLVAGQAVLYGVNIEHDRLSGYGDVHAPVRAESGSTPAASPYKGGEWRREGPGSLAHVVIAGQGAAAGDAAALAAQAVLVASLGSGSALKFGSSAGKGALAGVSKQGDVGVTAFEQAFEGEGLVGAYLLASPSRAAEAVKGVARALKTYAADDLEAAKKSAKMDLLRDDAIACAKHI >PPA08683 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:274992:291265:-1 gene:PPA08683 transcript:PPA08683 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNIKKLRAEANKDLRDKYDEEDDFGGLANRKRRPETRDSESDEEPSVPAKRPFVNEKSTKGMSIGEKLLAKHGYHIIEKLLPKHGYQEGKGLGKHGQGIVEPIKESNQRGRAGLGHDTGKVLARDNSEFWDESAEEKTVKETVEWIEADPDTIDQVMRLNDGEGDGGWMVVGARKETIDDETTYVDAQQLKEMLESKSNRAAMKTANMDAVFDFIFSQESNIAAMKAKNPMDLGVEPVNVDRTVEIFYFADVCAGPGGFSEYVLWRKKFYNAKGFGFTLIGNNDFKLQNFRATSANYFEAFYGTAQDGDVTNPANIDSFEEHIKKGTGGKGVHLMMADGGFCVDGKENIQDVHLMMADGGFCVDGKVNIQDVHLMMADGGFCVDGKENIQEILSKRIYLCQLLVSLCIVREGGNFFCKLFDVFTPFSASFICGSDETMKKRVVKMREIFYKLFDMLSSFSVGLCYLMYACYEKISIHKPHTSRPANSERYIICKGLRADTANVVKNYLKKVNLRLEELETQRQHEVGKEREMAKSRKYDNGAQKKKPVAEEQKYDVNEVVPYEVMARDDAFMEYIVKSNNKLVVRQKVYLDKYKSFAKNLNQIDRDQGKLREECMAYWMIPNIQKDKNRRPEINVNDTLAKFTARRKKPLFFSDQDWSQRTPHLDSKTHMNLNNQLYQHEEFECTFLGEKEPFLLISTGDHVFKRNFQSRDWERLPEPKYFRLPPDTILLVDLTKSYGFNAQKVLDKNDVRDVIRILDCAVLHGDDVSDLPYKDRMMAAEKMCLALERVCPAMSTGGRREVYIPHLTVVAQRFRLKELVDTAIRSQLTLNSVRGDQSVTFTEHGRAFFVRAVRGQCIVNAESNWHIYFSRSSKQLYASSPGRQPVFKGEWLKQGVYSNFWQTSIHRKTALPRHAFIWRWEQNYDNGYGPRVILDDELNSGGLTLKYLANKINTFEQEFKQK >PPA08689 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:325518:326667:1 gene:PPA08689 transcript:PPA08689 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVLLLVVFCAGEAGQNSNNTPRWFECGGTRESEDIAWFFIWNGCRGSLLKINRCCKQHDACYLRGKEYTELHPNETWQQRWEVNPLANSPLDHICNAIYAGMSAVAWLFAPTA >PPA08817 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:1131325:1133860:1 gene:PPA08817 transcript:PPA08817 gene_biotype:protein_coding transcript_biotype:protein_coding MTPATRRSARVACKADPKEKVVERQLVAEESPASSVPPMNSHVKIYVVGWEGEPHLYRIRDCAWAMGRLKRKYARKCGMDPALILDGRTLRDIECPLLLCLEDGDRTSVNCKSKGNQYCTENN >PPA08732 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:562660:569303:-1 gene:PPA08732 transcript:PPA08732 gene_biotype:protein_coding transcript_biotype:protein_coding MPRITEYDLQSAQCKLDGRTIAALATFSTPATKKRMRGQLSLDIQSGDIAPFWSARTPDSPDGGIQKSGRTKAGDVLINSLALIAFVDNQREVPMNFDLLADWIDEQRGVDELYGNVVDTFFASRAISTYRLRKGLTEAEENSIQVEIKCEGCPQMLVNVTDSAPLFYIPTNVRNLTILTTGRGKARAGVRILSAKKQRQRRGNVNAITYPVEITVDQVIYKNGQRQRTLTQVVCLKPTHPRVKYVEITHGIYTGYTTQPHHFELLNATNSSMVVPVVLQQPFISTYAVHFVLAGLVKGNLSCYQLGLMEPTMAHEPDQLAPVAISVRDASNGFSRVLMTMGILIFHIDVPLAPDGVTSKTGANWDRGEYHRSDGNDARIPNVKFPTQSGIIGETLVIHPDARNRRIKRSINLVMPDRQQVVVYDYVPRTRGATAGTSSPPFLKTLRRVRRSSLSDPIEAVCFPGGRCTCAERSCNVNCGACRVDESKYVKQDVCEYGAFAATVEVASVTNTQLDGADFILAELNVLHWSSRELGKPAIVHIPASLTVWLRPCAFLCGQKLADHQGSTFIFVGEAAAVVPDENGRLNYVLRDWDRFIKADEKCSAVLTAIVVNKC >PPA08662 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:95888:100795:-1 gene:PPA08662 transcript:PPA08662 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rfc-2 MADLKNSETIPWVEKYRPMLLNDIVGNEHTIERLKHFAKHGNVPNLIISGPPGCGKTTSIWALARELLGKHVKEACLELNASDDRGIDVVRNKIKTFAQTRVTLPAGRHKMIILDEADSMTEGAQQALRKTMEGYSKTTRFALACNQSDKIIEPLQSRCAIVRYMKLSDAEMLLRLQEVAAMENVQYDDEGLVAILFTAQGDMRQALNNLQCTVSGYGYVNAENVYKVCDEPHPSMMEDMFVNCVKGKVREASETIHLLYRLGYSPEDIINTMFRVCKVCDKIPELLKLEFLREIGICHVRIVEGLSTLVQLSGLVAKMCMMNEAS >PPA08717 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:468196:475586:1 gene:PPA08717 transcript:PPA08717 gene_biotype:protein_coding transcript_biotype:protein_coding MFQTNEKRVEIVISGQTETIQGELNADFWDQINAAKTCNPEIITTKNQGNGTHAYGKVDNTVSPITCSALESLMCVLKRYILYKNVYQSHTCRWKRRIYGSICSLCERLEEVNCAGCTPVNYENKFGQCATSKCDNGLWRISEGGGDPVEGEMKCDHKMGSQNQKESVWYFTPKGKDPVETSKAACAIDVVSTTTEIVSVAAASSFPTGAVIGGIVGLIIIIAVAGGFAFCYFTIRRKIKEREDNEIAIKDDFVIRAKIANEAANPNPEKEVYRREVPDELKSKWELREYMWPTREHLYITKEEWEEELYCWDNSTFFLVIAHKFLHCNTEGRQDILRYLTAFDEYAIGERKERQYMFRFMRMLYENFVPHDDHRCVRDVAHFREICKVMMKAVVKVARPWLNELTYRQRTWEDATDTQLRPLAIRMLLWAEAPDVIAEMKRMADLPILDLQVSIRPVVLQYAARNLGRAEAMKKQYWGMIEGGTEINILDPHCAGTLRQHLLFAICSARDESKKGTPDEYEILRDMMKIMLNWWAIRGATDSVAGFKPVVDMFIEFAPKNFDEIYEKHVDHTVNFDLEKKKPVKKEWRRNVRRLYNLLAELCTNKSQFDDLVKIVTPMAAFVKQNDKKHTWFYVNELGERALNFGNLFSRKDVADVFYTALIETQQMRNAADHKDGEDVHVNRLRRIGANWSAKHSHHDMDD >PPA08803 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:1034406:1037007:-1 gene:PPA08803 transcript:PPA08803 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLISGKPAIAPKPSNNDAQPIPPALHRLRHFVLEHSEREMAEIMGKEDCALLGLIGPDVDTRLAGAGALLLPSGHERRARMKTRCRNTQLAVVFSILSSESQLEAARVLALWIRVGGHAIKSNGNQFTFVNIMRALTSEKLNITSLWERVDVLARQDFKLLAKSFKMITTRGEHPLDSVSCSLPFLHPLLDIFDAGNDVDSSYLDRSCPAKELDSTFFWLDMARDWCAGSSQFAQRCSAHFAAAARLTKPNLMIDATLANLLPVGDHTF >PPA08773 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:822363:824854:1 gene:PPA08773 transcript:PPA08773 gene_biotype:protein_coding transcript_biotype:protein_coding MLYACLATFGCLAIGLAGLALSLADIERFNFGLYLALLSVVGVTVSIAFWIIAPAACANPRWMQRLTARYGYKFEPVRETWLRHFGKKRRRKRRRSKGTKAASSNRTNRVLVAFTRGPGRERDTDEGEGRASGKEVAAAAAGCATGRRGTDASRDTLFPSTPVVHVIERIQDRFTRK >PPA08720 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:494768:495422:-1 gene:PPA08720 transcript:PPA08720 gene_biotype:protein_coding transcript_biotype:protein_coding MELYSLADYHFTVHMPLDHVEEFIVPEIFFFDLQRGKNYRALTLSTDMCLPLRGYAGLEHENGLNGPPA >PPA08815 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:1119589:1120472:1 gene:PPA08815 transcript:PPA08815 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTPSHDDYVDKIRRLAEHIKTHPDEARAGVAKLSAAAQQPAGDILKIFVSDKDPQTKFAEIQKIKAGLSAPVRAEIDQHKQDLAHKVGILTLEEILERLEKLADHIRAHPDEARAKVATLSPAAQKPFGDIVKIFVSDKTPKEKFEETRKIRETLPSDVLGEINAVKEELAKKIGIVPLHHH >PPA08676 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:214713:219166:1 gene:PPA08676 transcript:PPA08676 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMRGEPVTGKLNYGNGSGERGGGGGGERISNFGYESSAFSAFRLNSALSRTSDGSDFDLSRASLGRPSRIDQQHQKFDASTGRPIEAGGPGGVSPGAQGTQRQNGAGGGPNGTHRGSNDHAAANSTHNNSSASNKSPLANNQPLGNHNSATSPNQQQQQQQPLSEQELQQLQQLQQRYPYPPYPPYMMGPMSPGSTTMTIQPYPFPQPMYLPYMYAPQAGQAPGASGASGAPSAPITKPAPTVASGGRFGSGVAAPNGADRGAHFRDDASSIEQLGASVGKVVVSQDGLFANSLIRRADGSVLDITPPGILREDEEDRDKKTVTYEAQTPFGESVRATRRE >PPA08796 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:1002510:1003256:1 gene:PPA08796 transcript:PPA08796 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRGGASARSRTRFSVGCQTPCEAELASLNSHSKNAKKMQVSTNSCRASEAVRTGADATPFTRQRVWNNASTSSFEVTKAGAEKMAEHLDLPSDPAARMAVIQEFTKQVILNHLPSMMNAIDGEASAVARKAIKDRWVSEK >PPA08809 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:1081536:1083665:-1 gene:PPA08809 transcript:PPA08809 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMVCFMEKLIQTRALAIAAVVMTTYNVYDAIRYRQPTVVIVLWSLFYVFVILAGIFAWVAISRNKPFLFFPIFCSMLLTLVLYSGLLVLAMIALISPDSFYGQYITDNHTDRGASDVSRSDEAYDYAITSLITSAVMVGICIWGIFVNIKSYRAIRERASNGLPFEPESTEQTNRY >PPA08687 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:318746:321668:1 gene:PPA08687 transcript:PPA08687 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGQSGAASKRPLSPPDLCRNENNHEKKFVLGELNITKLPLIPSIRAVDIVIPVNHVLTGVVKKAFAALASKLGSEAAEWSEEKDEKLANIHKSLALAVERMEKIRSLKRTVIMRHVNRRRRGVSPKTWCIAEDVVYRRRRGVSPKTWRIAEDVAYRRRRGVSPKTWRIAEDVAYRRRRGVSPKTWRIAEDVAYRRRRGVSLKTWCIAEDVVYRRRRGVSAKTWCIGEDVVYRRRRGVSPKTWCIAEDVVYRRRRGVSPKTWCIAEDVIN >PPA08693 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:333775:336395:1 gene:PPA08693 transcript:PPA08693 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAPKTSATTKMTTTTAMTPSPKIPPSSSALATWSYSPLASFRSGMTTPGSVGDLSVRRSSTNFITPGKSLAESIVTLTLIGVASILLASLDTLLGGVIVSNLALLAGLYAYQWTQVHSYKLDPRCSIILDEANVLSITSNFDHEMLGFIERLEPTKKPSESAEAQLKPKSLWDALFFDDRRYKIEEFYRGVYGNEYAVAKKREEEEMEDSRQ >PPA08657 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:43342:45312:-1 gene:PPA08657 transcript:PPA08657 gene_biotype:protein_coding transcript_biotype:protein_coding MEENGGLKCCAVVQGLAPARSPPRCNPSVPRAPIGDCVESAPHDGADHATVGYRTPTNVAVDHTTHHTTHHHRADHGSRDEQYGGYRGGNEVRLFFIPTSAIGIALAVGCFALAILALVIYSVWGRIEWKRLAEGRWKRRVYRKSRDKDQRSKIKSFQVPDVMFRSADEMHTVIPHSTSSHSTAHLIRY >PPA08765 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:771335:773398:1 gene:PPA08765 transcript:PPA08765 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVHNFFNSHATKQQKSGATPTTHNGGESSLSINVQLPTTTMSPPSTSTSIRVNREGWRGIGVKREFDEDNTYALLDDPYDQPGPSNAAMPCEMDSCPDDIAILDDEDSQSDSIEWLDDAGNIIGQQATSFSGSSRSNESPFTGESRKVGRKKGKKKVVQRCYMDPEDAAERKLQYQQDETVKKLLRKLEMARRERGEDSVVVTMTFTKNDDASLKRRVNRMYKSLHKRGDLVEEEDAKKLNNGKAIKKKKWGLKYEGKTIPGNHTKYIILHNALPERRMSSSTDLAIVRESFSSEGFPFITPRKADGSLSYDLVTVAIAFFIEFNAHLATTA >PPA08708 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:406831:407179:-1 gene:PPA08708 transcript:PPA08708 gene_biotype:protein_coding transcript_biotype:protein_coding MQNCDESDSHVPLRPSGDFSCASNDGFELVNIISDTCESRCELWVLGEVTI >PPA08666 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:133595:135052:1 gene:PPA08666 transcript:PPA08666 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLRTRHLPPPTTAASATSAEAVFGHTARPNQRAAHQLPQGRSAHHGKGARGVSSVQEDPVITAVYSH >PPA08798 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:1011579:1014827:-1 gene:PPA08798 transcript:PPA08798 gene_biotype:protein_coding transcript_biotype:protein_coding MEDREMAHIVKRIEKLDAEIRANEDVEEHVRALRERIDVARRSAAITIATLNEKMDVIEQAWDDQIIQEQKAIANEVLTLLKDLKEEELSQALSWRKKRRSSKGRSSRRSSSRSTTASKSQSASSVGSSSFSKKIAHLDRKERQFAGGAAEVKKDARHIDAMSRNLDSLNELERVVCNKLGRLQSVGDVKPGSSVYGTVELVENYKNSVAHLAKAIRSLSDVSCLPAITNDDPITKAEIDKICRTMTEFMGRIKAQIPSNGPSAENTPSPRPQTGVRVAMRSRPPPKSPKGDTASGSESGASYASARVYPFPQ >PPA08710 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:425045:430501:-1 gene:PPA08710 transcript:PPA08710 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dhhc-1 MARILREDDLLRDDSDDLMKRLYKLVPSQTQDVISMTLFVVVLPIGFLFEMFFVVGDFYAPWSSETGVVVVIMVDGIPSHGRVVDWLLRVGILSGLYVNCLINYVLMCRTGPNGRNSVLPNTVQSGFRFCHSCRAQAPPRAYHCPVCDSCAFRRDHHCSFGAVCVGHFNQRYFFAAVVNLWIVVATIITYNWPWLSVRLGHFSVVQWWQLMLPHLALVLRLISFTQFLCILVMSFSLVAFLFVTYLVAAQIFCLIRGQTRVEYLLEVHAYQLGFFDNMNSKNA >PPA08736 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:597078:601286:-1 gene:PPA08736 transcript:PPA08736 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLGFVKSKKAREHEYGRDIVIRLPDASIAEDDVRASLANFVTVLSLSAAAPPYAYASPKTARAVYARLLSPTHVDHLLNVLSGRLRVGGYLCAFARPSDDDSDEEEDETLVEDVFHDDVAFDDSPVASRKSSFQSTHGDVRDQRSIYRSHRTPSGANVVQYGEVYDSRISEGSEIKDQRSTTLQDGSFHDAFLSPAKSPIVDRSEINSHIQHSSSVFLTPPSSLSRSTLGEGDVGGASPVPRTFRLVGAPEPPSLLDTIPIGLHPEITVLDPSPDRPENRAPRRRVAELAEIYERGAAALEADDGAGAGAPPRLHLAAPGAYEEVAAGARGAFLPLPAPYHLLPNTPYFRNAPIAPPPLKPPVPPVPAQRVINRYLNSMKVMDNLDEMEIQSLMCFNFNRTIGSCPYTMPEKAPLQLSADGDDIIDEDWSVWSYANDQRTRLVSTVPHDYSNPK >PPA08706 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:385012:386425:1 gene:PPA08706 transcript:PPA08706 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEEYIRRFRPNLVVSFLFNKKFQSTLIPQTLIDERKSRNGLPPFIEDDADEVDIDGHLFEVVNKCVRCEMICIDQSTGDKDPAALLALRESRRGEGITFGIYLRERDADSKSIRTIGKGSTVILSRTGKCKLT >PPA08663 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:101994:110004:1 gene:PPA08663 transcript:PPA08663 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-flap-1 MSSYTNSAARRRPISRLAAEEKVLDKISREAEERMLKKRQAREEARAIRANQLELKAVEEDAGAYIGQADASTSNGLRHEELKEKVTELEDKFQQAMFLYSQLDNEKSTLLYEVDLLKDDLEEKEVVLSQTNKECRELNSEVKLLKRQIEGLQATQANLKKEIGDRDRLIQFAIEASDIPLFASDLSRFPSSVPSPVPTDFTLSLLLWHPLKVPRSLAQSQHLLPKPELKSPHLARSLASQRGAREQGDWRESETREKPHPPPPLYENGLVLVEAEGSDEVSQGSNDSGSAINLRSGPLLFSAETIRLVDRVLPGTSSLDEKVKRLVETNRKMRKDIEEMEQSVYTRRQKSEAHAATLNGSGLAAVDELSKDAAKQLAELKFKLQEAERENTNQQGNNCRPFSINLLPRNMPCDVFQFPAGV >PPA08698 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:352973:353976:-1 gene:PPA08698 transcript:PPA08698 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGISPRLTSLDEINKIPKPLVDPNSNELACDLFFKPNSVRGVSVHFGEDVLHSTMAQLGVKLIVRGHQMMMNGFNFFGTDKLVTVFTAASYHPDKPNRGATMEIDRTGSIRFHFIAPGDQKDFTDEHGDANQLDIGYHVSSEEKKMTGGGPHI >PPA08722 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:503523:504237:1 gene:PPA08722 transcript:PPA08722 gene_biotype:protein_coding transcript_biotype:protein_coding MIISNQRNMFIIVSISTITMMIKATHQFCWVFVAAFGAVDLNVFLQQTYDVVHYVATYSVTASLILFNKKVRQLMYSTHIREVKQATISVVNLTKRGSHTVGSHI >PPA08719 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:493350:493976:-1 gene:PPA08719 transcript:PPA08719 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDDKKSYPKYRTFLRPPSSLFHSPSRPPGELVFQPRKITTEALKDRLACAKFDSDASSSESEPSGDEDYPEDPEEEVAAEEKKDE >PPA08678 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:224796:226048:-1 gene:PPA08678 transcript:PPA08678 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVRFIFLRAQLHCPLLPHSGAIIGGGWALVQLATHAFMVWSGAAINLGTVGGGIAYAYLRSIENGARARLRLPLHIREAEPDRALLARIRQLQAAVDEIHVVSYCSRGFDMNHVPPFRPFAPRLDPEPPESVKWRRRVARASTIRERVSMVLQERVNLE >PPA08682 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:263384:273341:-1 gene:PPA08682 transcript:PPA08682 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTENDYVEKSSTYVHKCPLCGSVRTGTSSLWQHLASVHQKRLADVGRWVHCSACDTNFSHDSSWRVHLPKKSALIGLDGRSKPMKDLKRRRRGNEEEEEGKMRKAPMKQRSGWLIVHQMTNFRCEVCRQSDLPTLASLVYHQRKQHSMQLSQVEQWLRCFGCGQDAASLSWIRNHIADAAAAAAHAAQPLCNWKTAALVWQVEETSEEARRFREGVDPVPPSSPSSSLAVLLKTSSTSSPGVKQEPIDEDDVRAGSTMAGSSASRKRKHQQRVDKSYILPRSGHTVVRRQSNMVCQLCGEAKFVQGGFATCPSVDHHMRKVHKAGIHQASMWMQCGRCGHDFCNISSVRTHVSAAEGGDGESCGWSSIFMCWHERNDAFVPQPKRHRKLFKPPSVAQEGYEIVKRIEDLPCFGCGCRCASLTTFIWCMQGKHRLYFSDVGAWMECACGRAFTSTTALRVHLREEGGEGENPCSMPFVSLCWQRRIGGRETETPQYGGVSDAFLAGLLASPNTHQTQLQICGRGDGDTQQSDIYDPAVGWMDPMASGVVKEEAFDVKEEPIEYEQEMGMSGGCADLSMSDLPQPVPSGPPASSIIPADEWQVANLPQWRRSRPNPASHGTIPPASGSALHFQYRNVRARPNARNLAQSGAYSTESGSRPTLLSAAPFEEPLTSGVVKEENNREEERQMMNEEREEVEMEDEDEEEAITGARRLATFRKKLNTFLHDPTGYEDGDRFVLRKTKEMGCTSCGEICLSPSDLAVHMWSAHEQQMRGTRRDWSTTPHSSLSLTRHCKGGVRYLEGGIR >PPA08660 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:65551:74885:-1 gene:PPA08660 transcript:PPA08660 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTIERTLGCNVILIILGLGAAALAGSQFSRVGIDNFRDIDLRLLNWIHALTGFIGLMSVHRNHGSIVTKTLYCVSIVMGIASAIFYGFTTYRVVEANRQLQQLSGANGFEQEFGQENANYAGRIAISATMIGVSALAALVATIAVLVLDRLIVSTYPLYPIPIRDQERDVERARKSVASLAILKLALALGLVGLSAFLEYEHEMVSTDKYIQIALEHVAAMLAVCSAVVDLFAVAVALSIIAATWCAKTVDNNAVPFYKNDLKNFYISRDNNDVNAISNTSPRFIITVVHGVLLGCFCLLFILCCFTGVATAAVLCINSETAHQRVIEQRGAGRYHGRLISFLHVVWSACLLALTIIGLLDLVWRGEFLGGDLLWVSALFLATAMIYSGNHVAHSSSKFVMNVVCAGIAVEKMCASINLIYQYAAYRTYRENMVQETYIGQLVLICITTGVYAAALATSLWGAVMYGRETVAVRKHAFKHSTGVHLFFSIGTLFYAVVITGCYVVFELGKWRYEQVPIDNPFFRLGNGPFALCVFIVQIYCLTDWRLLTVSACLHTVLAALAQFTISPAISNVYYIANLLSAQVQASPDVDTIWTVALILAAGATLACVVATASSVIQAHRSMFLLHHGGGSNSSTVAPLELPSEKPDSTTNEEHFGTLQHQSPMGSGGGGGVTATDAAGQVYYSHYGAGSSAAAAAYSNHAMQTTLQHGVQPPVPMEEQSVYWSADENPFYYHTSKRYYGQPYQIESGCFVQTNPTI >PPA08704 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:376741:378443:1 gene:PPA08704 transcript:PPA08704 gene_biotype:protein_coding transcript_biotype:protein_coding MADLFGIHLRTGCFCNAGACQKYLKLSNEDLKANFERCGDLVDLIDGRPVGAVRLSFGKGSTMQDIELISSMLSCCFVGGAAPTLRPPIIPLDAPVRARLESLHVYPVKSCRGITVDSWTLSASGLSFDRHFSIVSSDVTLTQKRVRRLCRIVPRIDLASSTLFLSSEDAMGDGDAEIEGSL >PPA08810 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:1086550:1089134:-1 gene:PPA08810 transcript:PPA08810 gene_biotype:protein_coding transcript_biotype:protein_coding MDENTFNCAWIGQVRGSDDTVPPAPHLNTASHNEYTDKLHRLAEHIRTHPEEARLGISKLSAAAQRPAGDIIKIFVSKKDVRSKYADIQNVKAGVTAPVRAEIDAHLGNLAHNNGILTLAEILQRLEALADWIRNHPDESRAKVATLSQPAQKPFGDMVKIFISDKTPREKHAEIRLIKESIPSEVLGEINALKEQIARKIGITPLHHQ >PPA08741 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:644766:647821:1 gene:PPA08741 transcript:PPA08741 gene_biotype:protein_coding transcript_biotype:protein_coding MTALVPAMTPDPSPENSGSGSDLKPGSGPFSPTELYNTIGVTVLELSGLAPVPHCGMVLADFGADVTVLEKGGDIVEQRLNRGKKSVDVDLKSAEGVKRVREMCKSADVLLDPYRPGILEKLGLDPLTLMEDNPGLIVCRLTGFGQHGPLSQEAGHDINYTAMSGIMPTVAGTRKQPPWPPANLLSDFAGGGLTAAFGVVAALFQRTTNGGKGVVLDVSMTEGLAYLSTFITMYKNIDYLWTVPFAAFGSECPIYRCYETKDGKYMSVGCLEPKFTMNMLEEYESLCT >PPA08715 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:457150:457948:1 gene:PPA08715 transcript:PPA08715 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFTEILDYGLLAANLYVLLRIRLSKEEAFRTPFFYWFFTTGIASSLSVVGFIIAVRFTFTEENAWGFKFGYLARSRQ >PPA08680 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:247821:250641:1 gene:PPA08680 transcript:PPA08680 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMKRSYHSCTASDSCVGDLDDVGSSLGGDEREEPGDDSSEEDTFPSLDPRHLNAVHEELEKLNIATDVINKLELQLDGARAAFREIQGSWSEKLKELSKKYGSAHRESPTVLRGEAAVDEYDRK >PPA08752 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:687737:692383:-1 gene:PPA08752 transcript:PPA08752 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVGIGPNLVDSKAHPIPFLAKEISAMYKPQQQPPDLLAATITFVKGLRGEEIATFEFERSGNDVWTCTKHFTREELAAFEDKQPGRKRIYFTMRIIIARSYFDLLKKLKESVGDRIPSSAPTVPLPPIRAHRLNTAVIDSGAQIGTQSIIQYCTSLFPTNGDGSLPLNYHVHSATFARGSKKFQQMRGKNPTDSCCMPSYKPDDMPLIVSLMYGVPVSLPTNTSRMRELLANTAGICDSDVFDNLFSQWERAICEQALALDKSDPRSFNSIVRLLVFSLSLAVPLPSARAATISVFAEMALLHKKAGVSFDNCRLDHRSLIGKAFATHHCSRSSAQRMSETIFAIVEAVRVVTKTGLATKKKDSEIAVPPVSPHRDFSTPTVVVVEDDDGATADIGKRSCLLAQNIMPSMKMEMREYKQRRTRLPSPNQEYRTMSTVVVYTFSDERLNGRSPVW >PPA08785 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:889304:892650:1 gene:PPA08785 transcript:PPA08785 gene_biotype:protein_coding transcript_biotype:protein_coding MWLCRICSDKSDGAHFGVDSCRACAAFFRRSIVLKKKYVCRQGGNVCDINKSVRCMCRKCRFVKCLQCGMLPENVHQRNDDSPATSTPQQPSTSTASISSVPSSIPSVPSTSSQPSIASPSAMSAELKASVLPFSLSASDTIASVLSDFPSLSSFTAAAAAAVVSPAQGMDEIFRPQAIRPFVPVVEHCKLLKRISANYK >PPA08745 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:659841:660418:1 gene:PPA08745 transcript:PPA08745 gene_biotype:protein_coding transcript_biotype:protein_coding MQRILRGVIQYRQTVRKELVEQFKEIKDNPQPKALMFTCMDSRMLPTRFTQSTR >PPA08812 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:1097159:1098077:1 gene:PPA08812 transcript:PPA08812 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVKVLVGKNFNEVGKNSGKGLLVKFYAPWYVRTLQVARARFGGTRRVVRHFRQGSHRQGRPTQNEIEGVKVEGFPTLKYFPAGSDEVIDYKGGRALNDFVEFIEKKIGETTEEEKKEEHTEFSSLKE >PPA08787 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:904476:907820:1 gene:PPA08787 transcript:PPA08787 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTEFKSVMVELRALILVSIRVEHAQLSFEERSFPRGSLSAIRADVESLETVRSVASIAALPPACCLLVLRNKSLDQEGSPPIPNVLTSHPDIILLHRLMANYNSPPKHFRDFTRERLYFEQEFYSMQNDALPSNSQLTPSDEPQFTVYVAKFDQINGIYRGVAERAMKYLMSSFEEMYGMNEQDRFTILQNFIFLICHGEGHYRAAKTFKERPMESYFMTYTSSHRFSEFEEFFRDSEATATKQTSHVSEKCYEINVQTRDMLVPILDRMALTEIEFVALIDEFKLDNYATRLGELMTLTNAVQMSVSSVITELQFLNVFDVFQKDSFTSMIVRKTVIKEEPMF >PPA08713 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:450111:451562:-1 gene:PPA08713 transcript:PPA08713 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLDILDYGFLEGQCLRAPSHSNLTRGCVSDALLLLVSHHRWDHVKWHCLASSLSVVGFIVADRFTFIVENEWGFKYGYMLNAFSVTFSTIGKTSISMHRYAMMRTFIEDIWSRKIWSRKMSSYILTLITSIVSLAACSPAFWCGLTYTMRDNVTVVVYLDDACTVGSTRALISSNQRNITMSHTTYQPTV >PPA08826 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:1171130:1173164:1 gene:PPA08826 transcript:PPA08826 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTNLSDLPEDVLLNVFGKIDREEGKTDSVRLNLSLVCRRFNVIITNPSNQKQLESIRTRVNKITIAQIGNYRVIRVGAYPNKLIYPVPELPAGNQSREEGAAINDNWVKPNHCHTPSVDEFFDKLAGTVDNFETEIIQLSNMFLTRSFISSLILALGKRSRIATLDFNIERCGLPDYSERIGLTEFSCDVDDVLMAKK >PPA08705 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:379045:381601:1 gene:PPA08705 transcript:PPA08705 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSMGRHFYIGTINARTLGPKDKQTEMELALDKIKWDVIAVQEARIVGTVVYHSGGPTASHGVAFLLRPHLARGAVFRGLSPRLATLHLPDQRLFLVNAYAPTSSYDDDAYDAFIDQVETALRSAPRGTMPVLVGDFNCRVAREPGNERFVGNSASQSPNSRGRTFTEALVRNKLRAWNTFPKRRHGRTWTWRSNDGVTYHQIDFLAAPPSARVVNCGVVGRFEFNSDHRLVRMCLSLSGKVRQKRCREKLDFDRASFTVNASLLASLPLASPTSATDAYCNIKAFTEAAADNCWRKRHTPPWISRATRNLLALRHQLQANSQGPVAYAVACKSARMSLAEDIRKRKEAQARQAALMGRSIVKEILKLQSTKKRLLVPDPASGALSQSATKAAVKDFYEDLYSPAVQIPLAVPPHSLDPFPPFLPDEARHAMSLLKCGHSPGSDGILPEMLYHSKDHLAHSIAHLLNRLVAGDTVPCELSEAVVSLLFKKGDPTNITNFRPISLLTVTLKATTRCILKRFEAVLEETESATQTGFRRGFSTLDNLHAIKQVAERTSEYGIPIYLTFVDFKKAFDCVEWSACWNSLWKYGAHPTLIHLLRRIYESSTTLIRVNEELVLVTVKRGVRQGDTLSPRLFNVALRSAMDTIDWEEDGIRIDGRNLSHLEYADDVALVAKTRPELERMLRKQMDACRRVGLEVNATKTHLLRSCKTTRAPITIQNLTFNFVDSTTYLGGRISLPLDHTDEIEHRIRLGWLAWSTLSHLLSSRLLPMKTRRRLFESCITSTVLYGSEVWALRSSDKERLSTTQRKMERKMLGTAGGTSAFGRSLSCATGTEKH >PPA08775 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:830359:832478:1 gene:PPA08775 transcript:PPA08775 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMEAKKRQTYGPGVPAAPQKGTAPADTATLPEEEEMEDESSMEEEADFCPLIASSLASVLAPTLASTRSIPKDQRPVIHLPKMTVATKQSRVPDLETVGEQSAEVTVEAATSSGPYYPTPADESPAPDCPASVPESPIPGQLADSPVPAFTPDSPAPVPRPIPYPEIEIVVVKTSRKTSEIASPSYSAVSNGIKRSHSDVQILSVNFTQNSAVV >PPA08819 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:1145055:1146656:-1 gene:PPA08819 transcript:PPA08819 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEFTSKMCPFQPFMLPVKQIDLHGDYWVTYAYVSTHLTEHINNILERHGYLPVARGEATIASKGSPQREIAPIRLARVDGENYMHPDRNFISNVFFTDQNDENPLINKVMAFKALTPETSRLHAILPSLIDVQKSMNRQLDDNSTVLSMENKKKTSEKETKLKDLKELLKTTSKYGEAIPTAGQYIKMAVSSKMTRPTTLNILTNQLTR >PPA08656 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:37307:42997:1 gene:PPA08656 transcript:PPA08656 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFPFNVAYVKWGAKYPFLLSGVISALSTALTPLAAQTGMVAFVGVRFLQGLAFAADFACLGMLTVRWAPLAETGIFLGFLTSFTPLANTVSNAASGLFCTTVGWRWAFYAHAGFTVVAFVFWLIFYSDDPQEHRDLGLLNDSCLPNIGCVRPKELEWIQKDKTQGHINRDPYLPYRAICFNRTILIVWFNALTELVAVVSLLTYAPIYLNKVLGLSIESTAFWTALGSGLHLPVKLVMAFLSDYVKCVSEVKKMIFFNTLAVGFVGLFMGLIPFTSSLPAALSFFVLTYAMAGANSSGFYKCGTLHARQYAHFVLATIQFTKCTALFIGPGLYALLMRTDDDKRGWMWLFLINALATMLANFLFYPVVTDQPAAFTKTEESDAKDQGSKSKDQSPSTSQSTSKSTEIE >PPA08792 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:966990:969135:-1 gene:PPA08792 transcript:PPA08792 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRDELDRSNCFFVSQLEITQDYLKERALAAFHSQLIDANIDGGVAEFDSISQSFSSELGILIVNSEKVLERIHDRLLEKLFTSIALSENPCSFNPHEDFVRPCRAAVEAASEEWSSKLKKLENTLQNHRSEKRCKMLSEYHDTHHSPEFSSNLETMRVQFTGGIRKLTPVDETDSELLEDARLSGETAQ >PPA08828 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:1176124:1176517:-1 gene:PPA08828 transcript:PPA08828 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNDGTRATNIPAISTSSRRPVAEIPPFHRSPNQRDYSVDAHTDHIFREFRHRMAHYFAPLWHTQHGF >PPA08780 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:853151:854788:-1 gene:PPA08780 transcript:PPA08780 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASKLGVFGNPFLDSNLPLDQCEGDSNSENIEFAMTAIYEMGILDKLKRPGDFAQSESPFCLYHSHALWSYRHRTTRYEDGTFGMSLVGIGPELVESRGSSSNPYEKEFAALYKPQQQPPELLAAAISFRNSQNGVEIATWTTIVRAGN >PPA08679 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:228010:230243:1 gene:PPA08679 transcript:PPA08679 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLGKSAVACSSLLQASTAVAAATTTATRGVARIPHWEYHIRFSPKDGRKRPAQDVLDRTLPFTCSLMRVDSSIDRSYVKEIQAPQQWHVDPRASRKEQGKHFIIISKIVVANPVSRRRCTIRYAKDDSFQKTSLYYETCTKEQCWMLDKMMTKYWLRPKYYENDPYAPYHTRHGLKGAPRVDDRGTLLRERPKILLEDTVADMHFRDR >PPA08731 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:557623:558274:-1 gene:PPA08731 transcript:PPA08731 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSFLLLLIVFSPSLHGYAAIDVRNQRLSVRDWVTYTMALLLLVIIAVAVVVCYTLALTVTVVVRDSQVKEEASDHESKKDCKRKDFEVVVQSYPADVGTAV >PPA08743 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:652990:653992:1 gene:PPA08743 transcript:PPA08743 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLLFLFIFGCIPLVVPHSYLLRLFGIVQEEEINFYDRSKTNLAIDNRSVEDDVEVTAFSNRICVPGRIILCLLALSPFICAIYCTVKCCDRKAELDDLDGIFHTSKSAQFERLVEAKVIA >PPA08790 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:955282:959546:1 gene:PPA08790 transcript:PPA08790 gene_biotype:protein_coding transcript_biotype:protein_coding MWEVHKSSRATDSTLAADITDRLLLKVEDTGSQLRINRVKTSITSSLIDSQHASCGIDSLHFPYSLALSPTRDMLMKNRYGVPVDSNQVKLVYRVRSERLNNRVNIIDRALVLALVGIFFMVVESEMTGQRMWEIDKTEAEGANPVWTYLNNHAVSLVLRAFVGLTTMALLTQIVAFHHNEIMLDLVDCGADDWRVVMTSARWTRIVMEMIFCAVCPLPGTGHLRWSYIETNRNVHDSRKNHAFETKEIADLSPWGQTCV >PPA08707 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:389842:396265:-1 gene:PPA08707 transcript:PPA08707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sms-2 MLNGNVVSISLGDVEAGGGGGGGHDSSTLSARAASTGARCAKSDCVKTLAAFACLTVSAFLNFFLLTIIHDIAPTEPLPDLTFKLIPQQRWAWSLGDVLSTVNSVIAFTCIAMHQHRWVVLRRLFLLGAILYGLRACVMGVTFLPPSFDNRDEICQPQVNRTAMYTMEIATRFITYVVTLGLTSGQDKILCGDLMFSGHTVVLTLMYFVQLQYTPRGLWWLRYLAAPITFCGIAALVVSGGHYTMDVFVAYWLTSHVFWSYHQIFEMRKAERANAPLARLWWFWLCYWFEAEVPEGRLANRWSWPFPSPAIAHRLMDRVNHKLE >PPA08831 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:1190881:1193974:1 gene:PPA08831 transcript:PPA08831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ztf-22 MSDEEEERYRPEDAFAGRQRRADHIAFHRKMKAMLKSLRGSDLICKICSKKVDRHENAFKVHIAEHATSGASQLECRYCGYETPSRVEMNVHMGERHPNGSERAYTDKRDHLKMIEVMNQCFAKMPLNKSKKNPALVKPRISRKRRVVIEEASAVSTNSNDDASGNDSFEKVIVRFCTEKQSKQIHSVFPQERNITREDVYCTSTATQEG >PPA08761 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:758724:760401:-1 gene:PPA08761 transcript:PPA08761 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALTKHEASIKSAKQQVNTSVKDVDQDEVVKRIAHFKQTGMIKVPEWSDLVNRGVTKDMAPVNPDWYYIRSPVGVNTFRNIHGSKLRRGVQPNGYAKASESVIRKAHKRTIRAWRRSQIESRVEIVQAGAED >PPA08783 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:863123:870583:-1 gene:PPA08783 transcript:PPA08783 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGPHFEIDEDDVLSSLNKLQPPPEILASTISFVSLEDGELSKEMATYQYELADTGVWVCTKHFTREELAAFADSNRLIYFRLRIIIARAYFDLPKILKEPATVSEQVIDTVECILRGEKPAEWDFVIRVLICIIEDMMRSKPYKGCYMSSLMPEDMPVIISLAYGAPVPLPTNLDRIQELLTKTLGMFNVNISTRILPEWERAICREAVALDKTDASSFGRLAFLLVFCGHSSVPMPLAEAATIGVFADMVMLHKTAGVNFDHLKLNHDSVIGRAFASICTRNNTNKIVEVMFAHVAAYRLVDKVGIASKKKRAEVAAQSLLSPAVSPPRDFPTPTVVVIDDDDDVVDDNTDVRGVCKSLWRMLESNQRPSVQLMGALTTEPCKREERLRKDGFILRESDSPVDYDSPLDYCKGDPTSENIEFTTDVLYEPDTIDSLLGPRFHVQSAPICLYHSHVLWSYKHRTTRQEGEGLHLRSLNAFF >PPA08702 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:369823:370383:-1 gene:PPA08702 transcript:PPA08702 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTSIPFQEKEVLVEDEEQDEDALDKSDSQLRKLAENGLKSVQGKLELVIVAKQENDTFFYSEFPLWLSQSKLGGRTKPSDACTFICMSIAEAFYSDANLSITITEERDSQSNINLQ >PPA08805 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:1040541:1043789:-1 gene:PPA08805 transcript:PPA08805 gene_biotype:protein_coding transcript_biotype:protein_coding MCWFSLWAVRDSISSAGQFVLTAFWKKPLHFQINAERDRMGNRVYMFEEEEFSTVSSLVHFYRTHRRPITLSTGCLISRGVEKGGAEEILNAKGAGAELEAQYAKIFRPTAIGGKPSYGPSPGLSKTAMVNWASRSSLASSSSVNNLNRPAALPPPVPASLLRAGIPLPKRSPPTTRKSQEDEDYCEMDYDAMEPEVLHSPLIGGTRSVFNMTVPSHMRAPYTGLVSWIPNFNR >PPA08754 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:699623:703653:-1 gene:PPA08754 transcript:PPA08754 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFELFPTLAFATLISVVFIIQAFQKLLEISHEAPLYADTKELMHSPCYCYLSVESGNTTVQVREIDVAAEDCESRGGIAKGLQCHFKPDVYMLSVLLTFGTFALAYILNKFRKTPFFSSGVRNCISDFAVLLAILAMTALSHFIGLDVPVLHMPESFRPTINRPWLVYPSSVSPMVALIAAAPAAFYTILIVMDQQITAKGGGYHLDLLVIAALVLICSFLGLPYFVAATVLSVMHVDSLRVYSESSAPGEVPTFLGVNEQRLTAFFSHLLIGLSVLLTPIIKLVPLPVLIGIFLYMGVVSLFGQQFVQRVALLAMPDKHQPDYTWLRLVRMPRVHLFTFVQIAAVAGLFAVKYTKAISMMFPLMLVFMVLIRMFVLSRLFTRSELTSLDDSLPTFGQIMKPRKKVNYLGKTTINGDHHLMSNLLNKNDDFEREIKKLSNGAVGETIHEEEE >PPA08729 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:551315:553181:-1 gene:PPA08729 transcript:PPA08729 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDKNMQVKKDKGEEIERLLSTPLKMEEEMNNTTSNIRSLQMEIERRKSLQEEKRKLISEYKQKKERHRKNINILNGTIQKFAKNLEKKPKNESTRDEILLVLEKQRTLWCRYMFDIYPLRKVDDVYVMRNAGMQAVPQLTIHSLISTREAFRSQWTKMSTSVSYVCSSLGVKVSDPHNSLICLFERLLSHLMINLTWKNPMNGILATILTLSLPRL >PPA08691 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:329457:330608:1 gene:PPA08691 transcript:PPA08691 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLIFILLFSSTSNYELRDQLQGDHDVCYDKQIVGGQSACDNKFGHCLIDGTGGVCKQMARIFWVIVSVVRWKYNQSASYQFNK >PPA08811 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:1089966:1091238:1 gene:PPA08811 transcript:PPA08811 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPNFEAYKERLGKLAEHIKAHPDEARAGVAKLSAAAQQPAGDIIKIFVSDKDNKTKYEEIQKIKAGLSAPVRAEIDQHKQDLAHKIGLLTRDEILERLAKLSDHIKAHPDEARAGVAKLSAAAQQPAGDIIKIFVSDKDNKTKFEEIQKIKAGLPSAVVGEINAHKEEIANKLGITPLHHH >PPA08807 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:1065625:1069707:1 gene:PPA08807 transcript:PPA08807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ttx-3 MLHHSAIFPSYNTDTSGLCDACFLPIRDRFVVRFDNKHFHGACLRCTMCRAELSEEQTAFLRDGMLLCKNDFEGYNNYVLQNYCATCKKQFSVADMVARAGNHYAHTDCLHCTLCKQKIGAGQEVVLDPAQNIVCRTHLDPISLPPLHDLPGHLANFPPGMHPPPLLPPPQGLLPPITHLPPPPLPHDSSMDNHVTLPSLSPTQLIAHPIAQLPHLPQQPVKEPTRKAKKEEEQSDEECDNDGSQLYSTPNGCNTNGRSKRMRTSFKHHQLKTMKNYFNLNHNPDAKDLKQLATKTGLSKRVLQVWFQNARAKFRRSNSGRDPSSTSPSMQGSILPYPAKPAVKSEPCHTQKFPQLQLGGGSLSSFPATSFHDSLHGTSIDGLIGLDAL >PPA08675 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:205312:209005:1 gene:PPA08675 transcript:PPA08675 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKTHVIYIALEYGNDPFRTPGREDRDRARADSEAKLYNKTNLLESNGLNHSISSEELVAIPPLPLSRSEFALNQIPYQPDYSQDFHPYYVAMNQGPGGGGGGGQPPQGLPYLNGQPMMYPGVGYAGMGASYGNLPAAYQDSLNYTIGYNQHAMPMRVPRGDPTEFEYYQGQGGGGGEQQAAMRYGDAGEAYSSTHEYSGSRQQGQINQRASAVGGERGGGGGQGANVEMKRRSQSMPRRRPDGVPPLKDPFEGLDGGVYSKGEVTENLLERAIGHELVQLLDVVATGTPEGHRASSGQL >PPA08824 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:1164709:1169670:1 gene:PPA08824 transcript:PPA08824 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVHYCLLLVLSVVVFSAFDKDNPDWDCEWEGTAPWCRGGDCTNENATERRRSDVPVKDHLAPFGDKCWIGSKTLCCVKIPYIPRARRHAERKAVPHCLLLAVVFLAAAAFDRDHPNQECHWIGTAPWCAGGDCPNGEREVTRASEDPKNCWFRKQKTLCCRRRRSAVTILLMCTRPIEDRRVTGVIQAYPLSSAAMFAYFSFSIDFERSGDVRSLSDQNIVHVATELREATFLTVANVEIERRYASAISKSENERSVSKVATVSANFAKNSLLDAVK >PPA08747 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:665946:671643:-1 gene:PPA08747 transcript:PPA08747 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLRFIVNAIMIATASALYFPNAAVITRRDVGRQLSLNLTGTFLAFAAYDYVDIADDSYAQRVRVVSSGLTTTVFNLSTSTPLNGGTGPLIAATLTSPITAHGVTILNAKPSLMASTFSLKSIPTVRVASCGFDSATNDDYAVLDITAQRQSFSFHILNGPIATFYDPKASNGSFSFALNEATTLDRKVPAGAEFTITSAGFATVKASYNVDRGLTMRQNYDFGKDTLTQIVIDAADFRDREWIRFIASGSKTSVDVSLNTTADTIYQPDTTAATLALTVTADDPSHAPRFRIKIVSANKVTGAIYVFEDMLEQTGPSSSLGFIFTTLLIAISTIHNI >PPA08770 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:804832:813985:1 gene:PPA08770 transcript:PPA08770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-otpl-8 MFTLSSPGEGAAGSSLPVTSASPSESSREDEDEKRRDEDAVSRTTTSTQSDLLQHERWTTKKKAKSHFISLITALYALILIMFSLVVEISPAWKTGDYVTESIFHGYMYGGGVLFLCFVYTFILYPGFVNSVARAVSRRKCLDTFWQLSYPMHTGEGAGSLYLRLGAMGSNGHVSNLLFSTVFLFIQMHFIFCNSKLTVARFKTVCKIGFIHLSATNIWTWFRFVTAKQQAKIEKKLQHQQESSSSEEYYKDDVFDVLSNSVAAVTEALATSTTKSKTLPSIKYFGDIATFFTTCLVEFALIAAAVMFIIWRSIDREEEHVQTFESTGKRKYKMRVDCSSSSTGLFAGIVFLIAACIAMGVYVVFDDLHSCDKARLVFGIFDTCMFVVTLLACLAGLWRMRLLSFAEHKSGEVLDEILLFIGLIGELLFCCCEIDVFITPREGFKQMPVYVFIMYIFRIIQVTVQTVFIMMSFRLHGNPSSRASPGKQFVTFLLVANVTLFVFHVYEGMKKGFGYEMHGDSAYTIIIYAVTPLIVFYRFHSSVCLAEIWKHAYSKKEPHSGSLTSISIASTASTTPLSRPQSMSEFSAGSVFL >PPA08793 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:975156:976409:1 gene:PPA08793 transcript:PPA08793 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMKGQLYKLLVLMLLLVLCLQTVEGLRGALFRTGRSGSATSYPNARINYSESPRSALAATQDY >PPA08802 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:1029529:1032654:-1 gene:PPA08802 transcript:PPA08802 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSRKKSAKSKKTLKGGAKRPGGASASNKSKKAGGKPLLGAKPGAKKGAPGGGAKSTSTSAKRTPNGKTTAPKAAHKGGALQRATPDKRPVKTPSTASSSKSGKSSGPSSASGKKGATSSARSPNAAEARQAKKRENHVKSLTKNAAKGRNDAPSSDVTTARSPPYDPKKASKEKAAGAPKFYPKIPVQAPSPPPPRDPKKKTKSKEKEKQADKSTKSSKSQSKASQTSSSAAARAPRVRKVSRSKLPMQKLTVSSHIEDGIVVSQWYYTELRELGTPPSSVADLLQGGPRQQLNLPSA >PPA08763 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:766523:769722:1 gene:PPA08763 transcript:PPA08763 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRTSYTLDEVDDIPDHDLPLAVQFKNTKVFDDDTIGLREAEKQLTSIFLVHGGPVVQAIPLAQSSFGTGNIDATFFHPGSLRRFIVNNAWSSLSSPKGRIGLQGIISLKYPRKVGRISSQLSTDISARPEELALWIGGCPEWNDDVEELKDLIKECLNFDFVLDFLDDPSRKEILVVSPVAVNNINFKMNLYNMVREALKHFEKNPIKWKRRKRLLLVKSASDLFVRKWKERLAV >PPA08654 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:20176:26023:1 gene:PPA08654 transcript:PPA08654 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRLANIRRESSCTPSDEMAHERLTTAGIQVSSGWDDALRIGGGDDEEEAAAAAAAAPAASSSAAASPAAAAAAAGTAAAVPGSRDEEVGLRITPGSMYRRVLDGIGDATPRALRLGLLVVHVYSQSLGAVDLHADVDSALVLTLAHPDEREHQAVLLSLDAEDRPAEHSLLALALAHGLTHEEAPDDCTQSVADRVAVGAEAARRRPALVARVDEWRRSGSSRRSRESDDDFIDDGRKDGRHADAARFVQRVLLYLLVLVLLLFLNVDDVVFRSATAREEGVPRRSDGRGTSPLVTMDARGQAAALAIRRHSLFLVDPEIRRASTESYSEIIDSAAGGANGDTRDSGDSISEPSSVLMPVGDDPFDPDALLFRAPSVPPPLSVGSNCSSKCETAATDADDTKSTIDGEEEKMDEEDPNMSSSSSSSLLDSVKKMDDDGTPPECGGSDDVSLKEEETPPAAMEE >PPA08737 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:611536:617017:1 gene:PPA08737 transcript:PPA08737 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEDGNASFVEDAPPERLPSDTVQLVFLLIVLIVGLPSNCLVFSRIMTLYKASHKDSVKAGFLLLKLNLAITDLMLLFFYALPKLLWNITYEWKGTDSMCKTHNYLSMASYYLSSNIIVCIALDRLRTVLGASKIRRGKSTRSIRLLIFFAWFFAFAWSLPQFVVFQTVDVLPNSNATWIQCSDVWTINTIRKEGAPPSVPEWILQPPMQAVYELTHLLLVFWGPLVALSISYVIIAIRLARFSMSGPQSAAPPHLASCGGEASEARYMVDSDEVSCELVIKRDHTSGPFNAISSLFKARKESMMPRNRATVQPMWRRRLRGKLFRSTLLIVAAHFMFWFPYNFASLVAYLSIDYKEIIAIHAYFLNDLQILITLVNPMLYAIVQ >PPA08767 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:777274:780903:-1 gene:PPA08767 transcript:PPA08767 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHQVLWISGLLLGRNMERNTTFISTSSSPWETAVLLLIHSISLIVNLFLFAALAMQKPKRNVMKIRISVMLLLFFNILCSLTWIALALIFDLWRPSESASDMLEKTAPWARIGIEELFLTQIIDNGIFLSGMVPEPLHGLLALPRLVLLSLVAADIDIAVLRRDSRPARARIAHGIGNGAVHKNLPPDSAASPLLPLLAHLLNEDRASARVRAANELVVEQVNFAFTISTGSMQGDEAVKEFLEWNFRIGKWLYHLFPLYFCLFNLCLVRYYRILAARLIDRLQTMLCCCCERQNVRVNYQSETLASILAEQKKRRMFVASSLH >PPA08652 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:9123:15444:-1 gene:PPA08652 transcript:PPA08652 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFRSQLTNSISFCSPTVPDPGSVPNDTTVSGETTVPDSTTVPGEPTLLEDATVPAETTVPHSTTEPHSTTAPQSTTEPDPDTVPDTNTASEEATVPNPHAVPKKTTVSGDTSVFESITETDSALESDSSTDSDSSTVSSEATVPNDATVPGEPSEPAETTATDFTVSDHTVYWGRSEMSVTAVGRSETSVLNDFALDTLFYGDERSLRGAPTTTSSESAESGSTTDSDSSNETETDSGERFLAANRQLTELTDLAAEGIVPATVKTKPKEVRVPGMEHRATGKSSLVLRFVKGQLHEYQESTIGVAFLTQTVCLDEATVKFEIWDTAGQERYHSLAPMYYRGAQAAIVVYDITNQLLVTCFPSLFCACRLSLSNGYHSIRTRLGPDLHANGLGHKAFPWEKPKELKIKPELLAKRLAINGLFENPWLKPIRSESSHSPIDFDPRAMMLPEHSSLAVWPSLLRSPGHPDDVHHPPPRPGRSRMTARIWTAIGADWDEDQILDEFKDRPDIAWRVRVEDDIRLLQIAIPSPYRELVEDLIGLENNYTGHTPNFSPVEYYQALGAAIDELHLPGVVEGPIERARRLRSLRAVDEQRSASAAAPEAFSRECGVCFTEEPGARAVLTACGHLTCAPCADTLADVRADGGGGRLVCPYCRANTGFVVLVEEEEEEEAGSATETGDNINIVDNDCPTSSCHLPPNY >PPA08694 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:337114:337647:1 gene:PPA08694 transcript:PPA08694 gene_biotype:protein_coding transcript_biotype:protein_coding MRELLLPLLLLFDIADAFGSQCGCALSDFSAMCPPGQLCFNKLIEKGCDITCSTGDLRLTGTATFCFIRVFLA >PPA08749 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:675476:676643:-1 gene:PPA08749 transcript:PPA08749 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKRKRRVASDDDASDDGAEHSGSDDHLFAQVRVTRSITREDDGPRFNYNEEIMADTVFGKEPASKGKKKPVVKAPVPAAPTPVPAAPAAAAVTAAATASPAKKAPKSKATTGGK >PPA08726 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:533125:535376:-1 gene:PPA08726 transcript:PPA08726 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTKPIDLGCVLAHKRAEQLGHVQNDEADAALLVRKVAISATNPAEYEIYLNQKKRYNDDAYEIIHEIFGLQATFTEHTAESDRQRLRDVFPEMDDEKLDEIVDSPETMKDCMMTVEQKKIIDEVTARWYSTYEEHRLILI >PPA08771 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:814089:814507:-1 gene:PPA08771 transcript:PPA08771 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLPLLCLFFIVEAVMGDTTTAKPDRCSLPLDRGVCRAAIPLFYYDNTAKKCEKFYGCYGRGNNFVTLKECEKACEHKNLD >PPA08712 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:443755:448105:1 gene:PPA08712 transcript:PPA08712 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQADELFELSHSSWSEIEDELTDALKTSAQFGPRRSLLRIGENNGFMSVCCLLRPDWTGCSQEERTRLPTKIVYKFSYSGGLEAVARNLLSCNLNEDVIYDALRKSNNAEGLFYSLLTPSTADWLHVPGPVCYKEINDDGDVGHIMLEHIEGTSFDCHDHCSIPEVKQIINSIARLQCLSTKEKLEQQANLGHSSFDHSSPIFSRSRSICMDAKQEFNWNPCKTIGLCVLTKTVARQVVSEIAPFYGDEFEPLTRKLLFALDSLYDLDAPMRELNEISPVLVHGDIWQSNLMWSYGGDRPRQLKSILDWQSAHVGSPAEDLVFLLTCVLTGEDRRSHWRPLLRYLHQSLERDMAPAKPSLSYDDLIASYTRLFPVIGLIVLMRFSVIFK >PPA08703 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:370400:375954:1 gene:PPA08703 transcript:PPA08703 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGTVTRIQTNYRTRGYCTAAVGYDRWVCAIDDSFERGIPNQSKIFRPPRRVIWSENEREVEREEERRGELHIYSHLHTTADEYDLVFTFNTTHSIHIVAESFVFPAKSRQKERPAGDPQMFYTLADCRGPSYVYLLDSHTSVVGAREIVRDKVDSMCCLDELPDDWEKEGGKPSESTRSLFVLTAMSNFCGRKYPLSAVRELQKRGFSVILDAASLVSSSPLRLDTCQPHFVVFSFYKMFGYPTGLAALLIHRSARGLLKKRSFGGHFEEGTPNYYAMAALREGFEELDRCGGIDAIHSRCDSMVRAAREMLRGKRHANGRPLCVLYEHEENPSSDTKGPTIAFNVRRHDGSWVGFIEVMVNYGIP >PPA08718 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:476264:490033:-1 gene:PPA08718 transcript:PPA08718 gene_biotype:protein_coding transcript_biotype:protein_coding MFDNSEDITFFSTPEPEIDQVAEFAVPRPSLKSVEELLERGEIRLNETISGEANERSGEEETEEEVVKISGDVTTTQKDGVKLSNRGVARGSMSATDLHHRHPNDNAFNHHRTHDDDDSGSHHDNNDYDKADYGIDHYRSFNDNNDDKADAVYDPCRRISRSDFRGIPRELLTSEEIEEQERQEDEELQKALKLLPEKEIGKKKLQEETFFAFSEGEETETVDKDEGVSKDDLPPSPPVDDKKVLSLNKIFDEDDDDVGKREAETTTEKTDLDMLGEPSSAAPVTEIVELRTTAPTTTTMVPANLFARNMPQNFEQFTFQVGRNFVPRQIFMKPKDGVSALIDMAIPQLPKASPLIGKEMVEIDLPVRIPEFATTTPSTTTRITTTTSSTTTTAAPTTTTIPVQETTVDFKFPFVVPDGIKSEDKKKSIEESLKEIKDAFKRIRQLVREDGKEDGSEMTSASAEDDFMTAPPPPSPTVPPTTVRTTRTTTVTTTPAPTTTTTVPTTTTTSTVVTTTEEEQIKAGDRPSWLDLSVFAENHKGFFSTTTLSASSTTSTTTTSEEPTTTPTESPPQEALLMQAFAATEKKKDEQKEAVEKKEKESEFGGNIFDEIAERGDAVRFSTAALPSPPPTTARSFSPPLPSLPESLTAEDGVTRRPRDQSAPPKAPSLQQEVLPKAFRSLVDDLESEAETQRLLMEQLKLEEAQLTSTTTRRPRKRVSRKRITTTTTTASPAFLDGALTNEVDEFFDGPKEEAKEKVRTSGRVLQSNSIVAGARRRLLKGRRKGVNEQQQKQLGEIKHARIVRTQKRLVLVPEEEEIGKWVESQERVRQSKQTQALPPVKGAGRTTPPPVFSIGDPAKMRTTPGPLQAISITSRPYFNVNSRMHVQQLQPQPDGTLAVRQFEMDSAEHIQPQERRAIVEPRRPLSRPSSHTDPLSARARDTELAMQRIASQIADKMFPSTKALQQVQPIRPVPLAPPRHTIRIGKRVPSPTAVPLAVKRTVDSSRVSIDASLDPISIDPRTVRVRNRRNKHVVGISRRRKYTQGQAGASHRIVLHADPSLPVGAIEVPGLIRGERSVHEGERQLGTRSAPIKVAY >PPA08700 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:357997:360510:-1 gene:PPA08700 transcript:PPA08700 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVLLRLVFIGGACHTKVGGACGPYLYYRTEISPTATDPTVAAPFGRFHAITRPSLQAGNRSDDSSLGHTESSPDEPVDSLPRADATSLIEPLAIGSALDVTSPTPSGEENLSLSDLEKEWSNSKALEEKAKNEMAEAEAKFQEKKNAAIEPNRVYDEKKKEWERLDKIATYTNRDHEQRKANANAARKEYNAATTALARATENLAKAEKDLTESPDKIAAAQAKVDALERVPTNMTDTQARKTQKVDGKADLVQEVSRLEADVEAKNGTLLTAIGKKTAQQGLYDSDQCDAPSKQNSPSCNAMRTVLGQLDVAVGRAKTALGRATAALDGPQTELKNFDEDLSELERQIEDLESKNRFLQMHKDMAAEELRILNELPGKVDAAKEIKRLAEQDFTAKETARNVAEAQLTGRSTEQEEANTSATNAEVAMMQAAVVKDAANGEIEEAEKAWAKAKESHAVAQSTAESWRQRYETAKRKDEEAQKGYFFYPIKDQFLSAVIGGIAVGAVIVVAGVVGLLAVAYRKGWGCVFLIKPFLNEVARMKLRNNTVSNADSLFYKEE >PPA08686 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:314684:317695:-1 gene:PPA08686 transcript:PPA08686 gene_biotype:protein_coding transcript_biotype:protein_coding MVELLRLLNAIWEIGYFHVAKRVVVGVMADMMHLGPCTSTLDFVQNTVLPSFHESGMNPTNLLPVLASLAIHLNIIPGVKKVDATEIVCKKTVQKGAEHTPTTPHSESTHVIGPDCEIGTGAASAIEKTVQKGAEQSPTTPPSEPTHFELEMPPAELPYPDESTDIDPASIYDVWASNVEDEFNKVRSLIKDYPYVGMDTEFPGVVATPLGESQVRQKFKSNSRFYFGKNNVMAIALGKDKTTECATGISKV >PPA08696 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:347025:347833:-1 gene:PPA08696 transcript:PPA08696 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNPIYDAYFASFAIDQLSFTHFELPSEESTVSSSEEHPSFLFAPEVHENSSTEAVDAILRASSALSTEDSTGRFIADEECTQNGMESKAILEQLSELMYEDRHEVERLLRSSLPPKSTISSIDRVAKWIVRNEIENTEGKMESAFDAAIFKFDIFKRWVEEDEENESEISH >PPA08742 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:650556:652204:1 gene:PPA08742 transcript:PPA08742 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKFFSDVDKPVAPNTPVVQELEKVFATKTRDEWAKIFEDQSPKSKEHRSGKDACVAPVLDMQEVGDYPHHRERGAFVRDEEGHRWIPRPHPRMYSREELAKSKL >PPA08814 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:1108302:1117139:-1 gene:PPA08814 transcript:PPA08814 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTATHDDYKDKLHRLAEHIKAHPDEARAGVAKLSSGAQKPAGDILKIFVSDKEPRVKFEEVHAIKTALPTAVRAEIDNHITDLAHKIGILTLHEIVERLEKLAAHIRAHPDEARARIAKLSPAAQKPFGEIVKIFVSDKTPREKFEECKKIKDTLPSDVLGEINALKEELAKKIGIVPLHHLGHHYIHARTDYLDKLHRLAEHIKTHPDEARAGITKLSTAAQKPAGEIIKIFISDKDPVTKFEECKKIKESLPANVRAEIDNHKTDLAHKIGILTLDEILERLEKLAIHIKTHPDEARAGIARLSAAAQGPAGEIVKIFISDKTPKQKREEIQKIHDTLSPEVLGEIMAHKEELAKKIGIVPIHHLAHTPSHDEYVDKIRRLAEHIKTHPDEARAGIAKLSLAAQEPAGEILKIFVSDKDAKTKYEEIQKIKAGLPANVRAEIDNHKTDLAHKIGILTLQEIVERLEKLAEYLRNHPEDAKAGVAKLSAAAQKPATEILKIFTSTYLSPREKFEEAQKIHATLPPDVLGEILAHKEDIARKLRIVPLHHLSHGGAVREEYVDKIHKLIDYIKAHPDEARAGIAKLSKEAQQPAGEIVKIFVSDKDAFTKIIEIEKIKAGVSAPIRAEIENHKTQLAHKIGLLTLEEIVARLDLFAAHIKAHPEEARARVLKLSPAAQKPAGDILKVFCSDKTPSEKHMEIRKIQDGLAPEVLGEILAHKEELAKKLRLTPIHHIGHNPIRDEYADKLKRLAEHIKAHPDEARAGIAKLSTAAQQPAGEIVKIFCSDKDPLVKVNEIKHIKDGLSASVRAEIDNHQAELAHKIGLLTLHEIVARLEKLAEHIRLHPEEARAGVAKLSAAAQGPAGEIIKIFCADKTPLEKLSLIRAIREALPSDVLGEIDAHKERIARKIGIAPLHHLGHTPSHDDYVDKIHRLISHMKANPHEVRAGINKLSAAAQGPAREIVEIFLSDKDAATKLNETEKIKSKLPAAVRAEIENHKTELAHRVGILTLPEILERLEKLADHIKAHPDEARAKVATLSPAAQKPFGDMVKIFVSDKTPKEKFEECRKIRESLPTEVLGEINALKEEISQKIGIAPIHHLGHTPSHDAYVDRVHKLAEHIKLHPEEARAGVAKLSTAAQKPAGDIIKIFVSDKDAKTKFEEITKIKAGLSAAVRAEIENHQADIAHKIGILTLSEILERLEKLADHIRAHPDEARAKVATLSPAAQKPFGEMVKIFVSDKTPAQKFEECRKIKDSLPSDVLGEVNAVKEELAKKIGVVPLHHH >PPA08823 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:1162762:1164435:1 gene:PPA08823 transcript:PPA08823 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFTHFLLFAMTVAMAMCTFDPAKGYNKKCQWEGYAPFCGNWECGRDEHEVVRADTDIKNDLAEFGKSKCEWIGHAPTCESPACPKGQEKVKISANSTDAEFGSACLSGTKSLCCIV >PPA08804 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:1037317:1039170:-1 gene:PPA08804 transcript:PPA08804 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPSQSLEKLNYDQRHFDTMPARGYAPRAPSSVQSEIMLPSLLSPTADREAGNRKSDISADSGQRSGDSSSRPSSTVDGTSVEEDDYDLPKGDQMFTRADSRLSKFSSPTTNTSSEGHGSGASTSRDESDYDEPKDRTNGMNSIQREKPAIPLKAVMLR >PPA08757 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:716836:718674:1 gene:PPA08757 transcript:PPA08757 gene_biotype:protein_coding transcript_biotype:protein_coding MCPHMVRLNSTITSEAQIVFNIILAIEIIFIIIIILGAPLLCMAVSMIGSIHRNFRYHTYLAVIVYFIAIATRMILIFYQIWDVPLTDSDPLLLCADLLRDIAICYYSTMYEKGSGSTSLVLLFAESGNLIFATIIASIWLLGYISFFYNILYNFIASSLGATNTISNESVASNPDYEATQSYFKQLNQAWA >PPA08667 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:143392:145287:-1 gene:PPA08667 transcript:PPA08667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-scc-1 MFYAQFVLSKKGPLAKIWLAAHWEKKLSKAQIYETNIQDAVDEIKAPKVKIALRTTGHLLLGIVRIHSKKTKYLLADCNEAFFKIKEAFRTGRIELIEDTYEAPKNAITLPEVYQDIDTALPEFGEFDLNTQLNFNQSRIDDITLKDDFCTDSSTVFNNDFGMDDFGDGAMGGGSGDLAEFGLTDDDLVEAHRDRSQSVVGSFDHQNRSVLREQTPLLMGREAPGQAMFADDDMDHGGMGGDDDSELI >PPA08739 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:629741:632689:-1 gene:PPA08739 transcript:PPA08739 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLSLVALAALASRGLTGGAEAKKFPLVILPSSFRWNSTNTITVTPLKLIRSNEVDSEFRVEDSTGVIYAREDLIWKAGELASLTANTSSSPLPPPIRPHFPMNWRKLRLTLKEHEPFETTVKTSTDLRVVHVYSDKAHYRSGETVTIRALPLDFYNQMYTKPIEFVLINPGRFELLRHRSSSINGRFVQARMKLPLHLQHGEWRIEARPVESHEAAGTVYFNVHDYVLPNFELAISVDQETTDITHVPVTVKARYTHTVLVEGGLNIYCFNRTHSILQDTENRCICALHCVPREA >PPA08786 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:893467:898431:1 gene:PPA08786 transcript:PPA08786 gene_biotype:protein_coding transcript_biotype:protein_coding MTALRRSSELALFPTNSLKDIFDGANGELRPCTFALLDRIMKAAVPICADFCNTTFPEFAHLVQDDKWVLFRNFITIIFHFECGIRTSRSGLKDTNKRPISVTTFIDLDQHEDFFTKSDPPMEVKQEFIELMKERATCPGSSRLLEMMHKLEITDLELAAFIGLCLWSPIDELHPSAGIAAVSDAVHETHIEMLEEYAVRLGDFMGLFANIQTQTHRMREEMELLSLFDVFQKESFVYNLLKM >PPA08688 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:323705:324634:1 gene:PPA08688 transcript:PPA08688 gene_biotype:protein_coding transcript_biotype:protein_coding MPCETCESWNHTLCARIWSGDVVEKRNYRCLSCRQESTESLMRHDQHYLESLEEDESEFLGLIESYEAEQEELLREQGRTSDKLQTELEQLKVSCGVYNRHYYANLIGEDARRLTRPENANRLWNLVEDANVRSSGIQLFAAIHQIFSLLHTGYSTPTEIDQFENCLKQIQSHWTELNPNESAMRKEGHAGREIEVVEHAHHVLNVLMPKYITLTPLSRRLEVVANRFNAKRYLLL >PPA08746 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:662274:665360:1 gene:PPA08746 transcript:PPA08746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-bca-1 MVVPSPARDATVTHSLSISYQAKVGDIFVVRNAGNLIPDACNYGHYSEVSCTTEPAALELAVKRGGVKHVIVCGHSDCKAMNMLFGLHACPSNFDHASPMDHWLRKNGHRTMKKLNERLYKGPQPLQFDSEVAPSQSFEAIIDPFDRLKAEDKLSQINVLQQLVNIASHDALKEAFDQGKLHIHGMWFDVYKGEDYLFSKEKRQFVIIKEDTFDSLIDELHRRCNVPLGECPDIRQGHFTPG >PPA08825 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:1168282:1170393:-1 gene:PPA08825 transcript:PPA08825 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIELPAEVLLNVFGKADREENKLDLVKTKLRLVCHRFNQIITNPFNQKQLESIRTRVNEITIAQIGFSHAFRVVVYGNELIDATPNVPSLKRPKHPEESIHDKWISLVQKPKDYLTASSNEFFAKFAETVATFETDLLQFSNILLTRSFISSLILALGNRTRIATLDFNICYSEKCGLTEFSRDVDDILIGKLASNFKCVSSIPNNFVTSEFIEKWTEGDERQNLSLEAVNSTKDIIKNQTFTYISLRHFARIGLFNSIGSVTTLAELVNAFEARIRQGTIERWDIALSDDEMEIQRFLKKNAKDYHRVVNRPDIRRFGMKKYFYLNGMPNALAFLINWNDRIGMFVVNVGTRPARYIDCPKPV >PPA08827 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:1173769:1174763:-1 gene:PPA08827 transcript:PPA08827 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGMGLMGFRWVEKEATLEEAKAHKEKQLKQEEASKKPVVKRVGLGDALTMLAKRPKMSVLDKSNLDWKSFKQLLSTHLRASSDLRAFRMTLPEAEREPRLDASRIAAGSEWFYCSVIASFAVNFVNIAQEHKKVN >PPA08658 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:47915:48453:-1 gene:PPA08658 transcript:PPA08658 gene_biotype:protein_coding transcript_biotype:protein_coding MRSETPYSCVCPTCWDDLPQLSGAIDWITVETAGCGGVDERALRTKLAAWSTEECGSSVHCGIRLPIAPEQVGDLWSLVIYY >PPA08833 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:1197919:1199063:1 gene:PPA08833 transcript:PPA08833 gene_biotype:protein_coding transcript_biotype:protein_coding MERYASAARPKRISLTLTLRSFFETELVEVYDSDHDEKAPDDPREEKGDCEQWTKRVLTRESEKEEIGNTAKAQNAL >PPA08766 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:773440:776674:1 gene:PPA08766 transcript:PPA08766 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSQGPLECYATRQPTVHNGNVNAVADVAQRAKNPDPSYTFISTNEKEESPQAEFLRKNAKRCTIKCQCEGVCRIETCACMQQSVYSRMVISLTISQHQKLVLASGVAFWALIKMGGLGPVDWSSFLITVAMAGERVLLESCPKMSGSIKEIQVQFRLRIWTAHKQPPPRLQHEGKVQELLITDTKWMVYECCADCHCQKRKEQCPSIVKYRKLKFHLVHFINMGWALRVMEPVARGEPIVIFTGIYETEITEENKDWAFTCADCAEDWIREFCGIDDDEAYMLLTPQHKGNSAGRICHSKASNCEFMKLYRGGVSTFEPECLIYASEHLEAGELLWLDYGDAFWSEGDAAQEECLCTQELCHDERMNGWLRTLSKTQLFHVLKSREGRKRRRIQKSLQEANDDEEEGGMI >PPA08733 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:571878:573621:-1 gene:PPA08733 transcript:PPA08733 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNNDEISRNDVPFEVAEIRGVTNSNPCATHTKNQPIDSRARVLFMIGNGEVYSAEIQREISKYDDIILYDFEDTYRNLVYKTAATLLFSKNCFSSFTMKIDEDVAVHIDKLADKTLAHVSPDEAALLCKTLPLERARRDPQSAWYISKYRLAEDALPTYCSGPSYIMTRKALELIIETAPKFRLISVEDIFFTGVIAKSAGVKLVEQRPTYLQTVRMSFFNM >PPA08673 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:179266:182545:1 gene:PPA08673 transcript:PPA08673 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ppfr-2 MEESVRIERLADVRILAEKKMAREVRGGSTPPPPAGHQVKGGVPYRPPAEGWEDEKSDLRDSYRFIMGRAASLTAAPFTFQRICELLVDPLAHYKTAATLFRALEKCINVVSCVDESGARITGIEQEEEEPMDEEMNGDGRIEQHFFGKVDECDEGMEQDEVKENTAAAAGAAGDSADNKPVDME >PPA08684 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:296611:300465:-1 gene:PPA08684 transcript:PPA08684 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRWLPLQGPVNVLVIPRGKMSGGIFANPPGFFSTFTPNGDVTLTGIYSSKGTDGRGAISSDQYKSPHRRSTSLQCTEGEDSKYISFLSILRVPIYFNKLDKKGACQDFAMMPLYGKYLLALRSVGPHLSESFDEIDDSSDEDSDDSEDSDDSEDSDDSEGSTYPGSALPPNPGPGSDSSSGGRPRRPHSSRKNVPPGQSAPPIAGAFLWTTDDNCVTTATFDLVPSVDGSLLVSKPFTLEELRALTYDGKHIHMCWNIIVPRSYFDLSSVIDLTASVPTPTVPASATATVEKILRGEKPHGCDYMITGGFRGSGDASVVYYMHSAALESKSPTAKKAASFPASEKVRGARVSLDAFGLAAAVQIAYGVEVPLPKTFERNMAITLEGMFEDHFTSVVVPQWEREICRRALALDVRNPTSSSMVELLRLLNAIWEMPYGYFPIAKRVVVGVLADMMHLSPHVTVLDFVQNKVLPSFHESGMNPENLLTVLASLAIYLNTIPIVAKRSTTAENQLRISNRSGAASNTIEKTVEKEAEHTPTTPPSELTHVELEMPPAELPYPDESTDIDLASVPATRSCSIL >PPA08716 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:458152:461977:1 gene:PPA08716 transcript:PPA08716 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGVVETDITYGRLLALKKEQTKDLKILRLLLIVSLTSIGTSVKVEIDLARCKYETESISAEEAEAATTAFVRYMDDKLNDTTCDSLFFGKNSYHDTSEQYWIGSSFCSLCERLEEVNCARCTPVHFKNDIGQCATSKCDNGLWRISEGGGDPVEGEMKCDHKMGSQNQKYKYEEKITDATNITCSTITGKYSDDSNNILPDGSLIFCELVSTTTEIVSVAAASSFPTLAVIGGIVGLIIIIAIAGGFAFCHFTIRRKRKELEDNEIAVKDDFVIRAKIANEAANPNPEKEVYRRENHSVLSSDLNHNGLQYEHFSIEPSITALIAGSAANGRPAPLPVVSHSLDEQGRPLIVNGDSLWTPDRGTVC >PPA08755 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:707867:712222:-1 gene:PPA08755 transcript:PPA08755 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGTDIYRQVALHSTDAYTLADGIEEFMVVIPPGKCEISTRWEPRPVETKRSVGMICGAMQYHLDDDVDADDDDDVFEKEDEEKGGDKGRSSGHEQAIVRTGSLFGGLIEDVKRKVPCFKSDFTDFFHGRLSQALAATVFMFFANITSIITFGAVMERALHHQMASIEAILCGGLSGVIFALFSGQPLNILSATGPTLVFEKILFEFCVSNGWDFLPFRVWVGIWIAVYLLIFVMTDMSALVGLITRFTEELSNNEMALR >PPA08751 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:680898:686234:1 gene:PPA08751 transcript:PPA08751 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCNSQTLVQNTLGQKTEKFRDAEEALEFERADNDIWKCTKHFTREELAAFGDPAARIYFTMRIIIARSYFDLPKLLKDTSTAASGTKRTVECILRGDKPDGWDFRVGREDDDTSLALNYYVHSATFARASKFFQAMMRQNPSNNSCYIPELAPEDMPVPDVFARLFPQWERAVCEQVLALDKSDPNVHNYSSKLINEHLSLKIKDQSQKSDPRSFSPLIRLLIFSLSNAVPLPSARTATLTVFAEMALLHKTAGVCFEQHRIDHKSIIGRAFAAYDYAPSTVEGIVNEMFVLIAIVGVVTKTGLATKKKDSEVAAPPISSTAVSPPRDFSTPTVVVIDDDDDATDDTDFIDKSDEVDDEIVEEPEVAEQNNSRCPIS >PPA08730 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:556286:557075:-1 gene:PPA08730 transcript:PPA08730 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTTTLLFLLIPSLLGQPIEVASPTHLLDLQTTTTTSNRTPRLPFHISHLEYTVDKILAASMCIAFMVGAAILLSRWMIRCVLCCYLRLSGNRRK >PPA08661 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:86389:88105:1 gene:PPA08661 transcript:PPA08661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-glo-1 MTRVYYKDAHAAVIVLDAARERTIEGALRWKADLDQKLTLADGSAVPTVLLANKCDLNNDISDSRLSDLERDNGFIGSFRTSAKDDVGISDAFNRLVESVVSTEEGGQYEVPIYGRQDSVRLSIDARSTREHKGSSEWNCCA >PPA08709 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:414263:421101:1 gene:PPA08709 transcript:PPA08709 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGYANRMRSLNDYFTTLDKLLDAEDWDKAEIAGKLLSVHGPHSTHAFLQVDRLGTQRNRPFIREDAFDAIAVLHLQVLYDIHVDKNYESAYDVHTQIMRKFTEEILRKWKDCNWFLPIFYQLCTDLRNTAKMADELGGLDEDRDSSYYEQCASFIMESYRACITDARDVSISKKIAILNMTNQLFRIYFKVLNNVIKINKLNLLTPLIRTIDNSENLQRAFSMADKVTYNYFLGRNAMFDSNLPLAERSLSYAFRNCPTECATNKRLVLIYLIPVKMFLGHMPNPSVLRKYKLEEFIEVVEAVKVGNLAQLDAALATHEDFFIQCGIFLMLEKLRTITFRNLFKRVSLILGKNQIPLDAFLVCLRHLGVHDVDADELACIVANLIAQKRFAYR >PPA08721 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:496232:499325:-1 gene:PPA08721 transcript:PPA08721 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTDLKIPCLYDINEPIGSEALVQPIVIEQLQRRGLEKMVIPEISASVTSSCSMNLACALLPANLNPISKMYNAVAEFAKKRLKSILLAKKIDYRVSQRDNLLKFVSQLHWCMGADRFKGFVSYGFYKGGFMTTKPAPFESPKDYMFGSGSMAACDNCSSLSCTKCPRCEKPHCFDCAR >PPA08699 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:356212:357299:-1 gene:PPA08699 transcript:PPA08699 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLSEEKFAKNGEQFHFRYNDLMQILIKMKNILRSEPHLVRVAPPVVIVGDIHGQFNDLHSAINAYRNKEQPGWLKRKFVFLGDYVDRGKQSLEVIVIVFLMKIVFTDKVINY >PPA08724 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:513115:515352:1 gene:PPA08724 transcript:PPA08724 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFTACFPPFRACLDCVQGPSFANSQNYAQFRNEQPAGVRLDVSHMGKEVVILKEGERICGTGGAIANAPLVQSKAYFQVNIQQTGKWGVGLAARDADMNAVPTGAHFWGIDESGRLIGNKEEAGKYEKEIEEGDSLGICYDHVELSVYHNGERLPFTITNVKGKVFPCIYVDDSAILDVKFRSFSFPAPPGFTEIMIEQTLL >PPA08735 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:591630:592661:-1 gene:PPA08735 transcript:PPA08735 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLKGEWSGLLNLSSCGGDRSDPTQVDKPITVIAMLRDKGAGTVGDATDTYDPTEPRFDLVPLRPAVGQRTGRINLLSVGSGGSTGAQLNVSATCLLSNGARENGVPRQLVRVGETITKPALILHP >PPA08795 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:987620:1000431:-1 gene:PPA08795 transcript:PPA08795 gene_biotype:protein_coding transcript_biotype:protein_coding MVLETVAGVAAIEPGLQLILSLCSLITMVSVLTACVCCKKPMKHREEDLEEAYGVIPADDPTSPRVNFRFGNGHTGERHSQPASKSTSSSAFGPRTSAPIHPHHPPRALPPIPAEEADGVSALYAQIDKRAKSVARDDIEYADGGEEGPTGTYDTIDYEDDIDQLYSRVGPQARRYDYPQFKRATPPTANGTGGGGGAGEIRKQEQRPSADPTYQSASQLYGPTASEDPYSSIASGPRAAARPSGGGNSGGDSSSAYDPGYARVRSDGRAGRGSDDVEGELDHLYSKIRRSASGERTWEEREAGGEERRDVNHPAASLAGLPGSRVGSTIGGGEGDREQRREEYGAAPSVMFGHAAAGPAGNNHANGNGDDGQSIASRDPSYRYITVRETAETIRARLEREQRLPPPAGGAPGAPTLGGARREHYYSTIDGSDRVYESVDDAPLAARQQLAVDTGTPASSSAAGPPPLPPTSPIPDRNALQAAAAAAAGLSPGRSTGSSQHVQQLYAAINKPAKGSKSPSPAPPTQRPPGGRSQIGFRVLLRRIRSKVLKCMRKGNDRAPARTSGDHTTTTTPAHNATVSPVSGAAAPAAPAAPAAPAAPAAPAAPAAAVRPTVRGPLGTGRHATIVKPEDDGFEDGAKVRRPPPQQQRILSEERSTIFGRVDGPARKTEEAARSTVARYDIDLRKREQSPGRLQRDSSEDRGTQTVQRPTVVQDNGPLRDRRASPITVVSPGIPLVNGSHPPSMSSSINNQYGIDGGNRSILDPMTSSLAASESLLPEEVMRTSVTTTGGFY >PPA08723 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:509052:511517:1 gene:PPA08723 transcript:PPA08723 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPPPFVPSDPSPGARLAPYSVVQQQQQVALDCQPGKKRSHHARKGVKSARRVVFTAAQNRALRALYSRVANVRLPEREVFGRAIGLNEMQVKIWLQNRRYKEKKAIPAENTGPWKYDKHYETERVVRAIEYSVAVFNHTNAGVPLPSTAPPTFPPGPMPPMLLGPMVPGPVPQGMDQYPLVPGMNTVDHEQLTLL >PPA08697 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:348518:352731:1 gene:PPA08697 transcript:PPA08697 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSHQYNMAAYTVEISPTATDPTVAAPFGRFHAITRPSSLQAGGNRSDPLAIGSALDVTSPTPSGEENLSLSDLEKEWSNSKALEEKAKNEMAEAEAKFQEKKNAAIEPNRVYDEKKKEWERLDKIATYTNRDHEQRKANANAARKEYNAATTALARATENLAKAEKDLTESPDKIAAAQAKVDALERVPTNMTDTQAPKTQKVDGKADLVQEVSRLEADVEAKNGTLLTAIGKKTAQQGLYDSDQCDAPSKQNSPSCNAMRTVLGQLDVAVGRAKTALGRATAALDGPQTELKNFDEDLSELERQIEDLESKNRFLQMHKDMAAEELRILNELPGKVDAAKEIKRLAEQDFTAKETAKNVAEAQLTGRSTEQEEANTSATNAKLAMMQAAVVKDAANGEIEEAEKAWAKAKESHAVAQSTAESWRQRYETAKRKDEEAQKGPSSAVIGGIAVGAVIVVAGVVGLLAVAYRKGWGCFRRFRKGPGKVAPNQSSGSAALESGAQKSKKSAENPKLSPVNESSTNQVASNAAKTSAKLSTAAATAVIGKPAIVVKPLDVYPPTVSIHDVQEGLVPQPVDGRTRFVIDAKDIQNRGNNEFLSRAHGEPVHDLEGEGNEAVLTAIHFARDGNTETGPASTSDRSEFLDRLSEWERRAPKPANGKKHNGSTTVAAAAARSLTVRANISNRSEVSVVERRPQTADFQICDIRFDKNQI >PPA08664 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:123395:125146:1 gene:PPA08664 transcript:PPA08664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pdf-1 MASFTSFLVLLLACIALSQAAYFYPRDLSDYPMPMHKRSNAELINGLIGMDLGKLSAVGKRSNAELINGLLGMNLNKLSSAGRR >PPA08789 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:939093:941867:1 gene:PPA08789 transcript:PPA08789 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIFTICFTKSLDLSCYPRYGQSTVAQELGEFELFAADSAAGALNDDDSFPAAIVRELIAIRSAAPDGLTCWRIHRCGDVEEKLLRQEDELPSARELGSNHSLSSIRRAGGLSHAQAQF >PPA08760 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:751389:752203:1 gene:PPA08760 transcript:PPA08760 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVCPRHMDRRLFMAIRVVRKNIARFDRDQTDGQRAVLCVALSPSTRLPSRLTPSFQAKKCLPTDIRYKKTRAMRRALTKHEASIKSAKQQIKQK >PPA08774 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:825028:827954:-1 gene:PPA08774 transcript:PPA08774 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAPNNNNKNSNTRVFYNSHPSDNAPAHSQIHSHHVAGPNPNCKRNRQNQHQSNRGGNKNAASFAHSTTSSNYHGGRSHGSSSGCSSSDSVQSQVELPIEEHEREAVAIIKETINIIKEEKDLYFRRSKALRKPCPHYECLEGAMIRREQIQHESYEKHGDRHEIDDHEVIYGHKKEYVDMLRERRIHISKWLLNNRIEAQVEYDCDADAEPLQINDEETDETKAEGSKEEEEKSDPVPA >PPA08728 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:549271:550889:1 gene:PPA08728 transcript:PPA08728 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVALMVTFTLHYKYTAIMRMTNHREVTIAEKAAVRIFFWIVVELPVAGIIISGASVQELHQFLIDELTVPLVIQIVPLFIAVGAMITMWGSPGSMNFVLCVMLCHASIHTVFLIMTTPSYRAAVMCSTTHRKMINSKASLVKI >PPA08669 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:151391:155360:1 gene:PPA08669 transcript:PPA08669 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKVAIVFTVKEGFLVVKHDECMERRKLLNASGKTCVLVGDCAQRRVPFFKLASCNVGVELPAESNLPSKGTLLRVDWMLEHMLPGLMKTRLKPFFDIVADNLQFEDKLYGYKHSSRSSLFSHIAKIRLYFRYKSPYNKVEYVGSCVYEGEDVVVLLWRLSYLESSLLSYLPAFLTQKEPKIAVMEGALDMHITKDGHVYKIVNRKVTASDLEGAKVMAALKKEQGDRLLEEDEREWRRKVKEEFEAERMGRL >PPA08714 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:452021:456383:-1 gene:PPA08714 transcript:PPA08714 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLSVSGKVFGNICDFCSVQSILQLRQALKPSSYFRCEFYKRDSPINLVTETPLPRFTACRKTQDSLSNLMGLLIDILDYGLLTINLYVLLRIRLSKEEAFKTPFFYWFFMTGMASSLSVVGFIIAVRFLFPVEYSWGFKFGYMLNSATITFATIGKTLIAFHRWSVMRTTTFIEDIWSPRVTYILTAISTFLSFGACTPVFWCGMTYKVVGNVTVVLYIDDACNVTQKSRSSAVYFLYVIFSVVMTVLTSREFIKLSKLAEDSTKALIMSNQRNMFIIVSICTITQMVKATHQFCWVFVAAFGATDLNLFLQKTYDVTHYLATYSATASLVIFNKKVRRLMYSTRIRAPQASSQKVCGK >PPA08677 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:220122:222456:-1 gene:PPA08677 transcript:PPA08677 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIADLSEAIAAAKEARSGEKRAAGGRVSRTETRTTDSASSSPQYITLSANRYSSSEEEEEADDWDTPTAQIDSVVFNTAEELDRYRRLFRAASLARSATSLMSVKSVGSSDEADRHYIGVRSRADVDRKLKPGQFFIYYDKPSGAEMPVAIELKIGYMTSANETYHYPIQRFESEGDAYYAVMQTDTDVKMFDSMASLVQHYHTFADGDPNKRGGLPESAAFGLPVAIIVK >PPA08671 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:158254:160896:1 gene:PPA08671 transcript:PPA08671 gene_biotype:protein_coding transcript_biotype:protein_coding MFRERLDYTFYRKDVILHDQILNVRRFGRDALMQHMGAIAFAGCFCLPHVEAEAISILPMLEDGTVRVRWRVRYISFPRLLMQPKLFGRQYRYQNLSWFDGLSVLTVDGNGDVYSITLQRIQRDDEQSLLKDSTKKLAQKIGVLPGTNYSRVRADDSERRKDEKNYRYECPRVN >PPA08820 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:1147146:1147394:-1 gene:PPA08820 transcript:PPA08820 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFLMIRLTVILMSSLMLLAESYNLSAPAPIAYFSFDIAFEHSGEAFKRYHEDLLSDYGNLTS >PPA08740 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:641431:643228:1 gene:PPA08740 transcript:PPA08740 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNFCQLDTIRLTASNSLHVGPNVQLRRCDKQPLENVNVTVLHNGARAVAISRELVARGARVTHFVKEGSEVPDVEGQGVYVNLDCESSRGRSTILPYSSTRRSELVASLKGADVFVDSSTKGVGMAPKDVLKINARLIYSSVQADSSDAASWRALADIACALYGREKTSTTDLYRNLRAID >PPA08797 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:1003970:1010040:1 gene:PPA08797 transcript:PPA08797 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPYALADTAHDSISALVATMDVMFSEGPTPAREQPENEDPRAYLWEQRPSTSDGRGMERVNSFEVEFEAYRQRDTVQPDEDIPIANHTRILKDIGTQTECGVHTEVRTTITMKKMTGPATTLEKQMKKMRVAGKECDTAHHWFLVLILYQEAHTQEIQQGVMESERDTMPNVVYFKDCRGVVRGPKSVEDATSLYKKGIFSPGILFRVVDDTYSEEFRSIVYLQSRNGFETPFGPVDGPASEDAEMERVKGELEFMHGEHAKLMGQLEAARAREEEMKQKLVAIFYETIKDTSVFSFRHVIREGRIHTAFTNNSAIAVRCVVEGLKYIMVETSSLHLSRLSNRNGRPLGSVQCARRGREGNGPVRVEQPYDPSGAPPLERAPRRS >PPA08777 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:840144:841570:-1 gene:PPA08777 transcript:PPA08777 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSSFNTLSPYQRCERAREVRRVQFPNVAHLACFVATVIFGSALRHNLSVNADRCKRAMKRVDPSEEEFHALLALSFWNNAGRFSDHGRHSIAPTN >PPA08822 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:1153102:1158406:-1 gene:PPA08822 transcript:PPA08822 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKYGEIACAAFFRRTVSLKLEYTCRSDGRCPIEKSARNMCRSCRFTKCMNVGMQAGAVQMSRDGIGKRRDGNKQSPGNGNATSVIVRKAIDPNRPSSSEGFYKEYSPVSGVAGITLQQAFLPMRHEQMRILPKMQEGYNHFLSIRKATHSLVENDSLTQMFQKNELSLRPSHFESSKKVCQMEAHLVTDIVNSYFYPFCELPFTDKVLMFKNFFCYLSQTDRAFQSYKNFGSNPNDDRLIMPDGGYIKLSDLESFYANAESVKASPTDAAKYAHIFRPAMQYILDVIIGHMRRIRLSDTEYICILGLFLWSEGVAGITPETLTMIHDTQAALFADLHIYYRSLGLEGSQITTKTGLLMMLMPKLTRSVVMMRENFELAELFNVFEADVCCKSFKNSSVD >PPA08791 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:960314:964713:1 gene:PPA08791 transcript:PPA08791 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRGYNDPLYPAKVPLDVLLSIVMLCRVYLVARFMVLHSKQFQDASTRTLAALNRIQVNFSFVVKTVLDEQPLVFLTAFTVIFWLASSWCFVLCERYGRAEKDEPSILYSNALWFIAITFMLNGYGDIVPATHAGRILAIFVGVVGAIISSILIAVISRNIQLSNGQRNVNNFMNDSRLTREHKNAAARVLQHTWHIHKCLQSGEPSSDAVLRLHQRRFLNAIHRFRAIKNEIRIFGENNSVNTQQVTRLVAEMHSSMQRLVSAQDEMRTQIEVLQRAVRNHFIHHQNCSVSAATNAINNNGVGAVAQTGQRSR >PPA08813 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:1101380:1103782:-1 gene:PPA08813 transcript:PPA08813 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTHARQEYAERLHKLAEHIKAHPEEARAGVAKLSAAAQKPAGEIIKIFVSDKDPKTKYEECQAIKANLPASVVAEIDNHKTQLAHKIGLLTVTEIVQRLEKLADYIKAHPEEARAGVAKLSAAAQGPAGEIVHIFVSDKTPLEKHQAVQKIQEGLAPEVLGEILAHKEKLAKRLRIVPLHHLDHTHAHNDYLDKLHRLAEHIKAHPEEARAGVAKLSAAAQAPAGEIIKIFCSDKDAFAKVVEIHKLKEALPAAVKAEIEAHKTELAHKIGILTLPEIISRLEVFAEVIKAHPEEAKARVLKLSPAAQKPAGDILKIFCSDKLPREKLNEIRALEETLSPEVRGEILAHKEQLALRLRIAPLHHLGSSPAVDDYKDKLHRLAEHIKAHPEEARAGVAKLSTAAQKPAGEIIKIFCSDKDTFTKIIEISKIKESVSEAVRAEIDQHKTDLAHKIGLLTLPEILERLEKLAEHIKAHPDEARAKIGTLSAAAQKPFGDMVKIFVSDETNRNKFEQIQKIKETLPAEVLAEINVLKGEIATKIGVAPLHHH >PPA08788 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:908670:914008:1 gene:PPA08788 transcript:PPA08788 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRSMLSCGHDYSRAPPLPGWPDSHSPNNTSSHHPTASAAGELQHFTVHIAKYEETTIVWKVAAQKAIPFLSSSFEEVYLMEDQDKFIFIQNFIFLLYCCEGFYRAAKTFKHRPLERTAKAYNEQCRDLVVPILDRMGLTEIEFIAVLVLALWSMSSETNHEMTKIGDSYRELVIQELHVLYRDEFQLENYATRLGELMTLANAMQMSASQMLSELQFLDFFDVFDKKSFTSMIVRTTKIKEEPISLSTKRKFECFKACPDSPECKKCRLDRCFSAGMVPTVNLRIKNSDRERSPPTPTVLSTHPEITLLHRLVANYKDFARERLAFEQDLLGSSNEMSLSPFQLFPSDESQSFQIYIAKFDFTNLVWRGTAERSTTFLTNSFDEVYSLQDENKGEGHESKLVGPTTTCLHPAVTTFTLVQNLIFLLYSSEGYFRSVKTFKERPMETKLIELNALSRESLVPILDRMNLSEIEFIASLVVALWSTCSHTNQELARIGDSYRKRVFQELHVLYRDEFKLENYATRLGELMTFTNTLQICAAATLAEIQFFNFFEK >PPA08800 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:1021401:1027829:1 gene:PPA08800 transcript:PPA08800 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPEAPLAIVDKWWAKGVIFSSDSDDALLSLMTKTFETIAVALSPASNIQMSNITRCVSILDRERSCALLSENNEFNVHRLLTFGLIESLQIALLELSGKGSGEKEPILFDEVSNLSTHSFTENIDPLHSHIDDLQPQLSRKSSGDKKSTLSDQNPILPDPPIMKKDPMDDTYEDFQLSSDEDATMEDESTSENEKEAAQSGDEATRNDKHDPLMENPPKKKRNRKRKGSKFAYSWIDTDHEYCMRMSRGRSKPKSNAAIKPMKLNPTELKCTECDYATQLVSGWIRHLRVDHFTTPMLAGLALRCECGNEAQSDAHFRKCEVGNITVVKRGGGPLKRLSDLKETPQCCLCSAFPISVGGYYWHLQNYHKSTLRLNGVYVKCACGFRIYSYTRAVLGHNKKSDPLPCHWYGASPICIAQCPSGTKEVMRADHGRTKVFGKSCLLGSKVFCCEDGYLPKYNGRANNIVDG >PPA08806 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:1045393:1045751:1 gene:PPA08806 transcript:PPA08806 gene_biotype:protein_coding transcript_biotype:protein_coding MFYVTSKRKMLLRSWPSKKNGKRNTHQKVSIFLDDRFRPDSSNLPMVERLFPYFLLLEACRAHF >PPA08725 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:517421:522924:-1 gene:PPA08725 transcript:PPA08725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cpr-6 MGSKLFLVLALFGLAAAKHHRTDTQGRQFVLEEYRNRDIDAHAENLKGDALIKYVNRKQSLWTARRHPRFDSYPDATKWGLMGVEHVRLPVSALKDLSPTRFLATDIPESFDSREQWPDCESIKVVRDQSSCGSCWAFGAAEAMSDRICIASNAEIQVSISADDLLSCCKSCGFGCNGGDPLQAWKYWVKDGIVSGGNFTSHAGCKPYPFPPCEHHSNKTHYDPCKSDLFPTPKCEKKCVSGYTEKSYNEDKFYGKTAYGVKDDVEAIQKEIMTHGPVEVAFEVYEDFLNYAGGVYVHEGGKLGGGHAVKMIGWGVDNGIPYWLVVNSWNEDWGEDGLFRIIRGVDECGIESGVVGGIPKIPKTRGSRWNDASSESDEVFF >PPA08778 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:841696:844003:1 gene:PPA08778 transcript:PPA08778 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTRPIAISKLINEHLSLKIKDQSQKSDPRSFNSIVRLLVFSLSLAVPLPSARAATISVFAEMALLHKKAGVSFDNCRLDHRSLIGKAFATHRCSRSSAQRMSETIFAIVEAVRVVTKTGLATKKKDSEIAVPPVSPHRDFSTPTVVVVEDDDGATADIGKRSCLLAQNIVSLEVALCLR >PPA08681 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:261674:262521:-1 gene:PPA08681 transcript:PPA08681 gene_biotype:protein_coding transcript_biotype:protein_coding MENMGLDMELFQHDQQWLECITCRRLFHAAADHELHLRAHEGEACSMADARLCWMEMYEE >PPA08665 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:128636:132407:1 gene:PPA08665 transcript:PPA08665 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLLSVACSYFIPDTMYPLLSIAWTLLSISLGLLQLLVLTQPEWIVHGGTSMGLFAICAHGSCVLRSGAAAVPLLSLLAGGLLFLLSSLCLCPALLCSSSARPIRVIANAQLIAAILCGITVIFVPLDMGDVDCALPELLRSSTCRVGWAYAVSSVCALLSMCCPVLGRLVAEDRGIAYVLIPRKMDNEEYYL >PPA08750 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:677309:678139:1 gene:PPA08750 transcript:PPA08750 gene_biotype:protein_coding transcript_biotype:protein_coding MELVSKHRVFGKSENPFLDSNLPLDQCEGDPNSENIEFAMTAIYEMGILDKLKMPGYFVQSYSPFCLYHSHALWSYQHRTTRYEDGTFGMSLVGIGPKVVEPSGSSSNPYDKDQSSGAFHDLRIRRSLQAAAAAARTSRSSDHVQEFSERSGDCDV >PPA08727 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:535086:543950:1 gene:PPA08727 transcript:PPA08727 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQETSQESATFFPDSQLSDMSQALQQAHPDEQSSVSLIILHMSELLSSFVREDTPEMRAKWATALAGVRDSVQSEHAHEELRKGGFFLAHSIAPCLISYCPQFISDFSVMLEDGLQCLADKCAQLEQAVKDHPPREHDYVYGRSPSADGSLTPPLIAGIPMPSMIAGGPGRKKQGARLLPYPSPSSIVARHAAPHREPPAKYKQVQFVGCDLCKRAIPRLRMANHLRHVHPEEFEKHAKFRCEYCKTALFIKQGAYDAHLDSCHVRLELESLTLRMPYADELMCRMCGAQCATVQELADHAAKMHPAISIMSCTGCGALFRQPDALMDHWREAKKLYAHSKCAASAPAHLLPRPKLVSQILAHARATGKLDKDFTYIQCMAQEVACEDCGLVVSSFKLLYDHVHRKHSKIVQGEMSFGCIGCGSKYKCVPSLKEHLLRAEIEEYSGCCNAGVAYWSGEPISRDMRPKETIARERRMEKEEAAKEERDRAESVFVKQEMDVDGTLDDMPALSQHETLLSYLPYPSLPLAMALLSCLFSMLCTIAICLPFSCCQPNWQPEDDDEYECAPQMQHVDSDTKMPYPASKLYLYVEYCTLH >PPA08759 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:730462:746377:-1 gene:PPA08759 transcript:PPA08759 gene_biotype:protein_coding transcript_biotype:protein_coding MTALNTVVFGTITHGNLPLAVRFQGTKAFYDDSELRAIFEEHGAVAHVRSFGLNVNITYFHPFSIRRFMENDGARAIALRGRTDLLSVSVDHDLAEALWFGGCPQLNNAEEGERLKKHVVALLQSAACSAKVNVFLTAELDANRRELIVRPSPTNGKPAIVNFEITSFFSAQKKTFTSNPIKWNNVPHSLLVRCADSTYVQEWMRRRDNRVPLTDDERQLMVETDTHLGNPAPTNPAPAMQAAHDVIVIDDEEDGGGDDIGNAALAPQASVIHADPDAIVIDDEGDEMEDDPGNPTPAPSSPVKQAEHDVIVIDDEEGDAPPLKKSRMSVEEKPKESSDQPTSSSPGAHPPPDNDDVVDDVVNGITDGVNVDDAVREAIEEVNNENESNDEANEIHVEADNKDDFPLQDPSDDASDDGRSESSSIIFLPTPSPPEKRDEVQKGDSTHGAAQLVDEGADVMEDVVNLDDDTLPDRPSSGDALPTTMDDDLPSTSTGRRGGLEHVSAGIEKVSSDIASFRHLVNEPSTTTFNGLTAKDNEKSAEVDMIGKGRLVQRLDQQEPACNANHLTYPIMIALALDSSPTGNLTVDGIYAYIEANTPTFVVSKSWKISTDNALRVPPATTERKSTRQTNESRMWRIHPDRIDEVRAEIAPFRHLVKPPPPTCLAYWDSKAEARKDHRVLKGILSRPASTSLASHEENELPDKAGTDANQSSDIGSALHGNAANKVVASTEDDDEILIQERTKLFDEGGVSDPKVTLRRSSRFANANRQDDEDNATSAQQSDEEENMSELKKSTLRRSRRFVPVKESDDEENEAEEDEDDESSQSPDEEDEAEPKELRRSKRTRKNGSSEEKKQPAKEPTIEDTWINAPVAFNRKYKMLLEYDEKMKTVREALKIDDKTVLREAYADLIQMRLIRTLGIFEFMKEYGHRHRRSDLGASERNNERAYQRSIASVADKWNEVLPDPIQVYIFDWTGKRSGGKEIKNVEFLNDLVRSPEVQALIAKERPLKGRFCRNCSGHSRNNTQDPDVFCCQIGLEEHSFLRFVCSGKCEGAHQEKIDLESKRSVPIGIFRNHKTGWSVRVLTRFSKDQFVTQALGEVNDGPSEDPDHDFPMGYTHPDGETELVIALGEKGNEVRFLNHSCNPNLVVTSMMEERYGEWYCRKYFHAKREILEGEEITFDYVAGKRKLAKDLKIIKTCFCGAAKIDPVLKNKCKKELEPFLEAIEQSKARHESGKQTSEAEEGEMHYVSETEYGAEGVNDEEDHQMDEEDHQNLDEESEEDLGVQEDANNNIVIVKCENHPADPNLEDEAEDEVDDEEQHISGIQMEINEVEDEQVDADEDDDYSIDIQFFFENNEQDDSSVMVGIANRDDFFFGIIGAIVTTNVQPPPTPIRRAVQALAYTKYEEESPEIEIEREGPSNEAKREVVNEVKLDNVLAEEAIAVHGDEMETIDFATLEDSWIREEEEDDDQEDTEEENDEGLDEEVDDDVDDNNNESSMDSTLDSAANSNLDSTLNATLDSTLDSVEYIVDVDEEMNDPASSAIDKFQLAGRDYKNTKKECKRTEKKAGTLKKKESEKKEVLKNAHRSAVEERKIRKEEKVRIDLFLKEMEQRNKIMKDDLVTETLETMVKDKTRKRKLFRRDAQGELTASDERNIRIQRNILRHNDEETDNSIIGKLLKEGSSRGDRIRALIIREDNCGTLQFYDSRAKNSVKSEKDPEIKYKFISKNQEMKNYRNEHWEKAKTYTDYCNCDPAIGCMPKTCACMKQSVLRENRVLSTLKTEKKYSIVECCEKCRCCRGKQSVGKHGKKKSRLCPSIVQIRPLELHAVYTQTMGFGLRLMQPVSAGEPIVEFTGVHQNHARGELVQDWAYTTMNSDEKWERERTGISKRGVYFVLNPLRKGNSARYICHSFFPNTEFVRCYRGGMKTTDVSYEQAFIQAKCSTSITAGISESLRRVVSARSYSATRLSDNSSDLYRRRM >PPA08799 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:1015990:1020079:1 gene:PPA08799 transcript:PPA08799 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEPLDDINEDFQMKEEVIDFSRDTQDNKNSEGCDDSLDNLSTMPNLVYYRDNSGVVRGPIHEEEATALFNANFFRPDHVFRIIDAGNSEEFSSIDDLRSLNGAETPFGKNGEEKEQKELVRVYKYPNELEQSLKTRLILENKVKGLEKELEKFGALEYDFQMLKMKEKERDVLEKWEEIQEDGSKLFKITRTPNAGAYVARFENRSPIDVWVMYSYCWTPTKFRLAEAGGTGYKKGNGLYIAPYLSCFRKDNEPFVSYHDEFKEQNWHKFNYQMPNLIYYKDNSGIVSGPLKEEEATALCKANFFRPDHVFRIVDAHESEGFFGIGDLKVLYGDASPFRKPSTKDEKGKARFPESLQQAGEAGESKELVRVYKELASVMKERNELKAQLDESNKKLEAINRKKIIDQTKALVEVGD >PPA08748 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:674140:674863:1 gene:PPA08748 transcript:PPA08748 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPISGEWHQYSRGPHGQHYYTYVTKYRRCGGRWGTGFVVGGLVGLWTASWWQRRDCHNGDGSCWTRGPWAMNRNDNNQQKPAKPEDITNQ >PPA08762 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:762965:764682:-1 gene:PPA08762 transcript:PPA08762 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSQAFNNKYIASGPARARLEVAVGGRRRLERGNHPRSREEALGDEQRLL >PPA08784 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:875727:876208:-1 gene:PPA08784 transcript:PPA08784 gene_biotype:protein_coding transcript_biotype:protein_coding MPWGFHFTASLLQDSGRSLDYCKGDPTSENIELTTDVLYEPDTIDTLLGPGSYVQSAPINLYHSHVLWSYKHRTTRQEGE >PPA08690 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:327567:328673:-1 gene:PPA08690 transcript:PPA08690 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLILFLLTFCIGEANESDPKIVPKWFECGAEKHTEWLAWHVLWYFCRPRLLKINRCCVAHDACYKRGRDRADIDDETAKMTGAFECNHKFDMCLYDEYHIIDGPAGRFCYAVYSGFSAIVWAITPLAYKG >PPA08776 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:835963:839545:-1 gene:PPA08776 transcript:PPA08776 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLLFPMKMKLQASLAYTFSAAGTCLPRRLEDGSLSSLRGHCETAACATSPQPGLALFSSSSSLSFQLSSAVPSRGLRVGRLIVSHRETMRRPLRSSREETPGLKTTRL >PPA08818 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:1136561:1141957:-1 gene:PPA08818 transcript:PPA08818 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLLLFISLCLVPSALSHAIPAMPNAKPQLITRVEATNTLKNAVRNDKVNCLVCSTIVQGINQLISEESEEEKIDDFLKKACVTLDIEQPHVCDSIVDMFANEAYFVLERVIFTPEELCGIFVDDCGTPVNPLKVMWNITVPGGKPPVKPWPTVKSPKKTQRVLHLSDIHVDRDYAIGSEADCKYDSSNGKGTYALCCRNYPDEMFEAKRASSIVKSPAGPWGAVANCDVPYRTYEAAIKHIAKTHKDLDYIIITGDFEAHDIWDYTKEKTQEIITNVTQVLIDNFPGIPIYESVGNHEAVPMDAMAPHNMDEYDTRGPTWLYNILADSWSRWITPESVKGVQYRASYIERPYPGLKIISINTVYCSSWNFYLYINQTDPDGTLTWLISELLDSEAKGEKVHIISHIPAGDDYCLKGWAHNFYDIVNRFENTIAAQFYGHTHNDHFQVYYEDSDPNGRATHFNFISPSITTYSFSNPSYRIYTIDGGYEGASYYYVVRLKPNCHHCFNRQSDVLQCIDMLTVLDAETYTTDIDEANAKNHEPQWFMEYSTRDAYGMPDLSPASWSALIDKLAVDDDLFRKFHR >PPA08711 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:436334:439906:1 gene:PPA08711 transcript:PPA08711 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCCLLRPDWTGCSQEERDRLPGKIVYKFSYSGGLEAVARTLLSCNVNEEVIYDALRKSNNTEGLFYSLLTPSTADWLHVPGPVCYKEIHEDGDVGHTMMEHVNGTSFDCHDHCSITEVKQIINSIARLQCLSTKENLEQHDEFSWNPWKTIGLCVLTNKVARKVVAEIPPFYGAEFEPLTRKIHFALDHMYDLDAPIRELNEISPVLVHGDIWQSNLMWSYGGDRPRQLKSILDWQSAHVGSPAEDIVFLLVCVLSGEDRRTHWRSLLRYLYESLEREMAPAKPAFTFEDLIASYTRLFPMLLAIHEPRRSSHIHGENLEKAFMSGGRRRIFLREKLVFAKVANFENKQMRCS >PPA08653 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:17277:18050:1 gene:PPA08653 transcript:PPA08653 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIHKFHVKSEATGLRKIELHLIVLLAASVGASSMAAASSPSGCSVVSDVDRASPFLPASATLNNSSCPSSPSPYLRQIDFGASTSRSSLDSTRGPDFGEQLAQNVCCFLFFCAY >PPA08753 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:698400:698816:-1 gene:PPA08753 transcript:PPA08753 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTSKPRNAPSNHPLLDSDLPLDHCEGDPNSENIEFALDAISDMGMFNGLKSPGDFVEYRGPICLYHSHVLWSYKLRTTRHNGERG >PPA08830 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:1188730:1189497:1 gene:PPA08830 transcript:PPA08830 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALLSYLTANQMVNQQLDFPLIMPRTAQTPNNLQSVTIPQLVSLDTHLQPEVMTTSPSPELLLQFFNKNSFTNVSIASKLETPVIIQQQLKIEESASSHSSPCLITPAV >PPA08772 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:816250:816656:-1 gene:PPA08772 transcript:PPA08772 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLPLLCFFFIVAVLTTAKQDRAAMPRFYYDNATKKCEKFIYGGCGGNENNFETKMKCVFACGEVIPL >PPA08801 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:1028101:1028346:-1 gene:PPA08801 transcript:PPA08801 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVPECYIKKRGVPAVSGRATSSPVVVPLHRWKFGKDGAAAVAGMACEISSSLRRFPILPTFSVI >PPA08655 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:27233:33255:1 gene:PPA08655 transcript:PPA08655 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLAASLLLLIIGFTATVMAAHNDEKGRARRKAPAPEEPVIVVGGGLAGLSATLETVKHGATKVILIDSEKSLGGNSAKASSGINACNTPTQERMGIEDSTDKFRADTMSAGDRENDEGLVDVLVEESASAIEFLEEAGVDLGDINLCGGHSVPRTHWIPSPKEGKPIPVGFGIISALKKKIEAIQKEDPEKITILLETRVLGLVSWNEFITGVRVKGADGKSAEISGKAVVLTTGGFSADKGEDTSLLVEFAGADKLRLPTTNGPFARGDGVKMARAMGAKVIGMDRVQVHPTAFVDPAAPSAPTKFLAAEALRGKGALLLSPKGVRFANELGRRDYVTARIQKECAALPDGFQGGSAGAPAALLVMNERAIDAFGRPAFTFYAVVKKFFKKYENADALATALDVDPAVIKKTFTTYNEFVTKSAGSKVRTKDAFEKTVFPVSFEEKEPLHVALITPAIHYTMGGLQINKKAEVFNEFMGKPFKGLFAAGEVAGGVHGANRLAGNSLLECVVFGRIAGKTAAEVRPSGVAVAGVFLWRG >PPA08794 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:979318:985481:-1 gene:PPA08794 transcript:PPA08794 gene_biotype:protein_coding transcript_biotype:protein_coding MECGGEERGASGAYSARAPAAARARHDSGGSQSARYASHSSSLERRRVFGVEPGREFGGGEPGPEFTHGGDRIYRVPIHLTDSTQSLGGTGGGEEGEGRGGGRQSRSGSVEHVENYKENSFGKKGCLGGRAARSCAQRTSGLARAARARRPRPPAPGAVVPPRRAPCPEFTEAPKNPRPKGWALRSTKKTGRYDPVARKLVDDLFEQYFSNGKTLRPDEAEKRMRERNDILPAQRMTFDQIRNRITTLLSQKKEHQRKEHGNRQRRYVKLIDDFERDLAEEGISLDDIEEEVDLERPLDEDDLIITSDEIYDLLRNRKKRFPAVKKARREEEQARKMSSSIYTGRDYISPIDLTTQRAWPLTTGPSSPHSVRRAGAHTPTTGAPGADEDLTPRAPVQPTSGRGGAPTHSDRANNNNAPGAPRKQPPPPITNGIFTCDLSSSEESLVARRAKYGGATGASADAATHAAHCPAARTRATRSGAGGADADYACYCHTPGVQRADVWRSNHQEMHTIGYGRVLEEEIC >PPA08692 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:330839:331408:-1 gene:PPA08692 transcript:PPA08692 gene_biotype:protein_coding transcript_biotype:protein_coding MYCFREHDACYRKKTGQKPCDDQFDNCAMASSRGTWCVPVMKLFVALVRTFGGISYKGMCSGN >PPA08832 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:1195114:1197144:-1 gene:PPA08832 transcript:PPA08832 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIIDASACSAKIAHVFPIQTRVSNGSDATVVRASGEKVYLAVQPPSRTHSVEAIGGISHEEELRRASFDAPRNTGRQLPSLEHLEYMGMSYENFEYWNT >PPA08816 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:1123084:1127178:-1 gene:PPA08816 transcript:PPA08816 gene_biotype:protein_coding transcript_biotype:protein_coding MTISQSTVERRGNEKRGHVNASFQNDFGDVIREGQDAIRTPAGKWGSVFSCDIPYRTFEAAMKHIAATHKDIDYIVITGDFEAHDMWDYTKEKTRANIMNITQVFIDNFPDIPIFESIGNHEGVPMDAMAPHNMDEYDTRGPTWLYNTLADAWSKWISPESVKGVQYRASYIERPYPGLKIISVNTVYCSAFNFYIYINQTDPDGTLTWLISELLDSEAKGEKVHIISHIPAGDNYCLMGWAHNFYDIVNRFENTIAAQFYGHTHNDHFQVYYEDSDPNGRATHFNFISPSITTYSFTNPAYRIYTIDGGYEGATYTVLDAETYTTDLDEANAKNQEPQWFLEYSTKDAYGMPDLSPASWNALIDRLAVDDDLFTKFHRYYFRSDHEADCVNDPVCRQKYVCYLRVAKSYEQDHFCAGFTTL >PPA08659 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:61380:61839:1 gene:PPA08659 transcript:PPA08659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rab-35 MDARAHDAAKSPSGPHKKQTTYKILVIGDPGTGKSSIIRRYVHNVFTANYKATLEKSKFGNRFFIALVCE >PPA08744 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:655101:655702:1 gene:PPA08744 transcript:PPA08744 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPAVPPRELHIVLLGQSQVGKSAFIVKYMTKRFIGEYDSTLEETYRKDEMIDGESVSVCLMDTVECESREWHRWQTWGDLFIVVYDITCSKSLT >PPA08764 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:770323:770658:1 gene:PPA08764 transcript:PPA08764 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVSTAPVRSPPKKRPQQRRGRSNMSDGSSEPTPSPKKAANKDDKCDKFARN >PPA08695 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:338796:344694:1 gene:PPA08695 transcript:PPA08695 gene_biotype:protein_coding transcript_biotype:protein_coding MQILRILDRNLLLSTTTVVDEGCSRMTCQKGDLMVKNGKQRFLMTKSLTCSSDGVWHTAEGDSIDATEATCTMKRDLEYLLDCQSCDTPPFSTACSKNGEMMCDRSLIQMIGGLSSSQCRKITCKDSQVTALTGDVILTDIAISCNPAGKWVLDTSKLELASNLEVTCSVPLCETCIELKLTADCPPEGRCNNGDVLNAGGPSQIQCSRVSCASGQLAIKEGAIWVEATALSCDGARKWVTEHQIAVSNTAAVTCAQYKCDACPEVVADPQNRCPKDAAYCHREYLRSGYVDSQNCQKTTCQMGQMTVFTGREFRSTETLSCRAGKWRTQAGESVGSDVIGTCAFLHNEILIVASCAYNYLKKMASACSACPPIQTIVNAPDGSTSVLALETVPNSSNCASMDCGEGEIAMYQNGVWRDVRSLTCNYDKQWYVIELEQLKEPYPEGRPVTDYFLVKCTKAKACDHCQELGTTGECHNGFCNPNLLERSMDVNGCKKIACPTFLMVQDGKNWLKTSFLKCKSGSLSKLHILHDFWEFTNSLRLDEPILSADQRHRPVYDYGQMRLEGGGKQ >PPA08769 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:799563:801268:1 gene:PPA08769 transcript:PPA08769 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSLKFTTGVALVALLASLSLVDYAEACAPTSGNSTSGRKKRSVEEDVSVVVMSNEDFALETNAANMVKVEQKLKEFADREGISFRALQHMSKSAENVGGKFGVHFEIAGAFDRCARVLQFIQAAVNSLPEISSGTVKCGAFDAVYVTKKQ >PPA08738 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:625241:629502:1 gene:PPA08738 transcript:PPA08738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gnrr-7 MRCCASGRKKGCLRSENTSPKRVAKKSLIIAGKEKEATRHKWKAAHKMEHMPDDVMLSDIEGNRIIWIYNYSWPWGDAACRTYQFLSIFSYYSNSNVIVAIGVDRLKVVYTSHIQGATSVRRVRTMLAISWILAAACAAPQVFLWEVHEVSETFSQCASIFLVHNASVELSRAAIAYEIFHYVAAFWIPFVILSVSYLMIVMKLIHFTFKPVSRVNIPKASTSVSSTTRLLNMLDAKRPDSYHFQTNGSIALEPLARSNSVLTESTGISSSRSKKKMREVMKGKFAKTNIICTMHADAKGVPLWRKQLRSRVFLTALIVVVAHVAMWAPYNFYSMLRIINHDWHESLAQYEVILEDLIVMNSLVNPILYSFPCRV >PPA08779 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:845501:850909:-1 gene:PPA08779 transcript:PPA08779 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTFERADNDIWKCTKHFTREELAAFGDPAAFIYFTMRIIISRSYFDLPKLLKDTSTAASGTKRTVECILRGDKPDGWDFRMRVGREDDNTSLPHNYYVHSATFARASKYFQVMRRQNPSHNSCYIPELAPEDMPVKSDPRSFSPLIRLLIFSLSNAVPLPSARTATLTVFAEMALLHKTAGVCFEQYRIDHKSIIGRAFAAHDYAPSTVEDIVNVMFVLVASVRLVTKTGLATKKKDSEVAAPPISSTAVSPPRDFSTPTVVVIDDDDDATDDTDSIDKSDEVVDEIVEEPEVAEQNNSRCPIS >PPA08701 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:362201:363027:1 gene:PPA08701 transcript:PPA08701 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNPIYDAYFASFAIDQLSFTHFELPSEDSTASSSEEYPSAFFAPESDENSSTEAVDAILRASSALSTEDSTVRFIADEECTQNAILEQLSQLMYEDRHEVERQLRFSLPPKSTISSIDRVAKWIVRNEIENTDGEMESAFDAAIFKFDIFKRWVEEDEETESEISH >PPA08782 pep:known supercontig:P_pacificus-5.0:Ppa_Contig17:857897:863019:-1 gene:PPA08782 transcript:PPA08782 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRNVRRLTDQTPQQPPPEILALTISFMGDELKKEIATYQYEPDDNGVWVCTKHFTREELNAFADSDQCIYIRLRIIIARSYFDLSNLLKEPTTVSEQTIDTVGRILRGEKPSGWDTKFKVGPYQGDGWLPLNSYYMHSTEFHRARKDFQDTMGIVLDKPIINLFPHFFPEDMPVIVSLMYGVPVPLPTNFDRMKQLFNNIRSIFNDDMSIHLYPHWERAICREAVALDKTDPRSFARLAQLILFWMCSGDAMPSAKAATIGVFAEMAMLQKAAGVNFDQYRLDHDSVIGRAFAALECAPINTSRIVAKMFAHIVSCRMVHKVGLSSKKKDGEVAAPPVSPPRDFSTPTVVVVDDDDDDDAVDVVDNTGVVEQANNWSCAIS >PPA08829 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:1182192:1183448:-1 gene:PPA08829 transcript:PPA08829 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMTKERQEAEMRDRVNRLGDGRKLNLSNAIFECPPSPVKQISSPLPEHTNPRMLYERDHEHARSWNPGVYELDAAGGEQNYGAQTSSFLNASSF >PPA08768 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:785343:788500:-1 gene:PPA08768 transcript:PPA08768 gene_biotype:protein_coding transcript_biotype:protein_coding MHRMTNYIMDLRNLTYGLVAISAIGVIGFLLLRFAHGRRRRRKLSRHHHEDGPHNATLPRYYNYQSQYGPEPWDRPKSTFSHHSIEEKKLHDTRGSPEDHRHQKPTPNGTMNTYKPYGGGPAAEHDSSDESRGMNDSFPSSRATTVPNSAHPSTTVPNPMLITQAATPPPLSQKGGADSRRSSGAASESPSDYLDTDLSVSHTLWPPRPLEPIKLMVEDLPYADTAHD >PPA08781 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig17:856254:857372:1 gene:PPA08781 transcript:PPA08781 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKRKRLVASDDDASDDGAEHSVSDDHLFAQVRVTRSITREDDGPRFNYNEEIMADTVFGKEPASKGKKKPVVKAPVPAAPTPVPAAPAAAAVTAAATA >PPA08897 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig170:394792:397053:-1 gene:PPA08897 transcript:PPA08897 gene_biotype:protein_coding transcript_biotype:protein_coding MTADANRAESMLCMDKARQALKDGDFGKAERMLNKAKKLDPAQDISFLMKKVKSMGSPQSSSDSTNADRSYAHDDHYDETHLRSRRPQRAHKEETKASSPKQSPSRQANGNAHRSKSGERTQLGVHYTQEEVKLVERIRHCKDYYEILNVKKDSPDAVIKKEYRKMALQLHPDKCRAPHATEAFKALGNAYAVISDKDKRAAYDVHGADGPAERRGRGDYFEYDYGRGFEADITPEEIFNMFFGGGYADMGQFRRRNFHTHTEQHHHRQPDIAEQSAFTPLLQLAPLLFILLLGLLTQFMVGDPPFSLSQTNKYTIKRETRELHVSYFVKKDFETAYRGRIEQN >PPA08846 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:150806:154063:1 gene:PPA08846 transcript:PPA08846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-soc-2 MYPLQDLSREFSKCRENGETRLDLSQNDIASIPSSIRDLSQLTELFLYKNKLTALPSEIGALSNLRKLGLSENALTSLPDSLANLTQLETLDLRHNKLTEIPSVIYKLSSVETLWLRYNRIVSVGESIGDLQKVKMLDLRENKIHELPSSIGRLSQSLTVCLLSYNHLRSIPSELGECVELTQLDLQHNDIASLPDSIGKLVNLVRFGIRYNKLKTLPSSLENLTKLEEFIVESNHLAALPDGVLTSLPRVKTVNLSRNELTSFPGGGPMQFAAAVSINLEHNSITKVPIGIFSKASGLTKLNLKENELCSLPLDMGSWTSITELNLSTNQLKMSSNQFRLRLDSSFISPNYVRWQVFKIFELERIVSLQFQRKSRSCQSRIVLSLKFLQRSLQAGRV >PPA08859 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:208911:211316:1 gene:PPA08859 transcript:PPA08859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cpna-3 MMRTPSSSTQPRALRVTHDGMSLFGVELSLSFSNFRPLPDSHGIRISVHLKDNASGGFLELSQTDTIFDLPSSSFSQKTTVPYRFDHPQILQFRALRFVGEHIKSYNNFALGAYFLVVIVSEQRTYLLYKSEVIRTKEAKWASFRIPIQPLESSGSLQVHVMNDNTNNRDSLIGYFVTSMAQLMKGAGSHNTYMLMNASGARRSEKLLLELKSVERDSGPSFFDVMRSGCDLRPSIGIDFTASNGSPLDPKALHFIHPHAGNPYQDSIIANFSPIHLHMRDQRVSLLGFGAKVGPKLEMNNCFQLDPSSEYVLGLRGVIETYRRASLSLQPFGPTQYADVIYHTSQFAKASVRLNMNAFYLQVIFTDGCSNLSPRVVDALVDASFFPMAVLFVLIGSRIKRIIRECYRIIH >PPA08845 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:139487:141660:-1 gene:PPA08845 transcript:PPA08845 gene_biotype:protein_coding transcript_biotype:protein_coding MREEGWIEDTRPLVPPVEIQREKISFMKSLWLKVSSAEFISLVVLTIHMSTLPLLVRQASKEFIQISPTVSSHPPLKFLASTSVLMSEFFKLFICTSLIAAVLDQPKQVFHKVYTTIVHNRRETAKICVPALIYAIQNNLYYVALANLDATTFSVTSQLRILTTALLAVFILNKILSCTQWVALFISLLGVVIVQIEKARTSSNPNLVQSGNPVIGFSATFAIAWMSSFAGVYFEKVLKESKTDVWIQNIRLALLTIPFSFITMMVTDGKKIIENGFFQGWSPLLLLVTILTALGGILVSLVMKYACNVRKTYCQTLAIAITVIMSMYTGDVHPSIALVIGVILTISSVFIYALYPAHPKVGDMDRKILKIDLEKELDNEDEEEDEEDKVEGRRIE >PPA08865 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:227999:228767:1 gene:PPA08865 transcript:PPA08865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ttr-53 MMCSLHLSLSLVLFSSLIVLSQAGHGCVWIVGKVQCEKDHTKSTNVEIRVLDRDSVFPFSFIDPDDLMGVTFSNEEGRFSLDGCADDFDWLPGVPNVPEPYIKIRHYCNKEQGETIELPEFNVFTPNTYDLGTIILDTQKSVAPPSRDKKKNI >PPA08841 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:39402:41303:1 gene:PPA08841 transcript:PPA08841 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-htz-1 description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:H3EGH9] MAGGKGKAGKDSGKAKSKVISRSARAGLQFPVGRIHRFLKQRTTSHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLHLAIRGDEELDTLIKATIAGGGVIPHIHRYLMSKKGGPVGPGGVPLPPVPGAKGAHMDAPGRVWRDLQENTTLSGVVKLRLEKLDTFRAVTNSESLNEDWTQLITVDGTITTSGSGSTPRLVHSTFCTCFTNETCVTNHLIMPVYASILRNGIAIVALIIKPAIIDIMMTNHHFLAIEKEAAIGSGFKVEEDKIANQVRLEESIPFIIRNLDEEAN >PPA08853 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:189952:191163:1 gene:PPA08853 transcript:PPA08853 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nos-1 MDGAAFASPRPNNVQRRPAKIVIPSDDQSRQYRRSPSGDSSTKVHLSFIPPGYRTPPYTSSSSGGSSPSSVSPTKNDQTHFKFDHRSLNAQYGQNGVYVNNGATVQMLSLKPSSHRHDPPKQLLTLASHQQQNYAPSESGYSQSSQSSCATSCYNIYGACQHSHGNEGKQLLTLRSYVNSEMAAQDVCLFCYQFAVHYANSRCQTPLPRSSDRGYWRGHLMKEGDRIVCPRLLRRVCSLCGATGQNAHLNNFCPIAKKHTSSY >PPA08840 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:34546:38738:-1 gene:PPA08840 transcript:PPA08840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-wapl-1 MASDPTNGKNYRSYGAFHSGQKAASLFDAAFSKPYVSRETDFEESSGLPNVNEAVIKHPSPRQVFSPPVSLPESTSDNGLEDNMDDDEDDAPQPSTQGSLSSQGSLTRNMSHATISRDSPKKRDANDAYDFNDSDESPPVPPKKSRIQEDEERVELEKKKKPVYRHKWNAGDEEEEEEEEEDRNGRRNSASAITQPSVVSRRGVPIYARESQQGRVRNVKEPHQMLESGEHDDFKDDLKYMLTSLKGDGSTNIKCLSATSLARKCINPQFRHLIRSEKEVTSLFHSLVDSPSDESFALASSSVIYLICRDSVSIAVDTTSARILAQLLKLERPANMSESVEKQYKTIWSIFSSYLEKMESSGRKISFDLTEDELSPSSLILEALVFVLTNSRDADLKNDLLSLGILQWIVARVDRIVEDLSSVSVVKAERLLIPLERCFRVLEICSMYHKKNQAFLIAHRSSVIIEAANKLMVVVHSRVSSSSSPLSLRKSLMRSLTLLARVLTNLSYDNELCSTKLGQMSGFLSSCISTFTFLGPKYISDDAQGFDLTLLMSSLVVNLVEKCNGNRKKIVDASIMVYRKGEEGKEEEGEEPALTCLTKLFVLHEEAAREADCGIDKELVIEEPDENPSDEDGEGGSDEELNGVKNGGRLDRGKMGEMNEGDMIDALKGVLSKASSHMEDSMIASHLALLIGCVLQQNEDHVRLVRSLLPDDNLALMVEQLQRYLEFLRLAGWGSIHFVLVSSPRTSRLNELK >PPA08850 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:169804:170882:-1 gene:PPA08850 transcript:PPA08850 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEKLKKNADELNEKTNKIKESDVQGAYNMTKEAAAKSLEAQRKTDAVVGILAETEKEANEVKELVDRNRGDFDKQYAENEAALAECENNLVALEVYLPDLNGDVCGAKSAPCDSLCGGPGSCGKCGGQSCLEGAVSRAEQAKNFALEADLKLNEKQAEAEEVLSLVREVLSSTSTAQREAEEALTYGEEAARGTNASRQSTEEILHQITELITGNRSTPEDIRAAAESVLAMKISHTPEEIEELNKKVRQEKDCSQDESIPF >PPA08854 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig170:192082:192900:-1 gene:PPA08854 transcript:PPA08854 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSATQSPAPVHLQTISEVPHTTPISGNTENTPKTAVANTSAMKETPPAVRQRRAASNALHCSSPSDSMLSPCTSKLFGKKSKISSASAILRSKQQAAIPLNLSQSDEDDKTESDK >PPA08849 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:166558:169371:-1 gene:PPA08849 transcript:PPA08849 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAESAVAIKNTTDTVRDALKMAGEAQTKASDAIKEAEEEMKAAREDLKTAKEATDEADGKTKETNETLSTLEVDMKKVKVQYLQILDDAKSAYDMVDRSQEASSEAEAGNKQLARDMETAANLLNEKSSGKEKAQERAEKIRARAADLLYKLQKYDEEYRALAKFDASEAMEDYSNLLDDYDKRARQLTEAIEKRSDYYQNLCFGYRSDLMQVDSRLAATRGGETEQFSNDGSAVASTSISYWEGIRRAASEYNEKREELKQLEEIIKEQSDAELVKMAKCDLEATTDSFDEAIDELARRIIPRTEVDVLSKCQMEFSAGAGGTEAMIFTAELFDMYRKYCEWRGWMWTPLEVDEVALGGMRAAIVSIQGKDNSRLEFIESNGFH >PPA08855 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:194728:198247:-1 gene:PPA08855 transcript:PPA08855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-twk-8 MQDVEAPMDVDSAKSELGSLPSVEELEEGKAPRMGVITALSITIGWIFLCAALFGIWEDWSYGESCYFMFISLSTIGLGDVSVARKDLMVLCFIFVIIGLSLVSMSISVVQQAIEDLYVNLIMKLLREYQENLAQGGDATGASVGMMRMWGSNRAAKFLMPLLSKEKKRYAMEKVEHEAKQAGLDIPPILTDLDEKTGLPKILNIKEEEEKFTEDGPPIIPIELERMLMKQQLKEEEAALAISLPQIITHNSATQTETTLTMEQSEQTLEKEIEESAVQTEERLAEHEERDTQTEFIIHEDNGFQTERITTIDAAMGTISVTSSEHQQQTDEVQTADEEVDHPPPPPFPSLPRERETLQVQSYLVEQLDAETEMEPIETKNIRLQTMNTVVIEMSVQSEDLGVTNSMSLLIIDIRNIHLAGLKCDNFEFDEERKSPSKMASAKRRLKKAFARRQDMKRRNSAGDLLNKDEYEEGEEGEEGDCEEGIGEEREIRSGKKNGSDDGIIDEMNEEMKEEDNVLIPTASFTFVTLHLNNNKNSDDEEEEDGSVESLHWDPVDGMHAEKQLPVKKLTAMFESPKMIERKNTEPQKRRKSRQEEERKHREDKKTIIVSL >PPA08851 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:178393:184220:-1 gene:PPA08851 transcript:PPA08851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lam-1 MRSLLLLTAFAAVAIQASPDEDRCRDRSCYPITGNLLIGRKHRLSASSTCGVHDRERFCIVSHLEEQTKCFYCDSRHEWRPYRDPYRLSHKIENVVSDSYETKNQAWWQSANGVQNVTVQLNLEAEFQFTHLIMTFKSFRPAAMIIERSSDFGKTWQVYRYFAYDCANSFPGVPEGPPSKHTDVICTRSYSDVAPSTGGEIVYKAISPHIATDDPYSDEISSLLRVTNIRFNFTKLHTLGDDLLDYRKEITEKYYYAIYEIVVRGSCSCYGHASSCIPIDDQAAQFGGEDIVHGRCECTHNTEGLNCEKCLPFFNDHPWRPAVANNTHECKRCECNSHASTCHFDQAVFDASGFVSGGVCDDCQHNTQGKNCEQCKPYFYKDPRRAIYDPYVCLPCDCDKAGSKNEGICEGEQDEERGLVAGKCYCKMNVDSHRSRLAMESLTPMPEHYGLSDEPDGCKACDCDVGGSLNNQCDVKTGQCQCRDKFGGRRCDKPEADHYCADIDHYKYEAEQANFTHGNVEVRERPKDPRGLSWTGEGFVKVNERSSITFKVGDIQTSNDYNIVLRYDPIRDQIGWENLEVTLIRPSDPSPDSSCANKDPSSDLLVARLHPGARYYEVRPSICLEAGVEYEIKLDFGDKRTNAPDRSASLLLDSIVLAPPTEKLDVFANLEDQRAQQHKMEYDRYQCRHQALSLTPRADLSDTCKTYTCTIAAAFIQKGLECGCDPTGSVSGICAPEGGQCECKANVVGRKCDKCGVGTYGFGPTGCSECACDSVGSLNNQCDKSSGQCVCREKGIYGRQCNQCQPGFWGFPNCAVCQCNDHATICDQQSGSCIDCHDLTTGEHCDRCKDGYYGDPRLGVGLPCKPCPCPGGPGSGFQHADTCYLQPATSEVVCQCSAGYTGDRCGECAMNYWGEPNDVGGTCERCDCNGNIDMSVPGSCDAKTGDCLLCLHNSEGAQCENCVEGFWGDAKNRQCQRCICNTLGTNTSCGECDRVYGQCPCLTSVIRTQCEQSSFNPCNTAQ >PPA08893 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:382186:385707:1 gene:PPA08893 transcript:PPA08893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gcy-37 MDGKSEGIGRQNSSSPFIFSTLSTTTQRKWKKAGFVSTEDISMQTYYDDAETFRLFRVASTVIGVSVDQLWEWYGDFLVTYACETGWEKMLTCMAGNLQEFLDNLNAMHYFIDQIAFKSEMKGPTFKCDPQISVVERTQERRKSGMVDHVIFSISPDDQHKAGQRLAHKFRKERNNIDIIIEEDESVSPAPPITLRDFNRIFPYHICFNKQMVVEHVGVFLLNEYNLADKKALKLTDIVQLIQPTDVQLTFKNIMTYLNTLFIFQLKVQSKRNEEELAKKAGILNQPLSLKGQMMMLSGGNSIIFLASPHATSVRDILNVNLFISDMPMHDATRDLIMLNQSRMCQMELNKRLEETSKKMKGCAEELEKRKTQTDHLLFQFVPQQIAEALRQHRPIEAQTFNESTVLVSDLPDFLSICFNTSPTELITLLTDIFHRFDRLIHLYKASSNILTSNSSISLQVYKVVSLMDSYLVVGGVPTSRPDHTESILNLALGMILEARQVTVPNLDLPVRLRIGIMIGPVVAGVVSERKPRFCVLGDTVLTAKQIGTYCDAGKILVGNAVRTAVTKSLKSIFVFTSKGYVDTGVHKTLTHYLEKNEQKSAWDIIDREKAPEQSIDGYKELHSKEGAPQWEAITMEAQKQQEVIDAMKVGLTQKNKALARLLSIREWRFRSNRSNDSGISIDSNRENPQSSVCSIS >PPA08852 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:187572:188960:-1 gene:PPA08852 transcript:PPA08852 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGIESTAALTPEERRERRKARILASSEQRLANILSGPDGSEKRMAPAMDGGDFRSSTIAAPIADGDGRVKAPLLEVDDVPKFPSLVDMGVKYEPPRLFTYVRTARCKVVSLIALVFFILSTMDMISSVFLPWSILFVSYSIIEKKACVSPYPNHGYFVNGLMWAGFSEDVVVNLGFLIEARHLQLEKPYSFLLLERDIPPINMTVIVH >PPA08904 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig170:428400:428720:-1 gene:PPA08904 transcript:PPA08904 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDDHPRSRMEDESSSHEAPYLGAYANEETVRTTSEKQEVMEEEEVDEGEVTRMNDHSMKRLEDDLEMQEIFFCENQT >PPA08842 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:43348:48330:-1 gene:PPA08842 transcript:PPA08842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-trxr-1 MSKQVYKRYLRLVTQWPKDQHKNPSRDLAVYLGKQVEAAFAKDAPTLNASLCEKKLNSLEQILSNKWADAYPHNYKSGSFGLTLEQVEQLTSDTSRKQLGLAPKKGILKSLFSFLPISSNRSYSTVSSHSLLSSRMGAVVAVLRGCSTKEGEGEDKRLPDPGGGRTSSMYRASAMEERGANGKEAFKKATEAKVAVVFTGQKTEALPGITSILHEAGVTEMEEILVKESALPEIEKYTGLKGMPIVFIKSALLGHSINDARSFGWKLPPKAEIVHDWKILKQNVQDHIGSLNWGYRVALREKTVNYVNGYGVFTGTHEISATDKKGKVTKLTADRFLLATGLRPRYPEIPGAKEYCVTSDDLFSLPYSPGKTLCVGASYVSLECAGFLKGMGYDVSVMVRSILLRGFDQDIAERIRKQMADDGIKFVSSVPTRVEELEPRTKESAGRLKVYFNLKKEDGTEEETSEEFNTVLIAIGRDAVTADLGLNLPGVRMDKNGKIVGRRTEQSFTCPYVYAVGDVLSGCPELTPVAIHAGRALMRRLKGKMELTDYDAIPTTVFTPLEYGCCGLSEEAAIARFGKEDVIVYHNVFLPLEYTVPDRKEKEHCYLKLVCRASDHDRILGFHILCPSAGEVTQGFGIALKLNAKKEDFDTLIGIHPTVAENFTTLTLVKKEGDEELKATGC >PPA08895 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:391526:391923:1 gene:PPA08895 transcript:PPA08895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-exc-9 MPNCPRCTKPVYFAERVTSIGSDWHRPCLRCENEACKKTLAAGSHSEHDGKPYCNRCYGALFGPRGYGHGGVESHTFHSGHTGTV >PPA08891 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:343168:345240:-1 gene:PPA08891 transcript:PPA08891 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNERESPPTPPLNSLGLFEPYVHEGKKITEVARFNPVAVFLSGFIPPLIGSVAAIAIALIFHNDEISNYNWQCGRARLPSLSRIINLPVERTFWQLFLLFHVPIRIVELTTGFSRYKRLRNVNYPRVWLYEISRYAYFYLGLAELFFLAALSIVGERENIRYHVIFFYVFGLCGIGFFIANIFCHAHSLYYLNPYGRLSYYLKILFASLYILSVPILMASFFLYWKKCITWAYDVFAICEYTGVFLNICFHGCAFFDIRYKVTFSVRIVEKAEEDEEKNSVPPKKRCG >PPA08856 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:199864:202543:1 gene:PPA08856 transcript:PPA08856 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRAILGTNVVPGPICRGNPRRPSAAMNCAIDAQPLGRSFSNVTKQKYVLSRVRPSDSLDSISDEEINQEKRNIIRSHVRPNKNVDAFAEIRNPRIPKAPHDPSKPAIMKHRDLPSFPVSNHLKGGSVSRSLSTTDSSAFSSSDDYVPFNKIRRVMIKAEMQEEPVNRSVKNEHIAKTSMHCNTTSMQEMKKEVIEMNKEMEVEMPKTQIASIVPKKEIKEDMNDNSEGETNSESSDEDEEDEMEESMHSRLKMKKSRPAEELAMQRKTKERMKTEVKMEEEECEIITLD >PPA08843 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:48741:49709:-1 gene:PPA08843 transcript:PPA08843 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEILRWKMEKNEKSDITHDDKERMKELPNKKLPLLSCEETYAVSLSLVDILFAYAYDQRMNMGESSVESSWTYSTLSPSLSSLTRWKNGKEAVQAAARRALVYPLYRTWNLVEKVFDDLKEIVKRGRSSIVHSLCVIHSELAVGGDFRYLLNELIITDLLIWTQSVEGEVIERLEKEIREIKNEWRRGNGF >PPA08873 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig170:259452:260605:-1 gene:PPA08873 transcript:PPA08873 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLGALSSVNSLIGKAFKQPKGDFAERLNSRITVAILALCSVLLGSSHFWGDPITCWTPAQIHETMEYCYVHGTYFVPLDQELTHDETERRKNEINYYQWVPYILALQALLFYIPRFMWSSLANHSGYDLGGAIRYVDDFWNSVKNNDGAFKG >PPA08910 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:442631:444628:-1 gene:PPA08910 transcript:PPA08910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EGP8] MIYWYSSLHRTLPACYAVSTTLDPALQNNIPAYYLIKVIAHIVLFLRPYSLAEKILAMSQTVNEEKKEGDENDHKLFSKEELKKLFDRKSKSPPPEKPEGEKPKTSTTVSPWPQSSEMKSIEARSPPEHVRTAVSPSVAHQISDKPGGKGFRPNKGRNVMEDSPSPYQLDSSSSNESNGPNAPLDGRGVGAYDIEWEPKDRIVYNAPFDYAHLTYHIRMKNVSRFPTAFAIKSNAIPRVTASPCTGILKAGKSIYIAVTKMDQFDDALVMKDRIAFEYVRVPEDTPKFDFKLLQLSTLKLRKNIHIKYNP >PPA08908 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig170:439794:441290:-1 gene:PPA08908 transcript:PPA08908 gene_biotype:protein_coding transcript_biotype:protein_coding MERAIYAFTISLFSLLINVLLKINEYAINLSSSNWELKQAAKCCCGTGMFYDYPHKRCEVASHVGFMFGRLNDSWSHMIVYAFVYPCLLLSLIAPLAAVLLGMAKREKREGDSRFPNPLYQMIWLLAGCGWISVIAPLPFTLWRGNKVRRREKEEGKERKGDYHTYRVKSREEKGTRADDNFEYW >PPA08872 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig170:248369:248868:-1 gene:PPA08872 transcript:PPA08872 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFVCSSAGEYLVAVSREGVTSISLDTCPGLCSKEDVNVTMRMWRAPWVVVELCFKYRRKRSVLCSRSNSEWTNDKRIIAIIIIAS >PPA08844 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:50027:50667:-1 gene:PPA08844 transcript:PPA08844 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPTFSIEQDDNFLILTIEAKFANVGETEIEYSDTDFVFSSSPYFLRLHLPSPVIEDESGTAKYDSDKGVFTVTVPKKNKGEHFEGLDMITQLLRPQKASARPLVEEIDDEEENEKEGESDEEEEDELDTMNYWLIRLLTREMKRVY >PPA08862 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:217615:219262:-1 gene:PPA08862 transcript:PPA08862 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTRLLFAAANYRQEKDVEVLNNGQSSIMFKVFHQNKPVVREYVTVLHPEDGRSSQDRLTAVMAFAMSNSTDVKMLWEKHRKIANQPNSSSRKYIKIDFEDERRMSLARGGPKSAAPKTNISLLTSAYPAPPDEYPPPPPSLPRPSTKVSNSGGRDASITHPNSTKKEQAIRPPSIHPNDLRPMKDVPPPLERLEPSVRTSIPLPPSKPPPQRAPPSTEESKEDEEEAPPPPPIIKSKTLPVKAPPPRKLAPNPPSTEEEESDEVPPTPPVQTKSKIPPLKTNHSRRLPVVSPSQENESIEDETSNLKDVPSLPQKDIPSTTSSGRKKVTDEESTQQQSIVYILYPGGEDKKNKEDADEKDEESKQ >PPA08916 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:468368:471678:-1 gene:PPA08916 transcript:PPA08916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpn-1 MVADAAKKDKPEEKKGKNAKDEKKDEMKECANLISVLAMTSDEPIDCIIYRLKGTQEPVGDWGHEYVRHLAMELSEEWKKTADGTEAHKKTREQLLILARDIVVHHMKHNAEVEACDLLIEIERLDLLISFVDEADHQRVCLYLLRKKLPLLERIAFSCAPLTPDPDNIILIRTAKDLHLKFNKYLEAVRCAIMLNDTDEIKRIFKLTTDSLLQMQMAILLGRHQIFLDFEGAENGEKLGALNANTSLFEYFHSLGRELDIMEPKTPEGIYKSHLEASRPFGSTSAPDSARMNLAAAFVNGFVNCGFGVDKMMAEQEDANRWFYKNKEYGMFSAAASQGLVWRWDIDSGLAQCDRFLYVNDDFIKAGTLLAIGIISSGIQDPCDPASAILLDHVHSDRSVMRVGSVFGLGLAYANSKRETVIKKEEGGVIHELRKVITDTRTPSPITAEVRGLAALSLGLILVGTADHDVANEMVTSLMEKSEIELADPNMRFYALGVALIFLGTQEKAEVTVELVKCIAEPFGSMFATLVDVCAYAGTGNVLKIQGLLHLCSEHYETKEDKKSKHKTTDCLL >PPA08857 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig170:202727:203371:-1 gene:PPA08857 transcript:PPA08857 gene_biotype:protein_coding transcript_biotype:protein_coding MHLIIMRKKLDYFHLILIRNGLFLLQWYGDMACETTAGRIFTVIYSCIGIPIMLITLNDLGSIQDWQSVYEMRHLY >PPA08899 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:403925:407352:1 gene:PPA08899 transcript:PPA08899 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPTEVIFKIVLSIIRMPDSLANRRKRMGSLKLRRLTQAYLTPYDELNKDNSGQRIDEIYGITQDLILNYQSVTSGLFPRYSKDKSLGYVKDSIYCAMACWACSTAYKRMDDDRGRQTELRQSAVKTMRGILFAWMQQVDELNTFKNNNLAKHALHARFDLETGLTKVNPTDHGYGHLQMDLIALYLIAMVQMISAGAKIIFTHDEVCFIQNLVFYIERTYRTPDFGMWERGTRYNRGQPELHASSLGMVKAALEAINGFNLYGSSGTSASVVYVDIDGHDRNRTTFETILPRESNSKNTDAALLITVGWPAFATHNKNIFDKTVTKCVRKLEGKHGVRRFLRDGYLTEMEDNTRPFYEEHETHKFDSIESQFPLFFACIAITAKLKGDEKICDDYWTKLQGLLVPDSSVSGGWILPECYYVDEVNAEEEKKRGGTDLTPVYLSYHYCLICSLLEVSPSEFGHHLWSNAVYIILLLLRDNLIHSGDLDPINRRMPASQRPRNMNRHSAFQGSMEGADPVVQMAIISESTRLQSMLSTYGIPSQTPHEVEPIQIWPSARMVKVFECLGRSKRLGLSGRPSRPFGPLGTSKVFRVFGDTILCYPLLFEVKDFYLSSDPAVLIDNIKRDVEFVARRWKLDGRPTMCIVLREENVAGEYLDHILDLLVQLKNGYVNGVRVRLGRVHQLLNSACMEHVDFASSDDIDFDVSPLEETQESSDEELSENAFQFLF >PPA08896 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:392490:392853:1 gene:PPA08896 transcript:PPA08896 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKRSDDNQRGLNTQVWKRVGADKVPYPDDESIEEPKKEVKRMNIGNEKMKRREEIIPRMNEMKREKSEKKVEKKKGKEPKHRLASPIR >PPA08884 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig170:320969:321483:1 gene:PPA08884 transcript:PPA08884 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLVIYVKRVAILTLLWISCLLLLNVVDVPITGIQHFITYAPVYGVFLLGLHAALSVLLGVANFNDCSDARKELLEEIKEARADLTKRKVL >PPA08902 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:415506:416273:1 gene:PPA08902 transcript:PPA08902 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mbf-1 MSKFGNLQSDGDPNDVTIIRKHQPASRVLKSQADINAAQRRGANIETNKKVMAGGNRQHSAAKNTLRLDEETEELHHERVSLSLGQAMQKARQAKEWTQKDLSTAINEKPQVVSEYETGKAVPNQQILAKMERALGVKLRGKDIGSPLQAAPAKKK >PPA08863 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:219353:220069:-1 gene:PPA08863 transcript:PPA08863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EGK1] MKSTSPGVYRMRPIYFLLRPGESKIIKLSYKGCPDGKSPNLKDRFTVVMTYPPGSEANIKMMFTQKAYVEKIADCTHRKYIKVHFDGYDVPDKKAATPSKIAPPPAPSPAAATPAAPAASPPQPGMPGGFPGMPGMPGGGGIVYVIYQGDQQGGAGGAQQSENQDDDKDEKEGEEEEEEEEDEKDDKKKKK >PPA08894 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:386035:387354:-1 gene:PPA08894 transcript:PPA08894 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMGNGRPIDYFFKYRTFRYRIYDEDPQDRIQKRVKMHYEKQHTLQTVDFVKGMHKKWLGFSHANMTIMECLELLSGFLDESDPDVDEPNLLHAYQTAERLRVAFPDKPWMHLAGLVHDLGKVLSMWGEEQFAVTGDTYPVGCAPSETIVYGLKSFEHCTDLKDDRYNTEYGIYTANCGLEKLMMAWGHDEYMYQVLVNHRSTLPEEALYAIRFHSFYPYHSHDAYRHLSNEKDEEMMHAIKMLNQCDLYSKSDGELKMDELKPYYQSLIDEYCPGKIAW >PPA08885 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:322016:327337:-1 gene:PPA08885 transcript:PPA08885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rps-23 MKVYEINENSTEELSIRSCSEDSEEYRLKAIEEGLAALFFSCGCSYTKSKWEMKKLVRTVATIAPKKSFFSENAMTASWVSDVDNETRLVALSSAIVQLIREGYPAVLHIIKEFHLNSKQRTDQLKKEVENHQKIDHENIVKFLGYFKENDVDYIVMELCPEGSLRDYVRNNEMPFNIFMIMESVIEISLPETYSSIEFEIPEKMPNFEFRVTDRNNYGKEVDIYSLGCVLYFMLTGHEPRPGEKSRFPKLDELDDDAIQLITSLTDSNVASRMKLDKIRSSPFMQQKSVSTADRPTSRRSIERRISIDQPSIRERSKSRGAVKERNRSPSAPSRQICRSRSSSHVPPIQRTNWPLNFDRLATKKEEKDGYRLDIVNVREAKLTEYEGRKDAGRLVMTIYSDGMSQEILRWYFGMIVLLVKCSTRSINSTTHIFVFTKPFCKDGVIRLMENGDLRMKDSQGNYSVKANTNDVYAGDPKNPTGLVTNRMKRDQILKYRDLAKGTEELMERLGFEFPYISYDIGNDTKTITRNAREAMRESNQIPVSAGSIQHSPIIQLL >PPA08901 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:412322:414919:-1 gene:PPA08901 transcript:PPA08901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dhs-13 MAAAVATKFLTDRVAIVTASTKGIGFAVAKRLGLDGASVVVSSRKSKNVDEAVKALRMEGIEAAGLPCHVGIADDRKKLIDFTIERYGKLNILVSNAAVNPHYGDILSISDSQWDKMLQLNVRSALQLTQEAVPHLESSGTGAVVFVSSVAAYAPIQGLGAYSVMKAALLGINKALSQSLAHRGIRVNAIAPGIIQTDFSRALYQTEEARERALQSIPLNRLGQADECADVVSFLMALLELEI >PPA08864 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:221613:226117:1 gene:PPA08864 transcript:PPA08864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit gamma [Source:UniProtKB/TrEMBL;Acc:H3EGK2] MKREKKDEESGGNPYAKLDVTAVLQECRAFNETPINARKCSIILTKLFHLVQQGDNIGRTEATEAFFGVTKLWQSKDATLRRLVYLAVKVLSTISDDVIIVTSSLTKDMTGKEDAYRAPAIRALCLITDAAMLQTIERYMKQAIVDRNPAVSSAALVSSIHLMKKAGDVVRRWANEVQEAASAEGHMVQLHALALLYQIRSNDRLAVNKLVQKFAKGGMRSPFALCYLIRIAKQLIEEDDQADSAFFQFIDSCLRHKSEMVVYEAASAIVSLPNTSPSELAPAISVLQLFCSSPKPALRFAAVRTLNKVSVKHPQAVTSCNVDLEKLITDSNRSIATLAITTLLKTGAESSVERLMKQISSFVSEISDEFKIVVVEAIRALIARYPRKHTVMLPFLANMLRNDGGFEYKREIVDTLIAIVEENPDARLAGLAQLCEFIEDCEHPALATKVLHLLGREAPKTANPHAYIRFVYNRVILETTQVRAAAVSALAKIGAQCPQLRPSIEGLLKNCLLDSEDEVRDRATFYLSVLDMAPAIVNSLVLDTLQSSPAALERAAAEYVENEEAHSNKFDLKSVPVCSAPVAKKAKTLLAEEVAPAKEKKTAANATAAAAERLSQIEGLTAGLGPLFKSTLPIPLTDNVNEYTVQCSKHIFANHVILEFEVKNTLNDQLLEQVNVSLEDAEGEWKALRQRPIARLPYGEPSSIFVLLPFPQSGAVQGVLGATLNFKVKDVDPSTGEPESDDTYDDSYVLEEVELCVSDSVQPLGKASFGPAWNALEEEDTVEETFSLANVSSIHEAIKKVCGLLGLAPCERSDIVPEGRNRHVVMMSGVFRGGHEVLAKANVAIDPNDNSIGLNLLIRCSESIIAEILATAIV >PPA08882 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:313867:318342:-1 gene:PPA08882 transcript:PPA08882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acs-20 MIDIETGRKYTFDEYNSLINRYANLFQGMGLRSGDCVAIYMENSTDFIAAWMGMAKIGVVSAWINSNLKSDPLAHCITACNAKLILTTAGLEKNLASILSNDKISIDSESILVLGDSSQFRSLHRELEGFSREEPKTLDKIDFKSVLCFIYTSGTTGLPKAAVMKHFRYYSMVVGAAMAFGISENDRLYVAMPIYHTAAGIVGVGMASQYIGEICRYLLAQKPVPEEKVHRMRLMYGNGLRAEIWQPFVDRFRVGIGELYVNIDGKVGACGFLPISPLTKKLHPVDEVTGEILRRPDGLCIPCNPGETGAMVSTIRKNNPLLQFEGYLNKKETTKKILTDVFCRGDSCFLSGDILHLDRLGYVYFKDRTGDTYRWKGENVSTTEVEAVVHPIPSVADATVFGVTVPGHEGRAGMVAIVKKNQSMPDEEVISVVEDRLMGSLASYALPIFIRLCSSLDRTDDRRSVRIQICNVSLIHWMKKEIESTSSILI >PPA08861 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig170:214322:216842:-1 gene:PPA08861 transcript:PPA08861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative lipoyltransferase 2, mitochondrial [Source:UniProtKB/TrEMBL;Acc:H3EGJ9] MYMQRRTNVHVLVKRIKTIVYSNGVVASWHPAEPVPYEKTKPIPVTDSVVSSVLARDLSSLPRDLRNGPANVDLKEIFYTDKNEWYSRHFLLLLEHRPVYTVGIRSNTVSDEEESRLKRMGADFHRTSRGGLITFHGPGQLVAYPILALKGLRDSSGKALGVRRYVEQLEECLIRTANGLGVKGVDRVDGLPGVWVEGRRKLASLGVSVQHGVTGHGLALNCDMDLTWFDKVP >PPA08870 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:238301:243082:-1 gene:PPA08870 transcript:PPA08870 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEEEEEEWKIEDICGHIRKSELIKNGSALPYDEDDLRDSKCAFAFRVKWEGFDGNDTWQSFESLKNDKKKAKNYARLHGIEIVSNEQMRPESDNTDEGYLVDEICGHIRTTELLKEGRKEDFPFTTEELRESECQYAFRVKWVGYEGEDTWQSYESLNDERKRAKDYARLHSLELFPNKKQVFNKEEEIERVRAIEERFKNRESSGSRVPPSTSKENKQMHKDSTSMKKKHEKNLLITLSSEDEEDRKNNQNYEERKMKKIKDMKMAKTTSSSSSRVVVYPSVQGLKIHLHNEESAPKDKNNNKSKMDSQAYKMEMKRVESARLGKDSKESTESRKRYKRKEYSESDSDSDVSPIKKKRESNESLKSQHEKMEVRRSNERFKEERRYKSSDDKSPKERMIKTQKKKIQESSSDESDEEGMEWEKEKIINHVTVRQVRQGREIEGRERLNWKKIEKSQLPIIFRVSFIGDGWKKRFEWQTIEELDDLPTLDKYLHTAKLDLPKNYASMMKKTKKVVDDDDIFAAD >PPA08839 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:30015:31602:1 gene:PPA08839 transcript:PPA08839 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRCKLTTTTGFPPFFGSLSNPGNINGFPFPFFFLDGVLDAASSSVNLLPEIPEQQQRKLTSREQRDCQIIERLIRGYFIIDAVPKAIMHTLVNYVQNISIMSPRKRKYT >PPA08879 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:293099:295559:-1 gene:PPA08879 transcript:PPA08879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-alh-3 MHLKFPHDLFINGKFEPSSSGRTYDTINPTNEEVICKVAKGCKEDCDKAVAAAKKAFEQGEWSKISARERGKLMYKLADLMEAHKAELATLESLDSGAVYTLALKTHIGMSIDAWRYYAGWCDKIQGTTIPISDARPNKNLCITKKEPLGVVALITPWNYPLMMLSWKMAACLAAGNTVVHKPAQVTPLTALKFAELAVLAGIPAGVINIVTGSGGEIGEALTAHPDVRKIGFTGSTEIGAHVMASCAKSNIKKVSLELGGKSPLIVFADADMDKVSNEGNEEGAIDETTVLSSSQAVRQTCTAVFFNKGENCIAAGRIFVAKSIHDDFIKKLVEETKKIVIGDPLDRATGHGPQNHKAHLDKLIDYVKQGIEGGAKLEVGGKRADRRGLYFEPTILSHVKDDNYVAKEESFGPVMVVSDFDDDDIDRVIRRANGTEFGLAAGVFSKDVSKVLKVAEKIQAGTVFVNTYQKTDVAAPFGGFKQSGFGKDLGQDALNEYLQTKTITFEY >PPA08905 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:431794:432419:1 gene:PPA08905 transcript:PPA08905 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAEMYQPNCTDNKDGRKLACYSCMGRDMENCDWGLTCCKGSCFKLIDNDHELIVKGCTNGGEEDASMKVRELDVKLYWDKNAKVKGESYFCKGTEFCNSSPSSLFSLLFVPLIARLL >PPA08837 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:23870:26954:1 gene:PPA08837 transcript:PPA08837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-drp-1 MDSLIPVINKLQDVFSAIGTREHEIQLPQIVVLGSQSAGKSSVLEAIVGRDFLPRGTGIVTRRPLLLQLIHVPLDDKEARSTEGTFLSGDWAKFDHTKTVFTDFTLVRKEIEDETERLTGGNKGISGVPIVLKIFSDKVVNLSLVDLPGITKVPVGDQPANIEEQIREMLIGYITNPNVIILAVTPANQDFATSEPLKMARDVDSDGRRTLAVLTKLDLMDQGTDAMEVLTGRVIPVKLGIIGVVNRSQADILKNKTIEETLKDEQSFLQRKYPTLASRNGTCYLAKTLNRLLMQHIRDCLPSLKHRVSMLQSQCQSLLASYGEPVEDRNRTLLQIITRFATAYTSTIEGTSKYIETGELCGGARICYIFHDSFGRTLEQIDPLGKLTQMDILTAIRNATGPRPALFVPEVSFELLVKRQIRRLEEPSLRCVELVHEEMQRIVQHCGLNTQQEMLRFPRLYDRINEVVSSVLKSRLKPTYQLVENLVAIELAYINTKHPEFTDANLVNLLKDQISNDQLNDRESRNRQNNRTNSTSNGLERSSSAHRVSCPFSLHLLIPSLLLKVALPSGDMSMSVPLPQNGVESGNKMLNYFFGGNKTSPDDACELKRDFISIIMQRKER >PPA08860 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:212036:213185:1 gene:PPA08860 transcript:PPA08860 gene_biotype:protein_coding transcript_biotype:protein_coding MKVALCLLLVPALASAGFFDDVSGLADGVGNFFGDNFKGVQSLVSGTQKDLEGNVGRVMDLLKGIKQKMPLLEAIANDSQRKTLRQVDGFLQQVTSFSNSVKSGGEQQFNQNKSKWTDMAKSLFETGGLNDIVKLIASQSATTSTCFIAAAVLPVVYLLR >PPA08914 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:457278:458486:1 gene:PPA08914 transcript:PPA08914 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pcn-1 description:Proliferating cell nuclear antigen [Source:UniProtKB/TrEMBL;Acc:H3EGQ2] MFEAKLANAALLKKIVESIKELVTDAPFDCSESSMCLQAMDSSHVALVSLKLEVGLFDTYRCDRSFNLGMSLANMSKALKCANNDDTCMIKHDDNEGDSVTFTFCDTKRDKTQDVTVKMMDIDSEHLGIPEQDYSVVISMPSSEFQKTCKDLSMFSDSLNITATKSGVTFSGKGDTGSSVVQYSNSSSADDEKEAINITVKEPVNVNFSIKYMNQFTKASSISDRVTLSLCNEVPVVVEYGIEDNGHLRFYLAPKIDDDDNNMD >PPA08898 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:397022:401768:1 gene:PPA08898 transcript:PPA08898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dcap-2 MDSARLASAVIFGLLHVISIGMSTPAAAPDHQKKKHRGARRRNAAASNPPKVETQSTNPPQTGRASQASNLLAQLAAAQGSTIPVSQPSTSRVPSIPKAKEINNKHQKQPRCDNRGKSVGARMSAKHPPPPAPSSQRNRTTSQGTYKQSDTTTYRGPKVPEDVLDIISFRFLMNVPDSERLDETRICFQIELAHWFYIDFYVNQDERADCVNIGMRDFVKVMFAHNDFLKQLTYRSDEILDNWRSYKSTVPTYGGILMDSSLNHILLVQGFYASKNSWGFPKGKVNQMEIPRMCAIREVLEETGFDFGEHSDGNEFKIQKMVNDTMIRLYIVKDVPMDYKFEPQTRCEIRKMKWFNIWDLPLDRNDQVAASNGFHPKNFYGVVPFVQDIQNYVKREEKKREKEGKNKKGVPLNDGKKHSAFTKVEKKSPTDRLWMGDVSMGSNSETIHFEGVETPTTYETLFKPLGETGGAPPGSNLAMILAHSSAGSTPRNASTSKASVAHPVAIRPCDHPVYEAPVKEDKCKVASNLIANVWSPAPTSSSHSATHIENTLNKLLFNAPIECVSLGMDRSKTPIQRDSSVDGDEEVKECVPTLSQEPSLPPLGDLCISVEGVYPTGLVDLPSTSSQTHSHSSSTFRRHSVSSSILSPAIKNRCAFELVPAWKSFKLYIICLS >PPA08917 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:472164:475831:1 gene:PPA08917 transcript:PPA08917 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLIFTLGLLAALALSQDVKITDAERTVDISSQLAKVNVALTVQNNGKSALSDVLFYITTDEAAHLAHIAATIKKKDVKVQPQSAVQGFAVYKVVLPKKIEAGASETINVEYVLVQSLVPFPEQITQEETQHVVYTGNAHISSAYPVEKEKTTVIFGSGKLQSFATVAPSKQLGEKVVYGPYSNTAAKAISEIRVHYENVSPFIVATTVERLIEISHWGNIAVEEKIQIVHKGAKLTGSFSRLDYQIDRRGKKQPCVKEFKTILPAAARDIYYRDVIGNISSSSLKVRAESVEVDVKPRFPLFGGWKTNYIMGYNLPSYEYLFADGDKFVLKMRLLDHIYDGMVVEKLTTKIVLPEKASNVKLSTPYTVNRRPDEKLATYLDTEGRKVIVIEKNSLVDAHIQPFTLEYQWSRLYIWREPLMATGFFLCLFLAVIVYVRFDFEITKDSASEALLGVQAKVEEVEKIVNERIAIHKRLIEAVSTFKGDKEEETLKNTRAKIETERAELKKKMSGIVGQMKTLLPAASEKLNEMQQIDSNN >PPA08906 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:435233:435762:1 gene:PPA08906 transcript:PPA08906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-glb-19 MDWHELTKEEKGLLLKAWSVIEPVKQNAACDIYEMIFNQVSFLPSHPIPPSINDHSLIGDTAN >PPA08881 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:307309:312175:-1 gene:PPA08881 transcript:PPA08881 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYEAERDRLREHLGIEWIPHGLDKTGFLENKNIRHDSDLNCNFTHPEVCKWRNAGESEGMDSRDFHLFVKEDYTEWPALQIHPGPSKIDVGDRMILVGDRTREEQHALIYSASIPCQVAPGNLTFTYWAYNNARIEVVLLETIKPKKGEDFKPYRKFLHENPYVDCGTVPMNTNCHAEIPARETPFHIGFRAYDISNTQGSFIILDNILYSAQLCKVSIDLAKNFESKPMVTSAHGEFIHKSTDLMCVHFDLSCRWRSGGKANPMWRRSVVDLSDEFVMEVTGTKISPKGGYAVLYIEQDTSVPYDILRSDAIECQAATGNTFTYRFWATKDVILEACTLSMDSDSVIECHRASSGVSPAPLHVTFTQTTKRFYIAIRIVSLNSNVDNAIVIDDLTYQSTLCHEAVSALDLGNTFVTTPILSVLLGRHVGSAKELWCDFSKRASDCSWGHVPAEIEDGNGETPMEWSIGNGPLDNEKFYSLTGQTLMPNQEFAVARFEQPGSALLLSEVILCVSKTASLSFHLWTTGVATLRICLVEENSSNLLDCQPVSEGPVTVELPRVERPFKMALRADCDGQGMVMIDHLQVNGDICRVPKQYSAKSFNPGALIRPDANVCRLLSCSFKRGHPCLYDNSQLEKSAQFEVTNGVLVATLNATRPIAILESTPFQLNTGDVALFVCNDSAGKELENCFRVEGTGGDDYVELLPSDTKVYLLGRLIKSKSQGTVQIKKLTLTDPEDVEAC >PPA08877 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:284826:290992:1 gene:PPA08877 transcript:PPA08877 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSASTGEELIREGLHLVKRALDYASIASMRTVLIEVTRTDFKGNYEDLEAYIKNLLLRHKQDASPSVIRSMAIFVANKNANFNKITNKEIEFPNPMENENIDAEQNVDEYCTTSQEWLCDTVEELAVPITVTDVNIILDLIERKKDRACLKALYRFVSPLAEADAMMRVAIERQIMDGYDSSSNVSIDIFEFWSTRMETMLECLLKEYPHFFDKWKSQEIVYRFSQNRVISPPLRCLHDEDVYLQFRTNSCSKEDSFYSERISYLNMDSQYDRSSSGLYIGGILGDRYSPRIVLSIGVWLSATVIFLFGYVTEVNSFYHSSVYFTLWVSGGLFQSVAWPTEICVMGNWFGRGSRGLIMGVWSGCASVGNIIGTLITSGVIYAGYEYAFAVNSIILFLFGFVIFFCLKVHPREIGLSEPWEWESEPNDVIEESTNSRPIGFWRAWRLPGVIPYSFAYACLKMVTYGFFFWLPYYLSSHFKWPEDDAGTLSTFFDDRLSSRTPVVVGMLVIASFCLGVYSIVPGDFLTNAIMLTIVGFFVAGPANMISSSVSADLGKARELRGNTEALSTVTGIVDGTGSVGAAIGMLAIPAIQRHISWNAVFYGFIIMVICTTGCLLPTLYREWKDYRRSALGIDPEEMEEEDAERQVNGQK >PPA08871 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig170:245505:247098:-1 gene:PPA08871 transcript:PPA08871 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEDAPSPLRHFPSLPFSTPSPSVSHSPSSIDGDASLNHLFPHQPSTSSEVRFRSEGSSAEKRFRSSHELSVPSSTSVEEILTLTDDEDESSIDQSIESRRDNLLETGITCKNEEYCAEQCEEDSTPVCRNGECICNKCAKHICDFEKRVASKKGQENRYGLSRMSPRSYSGLLRRAPISGPISLSVDLFPSHNLDVKICVHTLETCQSQRVEARAWNRVTAKIKMKSTDKVKKTMSTTSLHFAIFSFSSTTKQ >PPA08834 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:8:1808:1 gene:PPA08834 transcript:PPA08834 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEDMEDSVKMELMVQDLPAPELALAQDLDLARDLALTLALAQDLARDLVRDLVTEDSVDLMVRKDMEDSVKMELMVQDLPAPALALAQDLDLARDLALALALAQDLARDLVRDLVTEDSVDLMVREDMEDSVKMELLVQDLPAQMELWLRIYPARDLAPALALAQDLALDMVRDLVTEDSVDLMVREEMEDSEEMELMVQDLPAPALALAQDLALDMVPDLSKEGSGSNYGQTGEGGNEGSYVPSGSNGTDGGSNNGGNSVGDGWNNGSQRGLENGKGEDMRQDTNGKKGQSKSKNDSQYASNHGLSDGKGRNEGGFKSDGGDGHYTSSGTVSVSGEGKGKKGDTGIGEGGRTTVGGYNNSWTGRDNGNNGGNGGSTGSSGGYPGIEEEDHIKSTGETGPNEVYPSTTDNEWIGNLGPSPTYINTNAQTRVEESAYGSSPSTGSSDGYSQSLPEIVPAAKGAVEYDSPVRRRVKKHKDVTNNKTVFLH >PPA08889 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:335252:336507:-1 gene:PPA08889 transcript:PPA08889 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSLSRLRLLSRRFGCLRHSPLVSYRVVSAPLPSAHPFSTFVDDEAERKSKIEQERKESEDKRKVRRAYALGGCLMVMWFSTHAILLYKRRNEFRLLNDKIPPIEWEDFKREYVEKGLVKTVVFQPHFEVGNVYLHSPQEQAMKKKIVDLLHAAPDKFSRPPDVRFILEASAKDAKKLFDEAILKAKVDKAEFELDEFPSYRELSFILASSIFAVAAVSLVK >PPA08874 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:273318:274467:1 gene:PPA08874 transcript:PPA08874 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYVNREEKLMNIQQVKKEEVINSEGENPMDNDEIIPSQHKTKELSMKKENKKVKTEESEEISDGRKTTTSSKSTPPINKPKEEMLSDDLRFCDVSDWEENKGQEGTSRTSDKVICDRKFLVDKVATKKRYRIKVESEDESDPMNEKEAKKSQHKIINPNKKKGMKRKKESP >PPA08835 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:4223:5034:1 gene:PPA08835 transcript:PPA08835 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAEDHTLVLLHLIQLEQEDHMLLPLHSPLSLLVLLLEVDINNLLYLFLLMDSLVHPLEVDHMPLPVDPLEEELEEDIRLDLLLLLEDNRLEAAPVLSNQHQGGSYNVGPSAPITGGQQIGGGSYVAPVGPAAVITTVKEEITAPVVVAPVAPAYGEKAVINNGPQTISKQTQTEVITDEGYGAKEEEYEEVEHVKTAPAPAPELTYEEL >PPA08883 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig170:319334:320614:-1 gene:PPA08883 transcript:PPA08883 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPMGIRTARKLRNHRRDQRWNDKDYKKAHLGTRWKANPFGGASHAKGIVLEKVGVEAKQPNSAIRKCVRVQLIKNGKKITAFVPNDGCLNFIEENDEVLVAGFGRSGHAVGDIPGVRFKIVKVANTSLIALFKGKKERPRS >PPA08867 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig170:234212:234719:1 gene:PPA08867 transcript:PPA08867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EGK5] MAQSVPPGDIATQPGTKIVFNAPYDDKHTYHIKVTNSSARRIGWAFKTTNMKRLGVDPSAGVLDPKENALIAVSCDAFAYGQEDTNNDRVTIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA08903 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:416605:423610:-1 gene:PPA08903 transcript:PPA08903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ddb-1 MELALAENTVAELRRKDKEMTKKFEKEMALRDKRKKTSTVMPCLPNRKVVFSKATKQAQALRLRLLHRYLSELVGPVEGEHRLEEKNLIVLRWRKAHAPKPSLEIGRQLLPTEEEEFCRKFGITGDTKKEMKKWLGQKHIDLFGPSERAIAVRKWRMGQRAEKRRGETVTRMMDIDERILDEVGNDTDDDELIDDVGDEMSMGYVVSAKKATSVLFSASGSITGPNELNLILAKTNRLETIDSIVIVTVKQEMAIMCWDMETGKMIVVRAYDHAIKVIQWDESSSELRAFLVRVEDMPIFDIAFLHPTANDDSLRLAYIYEDSRGRHLKTTTLSVEDRELKNAHITNNIESEATFIIPIPAPYGGCVVLGRETVIYTRHDNKYVSVAPPHMDHSHFTAFAPIDKSFSRVLITDDSGNLFLLVLDLNVDHGLVKDMRLEFLGETSIATSVTYLDNSVVYVGSRFGDSQLIRLSPQHNELGTYINLLDSYPNIGPIRDMVVMESDGLSHIVTCSGGYKDGSLRIIRNGIGIEECAHVDLQGVKALFSLSVNSSPLDDYLVISLAHETHILEICGEELEDTTIEGLETEEATLFCGTIEKGLILQVTPKSIRLSDTISPPLLWTPPSNLPPIGLASVNVKTKQMVVATGAHLFYFEIKQKQIVLIKQTEMEEEISALNISPVVSSGKSTAVAVALWKEMSVVILSLPDLSPSSREILSGDVVIRSIEMVRMDENVYVLCAMGDGTLFYILINPHTLCLGERKKATLGTQPTSLHPFISNGVTNIFACSDRPAVIYSSAGKIVFSNVNLKLVNQVCTLNSETFRDCLVLSDGETLIIGRIDDIQKLHMRCVPLGESPARIAHQPQTGTIAIITHRDDTCNRVSCSRLAATTSTSKPSTSKDEDGEIFSVIVMDANTFEILHAHEFKTTEQALSITSTLLGEDPTPYYVVGSCYVHPEEPEPKLGRLLVFECLRNDQRTALRLVHEKEIKGAPNSLSTLSGKLVACVNSSVRLFEWSMERELKLECSHFNYVNAVTLKTKGDLILVGDLMRSLCLVSYKSMESSIEETARNCSPKWITACEIIHADSFLGAEATYSLFNVEKDTTTVGGEDKQRLISSPFHSTTQLIVLSLQENGSFYLGELVNVFRRGQLVSACVESVTIPISHSIIYGTVEGSIGIVLQLDKPFFQFFNAVEKAVASRVYNCLHNEHKDYRSVSNEKTTVPKMGFIDGDLVESVLDLPRSEALEILQGIQVPGETECKNPEEVLKLIEEMSRMH >PPA08913 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:452223:455319:1 gene:PPA08913 transcript:PPA08913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cdh-5 MKESIVPYSIHRHQTFSFFTFPPESTTQSYSIPTSSQESSYETSTEAERLAPVFSPPSIAVAIEENKADLQIATVSAAYPDGNGGPVTYILMEGDPTTFKVDSYTGAVRLIRPLDAESEKSLSLIVSTAESSSLLINPVLAHNASVTVVIGDANDWIPAFEHSQYSFSSDTQPGSIIGQTTAFDEDRDAPNNAIVYSIVGGGDGLFSMNGQNGLITLARPLNGMAGQKISLRVEARDGGEPSQSTTAAVLITVEENDKMITIIDDGKEAEKGAFIRFKHKNFSSSVSESLRPPHLVAVLQVESSIQSPFITCSILTGNFKGAFSVTPNGGNCELRTQMELDREEIERHILNISVSSSTGLSDWSIVHATDADIGNSSMVRYSLDALSLHSKYFSISPQGEISTRQSMSQLLHSTKIDKFDLKILACDTPSIGSPLCSRAPATIVVVKDENRIRMATSGMKPAQLAPHHVDIIRSLRSHSGRCSLFLLDRMVELPPSIDGTTKSNLTWIALDPIARTLCGKEELKPLFEPTSLVVTQGKLRPWFSVESISSEADVQKRESSLSSLEWKASSAILILVSVFIALGALIATCSVCYFWTRYKRSQNHNLPHPYPAHAYPPTKLGTIFLPNPSMNMNMDNKMYETQMLEMPISEEDLTLKGRQQSGSSGESRERERVSYRSYGRDLKNYEQGDLALEETMYAPNASTRYDIHSK >PPA08878 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig170:291449:292362:-1 gene:PPA08878 transcript:PPA08878 gene_biotype:protein_coding transcript_biotype:protein_coding MHMTSQLAVVQYPAPSPCWRVSDWLKAIEEKLNEEDTVIVAQSAGAHPVLNATIKHPSKVKGIFLVSPGFDLEFAYVDKVIPGALARLQKGEKLIHPSSRNGEEMLVDLEGFVQYRETCVSTREGDLPIHCPVTVVHGTNDDEEIKDQY >PPA08918 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:476685:478015:1 gene:PPA08918 transcript:PPA08918 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSLIDGQSIEELFETKQGITYNDFNILPGYIDFAVTSVSLDTALTRNITLKAPLVSSPMDTVTEAEMAIAMALHGGMGIVHANFPSKEDQAKEVNKVKRYKQGFITHPQCITTDSTVADLMDIKAKKGFTDPNYLV >PPA08911 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig170:446479:447910:1 gene:PPA08911 transcript:PPA08911 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIDSSKKRSPEMEFHALRFMQVMESMVNSLDRPTSISPLLDNLGRVHGRLALSRGFKPHHWGVFIECTLFHFRRVLSQHRQFNQLITLDKTIIAWRTLLRCIIKSMKD >PPA08876 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig170:280905:281799:-1 gene:PPA08876 transcript:PPA08876 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRIQKELQDLGRDPPAQCSAGPVGDDLFHWQATIMGPPESPYQGGVFFLTIHFPTDYPFKPPKVAFTTRIYHPNINSNGSICLDILRSQWSPALTISKVLLSICSLLCDPNPDDPLVPEIARIYKTDRDRYNQLAREWTQKYAM >PPA08836 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig170:22132:23272:-1 gene:PPA08836 transcript:PPA08836 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNSGQLPMLIDSADEDSDSPSGMMTRSRLRRAPIARRRVFQSELTPSMGLTTPPRRIANKRRKPLESTPIASTPQLLKDRGVLPTNTRLQITVKEVHMVAEWKWKDVSDDTCGICRSAFEACCVNCKMPGDDCPLVKGICKHAFHMHCIYKWTEAQNQARPQCPLCRQEWKY >PPA08838 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:27068:29931:-1 gene:PPA08838 transcript:PPA08838 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKISKKKSSVKSGDKKSTNKTEEESDLSESDRVSAPELPKAHTKKQTASTSISVRSDKSVTGGGIAPISRTPQLGARTPKSKRMAPTKISTEPVVIQGGIIDRPCVPMFILIDGPVGHPIIVPLDARDENGLIPEGSPPPFKTAPKEDIKGYMVVEDGLLHFKPLKECPQPPPCSTSGTLTKFLSNSNIFIADEGPKLPDEKEINTAPSATIKEKLGYRLAISGHITILPDKGTCKFTRVCATTAQPFCPDNGAMGVLIKDRSNSRLFWVPTENRYVGPLLSQNIKIPVKPTKEMSILGNIYKASGFPDASGIITFESPSNKLDNLIGFIMSSATGIPMYASKPEGPPIGSVSTRPTTTPSPSPSIVPTPVVLAAQSPPPSTVVESIQPPSRDEISPPPIVSLPSPSPPSSTPSPAPTSPSPAVSPPVVDTPATPSAPTGPSLGWSLLSTLGSVLTTTATVISTTAGAVTEAAAGTPLLLLSSPLSPSQPPPPQSPPPVSASPPPSFTHPSSPVVVPVPTPTKTAPAPKAVKTVTRSRVKTAPSVPPTNEAADEEAAIAAEEAAAAAAAAVPPPQVADASSNGVRDSNSNGVRDSNSTGAVVADEKSGETFSDALSDGGTGIGEQMASIYRNLLSSQNELPPEPQSDPREEESKNEEKMERKAKSSISEESRRKAETTKEYKVR >PPA08875 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig170:274896:279189:-1 gene:PPA08875 transcript:PPA08875 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNRKWTMDGSSGITQYFHFHDLKKFRYHYWNCMPVLLTDLSLIDQPVEIVDEESRALLSHLDQSQQSVFVYCNGVILPLTHLPSLQSDDYEIVVADPSTTPSVAGWLCRNVILAVLWTTKRNKVRLISLRGGQPSWRFNIGVSSPVSSRPSKVVGWERNEKNEQKPSSVDLSKQFHPHKLMEQAVDLNVSLIKWRLVPQLETTKFSQLKCLLLGAGTLGCNVARSLIGWGVRTITFVDNGIVSYSNPVRQSLSEFDDAQNGRKKADVAASALRRIFPSIDANAIDMTIPMPGHTVDENAVSSIDSSVSLLHSLIASHDAIFLLLDSREARWLPTLIASTIGKLCFSVALGFDNYVVIRHGVTVDGVIEKKEDVTSLRGLVNASQLGCYFCSDVTAPGNSMAERTLDQQCTVARPGLSQIASGLAVELLASVVQHSDPLRAPAWNGESIHTGEEETGLLGAAPHQIRGYVSRFNSMTPCVRRFEKCIACGPAMREAFRERISLVSLISLDIKLFTSMSCPFCSLSFMQKAHLITHMGRKHRNTACV >PPA08887 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:331106:331349:1 gene:PPA08887 transcript:PPA08887 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLQLVCIDEDHRVKSNQLIITLKNTLSRIDRYEKALASLIVKNDEELALSQSITSD >PPA08909 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:441814:442247:1 gene:PPA08909 transcript:PPA08909 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKVSYDKLEMERLMIEKRTIREKEEEGKEEGRKDLFLENSKEEVKSRKNLRKGELQVMPGGKMKKQKRLKILKKEKKKGKKKKRKKDEDT >PPA08868 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig170:235086:235776:1 gene:PPA08868 transcript:PPA08868 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYPQQQQGYPQGYPQQGYQPGGGAQPNVMYVHQQPQVVVVDDCHHHHHEEDAARYFTPGLSINP >PPA08858 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:206801:208678:-1 gene:PPA08858 transcript:PPA08858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mppb-1 MSSRWYGKKIITNVGREVLRSSRLLSASAAPSLSSPKTTVTTLPGGMRVATENTNMPTATIGVFIDAGSRYENEKNNGTAHFLEHMAFKGTSRRSRYDLELEVENMGAHLNAYTSREQTVYYAKCFASDIERSTDILSDILIHSRLHKTDVDAERSVILREAEEVAQNLQEVVFDELHLAAFDGTPLSYTILGPDKNIKSITRNDLADYIKTHYKSNRMVIAASGGVDHAQVVELASKYFGQLPSSSGEEYVIKGQYVPCQKVMVKEEMERVSGAICVEGVSWTHQDNLAMMVANTILGEFDRSRGLGLNSPSRMQLSMAEIEGVLSFQAFNTCYKASPLWFVFFVNIYTTARFR >PPA08900 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:408263:410578:1 gene:PPA08900 transcript:PPA08900 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIVASVKRADIYDLSPTVIKDVVRALMTREHWHLLSNLQTRRLNGSLNRVPSDFYDRVWKILERSSGGIVIAGQLLPQQPTLSDMTQFELNFSYKLEEMMSTIVHPEYRQLIVELLCIVATVLERNPEVSFHDKFDCEDVIKKAFNIYANEEGIEERSDLSPFYQLENVNMKTSTATYLTKAIVELILNSRHISKEFDIHSKKKRADSPKELDPDNACNPAIIAHTLP >PPA08880 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:299818:306168:-1 gene:PPA08880 transcript:PPA08880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tkt-1 MSKYTREQLEDASNRMRISAIEMTCASNSGHPTSSTSAAEILSTLFFSEMRYSVEQPKHKSADRFVLSKGHACPILYAAWHEAGLLSRDQILSLRKVDSDIEGHPTPRLNFIDVATGSLGQGLSCAAGMAYTGKYIDKASYRVYCLLGDGESAEGSVWEAASFASTYNLDNLVAIVDVNRLGQSQATALGHKTEVYAARFAAFGFNAIVVDGHDIDALRAAYEKARNHKGQPTAIIAKTLKGKGIEGVEDGDNWHGKPVPADKIAAIRSRLHVADGEHLKPQPIVATRAAYGTALAKLGQANSRVIGLDGDTKNSTFSEKLLKVRPEQYIECFIAEQNLVGVAVGAQCRDRVVTFTSTFAAFFARASDQLRMAAVSFANLKCAGSHVGVSIGEDGPSQMALEDLAMFRSFPGSTVFYPTDAVSAERATEMAANLPGIVFIRTGRPANAVLYKNDEPFVVGKGKVLRESATDSILLIGAGVTLYECLKAADELAKEGGSYRGLTITGWSSPFWSSRCPR >PPA08847 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:155382:156747:-1 gene:PPA08847 transcript:PPA08847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-141 MIIIIEYEDIHTKDTKEYVYILYLIEFVAYFRTDGGGHSHGHSHGTTEVNQLEVYTEKPIPVWDIESSCSPSSSSTSVGPPPLNQVSPLLAAPPVFCGLRGPALIILFGDGVHNFIDGVAIGASFASSFGTGVATSIAVLCHELPHELGDMAVLLETGLSMSRALLLNLLSALTAYAGLFVGFYAVSIDSAKTWLLALTAGMFLYVAWIDMLSHLKHEAAERLDPWWLTCLLQFAGLFFGTSIMFALGWFEHDLFSDNH >PPA08886 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig170:327595:327954:-1 gene:PPA08886 transcript:PPA08886 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRQSVTAQIQRTARRFSAALVPQLTKLDTLPILDKIRGVEIRINNFSTYATAQQQYILHNSVQFAPIEFDIFSLVKKI >PPA08915 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:466967:467763:1 gene:PPA08915 transcript:PPA08915 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKEMPADVYQRAGFLSNKRATDIASQLQIVLESLLPHGLQCRLSVVDQFYSPGNALIRVEWNGIVQRLNQTKASWQWGMAEKACSKYIRALEDIFDLDDSPRFQLVLSTVKQMKVHDMLDNIPDSAPFHQLSITLTTLVHRLAESI >PPA08866 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:230247:233495:1 gene:PPA08866 transcript:PPA08866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pps-1 MHHMAPPPLSPVSENAHPFFESNPRKRFRMPSYSTGSTNVTMQEHTVGRDQRADVIGRHEGFRGCTIWFTGLSGAGKTTVSFALEKALTQMGIPCYGLDGDNVRHGLCKNLGFSKDDRAENIRRVAEVAKLFADAGMVTLASFISPFRVDRDSAREIHKTSGLPFFEVYVDAPLEVCESRDPKDLYKKARKGQVQGMTGIDSAYEPPVAADLVLHSGKDSAEECVNNVLEFLVREGVVPMKALSSLAPLVRQLIVSPSIRSTLEEELRGIEYEVELDLVELQWLQVLAEGWASPLYGFMRERQYLQALHFGQMLDLKRKCIFPGEEEVEDEEGEDSFPMDGPLNQSVPIVLTITSQEKEQVMRNGHTVPAIALSYEGKRIAILRKGEIFEHRRKERAARQFGSIDERHPGVAQVMNSGEFCLGGDIEVVDRISFNDGLDKFRFTPMELRRILAEKKADAIFVFQLRNPIHNGHALLMRETRAKLLETYRNPILLLHPLGGWTKDDDVPLKVRIRQHEAVIDEGLLGAEWTVLSIFPSPMMYAGPTEVQWHARARLAAGVHTYIVGRDPAGIADPASESGDALYEVTHGAKVLSMAPGLSHLNVVPFRVAAYDKKVGQMAMFDPSRKEDFDFISGTKMRGLARNGQTPPDGFMAPKAWEILAAHYREIANQ >PPA08869 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig170:236525:237567:1 gene:PPA08869 transcript:PPA08869 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPHIDSVPIVDYFKNLFQYEVEFADDDKSYRCMRECFEVSDCTGDDCILLSYNDTPSMVFLQPLFFHSTLHLQATQLFIWCLVSAFSFLLAIISTDTFLGLFGQGHHDDLFLKIFVVIIVKSLILILAAFLFWRFTVFRTTRIYLEKKMDGEAAPSTEEPTPLEKLMTPV >PPA08848 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:159504:165547:1 gene:PPA08848 transcript:PPA08848 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRANATEAERRSVARKANHSKKKYNDGTEPDKTTVDTMDMSDRQLLNALRALGATVGPVNDGTRAVYQRRLGKLMKEKGLTNIDELEHEVEQEEPKNRKGKKNENVKQKTTSQKKKEKIELNDVMEENEDEIEEDEKVKEPIEIKIDKLKIDETQKGRKTRSKKVSFAQMDEKEKKTKDKDENDKTEEIPVQVKKRDPSVQIVDVRKNEIKDKRKEIRRTGLINRTIVIEEDEEEDWEKETSVVRKSTMIFDRQEVRESYLAPNDGEEEENERVVVDDVKDEEEEGEGERETTAVENSTVIFERQEIVEREEIDEVRGTFIILRDGEEEEHEIVEDDEEEEGEREIRGVEKSTVRFASEEVGDNYPIPSDREEEDENEREMEYGEEEDEMGEDSPQHLPMKSRPRFSVESHAESILRRLEKKVELRSQLNRDMSWSEEDDDEKEEDDDDEYDDRRKTIDIFNRYIDDSVHMDVSNRSRDDTLKLRQIDKRNSEAIALMELGKTFTVKEVENEKDNRLDNDTFEISRNQEERKSTRLTLNIVRSPSRSPIRNRTYVLSHSPSRINSTRKSSIGSTPTRSRPPKPMPRRIKPEIECDGLCTSSSIAPSQLKPPIPAPRRIPPSVIGETRKSRFDGRNMVNEKNGYGISPASLFNTQPRLGERKAQIESESTPIDRENITKLKSLREQMSQKKKEGQPVFELDTEARLIIEDAPHLKLFFY >PPA08907 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:437736:438601:-1 gene:PPA08907 transcript:PPA08907 gene_biotype:protein_coding transcript_biotype:protein_coding MCVALGVLRFFEHDATVYQFCMDTEPGPLWASRCMVFLPLADFIVQFLIPGLLLAFLHVGFIREPVIDMGALTRHNRFGRTPRDQTRILITTVTVSFLVSQVPTAFITTLSLTVNHFANNRALMLLAVFTANASALLTAYYVIVKDDDVDVGDSEVHHLIPFLVMFRTVVT >PPA08890 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:339306:342252:1 gene:PPA08890 transcript:PPA08890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vha-7 MERQIYEASPPIQLIPIDAVHIEVPNASDINQLETKIKDLETRIRSSIESEERILKTLTNMVEQKHVILKVEQYLNEQHMDSQAIQSIEEGERDDGPLTGITGTFSFDQSITSHTLSLLESEEIEMSGMDSSSVPLWFSTGVIPVDKKMSFEKCLWRACRRTAFVRITEIEEDFVDPLTWTFDPLQSISTRKCVFIVFYRGDSLKKIVNNVCEGYGASQSECPKTSRGRQHYLKQLEPAIEDMTLVVRRTREDRHGTLANCAEFVHDWMKSVHMQKGIYHALNKCALDTNGFLSAECWIPTRSIPKVRSAIDRCSSYGGHLPKPVLNEIESKAIPPTYNVTNKYTKVFQDIVDAYGVANYREVNPAPYIIISFPFLFALMFGDSAHAFLLLSASVALISMEKTIQKMRIRDEIFTTFFNGRYIMLLMGIFSLYTGFIYNDVFGKAFNLFGSNWRDPFNSSHYEKWGRYIVKHKRMPVAILDPSEAYVDGGNGPYLFGLDPVWNVADNRLSFINSMKMKVAVIFGIGQMTFGVILSYKNFRFFGSRIDILTSFIPQLTFLSSIFVYLCGQIIVKWLFFSVEPGNVLGYDYPGSNCAPSLLIGLINMFMMKSRREGYTNENGTTVPNCYLSTWYPGQSSVEITLLLTALLCIPIMLLVSLLNHS >PPA08888 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig170:333375:334782:-1 gene:PPA08888 transcript:PPA08888 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLTTPLMHGMCEGAKIEIDGMPYMGPQHGFTIELLTAHGSILHFDVRFQHHTIVMNNFHDGHWHCEERFALPFCIGSHFHLKLKNHPGHFAIHVNGMHVAHFHHRGNPHHITAFHIRGDVAVHKICYHNFSHPVVCTPAPVVMAPPPVVVAPPVVVPPPVYVPPPPVMVATAPAVVVVDDHHHHHHGGAAAVAGVAAGAIVGTAVLGAALRHGHRRHW >PPA08912 pep:known supercontig:P_pacificus-5.0:Ppa_Contig170:450477:451479:1 gene:PPA08912 transcript:PPA08912 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATYQYVLTGLGATVFAVDQRGFVYLNVNSIDSDPPNPQTYQLTVQAREVDTVPIRSSAPVTITIHIVDANDNSPQFENPILTAETAARGGMRSIVKVEATDKDDGLFGSITYAITQVDGGADTHLFFYDQPTHTLMTKEDLEPGRSYQVVVTATDGGGLTAQSIIIVVVLPDTVVETSTSISLSSHQSTDEETIQTIVTDLPHSSSPNSLVVQLGDDRPLYDLHYSIEGGNEEGKFTIDPST >PPA08892 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig170:346583:347135:-1 gene:PPA08892 transcript:PPA08892 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIQEFGQKRPLEQINKVGWGTLFLMLLMRYAKDNFRKKSVVFAKFLQKIFMGIFIGLLYLQTDKQDQDGVNNLKGVLFYFCSELTYSTVFAIQTYMPSDYPLLAREYHDGVYPVSAYFCAKVHLLYILSLTNSFFR >PPA08919 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1703:619:1177:-1 gene:PPA08919 transcript:PPA08919 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGIRDVDITYRRLLALKKAHRKDASVAREVFKLLGRSPSKGTLLTSSIDHPLIGRFVRRWKEEVSEDGRVSSVKKRGETPLVSIQFPSGQLTIPVSTLESSLDEGSFDLLDDLL >PPA08920 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1704:325:521:1 gene:PPA08920 transcript:PPA08920 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDSEEKDEENEGGRGGDQGSVFLDDSGKYFYHRPLESCNEDLSMFLLG >PPA08921 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1705:61:596:1 gene:PPA08921 transcript:PPA08921 gene_biotype:protein_coding transcript_biotype:protein_coding DDPMDEQTKDSSERAYEEFEKFRRTINNNWNRDITILKSCGEGSPVERESETGKPSTDEAVRGGDNIDRETK >PPA08923 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1707:1272:1460:-1 gene:PPA08923 transcript:PPA08923 gene_biotype:protein_coding transcript_biotype:protein_coding YLTSGGFRRDGADSRRETVDRLLAAAVERKYKEMEVRNKDKHGEDDGRITRSVGVAHATDVQ >PPA08952 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig171:135441:136350:1 gene:PPA08952 transcript:PPA08952 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSCHSTIITLHSFCFRLYILRRKDVKTELPVAITTVVFYSVFEDTPSALLKELKLEPFITTCRNDPKNAPSIYSGKDVRFIIPVAFVIISTPIVSSINFVIRRKLLQEILIMDVKHRHEHARIAKSKARPPIPFYQGSIPGHRLRPTKAPERGIGKLCRLSSIKRSVFPIAPLA >PPA08974 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:398489:400265:1 gene:PPA08974 transcript:PPA08974 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSRRINGISKKALRTDEPVTKKTKKKAIDTYFKYNEDSNTFANDGAVANTPIKIDIKAYINASKKLPPIKARSAWGGAVPDRCRDDDKPSELTELFPELNDMQSALIDKLWATKSKSQQIIRGDLTFSDLVLLSGTTTAKCVGNQLTGATISAYLSLMATSVKKFLKIAIVPWKVVEKLNQRGAHAANSLLGKVDLLGHDIVAIPIKYRAGYQGEREQWMLMWCVVSEMTIHHINSDCRRIYDEWPDIVATLTKTVENILKANAVSCGRVYRQWTASMDNSTANLVPLRRLITFRLLKNALY >PPA08937 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig171:63730:65186:1 gene:PPA08937 transcript:PPA08937 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRLSDELSTMTVADGQQADSTSNSDQIVHEITFIDHTSYFNYVSSVTDTPLLEKIKRAYCTLCLLRRACEINGFNHQEMSAHRRTGNMPLRPAKYSDIMPYANIVFVAFSNFQLLQCLDGAYTSLKNFSDDSEFMVSYTTFVNGESLDGFFTGCNEEFEREEVVKNFRQNFEVIKSSKLQFRNVKPTIDEFIAIYGLALWNGYTTNMSVETARIVTRSRKAILLELQCVYARSGATRYASRMAQVFELLAI >PPA08943 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:83061:83643:-1 gene:PPA08943 transcript:PPA08943 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTKAAAKRQRQIDALLHELDSEGLRDDAIIVAGAFNAQLHETRLLNSGIVDGTSKN >PPA08971 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:362782:365962:-1 gene:PPA08971 transcript:PPA08971 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLKLFLPSRFSSSTHPAIRPQRDNQENDPRAGAQETIWKVICSPRADAVVKGDAARGRTRQSKESSGLLNSFLEQIPNLFSRKRKHNDVDEDEQVGGKDEDCSSRIGDQYGSEEESEQIRARPAKRVRGRRGGRRSGGRVAIAIEEDNDEEKWLKRDSSSGQQWDKSMESLHRLRAEVKAEPVYFGDYYTKYAKEAEELLRKAKGNLRREPYQEAVLAVGDGAFDDVNFTANPTKPPTMHESMVRSILRFIEIGALCEACTNPFFLPEELLQEVAPNCTCPVPAAPIFTIPSRMAPGKTEEECYSEDWDRLCCVEYRKAREGLRAQLIHELGSEEAFNREHPLYGMKMEDREDKFGRAVHLLQLTLHAKRRSSKQAPVFIFAWRLKEGEVDLPEVWLEDGEEIVSDEVKKIIGKRGKLNHLQCKCIDGCKARCKCSLGFDDWGINKNGLLTMKRNGLGLAGTG >PPA08963 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig171:326703:328025:-1 gene:PPA08963 transcript:PPA08963 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFTGISWSSRASTNLTDLLISLHRVTAVLALHKHAKSTISSSMTAKHKKQSRMVLTKVAVTVCSLEILFLAYSLVSTYITIPFESNVAIYVFLSTIIVGSPSYSLLAFSSTLQIRIVERLRKLKPIRVDCSCQLIIFVNF >PPA08961 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig171:309389:309576:-1 gene:PPA08961 transcript:PPA08961 gene_biotype:protein_coding transcript_biotype:protein_coding MEEECAGYWEGLMGWILLLIDRWPEVINVMLSIFLIVFVTFNPTLRSTYKH >PPA08964 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig171:328862:330633:1 gene:PPA08964 transcript:PPA08964 gene_biotype:protein_coding transcript_biotype:protein_coding MRYYGREGVRPSAWKEADCFKHGIQRTGASHGPPTVETRVAANGDIFSLPAIPIVPRRAPLATHCVITHVRRDIRNG >PPA08941 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:79717:79942:-1 gene:PPA08941 transcript:PPA08941 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIAIPLTFFEKADEKKRYLSFWARNESSTSNDREMDRITRVSTKTPLTPLIDKEI >PPA08953 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:178003:179585:-1 gene:PPA08953 transcript:PPA08953 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRILLGIVIASSLAYSNKQMSEHAEKLLAEGLMARDDNGVPTTACIRTDDKEANSVELCPVTDGSNCCMTPIEVGPQPIGCYSVWNGTNECCALCSVQKIIFETFG >PPA08957 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:262703:263955:1 gene:PPA08957 transcript:PPA08957 gene_biotype:protein_coding transcript_biotype:protein_coding MRARRAVEQSRAQPDLRGAQAGAEDLNPGFEDLHDGHHRSPRSTLRIATLDRPSTTRASWISTKDTEDLKPGFEDLHDGQYGSPRSTLRIATLGRPSTTRAPRISTKDTEDLKPNLKLQAPHACTLNAAGARGIACPVDTSTAFPSSRGH >PPA08949 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:111300:112263:1 gene:PPA08949 transcript:PPA08949 gene_biotype:protein_coding transcript_biotype:protein_coding MVMNRTIPIDNSYVYIANGPCTLVSSSVCFISYVLMTMGASMTIYIIMVSFIVRLLIVRSSNPPHYIIIALIVMLSLPIPIVSSAFRHKIMESLQQNGEFLSDKTRRMHALFVKVTLNTI >PPA08972 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig171:369038:370078:-1 gene:PPA08972 transcript:PPA08972 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGREVNMFYCARPKVVQGTSVVSSLFSTIVSSPFLLALSLILLVVLIAFTLASSVFGCCMCCRRRPSKADSIEKAERGKLGA >PPA08973 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:394904:395250:1 gene:PPA08973 transcript:PPA08973 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAEVEKNLELLATPLRFLMESRVKDANAKEMNDEVKTKEDEALHQMENALEELKILHLHNKEAILVRSSTP >PPA08967 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:346560:350288:1 gene:PPA08967 transcript:PPA08967 gene_biotype:protein_coding transcript_biotype:protein_coding MADIIVAVAQQSTYSIGHLPIDVFFSRHFVIFPIVLLCAGLGVDTFLWDNYQKIAWMSMALFVSRVLFILRFSAQALINENAPIAVFPYFCPLFNSAMFLPYLVTLFYPICLSLNHFLTSKGKKTVSEIGWIACSTIMAVLLFTAHMYWGEPVSRLNCRSILQNQNINTGSAIVDTAIHLGAIFMCTRTLASGVSGGTFNVVFSLMIFSTVSLPMVVIPSIGVTLDPLSPWTTFIVVANDLREHNGRRERLGDDCLAERRLVSKGSKYLTP >PPA08934 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig171:48198:50740:-1 gene:PPA08934 transcript:PPA08934 gene_biotype:protein_coding transcript_biotype:protein_coding MVARLLLLMIYYTAAVAAKSRLIHLQIIIRHADRAPMMEFTSPESAKLFPRGFGEVTNEGLQRAARQGDEFRLRYSQLGLLMRNQKDIYIRSSPIRRTLMSAFAFAIGFAGKEIPPIHTTDSLDDEKVLIVHHSIENRCKASLAEVENSAVRLDPALESCAKGGARSIQFRSLSIRAGVGAEFDVDRARAVIGPLMSIVSKNVADAIISNHPISGEANPPVRMYYTHDHVILAAAQALGIIDVFEEKSPAFSSAIAIEIWSSIDGVEVKVVLKDGVHSPFKVVIAYRLQEFDKLLAPYTSLDPTSVQWDKEATKAYTYLEFDREPVPEIQDSTMIVRARQMTEKATLLYKSANA >PPA08962 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:318078:325087:1 gene:PPA08962 transcript:PPA08962 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-38 MEHVTHLVEEVQAAYDARDPSILPWWANICIGMIIATVFNHLVVDYRLRGALWWRLYQGFYKVAAKFPIYVKGMVQSELAKAKVDIRKTVHDEDTAEGWTLAIPQKGLTPEEILDKQIKYSTKQANGRVDLKKPDYLNGDVSGAVFNTERSEDEIYLIKEMFGRLAFSNPLWPKIFPDVRKMETEVVSMTIELLHGDKNVCGTMTAGGSMSILQAVIACRNKAFDNGVNWPEMILPASAHAAFFKAAEMFRVKTVVIKVEDTNFVVDPKRVKRAINSNTCLIVASVPNYPYGTVDDVEELGKIALKYNVPLHVDACCGGFLVPFFDQEDVPPFDFRVPGVTSISADTHKYGLAPKGSSVILYSTAELRNYGFYANVDWSGGIYASPTLEGEQRELRLKKRIGHRVYMGVNAVQRQGKTHAVSKLRQIRHQRTEGEVSVNLNLYSRIAKVDGVELMGHADICIVAWRSTLKEVSDYKTMDLIEKDAGITLSPLQYPVGVHLMVTPLHGTRGFVDKLINSLKKAIATLKANPSMKTEGAAAMYGMTLQVPDRRLVAGIAGMYLSELYNVPAVVANSDKNNKEMKKKDENANETKKALLSTDDN >PPA08927 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:18883:20652:-1 gene:PPA08927 transcript:PPA08927 gene_biotype:protein_coding transcript_biotype:protein_coding MPVIFNLLESSEPVPIILNVLEAVGPVPVILNVLEAVGPVPVILNVLEAAGPVPVIWNILAVTEPVPVISSILEAAEPVPVISNILEAAGPVPILFDFRVPGRSE >PPA08940 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig171:76419:77922:1 gene:PPA08940 transcript:PPA08940 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMVALDEDDWLLVRIVYFFLSSIAIIALVSNSLLLYTSIVTKNLRSTCNILIGCCALFDMCLNIGELVQFPQVLFQFYIDSYVCSAIQLISELGLSAGAGCVVFLGLDRLISFVFIVHLTGIAIYCSYTPYLMYKYFIHQPVICTVTSVFHGDAAQLWSYAVNSANLLSLIVYILGWLAIRRSQTAGTRFALEYLCGIPVNGALAMKTLLYYSISTEYRIAIRRVIGKNNVTSIGSSDMGSSSQ >PPA08947 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:101089:103187:1 gene:PPA08947 transcript:PPA08947 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTKKALYVLLGFELGDVDELVEEVSIPERDKYFTVLEGMAKDNSNKGYFIGDSLTWCDLLIADFVETLLTILISIFHA >PPA08926 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:16631:18297:-1 gene:PPA08926 transcript:PPA08926 gene_biotype:protein_coding transcript_biotype:protein_coding MHHISLAVLLALHSPLFVQGNDFKVVGPITQHFQDWLAKNGYTDDFVRADHAVTQGSYGGKTDDGQKVTKTPVIFIHGNSDAALRQGFGHNDMIGWENTIDYFQKKGYTSAELYATSWGDTNSAYASSRTHDCATTMRLRRFLEAVIAYTGADQVSLVTHSMGVTLGRKIVKGGKIGAEDGACDVGIPIRNVDVFVGLAGANYGLCNCEGEGVMSPTCNKQDGLWPGDSCGMNVLDCGLTVLPFPCDAPIYSKFLTDLNNDFQQEAKRVISAWSDSDDLIMYGTITWGKSTCLIPRSSDKKTKELTYDDQYNWVNRIN >PPA08958 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:269639:275230:-1 gene:PPA08958 transcript:PPA08958 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLGNAGKKSDKGKGSEDDAKECQKEREADAPTASSGSDSTASDPSARTPSSPTISTAESELATACSPGRWPIVRQLDIAVDSEGVTRTLVEWKDANFAPKKRSAYQKREVPTRKEKCLPEKRSAYQKRQRGDPQTFSWRSSVLGLRSSVSFFEILGGSVEIGLEEDRGDPQTSSESFVEILGGSVEIGLEEEVPRYQGTRSRGIPGQRGDPQRRAPVGILGVRIEEIGLRSAWKEEYEESEGGVEILPSEEKSGEEEERAAGARERRRTTGRKRGSGGHTREALETLITSQLLITPYRQYHPDIVALLNSLGIHVDIIRQAAVDINYKPGRGSYRAPKKPLKPLTDAELLAARMKRNARIFDKQWKEILLLLATLAFDVQLGVARAVELQTPDTPRILLLVSAPAIWYGLEAANPRPALKAPKCAQKGAPKGTQKGAHKGMKEEDHESMKGKRSIRKKTPQPSSGNMGPVTPPLPRRHIAQGTSGRGMESMKGGKKPAEKKDKCCKGDDQKMGLVTPPLPRRHIAQGTSGRGMESMKGGKKPAEKKDKCCKGDDQKMGPVTPPLPRRHIAQGTSGRGMESMKGGKKPAEKKDKCCKGDDEKMGLVTPPLPRRHIAQGTSGRGMESMKGGKKPAEKKDKCCKGDDEKPTVRDRRRHPIGTSPDGGQSGARGRDKEDKCCKEGDNKGDRAKKDEKSTKNTKGEKDKDNKGHKKQ >PPA08979 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:426225:426997:1 gene:PPA08979 transcript:PPA08979 gene_biotype:protein_coding transcript_biotype:protein_coding MELKKMLGKSAEQPPPPLDTYSAVKMAINDAAAYSEKAKRAVWVGRPEESTPELTLASDQKAIEELCAELNDGSLSQALTDGKISIIDTRKSKLTTRDQFLSLLRSKRPSTVTRVPGNFVRRHLCPNELQLERKARVDAYAMNCKIGGLAYGIRDEKLIKFNGIPSPLPAGYETRPPRGYSDLALLNLSNPSINTSLFLQSNGMNMTLNESNSNPSSDILSTLTPMQSKPTVNTTARGSGTGAV >PPA08944 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:86807:87964:1 gene:PPA08944 transcript:PPA08944 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRKILNCLVCCVPITHAHMGVDSCRACGVFYRRTLKLKYRLECTCGGKDITRKDKIVSCRKCRFEKMRELVNRATAGEITCVVDGEFDYKQGIEITSGTPEISMQELQENDIHLDTNESNENNSAADDLPSFIDHNSYFDYEPSCSDTPLLNKMKSAYSTMCLVRKSCEFNGLHHLEMHAQLRNEKMVCLKR >PPA08975 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig171:401197:403724:-1 gene:PPA08975 transcript:PPA08975 gene_biotype:protein_coding transcript_biotype:protein_coding MASDWVVGLSIAEFVFYILAMISTISAVVPNAYARPDKRDSADYNSRRTNLPHRRHSAATVPGPQQQYRLLHLVMSSFALAFWNQNISVHYVQIGTFLLTTPIFIVLYRKNRQWRSTKLSRTLAMKCEYVHNMDGIRSVLPFLFVINVASIARVVLVLCLGNDAHHGQDNAGLISHVYGLIVSLESLFAPLPILLNNYYVKKSQQLQIRRIVNRDVQAERDISFINLGTQWGMGKLQTPSISQFSVSSAGTAETSM >PPA08970 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:359924:361096:-1 gene:PPA08970 transcript:PPA08970 gene_biotype:protein_coding transcript_biotype:protein_coding MAIINLSHDKGWSLRTGEDLVRGEYMVAFAAEVLPSSKLEGRQMSMMFDLPIVNAKDETTKFTLVGDRKSNEFRSANHSCTPNALAVATFSRQTGRYLPRFALFARRNMRAYESTTIDYYDHKLPADMFAFDCGCGAYGCRCVEYEHHIDE >PPA08960 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig171:304356:305815:1 gene:PPA08960 transcript:PPA08960 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKEESVHRPLIGSSRFSTFQRMQNTAGWILRFARRMMGEKKSASAWLQPHFQESEMKILTAWEREIAGRLLISEANKEYPPQEDVIRNFGLENDGETWRAYGRLMNSPQVRESGCPYFVDSRSGVAKLIAHKAHSDRYHAGEKDTLAHLRRTYWIPKADRLVKKTVKECIQCRRDRAKTFELPRMPSLPSRRVNPSRPFRFVGLDYMGPLTMSAARGRKKVWIALWTCFTTRAVHLEIGDLSTASFIMAMRRFIARHGRPDFILSDNGTTFVGAEKATTEAWRSMIHDEEVISYTAREAIEWSWITPRAPWKGGMYERMVAMVKKAFWKATRLRILSHVELHTVITEIEALINTRPLVQINEDGRVLRPIDFLSPICKPGIPRQQLPTLKSRLSELKELWTTTTYLLDKFWEEWQEHYIPSHREIHRMDHRHHRSRHSRAPRRGEVVLVIDNCAIRRAAS >PPA08965 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:332550:338883:-1 gene:PPA08965 transcript:PPA08965 gene_biotype:protein_coding transcript_biotype:protein_coding MPDMALFVDGRGSAARPPLIWNHEHPRSIVKTEDSLVVIGETKLFVFDNFSAGRMRQSLDLPSHPCASGLLADSVVIFTRSADADVFCIRQLSWSQKANDLLDKGELESALYVVRNNEIKSDEDLITYQQVHLRVGFEKISKKEEEEGISLLLEGHVSPFDVNTHFTAIFDKIDSPADQISDVILVEKLISEVLEKPWSEDQTKDWATLLTIARMRLIESPIDLIEVLGNREGYDEQSVNDYVDGRKLVNAQLVLRTVSHPLSEVLTLDWLDASLHPLVDRVLLVTLMNGIDSSGIEIVRKWTDYLKENNESEELLLELVQKRATWFDDQFVLYLFKGRTNELEVLLSLYLSDQHTRADLKCRLVQLVIDRLSPTHEELPADENARLRKLLIEIILSEKEAHVEELLTGNHLTVERVVAANRADPEKAIQGVIESVEFPGALQAIQQIIHHFSSSNSSLSTHFLHQLKRKCECDPSAAASLRLPEVMRTVIEASPSLIKSGAIKYIPDNSALDTFAPLIFRELQSVNDGSVASRIKKAFSEKARESLVDPSSKSSFRVTDSSRCGVCSKRFDPLNAIHYLPSGKIVHSSCHPHNNQDELAPNVIM >PPA08976 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig171:407942:411301:1 gene:PPA08976 transcript:PPA08976 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDNVAWLDPSMMYEFEVPHDLAGLVIGREGNELKMLRFLSGAIVYLRDYEKKHDTHQICVVRGSRNEINKCMNLLRMRLPYERFPDLSLLPLNSDLEMGGFSFHDQECIQIATVTLPEDEFFHAKVTNVMQNGSVFIVLPFTISYLAQAKMMELLDRVYNSLMPIPGFEMDQLERILCVVCADSQWVRASICQILDETDEDLQAINVGNHNDLVDMRIVIDEVEYSISQCLIDAGYAIEIEAEEETLSSEVMMEWMKSFSDFFEERFEDIMSCMQTKCHSRNGLSFF >PPA08946 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:89669:90195:-1 gene:PPA08946 transcript:PPA08946 gene_biotype:protein_coding transcript_biotype:protein_coding MYTGDEPVQPQSSPFPSQAQQRHVLMRTTEPDMDPAKDSVAIRSMDESAIPEDDDARPIYEMIEFPPSTEHRYALERIHRYLFPAETEISGVQCRRCEMMRRRLELRREMLNVRRTSNERSDGRVRRMLRRAMKTLRELIPSRQRYNLLAAGSQSTTSSLA >PPA08945 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:88385:88869:1 gene:PPA08945 transcript:PPA08945 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYTTFVSHDTLDIFFKEVENKDEAKETFRMNMERTIKSTKFQFEKVNPSKEEYKHS >PPA08928 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig171:23992:25078:1 gene:PPA08928 transcript:PPA08928 gene_biotype:protein_coding transcript_biotype:protein_coding MATTYCTYQQVQTGGGPANGEIWAHAHWNLPEPADIVTFSKKMLTGGFFFADHLKVHEGFRIYNTWMGDPTKLLLLEQALKVYKKDGLLERAKVNGQEFQKKLAAFQKEHPSLVRNARGLGFFAAVDFENTEIRDKFVHQALQFGLHCGGCGERSLRIRPSLVFDKKHLDLTFELLEKTAKALETSSSS >PPA08956 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:260197:262193:-1 gene:PPA08956 transcript:PPA08956 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIMSSSEGVFIYVRWMDVTHRWVRVSKIFDDKHRPGNVSGLSMLLHTLVYMEPTRSFTPAILAFVRSVGIVLESLRREVHEELRAEAQGVCTVREQSGRRSLTPAEILQIKLKSDQNMYRRFWKDFERDSPIPPLPYHYQLDLARPSCRNPGFVVVVQQTGRRDRAATPGLRGSHAHSIPRPKHFGDFKLYGMLEPDASPVAKRVTRSQTRAAAAAAAATTSAKGGNGMGGTKKTTTASGTANSGKSSQKAGGTSMKSAKRKPQKGSKKTNGKSSNGPSHSNRKAGK >PPA08950 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:115406:117817:1 gene:PPA08950 transcript:PPA08950 gene_biotype:protein_coding transcript_biotype:protein_coding MCAISCISMAAPPQPQRLLSDTIRSNKGKVLVYDGDGFEYRSYHIRKDGIELFRCNKGGCKGKAKHENGQVTRYEDHNGHVPPDHSNEIRFVRKAARHDRASGVPTDQDQHLFTPILYAFLTGKSTRPYECVFQWLSAQGVPSPLTITSDFERAITSAIRSVYPMSLGLSKLYASPSKKYFKGIMALSMIDINDVHDYYEELKAELLSSVSRALSQKVQDFIVYIETTYVGVLLANGGRTQPMFPVALWNARNRILNGQQMGNSCVESYNARLKAMCQS >PPA08925 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig171:14221:15244:1 gene:PPA08925 transcript:PPA08925 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLLIWYSFCIEMRILLLNKIAKFFVLYIFTQLVYSLISTGMMLIYLIVTTVTIINTCYPSVLTISDAEELTSLKQNFAIAFVFFLLWREEQMQMSLYSTSSTASSVLL >PPA08935 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:52852:53246:-1 gene:PPA08935 transcript:PPA08935 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSSPIPPIITTTPTINPATPTTHTKMCGLFTAAKRCMTKDAAARCVMVDSQPHCKYKPYNREEEEYLTLQLM >PPA08966 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig171:343506:344712:-1 gene:PPA08966 transcript:PPA08966 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQDVSLFSLSREGQLGDILVPFEYVLSVTTRASTLFALTSNGRILTLNEEFKVTGEAKLPPQKKQVSDYARIDYVDISGVLIVLFNNVLYFFRIEDKSADFLYSKSDVHRFDLSTIGTQQFFPEHDIVVVTSRNQVTVRKFSNKFD >PPA08955 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:255820:257054:-1 gene:PPA08955 transcript:PPA08955 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFDSHYESFHDKRTDLKSKICSIDKAITSLISISQHDEITSLATATSTSIVEAQFIVNQYDLAVSSLREAINDITDEDKKNEELQHFTQQLEEDIFDGGHQIKARDEAMLATLSEPAKRAYDELKAMGTQQWIYPMYITMRDKYLATLHKSVRDEILNFGQ >PPA08936 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:58880:60017:-1 gene:PPA08936 transcript:PPA08936 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNGYSFYISRVYRSNGAIDSTGVTNIKNAWSASLSHVDAYIFPCHSSSCPSATQQAVDAVNAVKNGGTKIGMMWIDVEIYNWPSNQSNNRQFILDMANKLVALGYKVGIYSNNNNWQSIVGTDWNGVSKYPLWWANYNGHADLNNFKAFGGWSKPTIHQARFWGEKFSRLSYAFSPYTGDVKGPCSVGNFDQNYEA >PPA08948 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:105403:105814:1 gene:PPA08948 transcript:PPA08948 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCRHLHGQLGLGERCGSVVDGSVRTPTLVFLNFNNFKPFAGWSAPTIHQYISDVKGACSVGNVNMNWEK >PPA08959 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:300881:301370:-1 gene:PPA08959 transcript:PPA08959 gene_biotype:protein_coding transcript_biotype:protein_coding MDILPKDVNMIEESTQLKKTGEESNPQQLPSVSGTQTRANAEKERKDALELKDRLKEEYDEITKAYKECKEKVKGIGSMRGHLTDLSDCFKKAKIQADKSWESILLVVNKALESDVNKGKEREFLIRLELNSLEEVEKAMTENREKVAVMRQME >PPA08929 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:27266:29041:-1 gene:PPA08929 transcript:PPA08929 gene_biotype:protein_coding transcript_biotype:protein_coding MDESHVVNMVYAHSKDSENEQFSSSLELDGFNVGERAEYQCVARNRFGADYSKRTVVEVHEVPILTRNPDHVAMLVGGNAKFNCAAKGFPTPTIQWTKDDGDSFPAASEKRLHLGVDAIYIVAVKLEDSGAYTCTAENAAGTAHASAILRVYDNSFLPPILSRHSVDMGATTVLDCTCAVQTGQRIEWTKNGKRLFNDNEAVRKNKIGKKSLSADTQTLTLREARYGAKELEIRAVRVKNPSFRSAHSPTSQSDEKVDATDGGSYECELLASTHLLARRTIEVVVNGTSSQQEVEEHRSAQALRFTSISTRPSEYAQYFVMAAIPIVLITTVVVCTWCVCRRRRRRLGMIALREEALMSASQIKSSHK >PPA08954 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:248789:252254:1 gene:PPA08954 transcript:PPA08954 gene_biotype:protein_coding transcript_biotype:protein_coding MIRARASAFSGHNEKGGMQDKFFDQEVELSIGPGGTKLTEDICHIPEDGAEPGERVDRPRPPTTASSDSPAPPGYGWNTRTVPTKDLEKAYKNVIKKDPNEFEFNKFNLCIYCRMNSRKRKCSICPRADEITRKFPANSRESAQVQWIERLGMSKEESKERLDQYRTRIEQGADIRWCSDHFASSIGLPKDASLGTPPPFLSPIRCPSPLRLDSSLTFSRDDLNNDIDNNLPAMFRRQSSIRTEGGDTTVQRLIDCAAVLGLSLPSERTMRRTIRDIGCPAIDNTQVRNVSKAAAGPAGIAVSIDGQYDSPGFNAQNCKVTVIDAKEKLAIAGMENQSEWRQRVR >PPA08939 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:73108:73465:1 gene:PPA08939 transcript:PPA08939 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVALIVASLIGLTAGKDGWVTQIQCNPPPCKPWATMKPMPPMPPMEPMKPLEPLPPMKPLPPLEPLPPMKPMEPFQWQWQSLNQAKGQK >PPA08978 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig171:424434:426170:-1 gene:PPA08978 transcript:PPA08978 gene_biotype:protein_coding transcript_biotype:protein_coding MERFQKLIILAAASGEDNVGDEIGLGGIVEEEKGEEKSPLVKNNDGYWWDVT >PPA08924 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:9790:11535:-1 gene:PPA08924 transcript:PPA08924 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAPYEPTTMSLIPLEIIIKNRIIMELPNFDYCTYKLWPFQDLVYAIEFIKALPVYQLMHADSKVDLDTREYSLLKMIIVCNPMLDNLHPSDATALRHERERCCTTLFSYVLARRDVGQAPGYYARILAIVEIASRLNTWQKSQHILAMAMGLYKNLNVQCEVIIGWH >PPA08951 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:127657:129185:1 gene:PPA08951 transcript:PPA08951 gene_biotype:protein_coding transcript_biotype:protein_coding MNIHFLYRFWSIRYPHLIALFSNKKFIAAIVFWGIMACVVWYLLCYYVLTGEVDEIGTHILRNEYAKRTGKFKLDGWLVIDYWTYGEFQPRPFTAMVIFDVIIFASFTMAITLGALTYYFIRTAYKLSVQSRNLQRMFFIAVCAQIPYYCVINFAFFDIGLSFVDMAWMRMTACFPAWDAVIIIGLIRDYRDGFLSLFRKKKVTTGATTVWKTVSTVQNPSDVSQVSQASYRVNIFLFTQSWLCV >PPA08931 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig171:36476:37098:1 gene:PPA08931 transcript:PPA08931 gene_biotype:protein_coding transcript_biotype:protein_coding MESECEVAVDLYYSIQLHAMQWIHLICSISSIALCIYTAKKYVRKTLFESSTKELIIALYAMCTFYAVFFAVLQFLHLLSRYTASAPCDSQIPKFLPFTQEISVFFFKINKKS >PPA08930 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:34140:35472:-1 gene:PPA08930 transcript:PPA08930 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVMELPQDWKALTPFYEVMNDTIIPQLIYAHLFMCFIGQQMKNLTTRHVILLHCLIPALYAWYEQKHELAFRILNLATSFSGKAGATTVHGTAASFGGAVRRALRPFHVWRSELHILASSFVLFCALCTLTAYFVVSLYTLYSNMSLYFPVRKHFYAFTFFLSLANPWCLIISSASMRSAVLRRTLPSCCARLPLFQSHYSQSNTPIHGSATVSTLWNPHVFTSHP >PPA08969 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:355276:358831:1 gene:PPA08969 transcript:PPA08969 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAKELGVDYRIEIRRKPGGPRDDGTWDGLIDDLNRTAIDVAVAIIAVTKETSSVVDFSPPFLYGGLSLMTKITDQRLSISTLIFLRYNTKFAEKPINGRIRSIILFTFLCVYVISTFCIITLFVRHISAVLAFNAQVKPAQSIQSLEELMAQDEVKYGMQRGGDYDTHRYFKNSNDPLHKKMLETMEKNLRLWDHQDHVQGESSFVQYYKHGIDKARKSDGKFAFIIDDVVNRYQTTRKPCDMRKLGERIATYHYAVATKKGSPLSEKIAKAIEAIRQRGDIDKIHHHWFVETSECVVEAKKLSDAEQKVLYCIYVMCFGIVSAIVASIGALYLERKWANRPEKLSDEALPMTSQCEMDPSTVEIA >PPA08933 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig171:45789:47549:1 gene:PPA08933 transcript:PPA08933 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVIPPGSLAKISIRSRRVLIVHHSIENRCKNCNLCYDRVSRLSNKNRK >PPA08932 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:40954:43798:-1 gene:PPA08932 transcript:PPA08932 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVAFFLVSVVVASLADHDPINKHGVNEIEKKMSREVEDEFSGTANQDELEVLKRQYSSSHAVNQTLISIPTELDVNWNKTNLFEGDIDLTPEQWKVALDIDPVTRSRRQALYSAMEMWQPMGGAIIPYTFERGFPAQYHQVVRDALAFWEQRTCIKFRLVNQYDYDAIVFNHNADGCSSAVGRIGGGQYINLEAPGCMSVTIIAHELSHAFGTLHVQSRVDRDTYVQIDTSNIVPGTEHNFAREPNSFSTYGLPYEFGSMQHYFSFAFAIDPKKPTIYARPNYQRFQGSMDGPRATFWDTVLINKMYKCTDKCLKQMGCWAGGVTDGADCYKCFCPIGYTGTNCEKRPDDAQIMNVSDTPQSVKMELKGAFRAFEEKLVVLQAPAGKRIEATLRTFGPNAFRMCRGIGLEILPLTDTRTSGIHFCATPNPLTPIYSEANTTLLYLYRDINYPVAVDVTVRNAA >PPA08942 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:81411:82131:-1 gene:PPA08942 transcript:PPA08942 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTTIANIGFVYSGHYNSLWINIFSGTISWLASFGVNQLAIQRYSSLPTLKQAQSIIYFNIIPFLILCTLVSSIGLVALAYYYNCSPLETGEIHDTDHIVILFARDVLREILFLFWMPDIAYPVVSANQCSKTSF >PPA08968 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:351837:352562:-1 gene:PPA08968 transcript:PPA08968 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLPIRQAIFEDGQAIEMFHCKKTRIDQGRPLTIVIIENPCLFAFIILIILAITGVIIASSVLLHRMLPSHWFGETL >PPA08938 pep:known supercontig:P_pacificus-5.0:Ppa_Contig171:66389:68412:1 gene:PPA08938 transcript:PPA08938 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKAGGKIYKCLICETAIAHAHMGIDACRACGVFYRRSIKLRYVLSCICIDSPSSIGGKIATCRKCRFDRFNEIFERANAARIECHNDGNSSSPTYDEISKTPSVRDYSSLLSDESHYASFGKLHILVGSNFRLIQSLDGSYRANHNFPNDDTVMATYATYLSDESLRKFFDDCPSGVKKDEAIE >PPA08977 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig171:420027:421911:-1 gene:PPA08977 transcript:PPA08977 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVDNRFKPHTSIIYSINSRNPERNEYAISAFEAYGPNGTFGGKAKNEKMELTHQPVLFIHGNSDSALNYSVNATGWTESVKYFTGQGYKGFEMYGLTYGSRHIENSMNNYIKCRNIVGIRRFIEAVLSYTQADKIDIIAHSMGVSLARKAIQGGLVRMTEEACDLGDSIAHKVDAFVAIAGANYGMCLCTMDEIKNMPACSQEGYTPGTCGTSGTFESCGSEDSECEKDDYASILQQINKGDKEASFIASLWSDDDKVLGMKNLVWGRKTSVVANSDYTHAYDDYDHFEMKTKTYKDQFNLVTAHSLSLTILFSPICHRSEIPSLLVSQRDSNLRAPCDKQVFVN >PPA08980 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1712:518:1574:-1 gene:PPA08980 transcript:PPA08980 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKEEIDEICERYLNRREERQRVAECVDRCLRKGYDYDQLKDRLGDCVSDSHKASKLLTAFSNFSSQFKKRSRFTEGRENERDRKDEKKEPSRRDKENKGDDDGVPGIDKEEVKRIAQEMLAKKQKQEQDRKSRVVTEDELREKELRYKAQQELLDAKLRLNIPAVPLSAAQKEQLAKLQPKDKSAALDPAEACMFIYL >PPA08982 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1717:389:1359:-1 gene:PPA08982 transcript:PPA08982 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSNPDQLPDYEQYAEDNNPGGGQLSNHSPMSQDPPIESPIEKTEETAPPPPNNVTRKTTGVKKLDTVKISKEISKSIIPVLTDTLTRSIVDSINETLIQSITSIMDDSMTVFTKNAVDIRASLHQLTTTVNNLASVVHSKPAPRSSIVPSPIPIVHHRKFSDASSSSSRTYPPRGTHTICGRSRSRSPHSNIRNRSPSRPSTSKTTPSRPRVQCTFCQSTQHMSKDCPIVISVSTRNAIMAQESRCLRCFRPLNSSHRNTCEPDLCPLGCVTPLGVPIRHCEYFCPRNPKLSA >PPA09013 pep:known supercontig:P_pacificus-5.0:Ppa_Contig172:243564:246121:1 gene:PPA09013 transcript:PPA09013 gene_biotype:protein_coding transcript_biotype:protein_coding MHFVKKIPTTAEQKAVMEKERSVKLKNFCQLRDKIFSKRAQCMHLDEEMLSLCEAVLVKNPDIYTLWNIRRATLERLKERNLAGDNNVIEQEKLYHNELGLTEQCIKANPKSYSAWFQRSWILKRQKQPDLKKELAMCREGLKMDCRNFHCWDHRRIVARMAQLTDAEEIKFSEKLIADNFSNFSAWHMRMSLISRFKDEKTGEQLMRTEDVKEEIKVSLFSSLTSRVWECVFDGKEHIQISNDGENFHSFTTFYRKDLYDRIVSGGSVDVIDTLREHCEELLQEENGNSLAVMTMTDCLRLTSPLESHRIIMENLDRLATTLDPLRANIYKR >PPA09003 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig172:165014:165925:1 gene:PPA09003 transcript:PPA09003 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIWNALGKQTKDANYQVKAVRYIEKLEKGEVKSECAPKYETEKKHFEEAQNDESIKEELNKKNNELVDKMNKLRINSTNPPEKWTKTKDFPTRETEFMHKNDPVWEYGFYEPPLDTIPKGKIMLREAMELLREMQESNTQGDSIMATKIREKAMAELDKNVGAARIGRDRAENIPFERREEQKV >PPA09015 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig172:249568:251431:-1 gene:PPA09015 transcript:PPA09015 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVGCVGGALGSCMGSMIFVESIAKKEPSAMNLMTFATGYWKVVVVFFLVNVINNQALNYHVPVPLHIIFRSIQRNRSKDQ >PPA08998 pep:known supercontig:P_pacificus-5.0:Ppa_Contig172:96964:98702:1 gene:PPA08998 transcript:PPA08998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-immp-2 MNETSNRIDRPPAQCKRSCHKPDLNKVTKIRKRATKGPQWKIRLNIVFPTSDFQSFTSIASQDGAGIIGSPSFFVDSSTWSPAPFGFTLFGSSMYPTLDGSSHSLWGRDIVWLSTLSINNPTVGNIYTFIYTFRSPRDPDRVLIKRVTAVEGSLVRRKPNSELFIVPEGSCWLTSDNETEDFSDSQNFGPVSYGLVKGRATHIIWPPSRWRSIK >PPA08987 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig172:38158:40669:1 gene:PPA08987 transcript:PPA08987 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLTHNFLSSRFLKNVTTGYPLKLTIEEKNVVEAEIDVNFLKNVMVKIDYAVLYEAAKSVGEDENLPSPNAIVDIDSLNEQQLKQDRLHRVLVCLDVVIGSLECPETGRIFPIRDGIPNLLVNEDEVS >PPA09001 pep:known supercontig:P_pacificus-5.0:Ppa_Contig172:124385:125199:-1 gene:PPA09001 transcript:PPA09001 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRLFWIVGIAGLGQTFLLLLTFLTCISLSAVATNGVVESGGAYFMISRNLGPEFGSAVGLLFYLANTVATAMYLVGGTEVFLLYLFPGLTIGGPEVHHETGTWGMMTNNLRIYSTLFLILEFCIVAMGVKFVQLLAPNHENNKIMV >PPA09024 pep:known supercontig:P_pacificus-5.0:Ppa_Contig172:328223:329856:1 gene:PPA09024 transcript:PPA09024 gene_biotype:protein_coding transcript_biotype:protein_coding MKILVADRTISDEMMRHILMSANNMPASSHQPPSSSMTNNPSGMRPIHSHQSNFSNGSIGKEKDLLHGVLTGGTNKKGKKGGRKELGAGDKPARKKKRRAFEFYWEEMRGDYAKTHSHMGHNERRAIAQQEWKNVTDRDKWQKMADDYNEKMLCDKYKLRPKPPVTANAFYFKEQHVLLRVSY >PPA08983 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig172:12060:20747:1 gene:PPA08983 transcript:PPA08983 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGNDEDVDREWMRDDLSVINGLLKVRVISRRQLPKISDQSKGYNTLYVCGTDEYGTATETKAIQEGLSPKAVCDKYHAIHKKVYEWFNIGFDHFGRTTTQHQTEITQDIFNKIDTNGFTSILTNEIMNNGDRFLADRFVSGECPMCGYDDARGDQCDACGKLVNAVELKDPKCHLCKKTPVIKQSSHIFLELDKLQEKVGDYLEKKLSEDNHWSANAISIVKGWIKGGLEKRCITRDLMWGTPVPREEFNNKVFYVWFDAPIGYLSITKDLIGKDWTKWWKNPDDVQLYQFIGKDNVAFHGVMFPCTLMAANDGYTIVNHVCATEYLNYEDTKFSKSRGTGVFGDMAMETGIEADIWRFYLLYMRPENQDTAFSWDDFSLKDAVRSILSLSRRANQYMQAQAPWVSIKGDEQQKKRAGSVIGIVANVAYLIAILLSPIMPEISRRIREQCGLEELPAFSHSIICYLKKGHKIGNPKPLFTKMEKGKVDEWKAKFGGSATTEVSSKKEVRGGKKKGRKEEEKLTKAYLMETRACIYDFSPEL >PPA09018 pep:known supercontig:P_pacificus-5.0:Ppa_Contig172:262073:263352:1 gene:PPA09018 transcript:PPA09018 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDERCILKVLDFGLARKATFDSAGRDRVDQWSKIVNIMGTPSQSFISRLARPAAEYVNSLPRAEPKPMDQAIPDENFLSSTEQPQAHLTARDARRLIAKMLAIDPNERYSVADALNDPYVKLWFREEEVNAVRSDSRYNQELDFADHSLTEWKYHSERENGERTQ >PPA08997 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig172:94758:96814:-1 gene:PPA08997 transcript:PPA08997 gene_biotype:protein_coding transcript_biotype:protein_coding MWMTIFNIAINARDTRHRVPIIAANHGSIIVSDIVIMPISYSSSLPHVWYTRYAEKDSYPVVRLTITWFLMSVLAVALAAGVAIAADHWREERSEEGEEVTPFIFPYDMGWKNNLKEILSGWGDARGNGVWWPVKNGTNQFTLSVGQSPLLLCRVCGCVSIGKKRE >PPA09008 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig172:208339:209166:1 gene:PPA09008 transcript:PPA09008 gene_biotype:protein_coding transcript_biotype:protein_coding MENKDDLGMDVSVTNSALVGGVFTFPLGVVGMAKAFGLGISSGLTLSAVCGLYALSTDRSVDSAYWHLKKEYEIGLKEEGAFEKRVMQLLIPCIV >PPA09026 pep:known supercontig:P_pacificus-5.0:Ppa_Contig172:334236:335825:-1 gene:PPA09026 transcript:PPA09026 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVLLRLVFIGGACHTKTHYEVTTSIVYDISGPWKSLLKATFPFFKNPDSSILKQLAVR >PPA09021 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig172:286657:289714:1 gene:PPA09021 transcript:PPA09021 gene_biotype:protein_coding transcript_biotype:protein_coding MENSVDKPWNDRTTDTGDVKRRNVKSPIPGSISDIDQLLSESVVFTLLSERKLGPRLLGVFPGGRFEEYIPSRPLNCLEISECRFVTKIGPMLARVHSLEVPIRKEPQLINRMQGWINKWAAYDSSSKGIDMKCTKAIVHPSKEGNILVIEKEAGDTEEEDNLALIDFEYCDYNYRGFDLGNHMCEYGLDYASDEHPYYLVYPEKLDMEEERRRFCSSYIHELYEVMETRGIPIPCGLISGDKDKDIIEGIDHPPLTETPKEENDATIEKNEWGMGEHEMKPFTKLPLYGDFQK >PPA08984 pep:known supercontig:P_pacificus-5.0:Ppa_Contig172:22515:27872:1 gene:PPA08984 transcript:PPA08984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mars-1 MSNGVTTTPVVNTLLTTSTADKKKDGKGTKKGGESCVGSKNGSNGDDCIDIGRLDLRVGRIIKCDKHPDADALYVEQIDVGEESPRTVVSGLVKHVPIDQMQNRLVVVLCNLKPAKMRGVESRAMVMCASSPDKVEIMEVNGCSIPGTRVECPPFVNRPDAQLNPKKKIWETVAEDLKVSAEGYATWKGEALLVGGKTKMTAPTLRGIMKGAEGLSDSRFTHLKSDPKFGGLKRKERKVVVGDRFASALTDARFTRAPLVDKRGRKVKRTGDATLHRLYEIEKKGAKEEDEEEEVESEVNEDESIENEDNEHDHLKEMENTYRHLLKKMMKKKMKDWKLEKADVDHNWGNLDKEVRRVEWASRRLAICNLDWDSLSSQDLFLLVSSFKPLGGHIESLSIYLSDLGKEKLEREEKEGPQLIMNENGKEDSDEEDDGGLDEETLKHHDKKREAIRRYQIERLKYFYAVLVCDSEQTASAVYETCDGVEYENSGLRLDLRFVPDDMTFDVKTGYVRSEDRPDIDISDSDQTAQNSA >PPA08999 pep:known supercontig:P_pacificus-5.0:Ppa_Contig172:111731:117599:1 gene:PPA08999 transcript:PPA08999 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNEEEVIAESYDSGEKESNEEEENTAGAPGCPNKYNPYHVCVEYCFHHWQEGIAESRLTSSYERRRKRMLSKYGLPAGWIEVYEAGMGRHYYWCPETDEVSWLSPRHPHAVIGEAAPKIAKDLMREREKDNIPSFNYRGDADKRKDDRKRDDERRDERRKGEKRRDERGSPTYGESDDDMEKGEKEMNERQRLKRATRKGIDPMDPAAYSDISQGKWSSGLFEDEKSGVDTTAGGPLFQMRPYPSPGAILRARGVKKDDEI >PPA09009 pep:known supercontig:P_pacificus-5.0:Ppa_Contig172:210934:212597:1 gene:PPA09009 transcript:PPA09009 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLPLYFPSGTKVDPLGVMYSIDSYHDRGDNTPIHDVDPTKQLEKDQLNLIKLLGTLNDRLDKHLSGLKKETKEVEHKKKKVIDGVHSQDKKGDRKKGGTGIPLKETLISTIPWKMVEDKVGAEEKNGISACSNPSLTKYQQEKIGRITVTVTDHDLFWIESLAKIGSKRDIKFSGEMKNGITTKGMGEVEVKKGDSFSLEVDSLCSRDRVTAWKILGLVLGLFSFNHHFALQNAHSHRWLIRLNEVISEGKKEKEVLSLVSAISQFLSRFDSLSSHTHFSLSDVLIRRLFNGNLPNNVELWAKRLDSVQ >PPA09022 pep:known supercontig:P_pacificus-5.0:Ppa_Contig172:301705:305115:-1 gene:PPA09022 transcript:PPA09022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-jac-1 MPTCLLWVEDSAWPVLLIRLQEDHVVGAVATALRNLSIDERNRELIGKYALRDLLEKLPQVNQRRSPTGPSDHTISAVLGILFEIVRSSSSTTKEIHEAKGTDQLRSLAKSFPAYSERVCKYASQVLYMMWQHKELHDGFKRAGLKEADFYCGSACAKAGTNHSATLARPIASQGREKPRPLVNDPNDTMSSGGGGGGQTYGTMGDTIIGERSIGYDYKDDEYHSGYSPRPFTMGTPTRYDDVSTEPLYASVNKNNRGADDSWIDE >PPA09011 pep:known supercontig:P_pacificus-5.0:Ppa_Contig172:239862:242050:1 gene:PPA09011 transcript:PPA09011 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDSAMIKYETEEKGSLYTLDYRCYIKGPQGYVSPWHDIPLYADESKKVFNMIVEIPRWTNAKMEMATKEPLSPIKQDEKKGVPRFVHNIFPHHGYIWNYGALPQTWENNEHTDAETGAKGDNDPIDVVEIGSTVHKRGAVVQVKVVGCLALIDDGETDWKLVAIDVTDPLAKDINSMDDVEKIFPGLARATYEWFKTYKIPTGKPANLFAFDGQYKDQAFCYKVIDETHAFWKKLITEPKPELNTLSRVEGAVHVATPDAAAAIVAAAPPSTSDAPLPGDLGKWHFIADSKL >PPA08986 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig172:37074:37386:-1 gene:PPA08986 transcript:PPA08986 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTIVIRRDYLHFVKKYRRYERRHKNMSVHCSPAFRDIQVGDIVTAGECRTLAKTVHFNVLKVSKAPGAKKSFDKF >PPA08991 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig172:69814:70128:-1 gene:PPA08991 transcript:PPA08991 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEEKEELMDLDKLKSSLMKRVAVREKEEKEENERKEKMEEDGEEDGDGKDDIEESDKEEGEGIEKDEKDEKNREENNT >PPA09007 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig172:202998:207887:1 gene:PPA09007 transcript:PPA09007 gene_biotype:protein_coding transcript_biotype:protein_coding MYARRANLQLERIEVALESGKESVEEKDEIGCEWIDSMMRRSFTLPKSIPTIDDVVDGETSNEAELIRKVYECLNEADFNGISSEKIEEKIGMERAKLLKTLEIMRKKSFIFGGGIDEKRWILMRYAVEWTIELDGYRHLPRPWTLPNGSVCLSTLRWMAESVLVAIVAQPAITTESLRFRFEFVLQPICVTELITLLEEAKWRWRSIPISVIGEEESNKDVPIIPSSGQHSLLKAEGRREQKAPIDEAEMSGWDRIKEVYESDSMEKDVIRRVVRMSFFGGFLVGGSNGYLLAKQQYEIANKGRKYLSPSDAIVS >PPA08990 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig172:67062:68268:-1 gene:PPA08990 transcript:PPA08990 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSIEDAWMSASKRNMADVKELIPEFFFLPEMFMNKNRFDLGRKQNGISLDDIVLPAWAKDDPREFVSSHLHEWIDLIFGYKQSGEEAISSHNLFHHLFYEGNVNFDSIDDSLTRNATIAFVNNFGQIPSQLFKKPHPQKKVNNGSDLSFSRIPGVTTNRLFYHALHSLKPPTIHIKVHVYT >PPA08988 pep:known supercontig:P_pacificus-5.0:Ppa_Contig172:40893:43799:-1 gene:PPA08988 transcript:PPA08988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rps-11 MIAQCPGTAKNTKETMALKERFKVDIPHRFRPYNFKSPTFCDHCGSMLYGLFKQGLKCETCGVACHNKCEKLVPNLCGVNQKQLSEALYEIKRGTHNTSSCPPNLGNLTLGVTGEGRAAGTPNGGDVKKYSLQHFNMMKVLGKGSFGKVMLVELKGKNEFYAINDLKLDNILLDSDGHIKLADFGMAKTEMNRENGMASTFCGTPDYIAPEIIKGQLYNEAVDFWSFGVLTSYDRG >PPA08985 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig172:32871:34091:1 gene:PPA08985 transcript:PPA08985 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTKVKLTWDESDLNRKKKMRGAFDVEADLDEVSRDLIAPSSGEDSGEEDHTFDMDDRMITKKISKSSKCSFRSSVINIIEERLAILLGGGDKDDGEMEVEWEKYDDDEKEEVTIKKKSKSSWEEYLEKRKAKRKEKKNVVKEERRKAREEREKDEDEKRMEKKKVLKKEVMKEKDDRSVTVDARFNALFTHSAFSIDTSSNLNKSKGLADKQNAVRRKRKTDVETNSSAQEGHHSLVDKLKSKSMKWSS >PPA09002 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig172:130159:130535:-1 gene:PPA09002 transcript:PPA09002 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRKAELRAEAAADERDTPNDTNPQSGTGEGLEVPKGDIPPMPRNASWRRVSCVEVVAGAAPSTKKRSMFAGALPSNEPEKE >PPA08989 pep:known supercontig:P_pacificus-5.0:Ppa_Contig172:60011:60340:1 gene:PPA08989 transcript:PPA08989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cwn-2 description:Protein Wnt [Source:UniProtKB/TrEMBL;Acc:H3EGX5] MQFMNGETMNGKRSRRAMLTDLVYLEDSPDYCRQDRSMGTTGTIGRECK >PPA08996 pep:known supercontig:P_pacificus-5.0:Ppa_Contig172:88519:90488:-1 gene:PPA08996 transcript:PPA08996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lin-24 MHFNNVNENAQTECLSWGVDSNVTVPPHFSTEASIVIEEMNYRGTYTVTTKLSGYIVISIRRRRDGLLVLPIRASIVEIFRSHLDSTHPRKEVKQAAMIEGGKYVRLISKGTCHFQFAMKQRIDLKEKPIEGNEQMMN >PPA08995 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig172:86731:87415:1 gene:PPA08995 transcript:PPA08995 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSNVSMTRLFVGNIPKDLNPSVVKISVYPMGDATDKNRGFAFIDFDDYESAKKGMDLIGGMKIGSRFLTSDWAEKEPEIDESIMKNVKKLYARNLPPGTTSHQLTQWMECDKITHVKV >PPA09017 pep:known supercontig:P_pacificus-5.0:Ppa_Contig172:256298:258759:1 gene:PPA09017 transcript:PPA09017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mlcd-1 MKCGHIFWFVTMVIYLSDPYSSQETLDNNPDPVLKRVEEISKCFLFIHEAMPREPLVVVHVALTRDIAYNLQKILKSTEEDWIDDEEATTAIYYSISSTQKGLAGIDLGNLLIKGVVEELQRDLPRVKVHSTLSPIPGFRAWVLRSLRGNSEFGEIIDEKIRVWMNEIAGKEIELEDAKNLLIQSLTANRIQPENIEQFKNILMRLCAVYLMRAKRNGLALNPVANFHVRNGAEVYRLNWGADSSVRGMDRSFGIMVNYKTI >PPA09025 pep:known supercontig:P_pacificus-5.0:Ppa_Contig172:333387:335460:1 gene:PPA09025 transcript:PPA09025 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMVEKVHPSTKKEDLKAKVKEELSNGPKVDTIARERTHDMRLYEQLRVEISNRVRIKLGLIDKETETHYEVTTSIVYDFSGPWKSLLKATFPFFKNPDSSILKQVMIKKLAVR >PPA09020 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig172:276320:276959:-1 gene:PPA09020 transcript:PPA09020 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLSSIHKSKSKNRMSTTSHVFIYVLGLSIVDLLVLIHLPFLIFEMWNKSFPFGNALCKLYWFGESVNKLLSSFLMCVLSWDRYLAVCKPVNSIRHKSQWSIDYLFK >PPA09010 pep:known supercontig:P_pacificus-5.0:Ppa_Contig172:212852:215203:1 gene:PPA09010 transcript:PPA09010 gene_biotype:protein_coding transcript_biotype:protein_coding MPFMHGTMPLRRTFFYLQQGKIKFRDNVAVFSMGYHRKGEGPLSGAKEFVFWHWAQLQFHNPNVQLVKDMDKVITPYAKAFLKDGREVLFDLEGLNREEIENELIQTLGKTELVVKRESLEKMAALNPASFGSGCERQCMCKVNMHVLLFYMHLK >PPA09004 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig172:168739:169168:1 gene:PPA09004 transcript:PPA09004 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSVVSRHELARLQSALHGRGDEFSLYGQSHEHIKQLFHEKEKNREWNHTLNEKEKDQFDEAIRLLRSEEHERLKRRLEQINEDETNLTEAVKKAEKKTKEKRNERT >PPA09016 pep:known supercontig:P_pacificus-5.0:Ppa_Contig172:252909:255061:-1 gene:PPA09016 transcript:PPA09016 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTKLAQSAAIRSTRAASSAAIQNDMQRFRSPVMSTGNALYARYGGRSTVTVLAGDGIGPEMLKHVTKIFQFANVPVDLEEVPLSSQPTAEEDLDQALTSIRRNGVALKGNIETKFDDPTFVSRNMALRRELDLYANVLHCHSIPTIPSRHKDIDMVVIRENTEGEYSGLEHQTIEGVVESLKVTTAENIERICRFAFDYAIKYGRKKVTAVHKANIQKLGDGLFLQMAGDMAKEYSNIEFESMIVDNASMQLVKRPQQFDIMLMPNLYGNIISNIACGLVGGPGLVSGMNFGEKYAVFETGTRNTGSSLAGKDKANPTSFIRASVDMLRYLGYSVHADIISDSLFRVLVEDRLHTPDIGGNSSTSQMIDALFRHMEQEIKKQVV >PPA09005 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig172:178469:178794:-1 gene:PPA09005 transcript:PPA09005 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAPSVEMDDFVRLEHQNDHLKQMLKDKEDQVNERDDYIRLIGIKEEGLKKRIVSCDI >PPA09014 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig172:247988:248194:-1 gene:PPA09014 transcript:PPA09014 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTLRKFLSLVVSIVWFQNPFTLQHWLGTFFVFAGTLAFSDVWFEDKKKKKQ >PPA09000 pep:known supercontig:P_pacificus-5.0:Ppa_Contig172:118823:123366:-1 gene:PPA09000 transcript:PPA09000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-kcc-1 MRQFDTDVGVKATQTRDVFQDVRTTFFVFLAIYFPAVTGIFTGANMSGDLKNPQASIPKGTIAAQLTTSFVYFSLALVFGAAIEPAVLRDKNGASMGGSMVVANLAWPSPWVLLIGSFLSTFGAALQCLCSAPRLLQSIAKDDVIPILSPFKKVTANNEPFLGLVITTIIAECAILLGAMDNIAAVVDFFFLMCYAFVNLVCALHSLLGAPNWRPRFKYYHWLASLVGAFLCFFIMFSTHWDYAMVSIILCAAIYKYVEWKGAKKEWGDGIRGLALTTAQYSLMKIEDKDPHPKNWRPQLLLILSQSWSRETVDVRYINLLNLASQLKAGRGLTVVSSFIQGNPFSNDDRKRAEQIRSRMDFDMNQVRLRGFSKTVVYGEDQILGSISTLMQSVGLGGLKPNTMLISWPTDNEKGVDSEYATFTEKMHAALATEMCLLVAKGIIDFPSSVFKLNGYIDVYWIIQDGGLCLLMAYLLKQHKVWRGCKLRIIAIAQENDNNTRMQEELQKYVYQLRIDAKILIVELADPEISKNAFERTLLMEERTKFLQKMNESSIKGAPTRQISPLVTSEQKKKEEESEEGGKECNEDEKKEDSEKEKTKKLRALDKNKVHKMHTAVRLNELILEHSLNSQLVLLNLPKPPVGKEGLDDYIHYLEVLSDNINRVIFVRGTGKEVITANS >PPA08992 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig172:70932:71766:-1 gene:PPA08992 transcript:PPA08992 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLDTLTTLVNKKYIFLQSTESWFFSSLAHIIFMLSLTPDILTTSDELDKTSAQRNLNYNNKSLKRSLK >PPA09006 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig172:187556:197027:1 gene:PPA09006 transcript:PPA09006 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSQGGAQQQQQGMYQQQQDDPSQQQGSQPMQMSYGISGMGQSNDLYMQQGQHYPMNAAPSGMNPHVYNSISSSLQARSPYSMGGMGGPQSIPQPMSVNPLSVPPQGPGSVSGQGPMSVPQQGPASVQGPMSVPQQGPCSVPGQGPLSVPQPLSMAGQGPLSVPQPLSMAGQGPLSVPQPGSVGQSGDSLGIGGLTVPGVMHSNQGPSPSSLSIPSHMGSYIDGQGRSPIGPGSMSGPSPCALPQGTSPSLGNPLSHGGPQSISAFNPSTPHNVPSQSQEKKDEESERYDGIFLLDPMQQSKQLITKDLRVSFSEMNKSMGEVLRNRDNVSVEQNEKYNRDYNEFMAVCDQVEQALTMVLETSKMMSKLDRSYTPDGGNTAGRKMGGKRVKPTTKIGTASKRLRLDSNWSEEIPSDKDDDFDARHMHGDSSGDEGTRHQNIFCKKRNKITSSEKAQMLNEPTGDIENLTPFGVLRYDRAVTSAGNFLKAGFGTN >PPA08993 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig172:77929:78935:-1 gene:PPA08993 transcript:PPA08993 gene_biotype:protein_coding transcript_biotype:protein_coding MHFRIVLSLNGSSIDELTITKMRGLHSKLDADMTASLLGLNPSDNSTPLRIILNASSHLSGGGRSFGGVVVGYLGMRTFSPRPVPRLIDSIGGYPSLFALVAMSTDSEVGTLNIGRDTITIPNAQMH >PPA09012 pep:known supercontig:P_pacificus-5.0:Ppa_Contig172:242694:243247:-1 gene:PPA09012 transcript:PPA09012 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKRPQVKSSKAGKSLKKKKQSVKFNIECKSPVEDKILNIEDFESFLSQKIKVGGKTGQLAVSGVKVEVNKTRVVLSSDVPFSKRYLKYLTKKYLKRNTLRDWLRVVASSKDTYELRYFHINEDEDEGSDNEN >PPA08994 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig172:81771:83876:-1 gene:PPA08994 transcript:PPA08994 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLYIIELRNQLNDVLKSLMKKLCTYKAVSEELTKKDDLALLFIATSSIVNKSNSMWRKDAYREVGLLDTLSFSITKLFETSKVRELSEDEYKLALLSTDLLTVTIKGNGENCAVFGDGMGPKKMVELIISVNIIGTSITKTTSSSFLLGRIYL >PPA09019 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig172:265612:266791:1 gene:PPA09019 transcript:PPA09019 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDIATVPLPLDSTFSINITDHKLVQDGNYVLYTVNVVIEPYNWTVQRRYSDFAQLDKLRFEDRKKSFLPKKKTIGNLDDNFIAERKIELEKYLKSVIELEIWYQRQKGIHSLPLLIAQFLDMHQYVNYTI >PPA09023 pep:known supercontig:P_pacificus-5.0:Ppa_Contig172:305933:307003:1 gene:PPA09023 transcript:PPA09023 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRPQGNLMGYLDNKTEKAYFSHDKSMTTFEGGALNDMMIVVRNLKGGPPFCECASCPKPPPPPPVPTGPPPPRVMLNEWMDVRVGDAWPTRSLVKALDKSLDTAPGQNPDQYVALWYMAGEPVMGRAWNEGGKIAACFGWFKREYKGNVGSIQLLVNLSEHVRGFDYSWVPYKEAAVFGEDAKTFSSVYVDNSKVSISPCIVNYNGKQVLGKADVRNEKASCGVDGKEFELVGPACHTSFVLVRKAKVGYKFD >PPA09045 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig173:179822:181007:1 gene:PPA09045 transcript:PPA09045 gene_biotype:protein_coding transcript_biotype:protein_coding MISDELPILLIVLASVTFATQSYRADGPGPPFLNETSEVARHEFMQILAEANLTKGEMKERVHEWAERQPDNVKESVHKFERDITVAHTAMQKNAIAVIRQLEMVFVMLSSILDDLSLTRGEMEEELRRTAGTFDVDAVSAVRVIMRNAHQRVSKRFLPHHFPEPSISRNTNAKLGEVDIFHPESL >PPA09034 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:45054:47641:-1 gene:PPA09034 transcript:PPA09034 gene_biotype:protein_coding transcript_biotype:protein_coding MKILDRVPEDLNKAFELAEVFEYNKQYEERFPRRSNLDDDDICLVNAITISDESKRTCYFAVLRKQPEETLPREPHSAVTTNCGGILTSMVDLAKNVTNQFGIVNELELVLARGAGLFIDLTPQFVRSNFTGICQEHLGELSRRWNSKQWGRHIRIRTGTNGKVQICGVTTHDREIRKGSHDLSKEQAHKFLHHKHRLLHVGHPICPTHAEEINKLPEVDSDEINPIEEPMDEDFDTSNQEIVDVERAELEAAHAIFAEKAKVTGVTPSPNFGFLLPDTKMRKVYAMKKLTNANASVIAPNHQEELMTMYKQLIIPKTWNNSTKGLTKALEAVAEQARTFGPFIELVIRRTRYEPIKLHYYVSFITSPIISTGIPYGERKVKTSDGSSVSIPNTIRLHRNAEIIRMYKKHMEDIGKKHLIIKDSVAYAILKKCSATRRHALTCVDYFIAEGSDAFKDVEDILSTLQASALLDSDMAKLWKYNTLPEDRLPHASQKRIKPEKIHIYDYALGLFKRNLEDVQAHNNVLSEVSQAMTEMSHGADLSSKAGWALRLKRKRGVYSEKAKRFAEKLFQRGDVSGRKMDPAEVERLMKEEESIKPYERMNAQQIRSYFGTLSKEKKAAKEPKAPKRRKTQDKNEEDEDEDEEMMLNEDDEEDYGDLSDEDEENIDDFERERDDVIHDIIKESFNELFNENDFPIIDDDV >PPA09074 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:295448:297943:-1 gene:PPA09074 transcript:PPA09074 gene_biotype:protein_coding transcript_biotype:protein_coding MIPHRYATLCAILCGIGNLLLWSGFDANVFISEAVLHSVNQRAPERIGAHDGYYGMAVTNVVFMFGCLVAPSLSSYLRGKIFLFRACVSEFLRNCALHIVAEQRKVMQSVLVHPGVLLLASFYFYHGLFFVFFTSIIPTTFQFTEVLSRNVYIPAIIGFAFTVGSFSMSIITVKCSSAVHNFSFEPLTVLNAVLHFVSFEYNKAVKLPVLRIVITSLLIPEKKQQTFGASKFYHALAACLLLFVAPALSIYVYAGILTAFLYGK >PPA09035 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig173:49645:50137:1 gene:PPA09035 transcript:PPA09035 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKDPDSFCAQRTINITSSVWRRVSYSKQTAYNLYTSCYDPLHFDNRRPELVEPFYKKGFVDQARLISRESTDSQDGLFCWGMLALR >PPA09042 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig173:128402:128773:1 gene:PPA09042 transcript:PPA09042 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEDAGVSQDGGLVIKEEPDDVKEKQETGETAGASGENPWATPRQEAAHESLEYIQTLY >PPA09078 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig173:317524:318127:1 gene:PPA09078 transcript:PPA09078 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFPGAVATPLGESQVRQKFKSNSRFYFGKNNVMAIALGKDKTTECASGISKV >PPA09063 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:263380:264747:1 gene:PPA09063 transcript:PPA09063 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRNVPDESKKYVFLDEIGDVNYGILEAEFLAELQCAARSCEFDKITDVRDAFIALAFISGVRSNDTRMKLIEQTGKDSSQLLALAEAHERAGRGANELHRTGLDTVHTVRDRGEYPNHRTRNSRKGHNQREHPRARSQHQQFCHICKSHGHSTNDCRYNGLNDESANFVSEDEASLYEYDIDHIEVHAVELIPEVVPPKFLIQAGIGTTPIEFELDTGSAVSVIGYQTWKALGSPSLQDTHRAAKAYGGQLLRFRGVLQTETDSLATEQ >PPA09069 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig173:281183:282042:1 gene:PPA09069 transcript:PPA09069 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFPSLVEAQTTVNKMRLREEKEQRVFPPSVDSEEAANCLCQCCPPRSSQEQGDYCCSSLFSFPLLRNGALLKDGLLSKMKEVGSHCCITKDPLFTDHVLTEAAARASAEMFSMFSGEPITDFNKAYRYGSYRLFVASTIGHLGKGVRIRLPACFVHAVRQLWPSTNYRGFSSSEAYDL >PPA09039 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:96089:106584:-1 gene:PPA09039 transcript:PPA09039 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVCVSEEKLRAKICMDQKQLRQLTVHLKQDKLLKERQITVKSSMTGKNQTAIYYFVNYRAITNVFKFKVEHMRQRIESRARDVVHVSAYKCIGCGAAYDTIEVDRIMDYSTGELKCWRCQSSVEIQNVSSTTNSQGTIAHFNVQLRPLFEMLQVLEGVQLAPHLYEPDINKFIAMDKEAAEVAAAEELTKQQQEKERRPRVELGGKAFGQDTGLKYRNADTITVDMNAGETHEVAAGKAVPIWLQANPEEHAAAAAAVDDLEEAAAKAEGKSINDIGSSLALHELAAFENAVAEPDVKRPRVEIATNGASSSADSLNVSGELADEVERQLLAAGGGAGDGAGGGAADASDDDDEFEDVEDMVAVQGVMVPLDEVNEDVVSRMTDEEKMIRAGQVAAALPKLQLQQVKLLHATAAAASPFLSSGGGLAARGYTPVHEKESVLFVCDLQEKFRKAIPQFDEVCEITRRLVQTADLLKMPIIVTEQYPEGLGHTVDEIGLPKGTPVVNKATFSMCTPPVMELLKPEKNKSVILVGIEAHVCCFHTTLALLDRGIAVHVVTDAVSSRSVHDRKYAFAQMERAGAILTTSENVIFGLVKGRDHEKFKDILNIVKTRGPDTGLSKL >PPA09051 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig173:194426:195578:1 gene:PPA09051 transcript:PPA09051 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLTLHPLWHTVMPLYQHASVTLVDLNFGFLACPIVLYPLPGVLCNGVLCTVFGASGHIGNVNFNYHK >PPA09073 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:293808:295173:-1 gene:PPA09073 transcript:PPA09073 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAHYATICAILCGIGNLLLWCGFDANVFISEAVLHSVNRRAPELTLASRDKQTELELALDRIKCDVLAVQEARIVGCASFNLTSSGTLVFHSGGPTATHGVAFLLRPHLAGGAVFRGLSPRLATLLLPNQRLFLVCAYAPTSYYDDKEYDDFMDQVEAALRSAPRGHTPVLVGDLNCRVAREPGNERFVGESASPTPNSRGRTFTEVCVRNRLRIWNTFPKRRHGRIWRISTLDLPATRNLLQARSQLQSNPQAAVQYSIACKAARSSLVTDIKNRDEAQARHDATMGRSVTRVMQNLQSSKKSLLVPDPATGELSQEVTKAAVKRFYEDLYTLAVQLPLGVPTRVPSYPMRPGTRCLSSSAVIPRDPMEFSPRCSSTLETTSRPSSPYS >PPA09050 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig173:193169:193777:-1 gene:PPA09050 transcript:PPA09050 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAQATALHSAIGIPANIVMLYLTVFKTPAMFKTYSKILFISALCDMIEACMVPVLIPRDIIFKEANVVDYYGLCTLSRVELCWVGTGVIEMMIALNDAVHISQYSS >PPA09062 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:256696:258294:-1 gene:PPA09062 transcript:PPA09062 gene_biotype:protein_coding transcript_biotype:protein_coding MSKATLIVLCALGAVCAHTLADSCSTTDQTKTKTCLDAHFGKYGIDAGKALPKFNDYIATTVKHLEQHGAAGFDLYCEYGAALATCLGALKTSACMKAEGFDAMYGLGKDETRSYATSFPVEAYTCEHKQEAKSLVACTWNASQSHPEGVANCLATMIDEMKKATDYCIPSDRFMTCVENHFVKYCKEDVHLQPAEGGPQLQPGWPL >PPA09029 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig173:5664:6252:1 gene:PPA09029 transcript:PPA09029 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYWPKLCRIVDGVEGATGILLNVIVISIILKRTLADNLITYLLISVLHRG >PPA09070 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig173:282166:284900:1 gene:PPA09070 transcript:PPA09070 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCVACPTDCDRSLLHQFTSKPHLRDKWLTALTKDDSEKAALDVKLRTAPGRHFVCIDHFDDDAFEETEFSRFLKADAIPLSKRSTPSYSNPTVTYNSPPPTPRLVPLSSTPVARPLLARPLRLIDAPVPPCCRCCCKKEPDFDLKKDVDWAPPTPTITNLPLSKYFIVSKASLVQLLSRCNSCPSGQNDLTFTEDAHALSCTCKCTSCGVQFIWSNSRVLPTANSSSKEKLREVNMDMCVGTAVTAVGTARLNYFLKAVGLNVVSKRTFHRHKNDYLLPAVTQVFTQAQEELFERVKDRLSKVACLEKALDILSDKIGGVHLISTLVTDRHSGAIKMVKQKYPSINHFFDPWHYFRNLTLNLIKICKPTYMQQIRDTWSRILINKAYDAVLKAQGNGTLASEMFRSSLLCCAGVHNFSNIGKKSHYNNTLKKHVFRNVKSIAKNAWRETVKNKCYQLRTSLSSTPYGTVKKEKEELQKKRDLWNSMNTPTVPHSSDANLDESEDEDDLPSSSQVEGEPSDDLLQEIRLLIEEDAINDQLREEENDDE >PPA09048 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:186832:187597:-1 gene:PPA09048 transcript:PPA09048 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRILLIVCLATAIVVSGDGQETSKRTIQILRNALKAAEANYEDRHEEKAPVIDFVDTTNFSRNLGNLIFMHAERAAPGYEL >PPA09058 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:236140:237945:1 gene:PPA09058 transcript:PPA09058 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFTFNHFNNTARAYLMRQDGAQGALKAALKVNSLEYAPWIDTNAIMVFVHPNSETVFSESPRYNCMPSTEVTIETTQTRYTRLAKPYGICVSSPSQVEAYFYEGTYTTDGCIHSCYQLQVQKACGCMDSRYPVPATAKLCQLKDVNCVNAVVAKGDVSTWADCVCPLPCENAMFDSSFTQANFVLNPSKCNAYTAEQRLNDSSCDSLYGNPDYVIVSIRVPSLIISTYKETPAMSFTTVIGNVGGLGGVVCGINLITFFEFGFYFFVQLPMTLIFNREIN >PPA09038 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig173:93274:94855:-1 gene:PPA09038 transcript:PPA09038 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNELAKWKCKGMLIGGKDGILMQQIFIELSYNHTTGVFDAADISDGVNTVVTIFATGFDNVDANDKSPDKCRRVMQTNFDEMVSFQVNGPIISIYFSDFKGDLVNSKNIINTALTFAYDQLFIEPRGFVTSPGFIGCQSGQIYRSSLYGDLVQYSLSTPSPHFVYQAVYENTDDASPVKVGTDGTTLKDTFNQTRSNSFSLVYSFANEDCEGNLLTTSPLQTTTGAQPTTKKSGKASTPDSTTSSAKYGYDVHGVV >PPA09053 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:225031:225892:-1 gene:PPA09053 transcript:PPA09053 gene_biotype:protein_coding transcript_biotype:protein_coding MPMWEYYEFPMSARSPTTNYYHYDYYHNNCNFAHHYYCTYDHYDCLFYNDHGSIDYHYYGSYDHYDCPFNNDHGSIDYHNYCSYDHYDCLFNNDHGSIDYHYYCSYDHYDCPFNNDHGSIDYHNYCSYDHYDCPFNNDHGSIDYDNYCSYDYYDCPFYNDHGSIDYHNYCSYDYYDCPFYNDHGSIDYHYYCSYDHYDCPFNNDHGSIDYHYYCSYDHYDCPFNNDHGSIDYHYYCSYDHYDFTSLFDYND >PPA09043 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:130946:133210:1 gene:PPA09043 transcript:PPA09043 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVPITPSAVQKGVKRKADTTTDVESAKVQALSARRESHRPVKKPSHLMVDYSQLAPRYKGKQSEQLKFVTKLVHDMFSKKYKNFNWPFLEPVDVEGLKLWDYYDIVSDPMDLSTIRKKLEYKQYALAVEVHEDIKLMCHNCFKFNKPGDGVYQAGQELLETWEKRYKDLPEEVTKMEDHYDDSSNHAGSSSVSVVAPIVNRAQDDDDMLEQMIAQTQKASNELNIKVMQIGEKSNELMNLRMARREARMTGKPAPPINAETVMALNTLLASVNAAIPTVTNFSPITPSPARNKGRPPSTAVPPISTPAPVAIPPVTTRPAPTPIKAEIDSPDNGPLSPRMRGAAKAAVAAVAAVTAPTTPVVEKAYSGRGRKPGSKNKPKDQNGTAAPGEDWKKEYSFNSEDEANSIPMSYDEKRQLSLDINQLPGEKLSRVVQIIESGVERMGEREGQVG >PPA09044 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig173:133539:136916:1 gene:PPA09044 transcript:PPA09044 gene_biotype:protein_coding transcript_biotype:protein_coding MYLEELMQKKQDYDKARERLTDFNPEEIEIDFETLNATTLRELETFVAACLKKAKKTKPSMPKTAEEAEQRKREIESRLKSIGGTIPPSLQSPSTSTAAPAAAAAAAPARTRSKSASSSGSSSSSGSSSDSDSSDSDDESGAHADEWASSSKKKMQAAAAAIEKEKAAKSGEKETNGAQKKNHNGVAKVPSPIPPKGPSPPSVSNGPPAPPPSTVPPPTVRPSMAGVPPTGGVAAMLGKKEEERKEVKTNAIHPGGSILDQLLPTAALAGIGTGVSATNEEDKWGRKGGGGGHGDQFESFQRQQKMKEERRQQLKMDEERRRMMKTNDGMSPSEREA >PPA09061 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig173:250647:253719:-1 gene:PPA09061 transcript:PPA09061 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAESTDPLLTASASPPSSSSSMARRCLICSIPINSIHLDMDACRPAANIGESSAASSLHVPASSSDQLSKKRNMSVDKRMANGKRMCRSCRYNKCIAVGLQYEPRSLRVEQAADCEPIQKVHEPATLLQRIGVEYNSASSYAADHNAILQPLFNRIELMEEELHALIALLLCEIDVPLSDEAHQYLDDYRAEALEDLQTYYREELGMEDYSRRLGNLMTLSHTVQECKSRFLVFFRFAATIFDIHVSETSIRNMII >PPA09059 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig173:239730:240634:-1 gene:PPA09059 transcript:PPA09059 gene_biotype:protein_coding transcript_biotype:protein_coding MLPREIVWMIVGYALESVFDLSMADLTKEKVILRVRNRYNSIFELRLQHRQLHSRVKRVLGKGGFREYQVELDCSLASDIELIEALKCCIGNDVTKAILNQYLENLRSIVVNQA >PPA09060 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:248458:249547:-1 gene:PPA09060 transcript:PPA09060 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKEGIVNGLTIVAFPLQILAWIIFADRSNLISPHATEPTIDGSPILLSIFVHVLYYVRVIIRGERQYKTHFFLDEVIVAVAIWVTFIAKLFGYFPEFCIFISQPVGLYIKNRTEKTEDDAVYVIDDKKNVRFKKWFMNIVVVFAFPTQLVFYTSMLISSYGVLVVLTIVGLIVSITAHFLYFHNVIWKGQQQYKAHFFYFEEPELTSVGSSARDFDHVHIYGE >PPA09072 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig173:290900:292356:-1 gene:PPA09072 transcript:PPA09072 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTNIVFMFGNLVVPRECHPSATCPVQSSILGYFFAAFALLSVCAIALFASLPHKKVEGNIAAENARTTSFIEQYKMMQSVLIHPRVLILAPFYFYHGLFFSFFTSIIPTAFQFTKAIYGIVVCVIPQWSTVYPNGDPALLVQPTYELFS >PPA09052 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:198559:199303:-1 gene:PPA09052 transcript:PPA09052 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCTTEWKKDDPKWMCADAKPIDTYDFTCNDGTTPVALGTATPTATGVEETDVKWDKKTELKSITCGMDGAWEYTDKAGTKIAAADVEKTLGKDLKFSCAKKLP >PPA09075 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:298231:298980:-1 gene:PPA09075 transcript:PPA09075 gene_biotype:protein_coding transcript_biotype:protein_coding MLYITIAIIGMFLSALTAHSLHIIKNRAHRSSLPQNDTNLALTQATHTLPHFLGQESGFAKASTEPSVVLAYIWR >PPA09047 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:184122:186053:1 gene:PPA09047 transcript:PPA09047 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPYARSGNLAPSTDLGRWATIIYGTIGFPLAVIIIRDVGSVILVYITRGYAKVVKKIRKAGAYSTDEPIMLTAPICSAISLGVTLLTALFVMAYDSWLGPDPNPPVLAIFIVAWFPLMRVVNRVLYVGYENTVYRIVTWVEECIDRFTGLEKRVEHVKNCPTIEVDLCALTEADNERDDDEQPDQRFRRALNNDDDPLSIGHQR >PPA09076 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig173:307811:308115:1 gene:PPA09076 transcript:PPA09076 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPHIQSGDNPDLTKFRRSATFDPEVLTEFLYGSKKIVERKREISRKANCVSPRAGQRSSS >PPA09071 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:286346:287124:-1 gene:PPA09071 transcript:PPA09071 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPIIVHKVILYSSAVVSVFGNGLLLLLLAHRNSHVLGKYRVLLAMFALTDIAISLFDAWFIPIFLLGEYGYVFFGYGSLFLEQTLGKIVNVTYCSSFFVPFILLSLHFIYRFLSLTNCATIPRFC >PPA09065 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig173:272669:274531:1 gene:PPA09065 transcript:PPA09065 gene_biotype:protein_coding transcript_biotype:protein_coding MIDHDVIAPILALEEEEEKPYIPKIDHGIVAPLLALTSPQHVRSALRGACAREFWFDKRTLHGKRGKIVGLYYSMEKNVVITALFVAMPITLALIQVSPLFWTDHCYMIYFKDCKVPGWGHADTKCNNMYSFYVDFLIPLVVFGGIVLLDGIAISSIRKAIKTIAKYDENFKFKLIMEIGFLVQSVCQKAFSIIGYASLSVISKYASNNDWVLFGTTTLAWPLIHAVDG >PPA09057 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:232954:235681:1 gene:PPA09057 transcript:PPA09057 gene_biotype:protein_coding transcript_biotype:protein_coding MRATQRLLCAIRPRTRDIAWSSKRGGYSCVCAPGWTAGDCGTQMNPCTSLGCTNGATCVPTLDHSAAVCKCPGGYSGTTCNNKDGCYFSPCENGGVCNATDTSGGYKCTCEPAYTDVNCGKTIDQSYDDRRRSTIDRLTITQWTTADINECADAAAENTTICENFGTCTNTFGSYFCSCINGTYGFNCSINPDDCIIHNATYDGVVYPNYCVARDPQANCTDGYATYSCSCGPHWTGEYCLDDVDECLWSPSPCENFATCVNTPGLYYCECINGTFGFNCEINPNDCINATHPCNLTDPVANCTDGFASYTCQCGPDYTGEYCDMSIIIYNILQLLGGDAQSEGDLIALMEQLLSNPLMTIDMIPFIVGTLPEANRTALSWSADDMFLWAAYEEKALNTE >PPA09036 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:51292:60430:1 gene:PPA09036 transcript:PPA09036 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFSWGKPFKLLIYAGDVDSTCNFLSGQWFADDLAKEYDMKLSNKHASWKYEKRIGGYALQYAYKDITIDVVTVKGAGHFTALDRPGPTLQVISSLIDGEPLDTAVNAKLELTDLLERYAVEEQVGREKDLTNTPTRVKRAAARVKLPPPPPQCNKANDKIVDLPGLTFELGVNQYSGYVSAGTGDYLHYWLIEADTDEDNAPLILWFNGGPGCSSLTGLLSELGPFLNNPDLETLYENVYSWHKISKSLKVGNMLFLESPRGVGFSYQASDSDPTTIHDYNDALTAEGSVAALVDFIKCYPEYKNRKVFITGESYNGVYIPTFVDLLLKKIRSGDVKDVNLEGLAIGNGEFSDIKDINTALTLSYMKGFHSKAYVQNEGDLGFEAIYNYRIKLKPLTFCACSEYESMGKCVPDDFDGPMSTFDFTQYIHFDSLGLPIPNKLDENTLEGFCGRELFKQAWLDVITWANPFRNDVYNTNQDCYQPSTKARRVQERMRATMQKKIGKKRRDEESYLQDSEETGGRVKRDTIGRPMVYSPFIDEAKRVNYDSTDASHGAYCYSMEARRSYLNITEVREALHIPEYLPEWEECSPTVELRYDEGYNDTTPVFESIFESIKQSGKPLRFLIYHGDADMACQFLGGQWFIEELAQRNAMEMTTPFTSWDYQQTKYPAVSSIGGYQKSWAHMDGLITLDLGAGHMVQLDRPGPALQMFHNFVLKSKDLPVKNPVDYNFPLYQQYENLDRKPLKPEYLAQPTTITTRANADKIYDLPGLTYNLGFDQWSGYLQGGLGTKLFYWFFQSQNTDPATPVVLWLNGGPGCSSLIGLFTENGPFRVNPDQRTLWENVYSWNKAAHILYIDSPRIVGFSYQNMTENPAHTVSDDDIAPDAYLALEDFFTIFPAMKTKEFYTSGESYCGVYLPLITTYLAQKIEAGVSTINLKGMIIGNGQVSFKQDLRTSPSFSYMKGIIDKTQYDTLADCCTGDDAKGGLYCHYDDFFQSAITLKPKTDLDAQGKKCVAALEKIDLSENSVKWQVTNDAYNLYQDCYAVEGGQFAVSKSKSSYKSRILSMRHSNRIREAASHKTHFGEESETIDWGILDPISTDNTGGYQCWMDDATTAYLTMDHVRTALHVPAQVSKWEECVNLVYTRNKEDMSEQFEHLMNSPLDLRVLLYNGDIDDVCQLQQAQWFVETLASKNGWTQSDKSEWHYRGVIAGYQTSYKTNKKFSLDLLTVKGAGHMVPTDRPGPALQMIDAFLKKKTYDTPVPYSTDRKPLLPQFTRQAVLPGPPTTVKPDDHSTSSPTTTTATTTTTTTQKPDDPKSTSDSPTTFPTTTSTTSTTTSAPTTSIAVGFTSFIFAIFSIVLMH >PPA09032 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:19556:24322:1 gene:PPA09032 transcript:PPA09032 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIVHPLNLRVADMHNSVEAAGEGGRVKRDAIGRPMQYKPFVDEAKRVNYNSTDATHGGYCYGEDARKFYLNQQDVKDALHIPDHFNDRNEWVECNPAVQLHYKQEHNDTTDVFESIFESVKQSGKPLRLLIYHGDVDMACQFLGGQWFVEELAQRNNMEVTTPFTSWDYQQTKYPAVSSIGGYQKSWAHLNGLVTLDLGAGHMVQMDRPGPALQMFHNFVLRSKDLPTKNPVDYNYPLYQQYPTIERKPLKPEYFAPPATITTRANADKIYDLPGLTYNYGFDQWSGYLQAGKGNKLFYWFFQSQNADPAAPVVLWLNGGPGCSSLIGLFTENGPFRVNPDQRTLWENVYSWNKAAHVLYIDSPKQVGFSYQNMTENPSKTVSDDDIAPDAYLALEDFFTIFPDMKAKEFYTSGESYCGVYLPLITSYLAEKIDAGVSTINLKGMIIGNGQVSFKQDLRTSPSFSYMKGMIDKTKYDTLADCCIGDDAKGGLYCHYDEFFTSAITLTPRKDLDAQGKACVKALDKIELSEGIEWGSTNDAYNLYQDCYAVEGGQFAVSKSKTSYKARILQMKYGNRMRAAAAHRAKYGMEADTIDWGTLDPLSTDNTGGYQCWMDDATIAYLRMPHVRTALHVPDQAPQWDECANILYKQNKDDMSAQFESLMNSKLDLRVLLYNGDIDDVCQLQQAQWFIETLAKKNGWTETEKTEWHYRGVIAGYQTSYSTTKKFALDLLTVKGAGHMVPTDRPGPALQMIDAFLKKKSYDTAVPYSTERKPLLPQFTRIGVLPDGPTQQPPTTTTTTPTTTSDAPTTSPTTTTSTTSTTTSAPSTSLAPGFTLTSVLLAMLAFVLIQ >PPA09056 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig173:231326:232211:1 gene:PPA09056 transcript:PPA09056 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLVSKHKKHVTLCALFKHTIVVSAACNNCANDPCPSANFNCTNVVGDICASYPCLNGGTCTAISSNTAFTCTCPSAYTGQQCQVASNPCSSISCTHGTCTPIFSINGSTFYCSQGTRAVCVCNENWQGPKCDQATATRMFT >PPA09046 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig173:182504:183500:1 gene:PPA09046 transcript:PPA09046 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSHQNTITSQSKREEEHPPEHYMRYLSNLSTVTINNGKEFHNVPEYLRQFEMSRRGTPTSTITNRRRAREGELPPDPTLTTPPKPQSKCRKAVAAVLSKHRLVGLRHIALALVLIAYWLAGMMIFYSIEGPNERQESVALGYVITAS >PPA09030 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:7518:9882:1 gene:PPA09030 transcript:PPA09030 gene_biotype:protein_coding transcript_biotype:protein_coding MLESLKSEIVRLLRVAGEASPFTFVRLGEGRGFSSLLWSVSIGNRSYVVKVTDTVSRVDEIAMMISLHDDQKADFALLFGEQHNRELQVYEWFKNRGVPDIPKFFAGTPCKDGIPGVIIMENFASSEGTLREDEGLSPSTANLIPKDLFFSMASPTYKKCVEKVAEKGWMKEHWKSEMLAWCEVPELKRIQNERAEGDPPVTLAHCDLWANNLILGRRGEQVYLLAIVDWQCATVGNALLDVSSVVGINMNPAERREHENKIIKEYIEMISEKCDYTNVKSTFAIDYEQALILYRRGLRFAAIQLALALGTHCDDEMREKTITERLKAILEDILKKLQCDIVSTRLESFNKS >PPA09033 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:24726:44218:1 gene:PPA09033 transcript:PPA09033 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAALLPLLAALGLCADPVKDQVTSLPGVTFEVKFKHYSGYLDGATGNHLHYWLFESQFKPTTDPLIVWLNGQPFIQRVSGPLKENGPFHPTKDGQHLQENVFAWNKIANVLFIDSPVCTGFSYRDQRSAGIRNSSLATDDLVIALKGFTAAFTQFKNRDLYVGGEWYGGIFVPKLVNALLQEGDFVQLKLKGFAIGNGLMRFSDSFNANIDLLYYRGQIGKQAYDSLLDCCTASGQPDNLLYCDFSFFVDISDSGVITAKNFPRPQDANLQECANKVNKFGNDMVFKSTNSPFNPYQDCYTKTAATVNSNPALITSNSKSYENHEDPFFDQGANQFAGSTDAMGGFQCYVDDAMDKYLNLPDVKKALHIDQFAACGDGNDFVETEWDMTAEFSAIIDSGADIRGLIYNGDMDLTSSFLADQWFMEEIAAAKQLKVVADRAEWTYRRGQEFPPVGGGYSKRFGKGKIALDLVQVKSYDHTKVYTSIDAAPLLEEFLQAPAPELSRKEADRIFDLPGVTWKLNFDQYAGYLNGIKGNYLHYWFVESQRDPKNDPLVLWLSGGPGCSGYTALLWGNGPFRPNRDQTTLYENIYSWNKARRVFENQTCDYYDIANVIFIDSPRGVGYSFQNRTENPNLEWNDELTAEDLKLALLDFLEVYPEYKDRPFYLTGESYGGVYVPSTAVKLIELIQAGSLPYLNFQGIAVANGVLSNYDSFNAMMQYQYFHGALGKEDWDSLQQCCKKSDHPGNPAYFESCDFAHAYIEFDEEGSPVPKDGADNICAERTINITNTVWNSKQTPYNLYASCYDPLHFNDRRPELVEPFYKKGFVDQAKLISHESTDSQDGQFCWGQLALKRYMNSPEVQAALHVHINEKTGVVWAGCSQLVGSAYKAQYFDMKPFFRKMIDWGKPFKFLIYAGDVDSTCNFLIGQWFAEDLAKEYDMKVSNQHASWRYERQTAGYAQQYSYKDITIEVVTVKGAGHFTALDRPGPTLQVIASLLDGKPLNTAVNAKLKLTDLLERYAVEEQVGREKDLTNTPTRAKRAAARDLPPPPPQCNKANDLITDLPGLTFDLGVNQYSGYVSAGTGNYLHYWLIEADTTNNNETLAKEDVPLVLWYNGGPGCSSLTGLLSELGPFQNNPDKETLYENVYSWHKVGNVLFLESPRGVGFSYQASDSDPTTLHQYSDTLTAEGSVAALVDFIKCYPEYKNRKVFITGESYNGIYIPTFADLLLQKINSGEVKDVNLEGLAIGNGEFSMIKDLNSALTLTYMRGFHSKDEYESMGKCVPDDFDGPMSTFDFTQYVTFNSFGQPVPHKFDKETLEGFCGRELIQQAFNDVWETGNDVYNTYQDCYQTSPKAKRVQAIRRAQMKQEEADEGGRVKRDAIGRPMKRVNYDSTDASHGGYCYGDAARDAYLNKCATHFVSIFDSVNKSGKPLRLLIYHGDADMACQFLGGQWFIEELAQRNDMEVTTPFTSWDYQQTKYPAVSSIGGYQKSWAHMGGLVTIDLVTGAGHMVQMDRPGPALQMFHNFALRSKDLPAKNPVDYNFPLYQQYDNLDRKPLKPEYLAPPKTITSRANADKIYDLPGLTYDLGFDQWAGYLQGGVGTKLFYWFFQSQNPDPAAPVVLWLNGGPGCSSVNPDQRTLYENVYSWNKAAHVLYIDSPKLVGFSYQNSTENHAVTVSDDDIAPDAYLALEDFFTIFPDMKAKEFYTSGESYCGVYLPLISTYLAQKIEAGVSSINLKGMIIGNGQVSFKQDLRTSPSFSYMKGLIDKTQYDTLADCCTGDDANGGLYCHYDDFYESAITLKPKADLDAQGKKCVDAMNKIDLSENSIKWQTTNDAYNLYQDCYAIEGGQFAGSKSKTSYKSRILSMRHSNRVRAAAAHREKFGEESDTIDWGTLDPISTDNTGGYQCWMDDATTAYLKMDHVRTALHVPAQVDKWEECVNLLYKKNKEDMSEQFEYLMNSPLDLRVLLYNGDIDDVCQLQQAQWFVETLATKNGWTQSEKSEWHYRDVIAGYQTTYSTTKKFSLDLLTVKGAGHMVPTDRPGPALQMIDAFLKKNAYDTPVPYTTDRKPLLPQFTRQSVLVDPTTPAQPSPSPTTVATTTTPKPDDTKSTSDAPTTSPTTTSTTSTTTSAPSTSLATGFTLTSLLLAMIAFVRTMRAAALLPLLTALGLCADPVKDQVTNLPGVTFEVKFKHYSGYLDGTAGNHLHYWFFESQANPTNDPLIVWLNGQPFTQTVSGPLKENGPFHPTKDGQHLQENVFAWNKIVNVLFIDSPVCTGFSYRDQGSAGIRNRKVFPATDDLVAALQGFIVAYPQFKNRDLYVAGEWYGGIFVPRLVNALLQESDLVQLKLKGFAIGNGLMRFSDSFNANIDLLYYRGQIGKQAYDSLIDCCTASGQPSNLLYCDFSYFVDISNGSVISAKTFTDATLNACAVKVNKFGNAMVFKSSNSPFNPYQDCYTRTANVKSNPALVTSNSKSYENNEGPFFDQGANQFADSTDAMGGFQCYVDDAMDKYLNLPDVRKALHVEQFTACGAGNDFVETEWDMTAEFAAIIDSGADIRGLIYNGDMDLTSSFLADQWFLEEIAAVKQLKVVAERAEWTYKRGKGFPPVGGGYSKRFGQGKVALDLVQVKGAGLFTSTDRPAPTLQMIRNFIESAKSYDHTQTYTSIDAAPLLKEFLDAPAPELSRKEEDRVFDLPGLTWKLNFDQYAGYLNGIKGNYLHYWFVESQRDPKNDPLVLWLSGGPGCSGYTALLWGNGPFRPNRDQTTLYENIYSWNKIANVIFIDSPRGVGYSFQNFTENPSLEWNDELTAEDLKLALLDFLGVYPEYKNRHFYLTGESYGGVYVPSTAVKLIELIQAGTLPYLNFQGIAVGNGVLSNYDSFNSRLQYQYFHGALGKE >PPA09031 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:11501:19389:1 gene:PPA09031 transcript:PPA09031 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAALLPLLVALGLCADPTKDQVTSLPGVTFEVNFKHYSGYLDGGAGNCLHYWFFESQANPTTDPLIVWLNGQPFTQSVTGPLKENGPFHPTKDGQHLQENVFSWNKIANVLFIDSPVCTGFSYRDQGSSGTRNNKLATDDLVAALKDFTAAYTQFQNRDLYVAGEWYGGMFVPKLVNALLQAGNSVQLKLRGFAIGNGLMKFSDSFNANIDLLYYRGQIGKQAYDSLLDCCTASNQPANLLYCDFSFFVDISDSGVITAKNFPNPKDTFLQECANKVNKFGNDMVFKSTNSPFNPYQDCYAKTTAVAKTNPAQVTSNSKSFQNNEGPFLDQGANQFADSTDAMGGFQCYVDDAMDKYLNLPDVKKALHVDQFTACGAGNDFVESEWDVTADFKAIIDSGADIRGLIFNGDMDLTSSFLADQWFMEEIASANQLKVVADRAEWIYKRDKNAPAVGGGYSKRFGKGKVTLDLVQVKGAGLFTSTDRPGPTLQTISNFINAYKTYDHDKTRDISTDAAPLLKDFQAAPAPELSRKEADKIYDLPGVTWKLNFDQYAGYLNGIKGNYLHYWFVESQRDPKNDPLVLWLSGGPGCSGYTALLWGNGPFRPNRDQTTLYENIYSWNKIANVIFIDSPRGVGYSFQNKTENPSNEWSDELTAEDLKLALLDFLEVYPEYKGRPFYLTGESYGGVYVPSTAVKLIELIQGGTLPYLNFQGIATANAILSSYDSFNAMLQYQYFHAALGKDDWDSLQQCCKKSDHPGNPAYFESCDFAHTYVDFDEYVVFTQGSAIPKDPNNFCAQRTINITNTVWNGPQTAYNLYASCYEITQHDNTRPELVEPFYKPGFVDQARLVSHESTDSQDGQFCWGQLALKGYMNSPEVQAALHVRQLDKGLVTWTGCSDPVGNAYKWQYFDMRPFFRKMIDWGKPFKFLIYNGDVDSTCNFLIGQWFAADIAKEYGMDLSKNYNAWKYEFQTGGYAQQYKYKDITIDVVTVKGAGHFTALDRPGPTLQVFASLIDGNTLNTTVNANLQLTNLLERYAVEEQIGREKDLSVAPARAKRSAARGDLPPPPPKCNKENNKIVDLPGLTFDLGVNQYSGYVSAGTGDYLHYWLIEAETDKDNAPLILWYNGGPGCSSLTGLLSELGPFQSNGDNVTLYENVYSWHKVGNVLFLESPRGVGFSYQASDSDPDTAKHYSDTLTAEGSVAALVDFIKCYPEYKNRKVFITGESYNGVYIPTFADLLLQKINSGDVTDVNLEGLAIGNGEFSAIKDLASAVTLTYMRGMHSKDQYESLAKCVPDDFDGPMSTFDFTQYVHFNQFGEAIPNSINEHTLEGFCGREIVRQGFEDVWLFGGNDVYNTYQDCYKTSLKADRLQARRRAMSSFCFSITTDW >PPA09077 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:311263:312136:-1 gene:PPA09077 transcript:PPA09077 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEYQKKHIITAAVYITMLLTVFTVQDKLVDNDGVVRLEYIHFIGLSSLEVDFLTASWTVVALSAQLLLYLGWMKSVHVIINPFGEDDDDFEMEWLLDHHTSVLNTLLSKRDSGKQFAPSKGLDVQPNLKLSHTVGSLLRATDRGIKGSMADVNPREDPSEYVATTM >PPA09040 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:108961:121312:-1 gene:PPA09040 transcript:PPA09040 gene_biotype:protein_coding transcript_biotype:protein_coding MVINCGVPGKTRLHEKWSTGPWRAKMTPHSLFPFLQYCGDRQLRSGAWEKWTSKASFDHDFYNNSINIEELRHNNEGLAKTLGYGSVAEHRLANKMAASPDTVRNFLSALTRRVRPVFIDRTEAWAAFAERELIGAELRPADLFYVCRKEAEQHYDSDDVTATIPERMHPDVRVYAVADLSTGEHLGRVYVDPFDRENKRGGWNAMLARTESKERGLDKLVYLIGAAAAPTATQPSMLHHAQLSQLLSTFGRAVQLLLSRSPYRDIAIPWSPFYASDWDAADLFPTFLQMFLYKPTLLQSLSAPHVSTGERLTDEHANNASLALCRATLWESYRTLFWADFDLTIYEMENRKDKFWLDLYRELHKDYFPWKQGRNDYQPCSFTPIFALQPHMAMHYRKLWSEMLALDVHETFDAENDERATGERLKTTLLNRGSGDVAKELYRRFQGRDPSVGAICDFYDPPSFYNMDDSAEPRRQPTCIPESKYCVVNQVGLKMAAASTSATGPAAPDVKIVSEIPDDLRRALLMTVKTFYSPEQVI >PPA09068 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:279206:280165:-1 gene:PPA09068 transcript:PPA09068 gene_biotype:protein_coding transcript_biotype:protein_coding MMDYANMSAIPAPNAFPVEYIDEIGSLRTVIVIGFIIPVIFYFVPFCIIVGAPLAGVSFGQLGNVCSITSSVFPAIDPLLIIVSISRVLEGADDDGDTHFFISKSRWLQTA >PPA09064 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:266712:269421:1 gene:PPA09064 transcript:PPA09064 gene_biotype:protein_coding transcript_biotype:protein_coding MGATFVGIIMAISGVGEALAAPIFGFWANKIGRILPPVYTSLVMSGLGNALYLLLGAFSRPVAPYAIVVSRFLSGAGTGNRGCFRALVACNSEGVDRAKALASSGGAALVGLTIGPAVQLVFNFMGAEGVSLGPLVLSQYTAPAVLAIVINVATAISIKFFLDDSLHIPPKTETDSTKDMVESEDSGSGAVAQWLCARNAIRKVTSSTPPALRSTQTKGDTTPRLSVPLRMDVIAVIICMGTRSIRMLATSNIESIGAPFTEIMFNFTHAQALEWNSYDQTIVGALTIAVFMVYAFTNYTKWVSERSNCLIAITGLFVFYCITMPWPFLPGNVDCARFIGNGTHNWVWCEMLPPLNQWLYYVAYAAIYGICLPMLNNSLQALYSRVLGQGPQGTMQGVNQAVGSVARILGPLVMSSTFSHFDPQATWSVNIVLLAVVIGIWIVAYRRLVPLELRKTGKIHDSRKPSIVPSSNTTSYKKSVTTSQM >PPA09055 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig173:228869:229966:1 gene:PPA09055 transcript:PPA09055 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRCAATCGFCTSTSAQTCADQFNPASCTILRRDCRGCSRETQTTCSSDCASMANYCEMPAYRALVGAQCPATCGRCGNTTTTATKSRPPEAESTGICTDLLNPATGQSDCHLKYGLRAEREQREL >PPA09041 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:123448:126752:-1 gene:PPA09041 transcript:PPA09041 gene_biotype:protein_coding transcript_biotype:protein_coding MQRASSVRQLLSPRVWRRSASTLRPQTSLLRADGKKMTSVEQRRSILFKMGNETPPRVVGYYVLFPVIPDETVENNPFLYNVAKNEDWPKLTATPQQMYEGTVRQLMEFGATTMEHLEYLEKTEGEVRTFESVVEPLLHEEYEVNYIFQTLLLKMLTDWPDCSRKLFDADLHHIKIMCARDHMEKLSSPVFQKAIAELYERKEGLTDWQLRLLEWFLLEIKASGWDKQDDKTRKLIGSWNRFIDEYRSKYIRNVMATNDQNTFTITEPSALKDAPPHVLQQLAVEP >PPA09037 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:60515:61992:-1 gene:PPA09037 transcript:PPA09037 gene_biotype:protein_coding transcript_biotype:protein_coding MEESAQSVTRNSRTLFCRKCEAHGRQVLLKGHAVQCLYNNCLCEKKDRFNFRCATVMSMRAKAIIRRYRRRPSDCSLVLKPVHFRNGNTRLRVFPKSIDDSDGVPIPVRKCPVVVDAPADMWEDESVSSHSAIRTCLNEPSDSTSTFMLKKESSPQLFSREASVSPLMSSTTTSQPISNLPPTDSRSPPAPSDLLSSLLSLPSSQLLAQLTHMHQAPSVHPASETAEPHAYPAENFFGERLTRNLYMFLDCDRSHPMFLEFVLTVQKLEEILLG >PPA09054 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig173:227342:227952:1 gene:PPA09054 transcript:PPA09054 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIQPMASLRSSLLILAISIDRVLTQTCDPAGLAGACLLLIPGDNTSGICPPGYLCLINLPQPECCEEGFVITTTEAPSTTTTAPASTTIPSADCVELFNPVTGISDCSSK >PPA09066 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig173:274686:274875:1 gene:PPA09066 transcript:PPA09066 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFQARRDFNRSPSSVFRVEKAVVEVMKLDSRELKDEKNAVEGEKMNHQDR >PPA09028 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:3783:4518:-1 gene:PPA09028 transcript:PPA09028 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFRLRAARSAQNAYGDEPFLPVEATTEAAPVVTEAAASEAPVDVVVDGATTAIAEIAATETVVVVEGSGAEEEEEVAVTKPAAAEAAPAETTAAPAVEYAPVEVAQEPATENPKVEASGYRL >PPA09049 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:188816:190886:1 gene:PPA09049 transcript:PPA09049 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLSASQWVVARIVYGMLASCAFAGVCSNLALALFGPLIQFQVLFGDGEIDNFTCSMLQLLPEMTLFGECACVLSIGIERLTAVVYFAWILVFSFYPAYLMIAYFEPGRHICSVPAPFHGDSGEKWVRALTAVNSTTAVTYCVVAVAISKRAGVSPAMRQAFRCVFIVMVVDVGGWALCNGFLTIAFALDVSAETQFILLWVAGIFVNFGLAAKASIYYTVSQCELEARNVMCYNKPLIVFLRKISAALKNNTGDIED >PPA09067 pep:known supercontig:P_pacificus-5.0:Ppa_Contig173:275875:276728:-1 gene:PPA09067 transcript:PPA09067 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVKLIIAFVFIIRGAQGVLIRGGQLINSVLEVSTRFVCLVPQNGYTGLNQLEKIFAQTDKVSTSFAILRNAQCIERPNESPWRIVADLPVDLDCIQEFSLILQPNINVIREQANSYSTSDEEAIFVSPATGMELNTGQRRRRVSI >PPA09079 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1730:316:647:1 gene:PPA09079 transcript:PPA09079 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKYEWKELPDDSLLDSLAAAHTAAAAAAQPRPLPPLHLIATNTARDVRAAIHQIVAKIQMLYVWAKQWSVCEIMEFLALVPSHKLKTKLVV >PPA09080 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1731:193:1654:-1 gene:PPA09080 transcript:PPA09080 gene_biotype:protein_coding transcript_biotype:protein_coding MELSDDCSTVIQFPLTGDLAGDVDPNTTAKPSINKRRGVVDCLEIENFPSKFVFKKGAPPLWWPENVVFGSFHKGRNASEKGEVSADMGAVLSSYGDYSRRRADALENMEWDTALPMMKRLRLKEISYGEGKSAMSTIVKSRDEPEIATQSNLGGREEERENDEGNNEIGEELEERRGDEEVMGQEPRTAEEMVSIVGEGRAEGQENI >PPA09081 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1734:282:1024:-1 gene:PPA09081 transcript:PPA09081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EYE9] MAQSVPPGDIATQPGTKIVFNAPYDDKHTYHFKVTNSSARRIGWAFKTTNMKRPGVLDPKENALIAVSCDAFAYGQEDTNNDRITIEWANTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA09082 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1736:148:931:1 gene:PPA09082 transcript:PPA09082 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPRQKKCFLCLESAPQTRRFPQSSKPDEQLEWLLRQNRDEEGFQQLLNRHRTMRPDAITPLPLLTVLLRTSRPADLIHQSQFSFTTGSQSGNDNSSMPPSPSFSALDTM >PPA09084 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1737:1162:1505:-1 gene:PPA09084 transcript:PPA09084 gene_biotype:protein_coding transcript_biotype:protein_coding HSAAEKEKQILDLKKALAASQDHDDKMQRERDEYYAKWDKLVKEQLGRDKRAAAVAERERRQQEAIESGVGSTDLAAAREWRDRDDSGEKG >PPA09083 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1737:73:641:-1 gene:PPA09083 transcript:PPA09083 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYLLREEYVERRLVSSKPPYGGCANNQPRNHNNRGVSNGDRDRMSKIKCVCCQGIGHVELKCPTKGDTRGYYTDTQCYVCGGKGHTAKACISDPSTKFLWRAMSGQSEARKDSVTQPPSRYPGPGANVSPPGNGGYSGGRRGGNSGSGNAHNPTVRMLALRCEEARDEVDDKR >PPA09106 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig174:152609:156480:-1 gene:PPA09106 transcript:PPA09106 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNNSDRSEMAILKKKGASIDSYSIARSYQLKENLDLIKMFGRILVPFIVCSAEAKKARLQARAEARAAGKKEEVTKRPNMVRFGIQNVTRAIETREVTIFVG >PPA09117 pep:known supercontig:P_pacificus-5.0:Ppa_Contig174:230815:234166:-1 gene:PPA09117 transcript:PPA09117 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAAVQCLPCGKKLVIRDMSSHIHEHIQYFPQSCKDCDFRCVNKSALDLHTFETDHTGSACFDPYKQWLVDTINDDCRFAAKFGVDELLKRKNITGHSPTQPSPIVTPAQQHQRRTSTVVPPLPLRYVALSSSDSDDEPQQVAARPKVPAVVVKRNSGVQSRRPEPPAKEKSEADDSEPSKDSDDEEIVKLRQELNNTVKCRLCTFKKHFVESHGSGTNWKLSLGNTRLERDFPAAFAEHRRKIEAISRLLFPLDLPLRILDEAKKKGKSRGGDYDDDNLLKEISASVAAAPSVSDVQNETRVNRSE >PPA09112 pep:known supercontig:P_pacificus-5.0:Ppa_Contig174:189990:198044:1 gene:PPA09112 transcript:PPA09112 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIDVPCASQPLPNLSGYIIINFRGLPEDFKALTNTNDVRKRIERLVKEIAEAQEHLGKVQAPNLKAGDRLDSVKDSEEKVNAQFENARKKAKKVRTSFEKIKTDRYRRFQGCFEPVAQKIDEIYKQLSRDPSAQAFLGPDNTEEPYLEGIQYNCIAPGKRFRPMDNLSGGEKTVAALALLFAMHARNPSPFFVLDEIDAALDNTNIGKVASYIVDSARANMQLIVISLKEEFYNKADGLVGIHPIPANCTVSGVLTVDLTPFKTSGTLLGSVVGPLAALVRKTCPKTGLIMGGARGALIGAFVGPVVTVATTRNKTEPEIIDRCYRLRHNEGQLWVDRSFVVAATLGFMAAGTAGLVAEAMSAYWFKNFAGLKQTDFEMLKVPNAGAEFCIHVTLRSIQTGALLGSVLGPLSVLAFRDGRAQAKSVRDAFVEGGRNGALIGAAIGPVLTYMALRDMSSAKLYDKCYRLRFDREQLWLDRSCVLSAAVGVLSNGTAGMVVGMDLALLFHSIGGMVVS >PPA09090 pep:known supercontig:P_pacificus-5.0:Ppa_Contig174:29071:32415:1 gene:PPA09090 transcript:PPA09090 gene_biotype:protein_coding transcript_biotype:protein_coding MGWQMAAIKYEKDRAANTLLPNYNTYGRYDVPSYYESYWQSPEERYYTGLFIIQILCLISVFFLLFASIALIYGVHTWSRYLMFPWFICMLSAILTSLAYCIMWWAGDVRDYWLALTILEIIGVIINIYCLVAVVVFYRRIQAETDYYEGKNRSVVYRSNRSVRSSRRDLLENQFDSYDGNGGPFPEHQRLDRARNPQNYPNPYAPQPAQPAYLMNPPNNLYNQQPYQPVLKAFPQPQPTHPPVPHGPPYPIEGYEKEPGRAGRPRSASTLWEADDPVGSWVKDQQEIGGRRPPPPHDEANSEPAVGTPPVRNLGDLYPLKHRAHGQRSKINHLLFQHSRSVPSMYDDDHGHKRRSRNRGGSVSRGDFTSAYYSSESDDDFSRARHGSSSHHRRRDDYNRRRSTESEDDRTERSERRRQRRDDDTGRRSRTGDRDRRGARDEEKDDFPLSGGLTIPQHIVIPPSAGTRGPDGKLEPQKYQINSEIVIKYDKEDDGSVRVIPASSQRQRPLPQTPNSQNRIQSTF >PPA09094 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig174:81236:83225:-1 gene:PPA09094 transcript:PPA09094 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVFATATGAAVSINPESLALARSTLSQAPNFADEILSQSPLKSAASGAPVPVDPESLKVIKRKFADYDEDVNQSPAKRQPAEELDYSSLFRRAGSGKAIKISDSALSAAKKMVGGADENWDCNHEISDRAFIVSAAKKMVGGADEKDMQYEIR >PPA09104 pep:known supercontig:P_pacificus-5.0:Ppa_Contig174:141656:146677:1 gene:PPA09104 transcript:PPA09104 gene_biotype:protein_coding transcript_biotype:protein_coding MALLNPTPKDQIYGRTCYARKFICFQSAEAKKARLQARAEARAAGKKEEVTKRPNMVRFGIQNVTRAIETRESYKCNAYNSVERLNDTSCGDLHGETDYVIVNVQVPRIIINIFQETPAWTLNRIIGNIGGLGGVSAEAKKARLQARAEARAAGKKEEVTKRPNMVRFGIQNVTRAIETRETTKSRIGRIITKRYGNKKIYASSSISRDNFLPIKEFVKEAYLANAQRKATEIVDDIADKFDEKITVAVLRRIRISIGLEKANRRYGHSVRMANRQPRYTFCRLHLDLGTMFLTHCFTDESMVQSCETGRFVYILKGDNSRRVQPRHKHPASLMIWGGISWEGATPLAIIRRGTKAQLVLIAHDVDPLEVVIFLPALCRKFRIPYAVVKGKAALGTVVRRKVTVPEWSDLVKLGVTKDIGDCEFGRSDFGK >PPA09093 pep:known supercontig:P_pacificus-5.0:Ppa_Contig174:61927:80496:-1 gene:PPA09093 transcript:PPA09093 gene_biotype:protein_coding transcript_biotype:protein_coding MREVLQTDASEQPEKPSESSSSFTSSMLASTTPLRSTPQSVLLQQGGGGRRLRPQQQHPSAAGAAASSSFRAPFNSPFRPPVVAASPTTTAAAAGGFSSPLVARPAAAAAATTPATATASPLSRMCLNSPASGSSSAAGVSTITPVAALSPNSRNFTIRVRVTERSGAPLAYGPHGEGRFCCWLSDAADGGGGMKEEAVLDAPDASQPAARLEAEAGGAAAGRAAAQLQYGKIYEIHSARLCVRRPSPDNPRGIVMFLDERTKVTEVLPTPAPSQRPRHRSGLLPLSDVAKEGEIDVAGIVRAVTRSAVGASLHKGAPSHSSSAASSITAEGVACLEVTLVDQRASLTVLLQHEYAKVELKPGDLLLVYRASARLVNGSGVLRVCAMRGRTKLLLNPETEDGRVGALGRWARGGQWAAAGAAKMRSIASIAEKLREGGEGDAPLVTKAMISNVDAAAVETTEECQGCGKKITKDTTMCNCARDAGIARRLQLDASHIQRTALRKTLRC >PPA09101 pep:known supercontig:P_pacificus-5.0:Ppa_Contig174:115676:116695:-1 gene:PPA09101 transcript:PPA09101 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDALKEVMETIHAVTIKALTNEQWDKENLLVNGQGRVRLVQQARLQVLRVKGGGRLERLQGCRMAWELPIQRGISLPVAKVAIAAAYVRRYTRQCPN >PPA09116 pep:known supercontig:P_pacificus-5.0:Ppa_Contig174:221816:229891:-1 gene:PPA09116 transcript:PPA09116 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQPSGSKKCAAAVQKPRASVKQEIREEDQEEDSLDSSRATVAGATVNSKKNGEVVVKKEEDVKQERTATTTTTTVPQRSVVPPTVLRRTFPGIFSLAPAQKKAEPAVKKDEDAARSSRAAAQAASSRALASIREGLERMKVHAHGEDEAFGMENERLVAMKGSKTHATADKYRTSAADQASLRPFYERFKYETDERDEDVYNDEYDDGYEEKAFKTDPLTNDIASSDEEKDKAEERGKGGGMERGGARGESRATGELRVVAEAIPDLQPLRPVRPAQQLQLPPLPRPPQARYTGGRQRQVQERNKNKFRQRGADRKAARGMI >PPA09100 pep:known supercontig:P_pacificus-5.0:Ppa_Contig174:112341:113929:-1 gene:PPA09100 transcript:PPA09100 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQRGLWDRNRFRREVEWERENDSLPPRPPAPLHVSQETTRVVISGPLLEEPVGEVDLKELAVGRTPQDEWHILGVFPGKHRENYGQ >PPA09113 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig174:201970:204155:-1 gene:PPA09113 transcript:PPA09113 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRCDIYSQKLPSGLEEELDAYDLEFRRVKNNRCLNWMRASGRTTSLGKVSLWSEPLDLPARLCTSHKAKKTQDWLKAHVPDYIPTSEWPPNSPDLNPLDFSVWGVLQAKVSTTKYKNRDTLKAALLKAWAELDTNYLRELATAYERRLKACVKAGGGHIEIR >PPA09114 pep:known supercontig:P_pacificus-5.0:Ppa_Contig174:206012:218235:-1 gene:PPA09114 transcript:PPA09114 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-apc-2 MVFRMELQARLYVTIKALYRAITITLEVACAIMKDEIDTSSARRGARSPSFATYQDSDVTVYSGPGEIPPMEAMEGLSLGASEEEVKQVKRKAEERVLLKRIRRLFEDLNRGSSLLWGLSHAPAFAVAKKRAQNIVDDSVMVVADCDLYGSIQKELNYLKTFLQWFATNWPYTKSDVVTEVAARYDLELDEEEQRRPDRRRIDVMIDIDELVERQTIDRLAHKVYQLTIEDYPRTRKTVELLRFCLDRQNHYGRDRLVNTLIRDVSVKLLHVGTPTFSILSAYASAVESLRLLDSSCVIMHRVCKVIKDYIKRRPDTVRQIITYITTEKRHDINFSKQKHSTVVVDEDDVLGFNDEFLPGGDSLSDADDAPRWERWQPDPPDAQPGESCRFRKSADVFNMLVSVYGSKEMFVKEYRQLLAERLSNHLTRDPEWERKYLNLLHLRFSDGELQQCEVMLRDVEQSAQVNSRAKMSAPLIRGHIISSHFWPNGEQEKGVEVG >PPA09105 pep:known supercontig:P_pacificus-5.0:Ppa_Contig174:149127:151243:-1 gene:PPA09105 transcript:PPA09105 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSAEAKKARLQARAEARAAGKKEEVTKRPNMVRFGIQNVTRAIETREAQLVLIAHDVDPLEVVIFLPALCRKFRIPYAVVKGKAALGTVVRRKVTVPEWSDLVKLGVTKDIGDFPRRIMASGVAPSQLIPPHIIN >PPA09091 pep:known supercontig:P_pacificus-5.0:Ppa_Contig174:43432:46701:-1 gene:PPA09091 transcript:PPA09091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nipa-1 MAAGPSTAASIMDANNLSSGGVEGEDVTGVHSTLSDFYIGLGLAVSSSLFIGVSFIIKKKALIKLASGDCTTRASEGGYGYLREWLWWMGVITMGMGEACNFAAYAFAPASLVTPLGALSVLVTAVLSSRLLKERLNLLGKIGAEIVSLEADSWWSTLGNVDENRQAIVMMSIRSQHLLL >PPA09115 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig174:218758:221412:-1 gene:PPA09115 transcript:PPA09115 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSTMSTLRKEPMEMRMPNPFSIKLSADRARYTPGSGIDSIDPGPDALVFKYLPRDAFESPSERQEYGRDVLVQIVRDWLQPRLNEWARRMADSAARLPWGIVEYAEMTMATLWNLHLVLDETLMAIIRSSAAYGKLA >PPA09109 pep:known supercontig:P_pacificus-5.0:Ppa_Contig174:168088:171692:-1 gene:PPA09109 transcript:PPA09109 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRSLTFNVLFLLSITSCEVVKDDVDDLPFGPNMQSASSYRLSPSLHPLHYEVTLNVTVKGRNGAEQSTATGIAAINFRIDGNASNLVEIHAERFKALNFLSLSSTKGETFNPKSNHYDDYKRTNVWEMDKPLKAGEEYVLKATFSFTLIHPSDLNARSNAPVKSTEKSAGNITTTVFSMTARISPYLFSWSLTDYPLASSKVNGVTVSVIAKQTELELKASLEHAIFAAKSYFDALSINANFVFVPDHGSAMENVGHITSDILTISDRRVYVHELMHQYFGNLITLSWWDEVWINEGITTMYEIDAHYGIGTKEAISDLRMRRALHIKHDSLRNTIALKNEVNTEVESWANFDKSYSKGSVVLFMIRNLVGEIAWKKGMEIKRDGRKIELSQTRFVRGFFEGPDKWNTSITTLWRIPVHLIVYYRAIYDASIYQQIHNANLGEEETDLILIDLLFGSFSGYAKPSFALDIIYEALKMHEDIPMRNKIYAVLKPMLSLDLKMHLTIFGLLTDGRHG >PPA09096 pep:known supercontig:P_pacificus-5.0:Ppa_Contig174:87238:91426:1 gene:PPA09096 transcript:PPA09096 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLLVYSTQVPYPILASTSPSDVRTAIDTLADAREEARLAREQSTAFRARAADSLKVYCTSYAPEAYIMTRLAREDSALMIPSTCAVAVGIESMNASRTHHAPEAHIMTRLARQEFAPDLPYPTVTLPSQAAEETDAIASQAEAEVAAALDILDRAQKRAKQRARQVNSPIGGVATTVVPSDISKDVVVYTDGSVIPGAHSAIGVFFGAGHPLNAGVALRANRHDAGLCQLAAIQCALRLLLNNPETRARPIVIRTDYKNVLNSNRHESDRVFRAEYERLRNLIEQFPRGVRYEHVEAQRGTFGNNEAHRLARDALRSSVQAANPRTRTNSTASARAKSVDPKGSQKSKKSARSPRSVAQPGSRSSHTASMSTKSARSRTSRSKSTAASTARSIVPTAAATARSGPTTANSSRSKRAISRRSANKSTAASTARSIVPTSAAKSMLTSSLSDWRSKIKDHIHGSKIIYFRPRNVDRSQHSARGHCSQRPFE >PPA09111 pep:known supercontig:P_pacificus-5.0:Ppa_Contig174:177943:187169:1 gene:PPA09111 transcript:PPA09111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-him-1 MVVGADNRNQLYSLELQDFKSYRGKQTIGPFSKFTAIVGPNGSGKSNLMDAICFVLGEKANNMRVRKLTDLIHGAPIGKPVATKCHVSMNFIDINGKHRIYTRRVVHGGCEYQIDNAGVTVQQYNQAMEELKIFIKAKNFLVYQGQVEQIAMKNPKERTAMFEEISRSVEFANEYERLKNEMLKAENEAQSNMNKRRGIAAEKKEARLEREEAEKYQQLKEELSNKQCVHTLMELFEVERQIKQTEDELGAKQEDLNGLMDARKKAEDDQTEKHKEVKKHQKDLHKLEKAAAEKEKEVSTKKPQWMQINEEMKHHAKKAEQAVKILAAAKKMEEKNTHTLKDLQEQEKRKKREKEELRKQLEEEGDSQNLNLNQDQIEEYIRLKKLASGRSGAIDMELQREMKDNEEDRNRIAFEEKKLESMKTQKKMKEEEKNKKENQLHSIDDQIAHTEETIKVAENDAKNADSEVRKAKCEMEKMENQLKDITIRLQDAQGDTVEGEREKKKKEAIENLMRVFPDKVYGRLLDLCTPSHKRFNLAVTKLLQKHMNSIVCDTDTTAREAIAYLKEQRYSTETFLPLSVLDVQPINERLRSLKKPHGVKLLYDVIQCPNNTVKKAVQYACGNALVVEDADGARYLAYGEAGERHKAVALDGTLFNPSGLISGGGMDLKRSAKRWDDAAVKKLKSERTDILEKITTIRKARMKELDIEMKNSHLAGLRNKVKFMQRDKEMWANQSIPALADQLDVMQSDIDNITIRISEIKERIESRNKKIAKLEKDSAVVNDEVFSDFCDRIGVADIREYENRDMKFHQEMKTKMLEFDNELDRIKNEIAYMQADERKSKAGSEEEKLKSLEKAANAKKKEEAKLKKALEGLEEDLSDIQMKIKSKRLEVEEMEGELGELKKVANAAGRDVDKAEKAMTAHETTISRRRAERHRLLRDAKINQISIPLSSGSFADVDADDEEDDDGEGTSNEQLKSVDKMTAPIYIYINWRGPI >PPA09102 pep:known supercontig:P_pacificus-5.0:Ppa_Contig174:120515:124058:-1 gene:PPA09102 transcript:PPA09102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-drr-2 MNATSNFAENLLNIMGDDQLKAFVGNLPHDATPSDIDTILLSAGIALEEIRTFNIHMVRDRETDAFKGFAYVEVSEKEHLDKILSLNGVEFDGRTLKIDNKTGRGGGRGGRGGRGGRGGDRGYGDRGGRGGRGGDRGGRGGYDGGWGGRGGGRGGHTFEGRGGFVKHESDFEVVKGRGHGGPHRGDRAGYGSRPERAEVPIGSGDDSGRPRLQLTKKVMDPKEAEEKKRKEQEEEAARKEKIFGH >PPA09098 pep:known supercontig:P_pacificus-5.0:Ppa_Contig174:96938:102719:-1 gene:PPA09098 transcript:PPA09098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gly-11 MFHANVLIFLDSHCEVNQHWIEPLLERIKGDRKRFVTPIIDIIDSDDMRYIGSPVCYGGFDWALTFKWDYPPRSALVNETFLISPLKSPTMAGGLFAVDRNTFFEYGGYDDGMDIWGAENVEISFRIWMCGASLEVIPCSRIGHIFRRRRPYGTNQDTMGKNSLRAAVVWLDEYFVSLKWAEPDHPCLQPKFIEARPHLAATPYGDVTSRIELRQRLQCKPFRWFLQTIYPSLLPGNEPLMTDLQDPLVANEGKYMNRHYIQIRMLNSSLCAWIDSSSSHISPGSRLTLRACTSHRMDTWKWTMNKELRNMGSARMCLDSLVNLSLMKCHNQGSHQEWHYTKDGKIYSAAAKKCVHFSDGKAAPAVMEYCALATQFDILRAIVV >PPA09092 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig174:59670:61232:-1 gene:PPA09092 transcript:PPA09092 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFRPFRVDISDGTGMVRATAGGAVAEALVGVATDDAVEMLSTPNGLSIVDILPVPYAAYSILIGNKLEIKRAP >PPA09118 pep:known supercontig:P_pacificus-5.0:Ppa_Contig174:235627:239012:1 gene:PPA09118 transcript:PPA09118 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTGNRKRLAKKVDVIDLSDDDSDGKQPLAKRQSLPGPSPPAASPKEVELQEKVAKLEDMYKRAVIATGQERAARYAAEGLLDSKRGILENEKNEVEKEMGSRLAELSKQLDDARRWAEESYSREILLTKKVLELNGELDDTRRKMEEQICEKEVLSRENTALKEELDEANGISNRRQETIDKLKGELAAIKKQFEAATAQRELPTKGKLAQSNGQQPEQQNSFEKKLKKKKAKTNKTQDDSIRSTDQKDNSSIDLFEQGLLLSNQTISFDEARMKIMHKFKTTVILSWPRIGSLL >PPA09085 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig174:981:3817:-1 gene:PPA09085 transcript:PPA09085 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSDDPLGRGSVDNVEPELWARKEGETANRLFVEDVLERREIQGVTEQDVKQGLLRGACQHINHCKVGGKTMVFSFGKDIPRRLHAIYADLLGEEKEVNRVFGGFLSFVSLEEVLGKGRNEYRSEYFQWKEVCMETIEEEARELRKKGAKILIVWPGRNASYKELEGLMEKLKLASEARCRVVVIPPPLNMSTEWEEDVPLIERWVSLNATSAQNVKIMIDSGRYNSRCLNMDLFHITMKGGRDDYERFATAIYSGAKWKIEKEKGEARIRDCLLNTGIGRIMDSGEGGETDRRGTDVKEERIDRKKNEMERKMDGKEERMDRRKNEMERNKERGTWENNDRKRRMDGFEWGGKRERSTNEGHDRLLVLPEHEERCQFSNKTLEEQKTELASLQVPKVIDGAASKLSKIRVVRKKIARGKKCLPTDIRCKKTVRRALTEHETSIKSAKPLAKTRKYSPKEPLNKTLEEQKTELAKTRKFAVKAQSVIVTCYHLVLTV >PPA09103 pep:known supercontig:P_pacificus-5.0:Ppa_Contig174:127039:137715:1 gene:PPA09103 transcript:PPA09103 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPSSFNEMGDKDLRDHLGWVWYERYVDIPLRVLANNRVFIRMDSVMYYAIVFVNGQEATRHEGGHLPFEAEITPLLAPDGHNKFTIAVNNTLSLDTVPQAEFVYNKKEWNIIDGRNTSRYPDGAFTYTVPFDFFHYSGVLRPVNLLIRPKTFIDEYKIDTNSKGEFNYTFTVDGEDRKKVRSTVRVKDADGNTVFESKELVSSGQVEGAQLWFPRGMGAPYLYTIEAELRDSTGTRIDVFRETFGFRAVYINGKHLYCMGVGWHEESPIRGRSFDRVMMSKDLSLFDWLGINCFRTSHYPYAEETMREADRRGLAVIVEVPSVSPSKFIKKSQAVHASLLKEMITRDYNRPSGMVNELSGVKEPDTGLAPPALWDIAADKQAMQQEQRLQVARCTKIIIAEGQDHRRLLLRMIANSMVTTRNTTKITNCLPTCSPLIDLMHKLDASRPVTLVHGQTRYWNDYLSDLLDVICINRYYGWYIDMGVPPEQIESDLYYDISMWREKFKKPIMITEYGAENIPGVLAEPSLAFSTQYQQEVFQGNHRALDRLRKEGKITGEMPWVFADFMTYMSTTRVGGNHKGLFTRDRQPKEIKFQKTYVTTVLY >PPA09099 pep:known supercontig:P_pacificus-5.0:Ppa_Contig174:103995:104669:-1 gene:PPA09099 transcript:PPA09099 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAAREAIERREEWRRAKISLHQTPKNEGLIRAKIFGAKMAKGECV >PPA09095 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig174:84147:84542:-1 gene:PPA09095 transcript:PPA09095 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFSFKAAGHNRSIIDLRSLIAEEEKYLQVLKNAYFKARKKRDKDSIMAEIREMAKEIHAEKQELPLSNAI >PPA09087 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig174:13717:16433:-1 gene:PPA09087 transcript:PPA09087 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDKWKMFQTEQFSPVHRINGYPWRLRVFKLYCNDSYYMSLICDKSSEAELWICTATFSYLNFKRTHTFNSWRILWGPICQKYDDKFREGFKIEVSILIEDDGESWRLRPTVDFFAPRDRILLIGEEKKKIYVNKESLASQSTYFECLFNSDFKEKNMAEIPIGDVEYEEFFNIIKIVYNRDDASLTDENVYRVLELADRFDLNIVFDRVANFLLSSSSLSRPQKLLISCTYNPILKVFLLSGYFTKEELFDVVTAGTFAMNHLTDCN >PPA09088 pep:known supercontig:P_pacificus-5.0:Ppa_Contig174:17347:19567:-1 gene:PPA09088 transcript:PPA09088 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDKWTMFQTEQFSPVHRINGYPWYLRLYKTYSNGSHYLSLICDKSSEVELWKCTATVSFLNLERTHTFCSWDSHQMRVCRDFDTFHEGFKIEVNIETEDDGKSWRLRPTVDFFAPRDRILLIGEEKKKIYVNKESLASQSTFFECLFNSDFKEKNMAEIHIGDVEYEEFFNIIKIVYNRDDASLTDENVYRVLELADRFDLNIIFDRVANFLLSSPLSLPHKLRISYKHNRLFEVFLLSGYVTKEELYCGGGPSSLWRFGFGGVGRRREQQFGIRAARENQK >PPA09089 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig174:20225:21525:-1 gene:PPA09089 transcript:PPA09089 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDKWTMFQTEQFSPVHRFNGYPWEAEFEPSTSFEFDGIRNIRRHTFCSWDMLWRPICHDYYKLLQKGFKIEVNIETENDGKSWRLRPTVDFFAPRDRILLIGEEKKKIYVNKESLASQSTFFECLFNSDFKEKNMTEIPIGGVEYEEFFNIIKMVYNRDDASLTDENVYRVLELADRFDLNLPTFSSHLPPYPVLKSCSFRAHTILSSRFFFCPDMSLKKNCVYPKI >PPA09108 pep:known supercontig:P_pacificus-5.0:Ppa_Contig174:163327:164410:-1 gene:PPA09108 transcript:PPA09108 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRHPRRGRVGRGRRRGRVLAPAPSAEAKKARLQARAEARAAGKKEEVTKRPNMVRFGIQNVTRAIETREVTIFVG >PPA09086 pep:known supercontig:P_pacificus-5.0:Ppa_Contig174:6348:12163:-1 gene:PPA09086 transcript:PPA09086 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRPICHDYYKKFREGFKIEVNIETEDDGKSWRLRPTVDFFAPRDRILLIGEEKKKIYVNNESLASQSTFFECLFNSDFKEKNMAEIHIGDVEYEEFFNIIKIVYNRDDASLTDENVYRVLELADRFDLNIVFDRVANFLLSSSSLSRPQKLLISCTYNPILKVFLLSGEFTKEEFCLEGRMPDPHVDQDPTSISILVENWADDQVEQFSSIYRINGYPWRLGIIRSGEDTRNVYLVCDKSCESELWQCTATIMSPDVNVLPSLASQSSFFDKLFNGDFKENNMNAIFVEDVEYEDLSNMIEMVYGSDGPSLTDENVYTFLELADRFDFKIVEDRVISFLLSPYSSLSIHRKLLISDQFNLPFLKDNVLKRYSKAQMIDLIESAEFDQISHDYLQVLFNKYSMDAFQLYRTSRMNWQTSQIPVSSTPFDRNESNRISMIVKDFDCGDIEQFSSIHRINGFPWRLSIMKSPSFKDSFNNSMELEDTYYSADVHLICDKSDEAELWKCTATISSSFYRYESKLFASWDKDSHVILADCQINVGSVVEVYIATDDDGERYCDFPSLDPLESHDGILFFENTEKKIKVNKESLASQSPYFDSLFFGNSKEKDRRKRRKHKRDKEKKNEEKNKKEKRRKMEKDEFPVGDVDYEEFSTIMALLYGDDDNATVNCNSAERLLQLAARFDLRLVEDRVVPFLISPSSSDISLPKKLRISDQYDLPLLKL >PPA09110 pep:known supercontig:P_pacificus-5.0:Ppa_Contig174:172291:173749:-1 gene:PPA09110 transcript:PPA09110 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDEDDSEEEEAEDPMDAVESLEQYWKYTKSFIANQEAGEVKAERMLNIYRMFQSPSSQQISMDTVVAFLSRKVKLGLLTMNNGMYKVVKEADGKVKG >PPA09107 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig174:158124:158797:1 gene:PPA09107 transcript:PPA09107 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLCAEVADTMDASIFTNLIGKFNALEYYFLSVGKFMRLGVMGNNARRDH >PPA09097 pep:known supercontig:P_pacificus-5.0:Ppa_Contig174:92882:93884:1 gene:PPA09097 transcript:PPA09097 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTANSIKSVKSVKSGKSVKSQPGGVAGQSTVEKSAKSSRMAAKSGASMKSVRSGRNKRLTAKQPGDVITARASTPGKSPTKSSAASKKSVKSTRSWAKRPRTPAPEAKKVPNRNPNRRSGSASKSVRQTTARPAATGSPNSRHSASSFLVFVPT >PPA09119 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1746:99:1469:1 gene:PPA09119 transcript:PPA09119 gene_biotype:protein_coding transcript_biotype:protein_coding MCDEEVAALVVDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQSKRGILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVTHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDIKEKLCYVALDFEQEMATAASSSSLEKSYELPDGQVITVGNERFRCPEALFQPSFLGMESAGVHETSYNSIMKCDIDIRKDLYANTVLSGGTTMYPGIADRMQKEITALAP >PPA09166 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:211445:214215:-1 gene:PPA09166 transcript:PPA09166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cyn-11 MTEYDKLAENLRHPDNPIVFMEVTAGGAPIGTITMELFADVTPKTAENFRQFCTGEYKKDGVPIGYKNSQFHRVIKDFMVQGGDFVNGDGTGMQSIYGAKFRDENFDLEHSGPGILSMANAGPDTNGCQFFVTAAKCDFLDKKHTVFGRVLDGMLTVRKIENAPVGAGNKPKIPIVVLLGEGAVGKSSLMLRYVQGQFNPRHISTIQASFLAKQLVVDGADIDLSIWDTAGQEKYHALGPIYYRGSHGALLVYDVTDAKSFDKVKMWVKELRRALGEDVVLFIVGNKVDLADSRTIETEMASQFAESVGATYEETSAKENIGVENLFELMCSGHIFIYSQ >PPA09137 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig175:72066:73581:-1 gene:PPA09137 transcript:PPA09137 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFTKYAYESSREWDRYEKGMTTDVKTSERERKEGAYAQHVRIGRQGPCSEPPTVVERIQPTFYATNLQVEWKTHNSVKVKWDYKGPTPVIFRLNATGRKEYLDQNLEVKNLQAPGAARDIESSTIQSYLFHSLRAAMNYELKISVVANNVEHWANRVTVWTDPQAPTVIETPTVDHGRVANGMARIRLKPTSEEYGAISHYWIIVVPGNYTRDTIMHLDNAALVQTSKEKRQRVTSNVSITPAKKMKRAREGEEEEEETERKRRKREDSSLEPVSLSSSSIRRSRSLPTLNGPYITAEISANEMRDKFKSDDFFIIGDDNTNLFSCIVPLMAHSSTSNWRTISSTKCS >PPA09147 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:117892:120519:-1 gene:PPA09147 transcript:PPA09147 gene_biotype:protein_coding transcript_biotype:protein_coding MTILRCHIISAIDHGRLVLRDEGEKGIQSVEALNVAATVLLSSASRARLVVAAVALDIANHKKLRSLAEWPQLNSLLSRLETLSRPRRLIARVCDCSFLYFHRHLFRLYFGTLLQERPPIEEITLTFLAITDCAVLAKRATERREEKRKDEEKKDGILRSFQREIWTALREEFMSGLCGEIENDLRVLSHKHLKVDERDKTPAEKHAFFTREILRKDFVEEYLERTFYNLTAVSLHDAHTYTKMAMMAHFRYGLNPLDGRLPHSIVNQGLDVVNVMKALNTFTATYNYDLYHNLFVEKRSSSRTLHILSWEHISNSLRMHGMGVVNTSVNLAYQLLRKKLSIVNEFLDDEHVKAMLSGETKYFEENRKQLKKMYPVKRAERLKNAMCELGRVGGEEGETYFDRFRVTITQLGNALGFVRSMKAAADLVVSDALVYDREEETTESEQAEKDDGTEMIRKLLKENRDQDARNRQYIEFSTIGLIRLH >PPA09154 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig175:157544:157783:-1 gene:PPA09154 transcript:PPA09154 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRVISQIFTRHKVGDGTRKEKRVESCQSPPLLPLPLPPRADPSSLFIHPSFSSMRSISFTFDLLL >PPA09127 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:36987:38524:-1 gene:PPA09127 transcript:PPA09127 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCNLQKLVQNTLGQKTEKFLQLPEAIRLCDERNVRMTEKLTNLLTPTKESYPDLPTRKRILCSVGELCVAQGAYQAAAKKFAQAGAMVEAISALIRSSDTSRIMAFASIARNKEVYIMAANYLQTTNWREDVELCKSIENFYNKAQAYDHLSGFYMSCAQMELDEVGALPQAIHALEEAEKVAAKSKEPATLINIERIFEERRNPAFRSFEIDPADALRQLRGAQVGPNLNLPETIAVMVKALAHDSKWKNAWSCIEELIKRCAGSKPTQFVPRIFLDQIADEVGQKRLDLGGNEGDSDDGELEEVDFSHQMKRQGI >PPA09136 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:66426:69990:-1 gene:PPA09136 transcript:PPA09136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ptp-3 MMENSHKLWGDSDVSDPFTPRSTGMIGRGSSGSASQIWLLGPIIALLSIGLLIGLLVCWWLRCNKKTVGRGSMRHHGSITKVALGGHHLNGNGMVGETSKLLNTDYGRMPVMHPYDQMNGNNSTSLDHLYPLSSSQSRYPHNGTNGNIYTTMPRLPSSGGPTGGHSLSHPPVPITELASHIERLRAKDNAGFTQEFDSIETGQVFSWDASTADYNKHKNRYANIPAYDHSRVVLSGQSGSDYINANYIDGYERQRAYIATQGPLPDTFEDFWRMVWEEDSRTIVMLTNLEEQNRMKCDQYWPSRGTTIYGDIEVTVLETTQLAHYTMRKMCIQSPGEMSRDIYHLQYTAWPDHGVPDHPTPFLIFLKRVKTLNPIEAGPIISHCSAGVGRTGAFIVIDIMLERLRYDHTIDIFGCVTALRSQRSNMVQIDEQYMFIHDAVLDAVLSGSTEVPAKRLSQHLNGLLAATMDGVNPLEQEFRQLNIFRMRDCRAHDANLPCNRHKNRSMAVVPFDANRVKLQTVNGIEGSDYINASWVDGYRERFAYIATQAPNCDTTITDFWRMIWENECGIIVCLKMGYDGNGREHSQSRLEYWPSDRGVQCGILVIELIAEYDMQTYIVREFKISEVQSQASRTVRHFEYTDWPEMSPAPKSADLFIDFVQQIHKTKPQFGVDGPIVVHCSTGAGRTGVFIALGQIIDRIKLEGVVDVFTTSFLVRSL >PPA09142 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig175:101553:103946:-1 gene:PPA09142 transcript:PPA09142 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFVLQTLVFFFSSMRKVALPLPYRFGLEKIGIILFAEWISSFIYICAVNHIRKEQKQAK >PPA09165 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:203790:208607:-1 gene:PPA09165 transcript:PPA09165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ptr-18 MGVGVVRFKEVNNVRDHFSASTSPSLKEFAIAREFFEELGSPFHMVVALQAADKGNLLRPGYIEKALEIEDFLQYKLKTQYEGVGYAYSDFCGTQCETSDSVNVFLTMFRDTQHRKQTHVKLTYPTMDVFGHRVYLANNIFEVEVNNRSQVVESAGLISINFHAVYSNSTSESIMKQWEQAVYQYSLTTMNDPLIRVYVTSEGLVSEEVRRTGILAMPLMSLTFLIVMAFTVITTLKKDPVKSKPLEAFLGVMCPLLSLIASFGNLFWLGFEFLPIVTVVPFLILSIGVDDVFIFIHAWHRTDPKLGYRDRMAETLADGGPSISITSLTNLLSFGIGIMTPTPAIYTFCVFISVAVIYDYIYQIFFFSAVLVLGGIREENRKSAYFCWMTVPELTAEEKVAKAERIKAHKENPPWYAKIAQFVLHYWVEFAMVWWSKIVVGVFLVVYWGFMINGTLQIKVGLTSEKLFMDDSPLLPLVKLQTEVIFKEGGQVAIFVNNPGDLREPDAVPEIMRILTRFENANNSVGSASTHMWLLPYLPYIGLQNHGSIDFKYSYLPEFFKLMEYRRWSHFVNLGNPQDCLDEKPSCLHKFVFSTGFHNAVAWSDRLRILEEWRGIAGEYSHLNLTVYEDFSMYSDQLLSIVPVTQQTVFFALLCMIVILMLFTPSFVTICTSTIAVLSINLGVFGMLVYTGVDLDPISMTTTLMAIGFSVDFVAHITFHYYKGDFDTKRERIEHALASIAWPMVQAGTSTMGSIIVLNLIHAYMVQVFVKVVVLVIALGMIHGLIILPVVFAALPFSKAEGGHGHGHKGVHKQSIHSLEIMWIDHRGIEEKKKYISVIKVHPEDPPQLALTENPKAVQRDPVHA >PPA09157 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:169154:169937:1 gene:PPA09157 transcript:PPA09157 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKDIARKIISNALEISQSELLMMETRHSVVMRKAYSEINSSNPEDPKNNQIMIKMRETYDKFKRNEERETKRVEESTKEMDRERRGILNKVLNQTSGRVNKKNDIQCLHPRNRNSPFVDQL >PPA09177 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:254385:257486:-1 gene:PPA09177 transcript:PPA09177 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPGYATQEEKPSTSKEIKREDNSDDEPHARYDSFKIAKAAKEKERRRERDKMMAKLEEKKDKAAVRRFNLGDTSDEDDCTMIVDRSESEDENTLRIIRAQRNQRAKEDQLEERKRKNREKQLQHTTIPLRDKIKRDSSSPEASVSSVHQYGHSSRDKRETPMKRERKSSSSSSDSCHRRRKRKREKDRDRYLEPSDSKRTPKSRKWDFMATVGVTLHNPDSYMIDDRNEDPLLRQMDKTMDRECAEYEHRFSTVLGATYDVNKLFFPAKTASRSSRLLDKVLVMSDNPENRFVTLPFTVGDNVDLSTLSEEPTEKILSNRKIVEAYNKKIAMESDPTVKIANIKQQLAEASKERMETNARLKDDGKNEGLWIRLLELDDKVNRLQSAAAAGANSTTAIDQRKESILKIALTNLPKSSCLIVEQMKLKKKMNVPVEDLNKEWKAIMNRMPNSLQLWEHRLSEMMHDSKQFTRHNYMEVIEQATSDLKGLLSGTMRSHVPEPATERFLIELLTLRVRMEISMGFIERGISIAQVLMETNKCHPPAFYPCEPLEDDGTITDPVTQLLLLKHGK >PPA09141 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:99967:100969:-1 gene:PPA09141 transcript:PPA09141 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYIVHQVAIWFSHFIYLCALNAARAECAKVSDTLYMTGQTSSEVRRVLKMS >PPA09168 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig175:219753:220738:-1 gene:PPA09168 transcript:PPA09168 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTVHLAPISAAGDCSSASIVAAAVSTLRNGGVVALPSDTLYGVTSMIDYSHKLFEVKRRSQLKPLGLFVAGPDEVAKWAEVTISDDLLHLLLPGPVTLIFNRLPSLPQSFNPETLKVGVRVPLCPIVNDICCSLGAPLAQTSANPSGSPLNPVCVDDFTELHDEIDLIIDGGRIETTAEGSTIIDLSEKGFYLID >PPA09173 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:235968:239177:1 gene:PPA09173 transcript:PPA09173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cutl-12 MFSVVVIAQKHRMLVTHGDRAFRLNCFYRQTETRLEHSLDVSDISPSPLDTPPVLPPSCHYDVLSSSMNGPKIRTATVGEPVIHRWTCDSKSTGFLVHSCLVRDPTGEEYQLVDERGCVTDRSLLSPLTYSEDLSVVFTTIPAFRFAEQLLVLFTCQITVCEKAEDGCEGIAPPQCAQLSHDLPIKTEYKKQRPDSQANQDLVPVREPIGRMKSAGEETYERDGIGRNEDEKELNEKKGKEEEDREKLKKKLIGSWTDEGDDNDDRIINFHGDSSTTTTKPETTTSRVITTSTTDLPLSIPSPRPLPPRPSHSNDSDQPPKLIDKFPTTYETGEKKGSMREDSTRVDSGSPTTTTTTEEVPVKTTTSTEKPPEEQTYASLTVFPSSSQATTQIPTTSTEFVTEPTKIALAQDDNELYSTPSPHSSPSPSTTSVPTTTSSTTESFTLTWPMDSSTITTIQPFEETTTKTSEGPTTEEKATQTDDKIGSNVDDKSSNGSSSSSSNTTTASSQDSNDGSSLYGAVPSPSSYISSPQEPFSANEQFSSSHVAEKMKAGPNTGNYDTSMGLNELEQVMNNLYPVRPDQAVLTPSHEVRDNSQPLRRIEADRRRVQGSL >PPA09129 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:40168:46495:-1 gene:PPA09129 transcript:PPA09129 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-che-11 MSWAPATEWLAMTWSDGRVSVSNMAEAYDVEVFAPISSLAWSRDSKCFCCIDNRGEIRLYSRERKKWARKSTAKVEEGAISNRDDASSPLFAIGGAKSRLYFIDASASINKVFDAEAAIVKLYEFKEREALVALSSDNTLRILTITGKTQATETKRVKLTGKIDNIKLDLSDGLLLICNGEKEIRVWNLRTDENSSLPMGGTTFKTGDVPCCISAKPGVICAGTSGGKMITWRKRKQNDGTFDWTPQTPDTMGERIVSLAWSPFIGTLALNADRELIVFHENDTVAHFRNKVAAIQNTPSSFNLINSEKGGFHELRLQFQVKGIFLADKQLVVWSSETIAVMDVQSSLVAHPSTSFHCSAKDVALVQQTVITLENDKVNMRTLQGTVKQQITVPEMEGDPFAIHVNRTWLVLATTNNFLRVYDLSKREARQQYLAKGIDLEDDCVLAEVRINVTGTRVAATFGKPNRPDGLIVWDAESDSLSSFSFKTGLTEEQQLEEEAERATSTGLRPVTAAARRIERDRSRFCLPSHKTGALQWDEVDPRFLVVEARPENTEGGDSYVMTALATSEFGLLPHALQIVSLNTSRFIGVSVPNVYFIKNKEIEEEDGRSDRIVAKLLIVRTLQEFAGIEDSDSSSRDAMLNFCLFLTLGRIDDAFKAIKFIRSEKVWQQMASMSVKARRLDVATVCLGHMGEARAAAALRRAAARGDTEKERCALLALYLGMLDEAESLYIHCRRWDMVVKMFVARLKWTEALKLASQHTRIDEKRLYHQYAKFLEEKGDINGAIENYERAGTHVREVTRMLCRDYSRDLEAYVRKKREPELQKWWASWLEITGNIDGALNFYAVAEDIPSIVRILLHHDRLEEAIAKAEESNNPAAFLIVARNCEGIGRVEDAVNLYSKANAISSAIRLAQENNMVDKLATLCLMAGPREMANGAAFYEDIAGHAQTAVMLYHKAGMIGRALDVAYRTEQYNAMDMVTMELTPQSDKTTLERAGEFFLKNQNYEKAAELLAMAQKRREIGALIPKYSTFRSVGPSFTFALFSSPGTWKPETFGRGKTQDPTY >PPA09151 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig175:137382:138483:1 gene:PPA09151 transcript:PPA09151 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAANLTMMYTDVPLLSRYVRAAADGFKLVEVALPYSEKAEDLKKAADYNGLKHVLINAVPGNWEGGQRGIAALSSEKNRFKESIDTSIHYAKTLQCKKVHVMAGVPTGSDLVSAATVFVVNIGYAAEKLREIMSVINAPNLFIQFDLFHAAQLANLSPMDVTKWKDSIGHIQVAQVPARGEPDTEGTVDYGEWFEWMKSSGKDWVIGCEYKPVTKSVEWVKKYGLDF >PPA09132 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:57560:59139:-1 gene:PPA09132 transcript:PPA09132 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lsm-1 MAINLSDPYLPGAISLFEQLDKHLIVVLRDGRKLIGYLRSIDQFANLVLDEVVERTYIQKYYSEISHGILLIRGENVVLAGELDDTIETGQIKVSIDELNRIEASLEHTNNDVQGGTSSKNDLPLDEPY >PPA09161 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:187422:190935:-1 gene:PPA09161 transcript:PPA09161 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPADIRLLATDALRIETSWITGDMQPLPFVATPTSSEIPAMQAQNIAFAGSSVSSGSGIGIVIRTGCNTVLGRLSSLSSSEKGRRSRLIEDKIHFVRFIVILSLAIGISTFLIGIAISRLTNVVHILINGLFIVIVANIPQGLPVMLTTQLLIVSRRLARRGVFLKRPDTADTLGRTTVLLVEKTGVLTENSATLTDIWMDGEKKTSNRARVESSNPVERFRQTSMNGRNGRANGKIDYETNISLESLNLISGPPLDSALMKFADELCDIADLRKQYEIVFEIPFNKQRRWHLVIARAWHYVNEHPDTIIRYKLFVKGAPEELITKCFNIATKNGDRTMGERDLEKFKSDYLFFGNRGRSCIGFATVEFEEKAGKMFDINNADYPEDGLTFLGMAAIVDSPREEIGRAVERAKSAKIKLHVVTGDHPSTAAAVAKEAKLIDENCTSALVLVGSMVDELTSHEWDVMLKRDHIVFARISPTQKRLVVEELMRRGEIVAVTGDGVLDAPSLKEAHIGVAIDAVGSAFAKEAADIVVNDGNLENILYGVEQGRLLFSNLRKAIAFTLTHLMAEILPVLLHFILGFPLGLTSIQPPRKSSSRLVSRSLLIYSYLLAGSIIAVGCFAAYLAVYM >PPA09123 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:17103:19593:-1 gene:PPA09123 transcript:PPA09123 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGCAEIVRSILRPYTENWTATDVDYAEMFLDFVHASPTENERQLYDMSEQIICRGNEVLDDLSLYGDGPREAAVQALREGSDEEKVRCALTMEPYIRRIKEAYAVSKNIQQVLPKLLWELCSGPLPPLEQLESRQALLRQFTTIVVFAMKFDAMKVQNPSMINHFSIYRRLTSTINALHHAQDIQMDNDVTWFLATANSMTDVLYKTTESFMSESPSLPRDNTIDTLYTIVRLSCAILSSPPSSPLSMDTRLFVQRVLVGCVLLFDSVHPQGSFSRESIIDMRSIISVLSKDENHK >PPA09159 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:172432:179007:1 gene:PPA09159 transcript:PPA09159 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFGAYAANNDPASQNNFNPSWMGQTFNPTSGVPRPVGRPNGVNGEGGEEERPMAAPIFMRNRYGREDVLALYDGGSVHPPDNLPRCPLYQSEAQPPCVIKPLSDIEKKLRENINSSKAMSMLSAADRHHISSGGQAPDSPQAANRNNTNGWTHVPARDHNKTWGRTTTGTTPVRGSVRGAFTGGRGGGTAGGTTMEGGPLNTSPYGPPTTQGGTQQNNGERALGTGGTFPSRRGVNPVASRGGSSSSFNSRAQGLYNPHDPSDRPRQRGRSTSEDGEGTTVTSPTTPSVGGGVVNGGGGGSAQPGVWQARSSAWGMQQQQQTQQRMPEWATDEEGVPSGVNRDHSSDISSGTFDEQGRFVRTAPRSTILQGQQSQQYQTTPQSVQHESHQRVSPQSSMHPSNWMERDVPLSAPVEISSHSSTHYSIPSSLSSQPPSQQADLQGGVSGMSPSSAFLHLAYQQQQKLQLQQQQAAHLQQQQQAAQYAAMQQAQQLQQQQQAAASVPSKPSTYFYLDPNGVERGPFQQDQMEAWFSMGYFQDSLQVRRDSDTDFTELGELQRINGKNPFKYPEPVAVPPPTPNMLAGYMDHQLGLGSAAGWDQSVQSIFRTAQATPSYEQLLEKQRMDQRERQIAEEREQLRKMQEMMRAQHESEREQHEKALREKEEGLQKMQDEMMRKQREMEEAKRETEAALEKEKREIERKRAELEKQSIREREEQKKKEDAERRKTEELNEKKRIEQERIAAAEDAEREKERVKRRAEEAKRQAEEEKKRNEEERKKLEAFARAEELRVEAEAERERQAQIAAARKAAAPPPVVTPPAAPTVVKSSGPAWGGAGIAKTATAVKKSVETRSLAEVMAEEERQARAEQRENDRLRKEAEAAAPKKVSSKGGVWGGSTPATIASQAVSVSSSSSSAWGGAGMAKSVPTKSSPWAGPTLAEANKPKVAPKTAAAPAKAKAPEKVVKKEEKKSRVSDEAQFISWFISRVRQLNDTVDGDVLASFIQDIANPDEVEDYMVGYLGEGKQVKEFVREYIHKRSELRNKSKSGSVSTVFAKDDDGFSSVGGKKGASANAQQKKKAKV >PPA09163 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:195331:196863:-1 gene:PPA09163 transcript:PPA09163 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTTVPLPMDDRFTTTDIVIGYVACLVSCIGFGTMFVPLRNADCRDGFFVQWVQCAVVFIVGFFINIARGFPAFNYIAAIGGVLYATVRFPSGNVFSVPIIEGIGVGLGMLIWGTIQVLVGWGVARFGIFDLLAPSPTLHNVLNYIGMAVTVICGILFVFVRHHEEEKVEDIQKEDSEITVEMEEINSKKMEEKSTPTLQSVKNVAIKKIPYLIMACSLGVLHGLMMSPVDIAKQNTEDKSDKYYIGSSIGDLRLPMECWHDFVVSVG >PPA09121 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:9932:11505:1 gene:PPA09121 transcript:PPA09121 gene_biotype:protein_coding transcript_biotype:protein_coding MTDWVSLSPIFTPEDFYMNTSSDSSASLRPIISNFSRRTRRTKSFSTTKVDRLESSRAEIERKLSASEGRRNEMEARLNAYERSARNALNLARPHSSVRYPTGGVSSLDLASQSRPSLPLPVRNSHSTHDLTHHYTGDHSVHFDISNSNLDVSASVDVTIRYLKDRIDQLEADKIALSAQLGDHSRCIHKIEEAQAEITRLQRRIQEVEEEKKHLEGRLHSQRQLYLSHEESIASKDREVSNKATDVQ >PPA09124 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:23962:26823:-1 gene:PPA09124 transcript:PPA09124 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNPMYDTLQALASSLTGALATEAVLTSAGVGNKDATALAATITWLLKDGCGMIGRILFSYAKGTELDAESKKWRLAADVLNDMAFFIDLLSPLFSALFLPLACLSSLLRCIVGVAGGATRTSIVQHQARRGNLADVAAKDGSQETLVNVFALLLSLVLLPTVSGKRTLFTMIHLFANYRAVRSLRFDVFNRNRAAIVIRHYLSTGFILPVSIANEREPLVRRLMGSPRLGCSRHEIEKGRGGAIVIEKEGYVVMVGEKKDAIAMKRGSSSIDQLHAVFDLEAAKIKDQKKKENMWDDFLSKVIM >PPA09120 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:650:9248:1 gene:PPA09120 transcript:PPA09120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dyf-14 MEWKTTTAIDIGEGGGDLSECRSRLDASVEENRKNRHVIEEINQQIARFRRRNAEEDAIRGASTSHLDRPSTSNWHFSQSTNNIHDVGYASGYGSFQSGVGGGGGGGGGGGEGGAGGSGGAAGALSVSLVGLDGAGAGPHTPSTMMANTLVIPIRTEVEHRPKHHRSSPTLVLQDDDLYTHFDQHAVDRLLYRLNQETYKNDTLEEVISMLRSEAQAAQSANQMLRADVTDINRALETVQAMRRNDQLQFVEESAVDDLRQSTREELDRQLAEFKRAAALMATALGQKHHSNRVDETIQDIVVKYERLMEKNLDTEKEKSKQILKLESTLKRTSDERDTLLESLGRIAKMPELTSNGSGHRTRSVSPTGGTTVFLSGSGHLEIQRKIRSALSMRTTEVRDLTSKAERAEKEVDRLKRSLEKTENEAKINHDEKRTVEQREKKKLHEFAELERSVRRAQERISSLEEEKRLMQEEVDRLQKNLTDALKSHKDFIEELSSRHRDELTDRVAKAESETAERIAEMKAKVDRMREDSEREKNEGERIRANLRDTIAQLAVMTKRSDEYNSTNSSLEQDKNRLRAEIDRLNERLNENEKTILDLRISIDERTESETKLLQRVTELNSTEALVREDSAGLQEELRELRIKVEEMTRNEEKNRGIVMDLRSKQNSAEESMSMSDKTIKNLRLTILAMEQKLEEKTSEHTHAVAELEKNISRFDMATKDLLELQETLMEFASERDSLSEALSAMKLEYSAMEAKLTQAERKLHERNDEIDRNNSHQEVELRQQLEISHATVRKMEEEMGERTRQLEITRDQLIALEGNFKRQDIGNADEMKKLESRLRDEMATTVEEMETKMRIVRGEKVPFPNALEETLNGSEKKLDEIVRMHEQLMENYSLAQHSIQEWRDRVETIEVERRRERNELAEANAIDREEWETEREKLDRQRNNSLSELRDELTRMEHDLKETSSALERVVRERDEAIEEIETMKRRMDEVKREGERQRVAFWALIKMGGLGPVDWSSFLITVAMAGERVLLPWFPIGLLMELRADRVPLDASLHADSAHTNERHTLIARLEETNLQNSKVQSLLDQTQRKHRSIEEELVNSQRMLTEKSRLLIEVETSLNGLMERLREAEIRENSLKDSLASTEGERDEWRRVGEDAKRGEKEAEMRANELNERLLSIERELHHTRSHLDQERNERSGGKEKVAELTGRAVQLESILVSKTSQLETFKNQISHLEEEKSRLKEKMEVDSGKTEELIRANETLRRTLTQLKEELNIAQKVRRFLP >PPA09144 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:110330:112616:-1 gene:PPA09144 transcript:PPA09144 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMKWCQEACSVLIRRYTVSRGKEKSRKEKIRRGCDPKASRREDKKDASYESVLSYSEPVEKKKERKKRKYTMKNQNDIGCAEDLYLGAMAAYKAERETRKRSTFKLYHKVEMKNSEEASMQFDSIEKLAYPLIELKPNGETNWLTYVFEGLYTILDILILFAIVKRNKTILNIGFWITLKQMSADNEMEKKPIV >PPA09156 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:166732:167497:-1 gene:PPA09156 transcript:PPA09156 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRRHLRIDLPVNNNPNESRMHGIGVHFKSMRAWDKTATFQGTTCGAAAHGVILALEELLRHPDDLDTNWMVETRCRYLVDAIQLGSTVASRCGSCRNNGNPEQAAVVRRLLERFPRPVQIYVHVERDETARRLAHMGIRRLYGTGDSDCSDGET >PPA09138 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:73962:75441:-1 gene:PPA09138 transcript:PPA09138 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDEESLSLQSPSTRIRTRNRLPFSSADFASHPPTPREVVAEIDRRQGELFSILLSPIPGASTTSTTPSSSSLRTTGIWKRDEETSTTMEVAARDNVFVAVMRGILKEEQKEEKEGKRREWDRDHRQYTKSLVGPPTNVRVEPISNSTAVVQWEYPESEPVDGFVVKYIHEPTSRSSSETWHQKKILKADARDFAIDDLTYPHKPYAFYAPSAPRDTRR >PPA09126 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:32623:36520:1 gene:PPA09126 transcript:PPA09126 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPEEEEMGEEEEENERDEEKMEDEEKENEGDDYDGDMMIVEDGDSNKTLQEEKEESGEEEEGLGGEKMEESIELRVERSKERGDDNSVRVDGRDGEDEEEEVVEVEEEDDDDMRESLIEEDVEQIDAIMNDPIEEESIQDDELSDHTNTSRQEISESEEDDNSDVMEKSAHSRSSVCDISLIDTTLTKLESVVDSIVDEDLRRMLAVCNQDNVTDMDELMATVATADKVGEGSFADAYLVKRDWDEEKKVFKIIALRTGKNDEDEMDEEEENVEGGKKEEDARAVLGELIISKDLTLLGRSGRNFTTAFAKLYQAKVIQGKFPPLLSDAWDRFYENDDDAIDDVNPKEYGVQQLFLVLECEYGGLSLKKFPFSSLLEIVSVYCQTALAMAVAESEFKFEHRDLHEDNVLVKRTEDGVIEFTFDCEEEKGNRGGNHKAIRVPTHGVKTTIIDFTLSRITRKTGRGHSMEEINVYHELTHQQCNQKAEAEQYVVYNKMEKLTQGVWEKYTPKSNVLWMRMQINWIIKENCNPRSELNKKRLETTRTRRTLKSDKKQEKKQSKKKSVDNRKSGVYEERTELSGATWMKKLKDGESIIRKLKSFSKGILKFNSAVDIVTSKEFESLLDLLVQ >PPA09171 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig175:230213:230482:1 gene:PPA09171 transcript:PPA09171 gene_biotype:protein_coding transcript_biotype:protein_coding MIIALCSTWWLKSGTFRTGLFLECTSSDEKRSASPLIGAPAPGKCHAPGRDSGL >PPA09130 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:48183:49334:-1 gene:PPA09130 transcript:PPA09130 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIWTGLVGAATAFSGAVIYANLPTRWTIGHVIPTVAHLRASKLIKIDKEDSIDKSQIIAGSDFLAKPSMVMAVRRPGCILCRREAAHLSELKGLFDQAGVRLVAVTYQSKGVDEFKSYFKGDIYLDTERTFYGPNERWIPMWMGFLRPGVWTSVSASKKEGLVGNLEGEGRLLGGVFFVDGDDMVWSHMEKEWGDKADVEEARRAIQKYM >PPA09179 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:265637:267610:1 gene:PPA09179 transcript:PPA09179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:H3EHG4] MAQMLESPAGAIGKTPLVKLTKIGHNLPAQIAVKLEYLNPTLSVKDRAASAMIDDAEKRGLITPGKSVLVEATSGNMGIALAFYAQIKGYKIVLTMPNTASMERRALMKAYGATLIITNLTGNALIEMTKKIADSDKRFYWLNQFGNEANQEKHYKTTGPEIWEQTDGKVDIVVFGVGSGGTVTGVGRYLKEKNAQVKVYAVEPEESSVISGNDPAPHSIQGIGAGFVPTILRRDLLEDHIIRIHSNDAIAMAKRLATEEAICGGISSGANVAAALQLASLPENKGKLIVTTINSFGERYLSSPLYRDLTAEVQGMPSVTYDDALTIANSALLLLTNSHT >PPA09148 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:121229:122036:1 gene:PPA09148 transcript:PPA09148 gene_biotype:protein_coding transcript_biotype:protein_coding MNCDEWEDVTYGDILTEKKQIALSRAKTKGGGSVKGESQQMVVLWYLHGKPLMGRGWIEDNALKAIFVHKGKEYTGRQLAGIQVLVQSSSLSVGFEYVWMNFEQWNSLGDKDITTAVQVGHVAPCIINHEGKEVLGSLEMDTEVAEGFVDGKCVKKDGAAIKGLLILCRKDIEDIVGL >PPA09125 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:29815:31943:1 gene:PPA09125 transcript:PPA09125 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKRTTVSCRSTPHRLKKRCATRITATPLHANVPPRPTPRIKSKEKEEIETTRDEYWARPLPTSPAPSPPRPHEICPILNCTLVGTPHETQVFTYRKLYNENNETLRDALGRETAGRHSFPASEFKRDRSYMTPSARAKKAHGIEYWPDPPFKSSGDSVDGEHSMYVKYFERERSSISLTPPSREPARVHTTGGRQVVRRPLRLFANESGVGDGGMERDYEDGDDGSTIEEEKEQSLVHTAIGAQGDTASKSSYRKRRATKTPQVKARRRLNFTCGSVKSDGVRTLGSSREELIEDEEDENEEVEDGSDEPERESISFGTTAEAQSVPTEQSRLSTKPEPMPKPKH >PPA09181 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:273641:274939:1 gene:PPA09181 transcript:PPA09181 gene_biotype:protein_coding transcript_biotype:protein_coding MEYINPTGSVKDRDAAFMIDESERQSLITPGKTVLVEATSGNMGISLAFYARIKGYRIILCMSAAMSIERRAMLRAFGAEVNMVCFGVESGTLTGAGRYLKEINPEMGIYAVEPYESSILNGHEPHSHKIQGMSAGFIPDVLDRSIFSEALRVHSDDAIAMAKRLATEEAILGGISSGANICAAVQLAKRPENTGKLIVTSVNIFAERYL >PPA09178 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:262239:264260:1 gene:PPA09178 transcript:PPA09178 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSPTTLSHDTHHIGDAQEMIIENDGTTSSGVAQCCSNHSRDEELEHGRSASPDLMSKINSTLKQIVREWSTEGKSERDVTFGPILKELETRFPDDSREKQRILVPGSGLSRLAWEISCMGFTTVACEFSSYMLIVSFVLLNIISEENEFTIYPYVAASCNSWSYSDRVRSISFPDLCPPTASRSSELMSMRPGNFMSMFDGEDGKYSAVVTAWFIDTAHNVIDYIEQINRLLEVGGVWINSGPLTYHFSDTPEELSIELPFEEILRIVTLRGFRIEKESRSEPSLYAADKSVQ >PPA09139 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:90690:91067:-1 gene:PPA09139 transcript:PPA09139 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFEESEFTQYPFQFYVKTLTGRQMTDNETLGDCGVEKDSIVHLVLRLC >PPA09140 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:94130:94879:1 gene:PPA09140 transcript:PPA09140 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMKSCQEVSREKEKSRKEKIRRGRDPKASRREDKKDASYESVLSYSEPVEKKKERKKRKYTMKNQNDIGCAEDLYLGAMAAYKAERETRKRSTFKLYHKSFPHSYSLSL >PPA09135 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig175:62687:65826:1 gene:PPA09135 transcript:PPA09135 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLPVVNENIRRTGGYPNREERPAQWILTRRFFLVDAWSLKEGEIGNWTALRYASNIELNIEMVNTRDGKIYPPYLRIVYDELRANDIVPAKEVDASFSIRYYTNPFRHDKDLEIAMAVLSSLTVLWSALRAYSWGRRAGKAVFDVATLIQFLLFECSFLGDVFLFVTTVTVCWLTFAYKAQTALFYMQLTDSQESTLMAYLISATSLKFVALLHRFVSLMLTETFFIDWERPKVLPRSDLDRPASLDPIKYDGAKEQAVVIWRTYLVANEWNELQNYRKTSMAVQVLAMVFFLDFLHWKDLAIWQPGFEIGKRERKRSIIKLFCFLDPISSSFASSRVSRLAVSLFFYFAIGFTQWLLNVFIVERILLDPFHNFIDLCSIANISVLSLSHPLYGFYIHGRSVHGWADTGMAEMNEFLQRERDNLVGMRGLESGGELQTYYVSLPLSFRKRYSDLASASSIDASGATARIQNVDPTTIQISSRARTHAAMNRFLQDMVEHSLPEVDYTIRERTMAEAALDIELSDSSQMGVFTRDHSESAFARCFVYGNEWAKLSFEALLFSIVFVYTSSVPLAAVITYVSAVSLRMLASMMFTNHLVKSSLVDHRFLI >PPA09164 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig175:201310:203314:1 gene:PPA09164 transcript:PPA09164 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLHRCECFLITHNLPFLEKVWIADRYKLNRLLALCLREMRPNAKIDMNGAKYYALSDRVKVLLLERLHGSPPPEDRILTNSHVEELYSPCSHEELSAFLMAIHPPQLRINEQNIGPVLMSAVKMESPGLLKKCSAILLSPQTHLSVFVRLSLLDRCFLHEMLPQCLQMVQRPEHLIQMTQQTTYDCLSTRARAAMLDRLAILLENPGMQSHSCQRCKMTSTCVNVTWLCPHCKTYSSDVNLLKGAPPTGNQFGMMTSGTAGTVKRTSSG >PPA09145 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig175:113361:114118:-1 gene:PPA09145 transcript:PPA09145 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPPPKLVSFFRDSFSSSSSFSHLSSMAILTPSLTINYVDHMLTCRERSKRRAPLAPIGGGSSQAPSTVGGAETKELTYVDDGFVLGMTYLLTVINCWESFGALNWFRSVLERVDQERRRLDELSRNAEGGKTNNLKINQLDSYEKVNDT >PPA09169 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig175:221196:223604:1 gene:PPA09169 transcript:PPA09169 gene_biotype:protein_coding transcript_biotype:protein_coding MLVESKRISIFSLNSGHEVIVITHGYGSRKGIRYLDNGLKVYYLPFAVIYNGCTLTSILGDMPIYRWIYARERTEIVHGHSTFSSMAHVAMFHGWQLGLRTVFTDHSLFGFADASSIFMNLLAMQYSLAQLNKAICVSYTSKENTVLRSRLDPHKVSTIPNAIQTQLFLPDPDQFYNNPTTIVYLGRLVYRKGADLLSLISRVIAHAPITAPELFPANWFGGVVICARYPQVHFVIGGDGEKRVEIEQMLERADLQLRVRMLGMLPHDQVRSVLVQGQVPLDPIVITVEPENRYIMDFV >PPA09167 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:214726:219541:-1 gene:PPA09167 transcript:PPA09167 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARKDPSEEDDPSRPSTSRAFSPVIPPIKRARTSTGVVPSVPRRQSKGGGRQSRIENFIDEKPSSSDPPSIESISPTVSPPPILSSRARVNWRRLGDRILTAKTPPIPSFTLGLFEMKKKEGSIYEIKRGSFTIESDGTELCKSVEDRVRDHPCTMSSQWDKRRDETGRVRVWPGGEAMGYWIIEGIIDVEGRRIMEIGCGSIALPSRIALWKGGKDVKANDGSDECVESVKRSTIGEKIEVEKMEWGKREVKEDEKMDVILAADAVFFERSHDALMDTIDSWMRKGGETWISAPERRGSLQKWKRRVKDDGRWKMEEWKDAEETLRMEDLQDRLLIAVSETADEILKEEEEEGKEKIEIESALIDSRNRVGCIGRGLGSGSTRFLETRKSRDDQRRRCETAYEEKSQFGMEKDFDSFNAGTMEEIFGECEGEEGMNTMGGIKRRSTGGRLSGRPQKEPRDDDTTLFDDVTTPQGASSMTSVVPETPNGIIPLAHSTPMNRTMSRIIGADPNFSVPSPIREEYEEEGGKRDGRRISRSILDDLSATIREENEKREGGEMMEMEDHHLSPQMIDLRHPREQEDEERRMSRREEGESEQQPGPSRHRINYSREMSPELFGGETKKGASYDSFDDDGEYANKENDGRMKRKDTSHRMSESAALREMLDEEEEEKEKTLIVKEKGDSFDDNSIQFVEEKKTDDSVTRPMVVFLSTSPVIPTDQQPTTSTAAAAAASDRAAPVVSTKSLSSSQESFLSDDIVDVPPQPVIVPPPAAAPIVQQQPTAPAVQPARADDYDSFEDDDDDFVTPTSSKDTTPKAKEGPSPSNTVANRWSTVEVEEVGSQVIAQKNNGVIRGVFAEDIFGFNDAPGPSKKTPINKPSPTVPTWNPAAATVTKKSSPTTKPSPLSSSSKETPSGKDGKAAGFSFFSDSPPGNTPTLQREDSDFLDTSTRQTTSTMKTPRGRGGRGRGFPRKVDPNSKINRKIREQSTPVRLSPRPPLRISEVVGSFEDDDFDVSAFDD >PPA09150 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:131677:136987:-1 gene:PPA09150 transcript:PPA09150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-151 MAPVVHKPGPLKQKPKPHKSGSHRTKGAIERDARGRTKLKGPAQMRKALRAISKEDRRQVSAQNRSNKLKSMIDAKRANASGSVAPTLITLVTLGGSTSPSDLIASLAGCDETIVHSKGHNVNYLAVPRFKSRLGFLTPDSTILEDVLDSIKVSSVLLLVWPLDGEISEWTELLLSAILAHGVPSIINVVPGLAAITSSKVKETTRKNVEKLIDKWSLTQPRLLHADSQSDGVVLIRTLAESKKKKLVLQKRRGHVYVEKLDMINEKNGQCTLLATGFVRGPFINVNRLVHLPGCGDFQLEKIEMREEDPHPLKQRHGMFEPGVLATADSSKQESLQSEKYRGLKSFRTSPWDPLENLPFDYARIFKFANFRRTKKLMLASTMDETAEGVVDAGIYVTLHIKDVPVDFAKEWRQSSPLVIYSLLPHENKMCIQNIVIKKHPSCTVPIPSKSKLLFHVGFRRFEVEPIFSQHTNGDKFKMERYLRSDGATVASFFAPITFAPASALVFRIDGKGRQELVGSGCVLDNNPDRIVLKRIVLSGHPYKINRRSVVARYMFFNTEDIEWFKPVELYTPTGRRGHIKESIGTHGHMKCRFDQQLNAQDSVMMSLYKRSFPKWTYNPRVGYAEKSRIAAREDSNQHDTRVKEGTNLKKERDHGSN >PPA09152 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:145309:147400:1 gene:PPA09152 transcript:PPA09152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sro-1 MALSLFEIGLATAIAFDRYIVTKNPKWGTWRSNLNYGKLLLIIFILCVLWSLVPWTGYGEYSPFTEKEKVFCSLNWRQADTLKSSVPSTSSPSQIMGEKGSTLHETTHRYIAFLTATFLIFFLIPVCIAGAFYYSIFEYVDKLNSIENREENGNNPVETCTWAPRNHVSKVGLGCLLTSTLPFMTYGIVCLNPLKADFGQLHVVITPVVLSRVAALLNPIFYIWLNPEIVGIEEKLLKKLQKKKSPPPNLRNYQTINLIADAARFVGPLPTMSFPILAPSAPRRQLPQIPPHLLSPRPSPTPQQIYAHFGDRLHPGAHHNRVHKIGMSM >PPA09170 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:224937:226408:-1 gene:PPA09170 transcript:PPA09170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-atg-10 MGTLNLAEFNSHLDQFITLNNGSSREKWTLHKSDAGLYAKQSVMVKWKEESINRQAHITYNSTYQVPVLWFNFYRRGGDPLSSDEVLSLSCTINPQSTLSSNPSRSCPSDPSTSSVTLNEHPHLGVLFYHLHPCQTPAIMREMTGKGNYIASWLSFYGRPLGLSVPLSSFPGSSLPSLPLSPSTSSEQPLDDGANHENHMLLM >PPA09175 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:245947:248855:1 gene:PPA09175 transcript:PPA09175 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKHKQPPQGQQPMYPNIPGLPPGMMPPNTGGKPMVVVVMKGGGQPNMGGLPFMMGGAPPPQQGGKKKKKKGSKGGKKKKKSGEKSKTKKSGEEESDSDSDRVNAPDIPAAHTHKPPPGSTSPNPQSNGQGGNGGGNEGGGGHGGGGAKKGGHNANPMASSFGSTTTDGGAAPSSSTTSTTSQAGAAGAAGAAGGGGAAAGAAAGAVKKTPAKKPAVKKAPAKPGIKKEAFIIKDGNVDKSCVPAFVLVDGPAGCPVIVPIDARDENAIVNELGPQPLTVAPKETTRGYLVLNEEGLLHFKPEKECKGAPFASTPGQLQKFLINSNIFIADVGPQLPDEKAYNKASTATKDKIGGKDLPVSGHVTMVTDKGTCKFSRVVITQTFPFYPPNAAFGVLVRDFTNGYVYFVPTENRFVGMVLSQGIKQPFKPVVEASIVGNIYKDGNGAAVWGVPSDKQDNIMGFIMCSGTGVPIYVPKPDDVQRRESSLPSLLHLISFSLSAATPVKKAPVKGAVTPGAVSVTGQTASPSPASAAASTTNATGAVAGADGTASTTVPLAGAQGGETGGAAKPVDSVTCTNAQQGGGGGGVTSMTVPIAATTDPAAAAAAGGEDMKDQLKKMVAAQQELPPPEPEPPAAAEAKEEEKKEEEEAPPPPPPPKKPSQKVPGRSFVLLMRWITRMESNA >PPA09172 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:232736:233096:1 gene:PPA09172 transcript:PPA09172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vab-9 MKDYGSRREWEVDWSYGIAWGATLFTFGASLLLICDKEHEEVYYKEKTVYNPPPEISRD >PPA09153 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:147850:149722:-1 gene:PPA09153 transcript:PPA09153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-shc-2 MSTIEIPRYEERKEEVFQRRITPPLIEEEATLRESPRSATPPPPPELIRDEKWGESYYPVDEALEQQLSKVAYFVKNPARDTVYKQLLAHPSGAFVVRTSDKKSKRCLILSMRVDVDVNPNGIIHYLILRTENGYKFRGYDRWFPSIQCLCTHYSVMKENLPCPLVFVQWKKSQWDAAPPPPPRKPKTYDDQLKNNNNKYTYTHYSLRQRPISFDFIDNDENTRCAPSSNHIPSSYRHSMAYNSRLDYRHSRLIDPDTPIPSC >PPA09143 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:107983:109266:-1 gene:PPA09143 transcript:PPA09143 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLFSSGFLTLNGSSLTMVFSITSILAQILIAAVSPKEFMFFIPFSLIDILIIFAVVRRKKIILSICFWINSILFAVLTLFFIILIGALFNLPVDEITEVLKKKVQIEDGVIAALILASIQCTFTWIMIVWTCYYCYLCALKAARKECGEVYQVYVAKQLEQKEERRWISTRDTMS >PPA09133 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:59645:60829:1 gene:PPA09133 transcript:PPA09133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rps-9 MPRVKAVHSKVYSCPRRPFEKERLDQELKLIGEFGLRNKREVWRVKYTLAKIRKAARELLTLEDKDPRRLFEGNALLRRLVRIGVLAEDRMKLDYVLGLRVEDFLERRLQTQVFKLGLAKSIHHARVLIRQKHIRVRRQIVNVPSFVVRLDSQKHIDFSLKSPFGGGRPGRVKRRNAKRGGGDDAGSDADE >PPA09162 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:193654:194473:-1 gene:PPA09162 transcript:PPA09162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pos-1 MHPRPISDRLTVKEEAFPDDFIMVPGAFTNSFSLDAGRAAEKEAARPMDQIQGLDPCKITDEERDLLQRFKRRQDAFKTALCDTFRRNGSCSYGEACRFAHNQEELRVPTQPRGRMHPKYKTVLCENFERDGECKYGSRCMYIHRRREDKLADLLQYRPEAVPSFHGQPSAGLQSMDHRPPRHDK >PPA09174 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig175:241803:244187:1 gene:PPA09174 transcript:PPA09174 gene_biotype:protein_coding transcript_biotype:protein_coding MVRASTGPDLGLSRTEERSGTSHEQSIPSPVKNPKILPKRSSSAAARVITVRPCSRTNLMSMSNSEAGSIDEDGRALKVLPYLTISSVDEASDEQFLCSHSIRFMINLTGDDSLAYRRNRCKCGKDSMFHNGTQQKLTIKIFPKSRPEVIFRQFDQIVAFIAKARTHANSMVLVFNETGENEVESIPILYMMHYFNSERSRAEAQIVKALSSNPRDGRNVCSMTAETRGMLDLWWTEVKRRSTKQLAGDISQLNFNRVRE >PPA09128 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:38532:40144:1 gene:PPA09128 transcript:PPA09128 gene_biotype:protein_coding transcript_biotype:protein_coding MQDDLPFGKSQSKGAKASRTLLQTLIPKYSTFRSAPKNPRPKGWALRSTKKTGRYDPLARKLVDDLFEQYFSNGKKLRPDEAEKRMRERNDILPAQRMTFDQIRNRITTLLSQKKEHQRKVHGNRQRRYEEVDLERPLDEDDLIITSDEIYDLVHSNMEFFDNSSEPVFSDFGEFEQ >PPA09134 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig175:62170:62497:1 gene:PPA09134 transcript:PPA09134 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLFYYSSDAVSELQREAIIDQIYKFTKDQSGELDILAARYTLHGSFLGLKEISDGSIQLCVESLVAAHKTFTFGTRIR >PPA09182 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:277595:279659:1 gene:PPA09182 transcript:PPA09182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:H3EHG7] MSIRKEICANTGGAIGNTPLVLLNSIGKGLPGKIAVKLEYMNPAGSVKDRVGYAMIDDAEKKGLIEPGKTVLIEATSGNMGIALAFVARIKGYKLILCMPASMSVERRSLLKAYGAEVVLTDPATAVKGALQRANELKAAIPNAHILNQFGNPANVQAHYKTTGPEVWEQTNGKVDIVCFGVGSGGTLSGVGKYLKEKKPSVEVFPVEPFESSVINGLPHSPHKIQGMGTGFIPEILDKTLFSEALRVHSDDAIAMAKRLATEEAILGGISSGANVCAAVQLATRPENEGKLIVTNINSFGERYLSTTLYSDIREAAEKLKMMSLDESLEISQKYVAKE >PPA09176 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig175:249416:253689:-1 gene:PPA09176 transcript:PPA09176 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPFEDPMGSQLLSFGLLNPSLHSVLDGLPQYIDRILSELAPNDPDRSWTFVVQFNAARIRTRAWFIALRDTPFDMTAVMKELGEIAAYRSKNAVEQYMVKTQDNMGGMAGLMYIMSVYEALNLFEANMEGMNKKVVDRSVKTIVKSIVTKALVWSGNKKLDKIDNDLNRTIVLILAILLIRLPGSWTERRHRVECVLLGKNTKSEGTTINEQMELIRFFGSDFILASIIETLFHHANAANSSKSLPQAFNDIFKQAYEKTTGYFAHYEQFYAYFIYILKYGKNEFRHNYSSALDGPSCPRHNVFIMKEYIDSQQNVMKEARMLADLKRTAEEQTKVDPFHSLARLYANRKQFTRIVASAEMSSDLVIANRIVKVYIDESKRTRDPLLWRYTLHLTVEFKNRKEGDNVFAQAFADCSWSRDLHLDYIEAFPDDDQRQHLAMVEKNIRVRGDPEMVKTFEDLAEREKEKEGE >PPA09149 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:122704:126532:-1 gene:PPA09149 transcript:PPA09149 gene_biotype:protein_coding transcript_biotype:protein_coding MIMIIEDEVEPRYDMEALCMSALALNGGVKEDSSLIEKVISVLSTLCVEGEELVDEAAHRILPPLLLYGEDEHTEGDEDSPSPSSSTLPECASLKTISAFLSFLLEVSLFLGRVSSVTRSLLMQIHAFHSLGPSDLLSASDRPLNRCWRILGDLLSVLIQTDEVISSRPMLKQQWNSYAKSMETARHNPFQFNTTESAIRPFIVAMQTIEAQIIAAQSFRNCYEQSFGAIDDDKEFAARMKGVIMEAFELWERAAAVDVPDKRRLTALVALTTFHQLHFGGKDKKMVKTIWGSHKKIVVYHLVGDLLWSPCSFLLRELPLTSEVADKKTIAMVNNALSTLYEQQSEALLEEAFALVGQSKEWVASFRLDTWDNRSRDAAECLKMADVILKNPGFFEP >PPA09158 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:171078:171607:-1 gene:PPA09158 transcript:PPA09158 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLIVGGIILIILLAIGGWLGYVKYQNYKLNKNWNGNSVWNRQREKELNKAKKAEEERKQKMDKGAIEEEEKKDNEMWDQDSPPVNY >PPA09183 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:281471:282191:1 gene:PPA09183 transcript:PPA09183 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFLFSLIAFFCLLLPLITAFPKIIYNDDEFTADDGHRNYQYKRGLIFPTNGMMLRNFPILRRIY >PPA09146 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:115624:117761:1 gene:PPA09146 transcript:PPA09146 gene_biotype:protein_coding transcript_biotype:protein_coding MCEDPKQLCKGPNCKNPEFKTRDGCNTLKVETTCTTLFEEKSIVSVDGGNTTFGTFECVLDEKNENKAIWKFNDKTFKTATCFDTIECDDNKPLTTKCADKEKKEGCPAEDLKDHLVKCPPGFHLELIVDGKTIEPSEVQCDRQKGYYFYTENGEARPLDTEKKEDRIVACARQDSVKAATGFHIWQLLLIILGVLILIVLLILCIFCVRRSKKKEDERKALLKRNSESGAPSSTESSKPQTKNNLVHMQPCSTAKQISSEQISEATAIERTKKEYTEKTMIEPTLDLATAYSHDKSRQSKRETGSPEAEVIDDGKRSRSQRSEKSQPERDESGPSAKATMTSKKESGKADNIKPKKFELNEKEKKIAQGVWRRNEDYPTMADIESDWDDAKDGKPPSKQRTATKDIPTADTPSARVAKIPDFNEKTAEMERLIAQPLSPLKLQRITASEDLLPPKSRESDSRASVRRNNNRIAKVGYHEIDDGWNHHETLFSS >PPA09180 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:271048:272504:1 gene:PPA09180 transcript:PPA09180 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTTSVKDRAAFYMIDAAEKKGLITPGKSVLVEATSGNLGIALAFNARIKGYKIVLIMPSTSSLERRALLLAYGAELILLDPKCTGVEMVERARQVAESHPDFYWINQFGNPANVEAHYRTTGPEIWRQTGGKIDIICFGAGSGGTVTGTGKFLREKKPETEIFVVEPTESSVISGFPPDNHKIAGIGAGFIPDILNREQLTGIIRIDSEGAIAMAKRLATEEAILGGISSGANVLACLQLAAKPENAGKLIVTSINSSGERYLSSVLYADIKATADSMPFQSLEESIATAKRLLDKE >PPA09155 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:164547:165819:1 gene:PPA09155 transcript:PPA09155 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEREKKEENRRMEWRIINAANLKRGKEAEKRIDMKIREEDRNRELRIKEEMEIMKRRTETEEIKKKRIEINKEAKRRSNMDYREKRKMMKNILRRQEEIKREKDEILKRNEEEKIEREEDEKMEREEENRRKKKVEEYEKARAAVHDLIGKSTDIILEAEKKHLKLFVKAYYAMMKEESVQSIVEEIKEKIQREQNLAELRRRQKEEEEKEGEEMKRLRKARKMVAESLKERNGIKVSVGFVAHVEKRHLFLFMNTYFCLLCGENGEPMIDEIRDFD >PPA09160 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:180228:185385:1 gene:PPA09160 transcript:PPA09160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-bmk-1 MMSAMKKKPTNSGVPARSNVAVAIRVRPPNEKEAKDSLIVKTDPIDRSVTIRNKVFSNFERVYGQNSRQSEIYKDLVKKQVEDVLRGYNCTIFAYGQTGTGKTYTMEGGEGSIEGDWETDENTGIIPRAAQHIFTELTAKTGIEYIVRLSYVEIYNEEMIDLLSSPSSDDKHLIRLFEDPAKKGTIVISGVEDIPVRCRDDVYRLLKQGTEQRRTAETLMNKQSSRSHSVLTISVLIRESLPSGEELVKQGKLRLVDLAGSENIGRSGAEGKRAKEAGNINTSLLALGRVINALTTNAPHIPYRESKLTRLLQDSLGGSSITTIIATLSPVNTNFDESVSTLEYAHRAMNIKNRPEINENVNKKDLFKEYSGQIERLMRELKAARDKSGVYLDQELYDEMGKKIETSEERISLLEQQLEDTLELMRKHLADIELMDDYYGKAYTRVRNLEQRLALRVEELEEAKMTIAKEKQAHEETRRALGRCRSHAEEAFTVAEETKKVGWEAHHELMRLHPRCDAIGEIANENRNVSLELSRSINESIPPMREANDLRMKNGEEKVKEAETALNVLNEAVAEKIPATKESIDEANETMKSLLSRLSSIHSSHNENAQKETSLLVTMIRKAQLHLESTIDSVVTQLIESNDEGRIKVEEMEHQNEIMRKEMDQLRSDCREAIATAQMKRMEAGEELEKKRNQFKDEMERKTKDMMNMMNSFVSSMSSMGETIEEGQRGQEETIAERMNQMGEVEMGWGDEMDETCEKLKNMMNESTEKTKDQISTCLPILSSMGQKTEDYGRKSEIDREDWKKERETIEEECRGKHELSLSSALSSIDSLKETHDKTQVDTVAALENTKSIIAESWKEVDGDMDKLMKIVIEETERIREIPPKDVEQVQREFQDNQSPPTEEELFAESEDEVEEGEENDENDQQKKFKEKAKLRRSNFRVRDSLLVGGDDLQSPSKLIGMRGQRIEEEGSEASFGSDRTNRRTNN >PPA09131 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:50990:53814:1 gene:PPA09131 transcript:PPA09131 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSMREMDQMMNQMMDPFGVFGMAPRHPFMASNALEDGMQRSRGSAVAFPRQEMMMRDPFGFGGFGAFGGMPDIFAQRRPRRVFGIPRIFREMNGMAQAAMNDPNGTVYSSSTMISMDGSGRAPRIVETSTRRSGDVKETRRRVQNGDEEEMVIGHTIGDREHILEKKRDKDGNVRNQQRFRNLDQAEAEAFDREFKTRAEQNVRNSGFGDVFGFRNGGRSALDGTARSRPREIGSTRDGNGPIITLPEEDEPSVTFDRLQCDIVILVPLIPPPPILTLPHLVQSLEKYLKKKQSKVFPREEKIYMEDSYNRGEHL >PPA09122 pep:known supercontig:P_pacificus-5.0:Ppa_Contig175:12540:14370:1 gene:PPA09122 transcript:PPA09122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dyf-14 MTTDRNRMIERCRQLEDVVNRIEEEKTNGDRRRDEMDTAMGRMEREIQAVAKRLEEAETAHSQAQRTVEKLRVELDLERDSNEKLKNEEEHWRTTAHTVKRSAEEYRKTMLDERLATLQRNYDELNARNEKVVEEAERLRKEVREASGRATAAVQKSAETDRRLEEVAESRKTLHTRLLAYERKEGDWNRMEKDMTDELKSLRKNKLILTSENEELKRKVARLDIERREIDVNRTRLEREIIALKKHIETGFFKLEDERNRREISLKEALAERKGIDKSLATMEKENAELYRSCSTLQAQISQLERDAGGPRSVAKLLKDHADLEAKIGRINAEKRQMELTFSQRETNHAHKHRMLESQLSMLKEQLEAERRRRLNESIFNPRKGKTIQ >PPA09184 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1751:117:612:-1 gene:PPA09184 transcript:PPA09184 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRKKPTYDIITDDLYDCRIPLHNELAYQHGIHFEAKFARALFASARRSSGPSHFARERRLLANDFEESLASEMREAALLALTRARMS >PPA09207 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:91778:99898:1 gene:PPA09207 transcript:PPA09207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-syd-2 MMNMLEDRDKLQEQLEQSKKAIDDAHAKQKEAERERDSYIRQLDMQTSHLPNEQQTLTKELVAVREQLLEKDEEIVELKAERNNTRLLLEHLECLVSRHERSLRITVMKRQTHSAAGVSSEVEVLKALKSLFEHHKALDEKVRERLRVAMERIAMLEDELTTSTELNTDLKGELAKAIEELQQMKAANGQRQQNGASSADSAARIIELQQNVERVKTELETTLKQVNELNHRNSQLDTQFANAQKELHAAHETTSKLKAQLQEMEAQRDEQDRRISQLETKNINAQRDSNVMRDFADKLEHQDDERKRESELCNATRKRDNPSAVPLLSWSLIANKDASIRLNEEKMHSLQERLELAEKQLAQSLKKAESLPNVETELQLKKEALTAAEQKAMSTEERVQKLERDVDEARSEIERSKQKERMNEEHNARLSSTVDKLLSESNDRLQLHLKERMAALEEKNQLTSQLEGTKKSYDKSERARDRLQRDNDEFRQEVEALRQQFYNARTAHFQSRLFNTPSGPVPPPHAQQIQQIQQMQQIPQIPPQKMSNGTAQSVQNLQNQALYGAPAPQAPLYPQQVMTARRAQKGRIAALQDDPDKIQTLNEQEWDRLQQANILANVQQAFSSSPSMADVVAGNPMTPSGPPVDLLSQGQPLPPDAHLLASVLQDKLDAINSEIRNSPQHDFLVNKYNTLPANASTSHMRDMYDPYNQTMDDSMLEDDYLRRVHSSRFEESGRMSPASSVASSTDGYGVKKKRSSSASGFKSLGRLFKQKKNAEKRVAMESGGAYSDSEQSSGGADSAAGNRTGSDFDRRKKKKHELLEEAIKARTPFALWNGPTVVAWLELWVGMPAWYVAACRANVKSGAIMSALSDQEIQREIGISNPLHRLKLRLAIQEMVSLTSPSAPRTARTTLAFGDMNHEWIGNEWLPSLGLTQYRSAFMECLLDARMLEHLTKRDLRTHLKMHDSFHRAALQYGIFCLRKLNYDRKTLQDRRRVAENGGRVPDLLVWSNDRVIRWVEEIGLGGYAVQLRESGVHGALIALDDTFDSQSLALSLQIPPNDAPARQLLAKHFNVLVAEYRKGGGQSANAWLLYSLDSSLRLTYQSGTRSFLPSHLMSRFASLSVSFHRHLLSFAYSSVLYMDHTIDTNLTLAKYY >PPA09243 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:298529:303984:-1 gene:PPA09243 transcript:PPA09243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hlb-1 MAEVSNLKMKFAVMEREKNEAERKLHLSQSEMDHLNQSMVGMSRPMHIQSHVAIQRQNEEVEQLRDAVHRLIADNEAKNAQISHLRNALEAQQRDSYTVYSQSPRYQPVQNDGYDFNAQLRKLLLDESVEQMAHSSSFPLSLCSSNPNAPPSSRSAVQSSSSFNSSLSAASPPSSWCHTPTQRGPNPPHLNPNMQSYRSPSSPAARQLAAELDELRRIGPMNMERGERGHQTYSTASLPRSSFNKTQSTLTLPGKKLSVASSSGEYVERESLSSSTSRPSKVRREVNRWITEKLRGRSKKRNSKRATSVPNLVESDDEITRGRLTAATSTLSVKQQQPLRRDRTRSSLRNLFSKFARSNSQDQSGQAFRRGSAARSTSSARLGSSTNGPLSGPIALRPPLEVFLEWRGEQLAEWMGEIGYAYLAPTVAAMVRSGRQFIHMSDSELERDLGIRNPLHRKRILLILKKIEKNNSEVIDKWDLHQVVRWLEEIGLPQYKEVFTEFIVDGPMLLSLTASDMVEMRITSALHYATIARSIERLRRSDCKMNGLVRKFDPAILSTYPCPEVVSRWSQQATCEWLQTIDLAEFTPNLLCAGVPGALMVYDPSFTAETLAEIMQMPAHKTLLRRHLSTHFNQLIGQKIVSAKRDFLAQGHWPQMNPNLKIKVVRKGFSLTRKRAKGEICVEPDELLHHSLPASVTVENVLFASISWPKKA >PPA09205 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:82013:83101:-1 gene:PPA09205 transcript:PPA09205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lec-9 MHEGFTGNARIDVHAHIHHGYERFTVELLSAHHVVLHVDFRFGYMGETIVVMNSHIHGVWGEEMRVVNPVGHGDFLLTIRAHHSHYEISVNGIHIAHFHHRLPMECVQALGLAGFGEVRSIHFTGFPFATAWGDHCEDHFGHAGWIGYGTVDYIAPVIVAGHRYHSYY >PPA09229 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:225941:226470:-1 gene:PPA09229 transcript:PPA09229 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTSSCPDPDDVAVLTMRKAMGALLDNVGFTHAQEGPLNVITDVAVRFMEKLCKEVKLAGEYCESFLHIENLLNI >PPA09224 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:177367:177782:-1 gene:PPA09224 transcript:PPA09224 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rgs-6 MESVKEGNMEEMTKAQQEAAFDAAFNNAVFKRVLRDPQLLAPFQAFLEQQFCAENVNFYTAVEKYRKLFDKNTKNAVRILSLTLFILHVL >PPA09200 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig176:63356:65470:1 gene:PPA09200 transcript:PPA09200 gene_biotype:protein_coding transcript_biotype:protein_coding MILLFPLLLLLHPSSADYLSEHLTHNVDLSVNPCDNFYLHVCSQSVDEEQSTGVEALDIFFAACEAEKLHSEITNLEPYDLFATIAHETFHSVINAAWAERMNREQAFFYLTALFECRKIGPVEEHYDVHSYHQVRVNGDMTQMPEFTQAFSCTPDHEMYSVNEDTCHLFGPDSK >PPA09197 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig176:50611:51171:1 gene:PPA09197 transcript:PPA09197 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGWIAVGALTAVSSGLIIVLSIPHPLTPGAVYYSEQMKQQNAAEVRANIN >PPA09223 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:170774:175807:-1 gene:PPA09223 transcript:PPA09223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rgs-6 MNLGDKEDDSGERGKDGSPPPPTTPVKKGLKGRLERLAASPSKLNQKFEGKSRIWSGFDRFSKKLRRGGDQSQSTSVIIRIFSSDLVPKKMKKSEGRWIVQRSGSGRKVEQPVRRSYSSEIESTTDGHDERASTRAWRSPHFVTKYCTLMNGECMNERVALDDPSISVGKWTQVIATTHSMDKRCTEAVDAQTGATIDPCRQACDALQDRYVRLVPTVTFAIELIAPTFSYKSASNSASTRNGLTRVVMLRARQTLSAAAAMRPLLARYSMDFDKCIVVFGGSCEVVRPSLAIQNIGNRSVVIMSETQYKDRMDVRKELLSREGSYSSELASDHGIAFHQHGDIAYCELPFDQGTNNSSDNSLRRFVRRATQVVNVGRSESRRRSEAAHNPAGIYCGEEHQPEHREKKRLSLFKSRSDRDRSEGLLVDKKILARPPVELKSAPVSPAVRPKKEDKEKPSKFEVATPKDSDTSSNGEAPRTPVIFASKASAKAVCNSPAGATPESSFTWQPAAYV >PPA09220 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig176:155663:155985:1 gene:PPA09220 transcript:PPA09220 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSPKMSTRPYTFYPPPPDEYFIQAKLRKKPMMPPPLSKYHTYPKAPISSALQL >PPA09213 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:119876:126478:1 gene:PPA09213 transcript:PPA09213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-84 MGWEESGRRSPSLELIDRPPSLYRPPIRPYRTGYTYAYSKSYRNSTDYDDYEVPNMAGTSFYHDSSMREDDGVEGYSFMSTIYEEAVTIYYKTITAVYCSLATTYAGGRSIVEWIYYILYSIAYGVWYAGSHGGRWIGDAIYRVLYSIAYGLWLVLDSTGRFSYNGSSLLLQTLFDIVMFVPRKVNGFINPPPINRRVTYSEDDNEVRYFTHNERIVVSNGFSSSSSSSSHNQKSVFGGLWNGVMKYLKRGRRSHMEPVYELRSRTIEREVGDDTDTDDELGIDEHVSVVRHQTNQSVPTRRARTVKAMDEQDVIFDESSENILTNLAYLPVDALVALYSLLSYTISSVGSGVSNVGYYSFHGTKSVFESVFDGFITILYYTMYAPIATVGSFVSNVASGNISSAPANPANNGVANAARTTTRKSRSHAVHHTTALGSATTDEDEMMAAPVYSTHERHEEEDILADLRDTPVVRRSTRRLSTSSNTSDRSASGSNAASTSTARTTRSARAVKVPLLHSSSQSSSSSLFAPVGGVVWAVKDSITTILAKIIEIIHFTFLLLIQCFKAIGQLIVGGASTIISSIGALFGAIASGTTTGSAGILSMIGAVFNNIFNVFRSVFTESASVVSSTGSAIGAGVGSIWGSRPSGSTLWNLFLWLVLLLPLIFFCLWLLALPPFKKEHDEVVAEYVKHYSSIMEDYYSYGQHHTKSFIGVTVDTIGAGARSLWTIFASLLQWILAAVFGLWESLLMFLAGLRIDRLFAFSPTPAIVPPIIVAPSSECPPVPAPVYIPGPPAPPVYIPAPPPTIDQEALIAAIVAKVTAQMEQRMSDSLNGKIHIMEESVRRAEEELRAKITVTHEPFDYSNLDALIAAAIRKYDSDKTGLVDYALESSGGQIISTRCSETYALSTRVEKIFDIPLYYSNYGPRVVIQRNSQALVPGECWAFKGGIGYLTIKLAVPIKVTSVSYEHIPPSISRNGENLSAPKTFTIFTYEKDEYDFASRFELGKFTYDAHGDPLQFFPAHPPYPVQIIEFQVDSNYGEQYTCLYRFRVHGDNLAVVRK >PPA09198 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:51881:58425:-1 gene:PPA09198 transcript:PPA09198 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLVALCLLAVAFASQDWEIRPEQIRDQIFKTGKQYNFKFDGQIASGLPISSSPDNSASRIESLVSLNFENDRQVLFKINKIRVGSIQREVESREVQPFRFFEETELIEEQKETLLLPVRFRYENGLISEIEFDREEPTWSANIKRAILNMLQLNLSKKSRSDKEDRLMRDSEENEWETSTFFSTHEKTLEGDCETSYTVVPSGEETLRVSKSINFEKCKKRVDIRYNLRFGEDCPTCASKFNREEPNTISSTVFEFELNGNAKENLIREVQLRSQYVFTPLDGEKQLMGTYVTNKLTLLSVESGVETIRGPKSEKKEELVYSTEWEEKMEKFRMTGDASLLKKSPFQWMEKKMEMAEKFLTSLRHSIESADKDESIKSETVHDMARLIEVLRFMTTHEIEKLEKHIKKDEKMTSIFYDCLSQAGTFPTVSRLVEGIISRRLSTFETTKSLKRLLDVRTPSDKMIHELLRLTKQEDIIRSPVVRQSLWLSIGAVMNGVCGETQERFAINREEMCTREQKEVYTREMEKLFSDSETHYDKVLALKVFANSGLDLIVFPLEKIIKDRREEESVRLSAVEALRKLRNVMPRKVQNILLPLYKKTSESVEMRIAAFHHLMHTLPSRNIIDQITLQLENEPSTRVFSYVFTTLKSFASSELPCEKDLSRDIEYSLRHVRRQSSRLVDSRSIVNSYYSKEFLSGAELIWSHIASNTSIIPSEVSASLSTLMGGEWYSHMAEIGFSQENIHVLIEKLYELVKKNQNSIEEILVRGKRSSEMRPLEILKDLMKKMGIQERRTHSKHSAAVLYMRIKDMDYVFLPLDEKIIVEAIKEFIKNGASEISSIEKILSRGYTVSGMIGSLVYEKTRIIATTFGMPLDITWKMPTLLSVDGVVKGSIEKRSLSLSVSPKLATTHVIHAECRSPIFSQGVKLIHSLTLLIPIDMDMKLESESRSVFSFNFRLPETESVRVMRMQTRPVTYIRHENKKEEKFDHAIEKTIYLNNPSRKEISRVYGEEILGCRFAIKISADYHLPLETITQFSRLSTLVKGLYPLEFEIETRDEKVEKEGRLLAKLTVEPQTWRYANFSVETPRETLRLKTIRLPFRPTWFSLAKKASIVRTFSPICEVSDSRMKTFDEIIYRAPLTTCYSVLAKDCSEEPKFAVLLKKISKNGEEKKLKMITRENTIEMEMKRDEMEVIVDGKIIKEKKMNEFGLEKRGDVVIFENEDLFVKFDGFKAEIKMNEMYKAKQCGLCGHFDGEKKGEFRKADDEETEDLEEFHRSFLLKNKECEMEESRIKDKKNYKKFDYESESEESKEFEYETEKNEKKEKKEKRFEMEDEESVEPMEKTRVIEKAHRLCFSKTPVPECPKKSFEKEEETKDIKVHFTCLDRSSSEAHRLLRESRRDILSLDDFPVSFVETLTVPKTCFVF >PPA09228 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:219284:225077:-1 gene:PPA09228 transcript:PPA09228 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLSHAFSTMKFDRIDFHAYLEQVSSIAPIPPLPLFPVDIPKCHRINGYKASKEEKMSRPEHIPRFLPGIRPSGEEREDAHVPIPSTSTALDQFDNLAASFEKSRPDYLSRFEGDVPGFCGADATNYGMKKTDKDKNPPVLVVMKGRKTEEERRRMEEEERIKRETEHRSEVSSSCGTNGIHNKFKKHKEKDKEKSTNSSRPPSTLKGIGKPAKEKTPHKVKKEEKSRTNTPIIKENASLVPSDLLQFGGTTPIKSTPVKNEKMDHPKPLLSLNLFDDPAEETDIPLPRTPGRDLIDSPEDQEEPLFFDADPFGRMAFNGPSTSTPFKNTPTMKDEEKRRKEKEEKRARKEEKKAKKVADEVKRIEMEKAAEKEAMKEARRVAAREEAKERERAREKSREQQRLEKERKEKQKEEQRRAEQEMQRMLDEKKEQIRLQAAQLARTITEDNERKLREKEEKDKKRKEEKERAKIEERKLLHAAKKEAARRSATPRVDTPKPRELEAIRVEEDKDDSSTIPCVMEDIPDSVVPPSGPTSFPLNMSMHEPTPIENGYSYQDQEAWRGKRRRKDEEEAQERQEGKGR >PPA09221 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:157847:165182:1 gene:PPA09221 transcript:PPA09221 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLTRINKARNKSAQRASAVFTSEQSLPEKLSSALKSLRPGGLSHSASSSHVKNKASLKLMIISGVVNADDVVFIPNNDQKPRDHRYSPNVKAQRKSRSIFLRNSPSQSEIHRLAQLEDPPLKDLEQIRALYKSSPNIATVDDGISHERPRERRYSHRYEEDTMTEMSSSTRSPTDSGYRSASRHIDNDHFTEDSASSASGSLGVCRKSTTVVLVNDDASPRSPSVTRGQHLRLRCNVHPEKDAKPSVLPFGSRDIVAVLQARRCRPYSHFLDSTTLPRFVSLLETPLLLLHEEISRLCTYSLKLTVEEVVTAIKVTFPLDVFSSCIKAGVQATTLFALSGTGALKKSMSRRADLNFCVGRVYRWLIDRMPNKPISDTVAVFLTATLECLLEELILRLIDSDSTRVLSVKEVDERLQGHKSLIEFFLATDSVARRRCSPMIAISDLIDEVHDIREKIVVESDGSLCGKTAKRCPIRLSRNGIRALHFYVSQSGAYKPSENVPIADWVRIIYAYAEHRMSFTVDDCDVQQASRLLLHTFCPPVGVHFWRHDVSSETTIGEARKAFAFQLISTANPDNIREAADMLEPDKLSARNAFGLCPLSEAIVQANADAASALLSSNCPVNCSVPSPRVDRRPYLLKEYTGWTPLTWSVAIQKYNLVQILLNAKADVENENMVKESPLQVAVQLNHAECTRTLLEKGADPFKSSVVYDSNHAHFKNSGCASALALAAARGNDTLLRALIHRVTDQKSKSVSVNDFLSGGKSREPLESRTEFDALPKETKLALQEAMYYAVETGRPTMAVDIKESVTGLNWNMYIWTKALQTSLEQRRMDLIEKVLADFGAKLAAEISEETIADCLHVLFGIIRWQCTLPEGDPTCAAAAVSRLHHYAKDAPDDSKSSNSTSHRPLIDSNFINNQQFSDVKFMVGDRPIYGHRIVLINASERFRDLLLSRSRDDVVHIDDVSYSVFLAMLEFVYTGDLREIGDIRNVLPLLPASKAYGLPSLHTQAIALLAKRINDNNFADLYAYGIEHSIEELVSKCERHLLENFAILVAEAPR >PPA09212 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:115237:116287:1 gene:PPA09212 transcript:PPA09212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-trap-2 MFLLFVLVATAFASSEEDPQGAWLLASKTPQSVYGVEGMDYVVEYGLYNVGDRPAVKITLDDRNSYPSTYFEAVRGMLQVNVERLNPGENFTHAVVLRPTHPGLFNFTAAQITYRPDLNSNQIRSGLTTTPGEAYVYRLVEYERRFASKFQYFGIFFLMMAPFTLGAFLLYVNSLRQHFVEEPTAVAKKTTKAH >PPA09238 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:282031:282938:1 gene:PPA09238 transcript:PPA09238 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPLFVGVAALIGVLIFTGGVTMYALIQDDKTVPPGETAKCFPEIEGQLLISVDAAPWFKCLKNCTFEDVSENCQCHLHLHFDNKEGTIFPVSIPEKGLLQQSGQISFRRHRNDELMDWMTVKIGTETGRKWLAFSMDYISPNHYCLSDGVRCVKITLQIIEERYLKLRSCSKHPFLGNWDIDDSK >PPA09225 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:211148:212058:1 gene:PPA09225 transcript:PPA09225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dop-4 MPLNSLFEMTHHVWLLGLTSCDIWHALDILASTSSIWNLCIISIDRYLGVRDPIGYRERVSKKRILIAIACVWIMSIALSFPAIIWWRYSSPHLYNDQYKCVFTDSGHYILFSSIVSFYIPLCLILYTYGNVFLIASRHHRSLKTGIKKLDAC >PPA09241 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:287626:289427:1 gene:PPA09241 transcript:PPA09241 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHDVSIQGVLFFGGTLTLVAVIQNEYSFNSADVARCFPVFEGQVLVAVDTAPWYTCDWNRTLEDTEKDIDLCQLHVLVNGLEEVSFNATDIGLSAQAQIIKFRRMRADPIKPAAGHTCAYHSIVSRQRCHETTTLCGCLMPELTVRMGNETFTKEITFDRDNLFSTVVCEEKMQVNDDDRCMKVVVQKLYEKGLKKRSCAKIDFLGLWQIDDLQ >PPA09217 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig176:138453:139707:-1 gene:PPA09217 transcript:PPA09217 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRSLIEREFICAGHPFQSRNAHSAYGSGAVTGPKESPVFLLFLHCVYELLVQFPLSFEYTEEFLILLFEHSYASEFGSFLGDNEMEKRQWRVKECTTSLWSYVNNPKILAQFVNANYEPNDKVIWPSVTPQSFVLWRRVLLRWQMKWEESDAMRKAAADAKLKEKAMQSRVHSLKRQIADLTREASLITATAEGLKLTD >PPA09242 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:292923:298059:-1 gene:PPA09242 transcript:PPA09242 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLITLLIPFATTQETPSLIDGLTDPNPAPPPPPSDGIDQNALGTFPAFPTLPPPPALPPPNFNSPMSKRVMSSMTDLPAVISTSFQGDTKPLKNMISKMLGEGLLSKLITSPFQAAEDMGMPLEDLGFNKTEAINKLKTDFAAQNITIDPESFLGSDSHRPTTSTVPPTTTPEQMYIEGKPIAKADFDDFVTRFNPVDTETGKPLFPKSRKKSMRTTPNPEQVAVEEAIMRSKMQAAGLLSPHQQFYDPTLAGTFPIGNDPLPQPVSADIANTLDMGLIDPRRVSEVNNLLRRAPMRNPSYSRSPVPIGIGSPDTLTPNDSSFRQSSSMASSLDPLNAPAVAFDSNIQNVVNTLKTKSVNSLSVGEVKELQAKKRELQVLQDQLEQQKRLLEDQKRHEMELKMKEHHLMEARQQIESQLQKELSSFQSGFGLNDERSAMPPSPSYPSEFGSGFGGDQRSPLSMPSQFTSGMKFGNEQPSMPPSFPSTPVPFDSSLLEKALNEQMMMGPELPPQVMTTRRPTTRREWTTRRAPTTTTTTEMPQMEEEPRRPSGGLSLGGLSMGMSVIKRRPLPADRKVESEEEKEEPRVIPSKVKENPFNLVRYGPEEKKDDDKEKEYTEEEVMYDEREDRPAAAPMSGGRHIVGASVSKKRPEMVTPSPPRTTESLITPFPTSKPSFTEKSAEMPTCECIEISQERMVGRWVPALASPSVISRIEEAIGVLLDEDAVAPTCAKFEFSVPRYQSGSNSAKMSVSFKTDKSDKLTKIRGSAVSSDSRTVEVKINDLHGNNISAPFCVLKAEGRTVYDYMVVVTSQGPCNEAVLLVRDPDAFFDGDNSELIAYFKHMINKKELEPLQAVSFSNDCTAH >PPA09191 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig176:22906:23477:-1 gene:PPA09191 transcript:PPA09191 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDFRLLLSQLCEIQFNGSLLLVSSPAHSHFTCDKCNTSIANVELEMTHVVKEVVRELSKIGLPCSGCAVNHSYNLSPDSSTENLPQKDRVIHHKSREQRSLR >PPA09235 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:271693:273929:1 gene:PPA09235 transcript:PPA09235 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIIYAAGKEDQLPPEYAKLENAFTIAGEVMKESLELLDAPDFHTKTSWKLDCKSEDMTVHYKDFPNGRYFAARCKLPISAKDLIKHYRDDVEKDSEWDENIKFVKKLYQITDNIDVVHNVSNDVMIIKSREFLTARCFREYKNGYLLAGRSIDLKELPETSAAIRAYMHLLMGYATPDPEDPDHSCIYDTIACMDMKGMLFKSAVNQIMGKITIKDMEQLRDHCKNVLRKELYPNL >PPA09218 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:141294:145365:-1 gene:PPA09218 transcript:PPA09218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mtm-9 MFAPDQQSPTVKGQNQDAANDIWILHRMVDKVVAEPIAKDDPSRGGLLILKLKNFLILTFEIRSVSDCQALFRSIEKLSNLRGFHHEYPFYYRVPFTVLDDGWTAFDLENEFTKLSVLAPDQFRISSANVNYALCQSYPEKVIVPKGIGDDYLGHSAEFREGRRFPVLSYYHAPTKSPIMRCGQPLVGPTNRRCKGDETILNTLLTTERGVIVDTRPKNVGLDSKRKGGGCESQQFYSQWRYLVFGTPRIKDTHDALARVVELCNDTRISSDKFISRANSSMWYHAVTDILTAAANVATLVSQEGTSDCGGIKPERSCMVSAYRNLS >PPA09227 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig176:216543:218942:-1 gene:PPA09227 transcript:PPA09227 gene_biotype:protein_coding transcript_biotype:protein_coding MRGALIGGDHDSSTNRDDEERRERKKAKKREKKEREERERLEKEKRVVQSPIQDKIDPNKSTGTGLKLTIKLGGVSSQAVAPPSFVSTSTVPAFPASVNQPSTSSPLLKLKIKTPIDHTMETAASSSSSLPVPPPIAVLPKPRPVTKAELKATPESAPSLFQEERTWKPKHKKHKYSKASGSDSSSSSDEEERKERERRRKAKKEKERMEREREREEEERRIREKERREKEKEREEKERLERVKAEKEARERIEREKREREREKGREKEKQKKKQTAFDLALQSSPEKKKRKKEEEKVEVIWICPVCSVAYVDGAEMIGCDCCDSWFHWQCVGLVHVPEGDAPWFCERCTPKNGELPNYGKNKKKCTHWSGQYEDWNITKVKKSRDYSP >PPA09189 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig176:16993:17401:-1 gene:PPA09189 transcript:PPA09189 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSLILSLALFGACAANIADMYDCRAKEVKYPYEYIDDIVLKSDPPIMKCEYRCIADKWSVKMRELVVKRRRGETKTIKALVQEDESCVYDSEQFNEWGERKYML >PPA09214 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:127601:131003:-1 gene:PPA09214 transcript:PPA09214 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEQYKITRDNREVRMKNLKTEDSGRYECQVINGFGHISVEFILQVYDPATDVLSVGDRITMAEHSSPPYWLDDNEMHAATSQPKRIHIGGKLELKCAAKGNPVPELRWFKNDQILPQNGPIDSAILVVDGVKEGDGGNYRCEVANKNGYKQATFKVVVADFFDADNEAVNEIGPIPIIDHPYNISVQIGRTSQFLCKAKAEDTPLTKVLIRWLKELTAEEKERLQRTNATVVQANGKSLMVIDERQFPGKEIIGGQNYFTNRLIIPSTEMSDQGRYICVVTDTGGRIVYRTAELYIERGLRIGDLHLSSSAFWWIAIPVSLIVLLFVIFGVMYLRMTQKVEVKEGKGQPPPPRIPPPITPSQDQIFPQQHMHHPGHYFDQPQSPLLLQNAMFQNGRGPSTMDRLHTPHRLHRGVREEEMLGSPHFHHNSTQSSPMYWGNSKSSNQPLLMAAPPQMIDHPSMMERDHRMAGHHTMGHGGYFHSPHHPAYAPSSNYRTLDINYCRDPHPSLYSSDYGDQHTITRIRELLRPFIPMNLTGRFVRSNELLLYNPQC >PPA09194 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:41009:41949:-1 gene:PPA09194 transcript:PPA09194 gene_biotype:protein_coding transcript_biotype:protein_coding MVEISVSLSTDDQIKFLLFIFNVAISVVGRNRLECPEVSEESVEVAIRDEPASAVINVNYTHRFFHRDASRVCASCSDSYEDWCRRVFRSDV >PPA09188 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:14442:16282:1 gene:PPA09188 transcript:PPA09188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lit-1 MDMELMTFDLYRRSHIKKKLDLDSASVYLYQLLRGIKYLYSANIIHGDIKPDNILISDSCVLKICDFGHSLVCESLIQGIHARNRHVGCTFVEMIANEQLFRYESNAKELDVIIYTIGCPPDRDLEGVDWKIRKPIRDLKKDLGWEGPNTKKILLDFAPILNEEALDLLMQMLSYVTWRITVEEAPNHPFLEYGRSIFHSCLCTCCSRSSPTTHPDPEPKHNAPFDRNWENDLANKSIVELREKVYNNGLHYTIG >PPA09226 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:214051:214354:1 gene:PPA09226 transcript:PPA09226 gene_biotype:protein_coding transcript_biotype:protein_coding MITENLAGTSDQVFTIVTWAGHLNSMLNPLIYSRFSRDFRRAFKQLLTCQRERKANQLRYTQSQSSEMSYE >PPA09203 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:75453:75858:1 gene:PPA09203 transcript:PPA09203 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIYGKMEILSSSPRVQICPQGCSTSMTKKKWTAYEFKKDGKTNVKEFYAYSAKECDYDGFGKILG >PPA09239 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:283888:284984:1 gene:PPA09239 transcript:PPA09239 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPFLAGAAALIGLLFIAGGVTLLTLIKDEDNVKEVDRARCFPLLEGKLLVAVDSAPWFVCGANCTLENTDQSSCLCQLKVLFDGKEEVRFNATDIGLTPQAHVMEYIRDRSDPLKGIQVTMGNETHSRELKFDSDNSYYTYIFEEKIHGEDYCVKVAVQKINERALKVRSCAKHDILRMWQIDDSIKGKFERNKINDNQGVQIPKFSSLLTSLPFTPYSLLTLPRLLQYSHLLHTVLRFDALPLISPY >PPA09202 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:69411:73121:1 gene:PPA09202 transcript:PPA09202 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQDRIGRWYFGGLASAGAACCTHPLDLLKVHLQTQQGGKISLGQMSVKLYRSDGLLAFYNGLSASLLRQLTYSTTRFGVYETLKKKLNPSDQPLPFFQKVFLAGFSGALGGFVGTPGDLVNVRMQNDVKLPPAERRNYKNAIDGVLRILKDEGVARLWGGAVMATMRAVLMTIGQLAFYDQIKQTIIKSGVGKDNLTTHFTSSILAASIATLMTQPMDVLKTRMMNAKPGQYKTILHCMKHTAETGPSAFFKGLVPAWVRLAPHTVLTFIFFEQLRMRFGYRKEKN >PPA09219 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:150763:152218:-1 gene:PPA09219 transcript:PPA09219 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFPIPIYPGLGNHDYQNNVNDCALNVCARNMLNWFIHFAANKSLPIQFNSTSYTGSLAYTKRLCSITGKNCAQVIQMNNAIDYEVAFTAFFIENWRIESGFAWLKAVRERVGQIPKDLAQLKNPSLAPDDVTSKSVFVVDFRSLDLPESLYLDLFPLSVLSLFTMLFRLPRKERRRGKEIDQKARNRPTTDFEVTSSGANEGSK >PPA09195 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:43771:44179:1 gene:PPA09195 transcript:PPA09195 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSLIITLALVGACAANIADMYDCRAKEVTYPYEFIDDIVLKSDPPIMKCEYRCIADKWSVEMRELVVKGRRGETKTIKALVQEDESCVYDSEQFDEYGERKYML >PPA09231 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:244276:245125:1 gene:PPA09231 transcript:PPA09231 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSFLLLLSCCEIGSALKCIQCNTDEEFYSDVERQNCIDGRLSSAPCLNSTICVTVYYQFFDNYFDAIMGRDAYGHRILSRRCGHQWEISCNLYEYPKMIEVTEETGASEAISRMKRTAKEPSLVRLCTSTCDGRECFLSSSNGKPINILILFLIYILIQ >PPA09216 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig176:136977:138256:1 gene:PPA09216 transcript:PPA09216 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRSIVGPPRTISMCADIAQLHDTGAGFAEHGKLKVVVTVQVTETTGIPEPISIDFSKGRIDVDIKLLIDGEGIYLNKKVLMEAPPYFNRVLTAPNEMFDGRCLRIKDSYELSEAKDNIMLNRINNDNKN >PPA09233 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:260132:265674:-1 gene:PPA09233 transcript:PPA09233 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNGVKLISDQLTAEELWLHIANGSSFGGMARFEDDEHAQEEMQFDDNINADYVGNGITTNPIEDNFESLNGIIDIDDAQREPANSSMSIVQLQTAISERKDLLADPDDGNSADCFTSCKGHADLVMQWKAPYSASIMEQGQQDLRQPVIGKVKGQKVCTRSDTSDGATTSGFKSANTKGVEKPNTQEVKKCFESAMDYVEGWELWLTHHLVNVVQSGDHSKRVENATHRKQTTPTNGRGLIITMAEVAPISPNSPLPIQDRKCFFIQRDYSKGVTVAWSTEFPTELVRVIDERSWVSFIKELNERFAIADKITFRSVMETFLGLCTCYIFHPCTRSSYEIHLEDISDYIDDVNEQFFNSRGINVCNPMEHGMRAIEVSFLFTQKEKPSHQPATLNNLGIIPRI >PPA09215 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig176:135843:136417:1 gene:PPA09215 transcript:PPA09215 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLLFFIVLSIAGATVLEELVGSKGANQVIKLKANYNGKYLSGACFAGADKCDEIIGIKMVDGSPGPAEYEHFTFEEVNDKQVALKTFNGKFVKQGVYGSAAIRSERNALTPVKNADGTWSFESSLGKWLSAHRQDGIVYFMPSNLKCEHWLI >PPA09185 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:57:1267:1 gene:PPA09185 transcript:PPA09185 gene_biotype:protein_coding transcript_biotype:protein_coding DCSEEPKFAVLLKKISKNGEEKKLKMITRENTIEMEMKRDEMEVIVDGKIIKEKKMNEFGLEKRGDVVIFENEDLFVKFDGFKAEIKMNEMYKAKQCGLCGHFDGEKKGEFRKADDEETEDIEEFHRSFLLKNEECEMEESKIKDKKNYKKFDYESESEESKEFQYETEKNEKKEKKEKKEKKEKRFEMEDDESVDPMEKTRVIEKAHRLCFSKTPVPECPKKSFEKEEETKDIKVHFTCLDRSSSEAHRLLRESRRDILSLEDFLVSFVETLTVPKTCFVF >PPA09234 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:270113:270647:1 gene:PPA09234 transcript:PPA09234 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLSPSYVCHLPYKNHIYDSVNSAVDSEFEDFMYANPEVHPGELRLQLIALFTDIKFSSNPDIARRLVSTGEKEFLLGSGWASISRDSFEDISNKPGENFMAILLNYIHFI >PPA09190 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig176:18835:21640:1 gene:PPA09190 transcript:PPA09190 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEVLPVPEKKDQIFVENNVIRIDVEDDQEQDESSRKPSTVCSCPEDEYKIDKLFYRICYFSFILGVNFYRARCYQMKLFSTIIVSIHCCINLYFIGYVATLMLSKKFDPDRVATSISMCTWVLQATLSTIFLAFWQSKGQTCVVLKLLYEAHQGVGIHHHRKSLISIVRMFYVVLGFIFLYYIALIAANFLEYDVGYTDPDIPLIFGDRRLFVIVQISNVYCILCWGVALFIFSLLVHSTHFEFRCFLTAIDVTPSWSQCARAIIPMKSNALFVHSPLLSQKKKNLSYTSDEMLCDYLLKLIKIHNRLTECVKRLDKLFHANLNDHEKSTVTEDLKRYAFVMIATIIPTTLFSLFMLVRRPERYRCLELLFSCPLVFFCVFSFVALVNAPSQLHNTIYNTKSALCANTHIWFPYRPNVYHSALAFVCHLDQTNLGVSIWGFAVVSKPLVLTTLSVMVTCLALFLQLGDCNSGN >PPA09240 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:285907:286795:1 gene:PPA09240 transcript:PPA09240 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQFLAGAAALIGLLFIAGGLTIVTLVQDEHNIKESDRAHCFPKLEGQVLVSVNAAPFFTCDSNCTLADNVQDDCYCHLQVSLDGTEQVTFNASEKGLIPEAMLINYSRPRTDPIQNLFLRVGNDTQLKTISFNSDEVFTSWYCSSDDCIKVVLQKLQERFLKRRSCSKHPFLGQWDIDEKEQW >PPA09199 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig176:61409:62708:1 gene:PPA09199 transcript:PPA09199 gene_biotype:protein_coding transcript_biotype:protein_coding MNERDDLGFSLLAQFEDKINDMGRHDDNDDEHSSNQVRVYEGMTQLPEFSRAFSCKPDQNMYSRKKESCHLFGPDSK >PPA09208 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig176:101831:102824:1 gene:PPA09208 transcript:PPA09208 gene_biotype:protein_coding transcript_biotype:protein_coding MQDEEFCDNVECKDDRPQFLDTNTTCHCFSQPIDYPTHICDGFGDKKRMQQKPSPYHLSILGFNVSAFVIIAAVVAVIAAVAVITMCCLVVSSKRRKRAREERERMQRERRERLGMPPESESFLQ >PPA09193 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:36930:39487:-1 gene:PPA09193 transcript:PPA09193 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKHGSLQLVCHGARSHDASDLEESIEEEQLEKGKRRSEERMRVDNEDDNNIEEDVHQEIVEMGRSRKMEKEAEQEKYVEEHCFAVPALLPSRIVKLKVRPVVHFYLALSLGNSSDLRSVERGGRNRAVDEDDDDVIVESATPPADKSDKDEASAFC >PPA09210 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig176:104528:105122:-1 gene:PPA09210 transcript:PPA09210 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPLPTSATGFAFAGFTSGARDFFKGGLDSLTGGGENEGEKTETGEDPEVCSRDGLARDDSPHLAYSLASLTDEIKIV >PPA09206 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:84172:85092:1 gene:PPA09206 transcript:PPA09206 gene_biotype:protein_coding transcript_biotype:protein_coding MGADEEPYLEEEREEIVEIHPPIPPDEDDDRDVRWEHIDPQELVYPDPEVDDAQRPIVNLMDLLDVNGPQNPERRQEALGLLNQYLILREQIRVDDNELPLIIEHGRIVANPNFDMVAFRMGGDQDEN >PPA09230 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:239703:243372:1 gene:PPA09230 transcript:PPA09230 gene_biotype:protein_coding transcript_biotype:protein_coding MAQALLRFNLSSTKSGIFSATYDVAVAVMLIPLSIYSKRINKSKVIGVGMILVGLGSLCIVIPQFSEGNYSVGSLQSDHCDPTRDDSCMEQPVSDWAFPILLASQLLVGVGAAPLFTYGYSCIDEFDAHKRTGKNMALFMGVSTLGPAVGFIGGGYLLKLWGDIGKTDYRELGVVGTQDSRWYGAWWIGFVIAGIGCILAAIPMCFFPRKLYDTEERKKDDVALTHKKLDVDYSGDKWEYFKTFQLFLYNRTCMSLIAMQTFESLVMNGYITFIPKLFENLFGYSSSWSAMLTGIIVVPMGVLGNCIGALLAGKTSHSFTSMMKTTIVLNLIVVACSSVLFLRCDERPIAGINRGWSNSSIGTTCTANCECDRIFNPVCDKRSGVSFFSPCHAGCQRPLDHLTALDWSGCTCPDSSHVSKGWCTVDCNITQVMFFTIFAIMAATIFSGGPLLQNASLRVVSFDHRDQFICFGWMWMRVFGSIPGAVVFGMLIDTTCLHWMKDCGDSQKCVVYDTTKLSLYTFLFTIITKLAVLLAQISAWKSYVPIEGCDEEEEEKTQNEA >PPA09237 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:279543:280543:1 gene:PPA09237 transcript:PPA09237 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLTAAIFFALGFGSATIIGIIIAIKEEQAVSPHDIERCFPAIEGVVSVNVQADGWPDARCASDCTDSDKIPECFCFVLILLDLKEAVKFPMVDPFMGGKSHHELKFRRFRQDPIKRLHIRTVEGTASFAMNPFNADLISENKLCETIVRHNERTESAELRETRLAQDVGY >PPA09192 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:27426:30876:1 gene:PPA09192 transcript:PPA09192 gene_biotype:protein_coding transcript_biotype:protein_coding MRELAPFKPTEPNPVLNFVDVVQRKRNSPSEASTTANNGEIKCDRDPMIFFAIRIVNRAMHRQSHKKVGDDIAKSTGDWKGLKVTCKLTIQNRHVVPSAASLIIKELKEPPRNRKEVKNVKHNGNITFDALLKIARIMRPRSMAHKLEGTVLEILRIAQSIGCTVDDMHPHDLVDKIKDGELEIHAE >PPA09204 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:76973:79878:1 gene:PPA09204 transcript:PPA09204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-taf-6.1 MATAGPSSSTTQVAPPPPLLPRFEQEWVKRIADSMGTSNALTPNGTLYIAEQITTLIRRIVYDGQKFAIHGRRAKLKAKDIESAMELLGLSHLKPLGHILPEGQNLIHIPNPNGEDLFVPEDRELELNSLIATPIPPLPVKPHIRAHWLAYNGKVPNIAENVSQGTSEEDPIDLKETEELKSAALAKVSRKETAGGSSNEAGTSFRHSVREIPTKETVQLQQLQVEALSVEQQIYYKDIIEACVGNDDKNRQDALTSLEIDTGVQALLPRLGAFIFDATRHNISQRCMSMLIYIGRIIRSLVVNKSVSIEPSLHHILPSLLSCMIGKNLCLRPDKDNHWALRDYSAKTLVDILHRKETDQSLRQRIVDVLRKGIGALFLEIADPTVE >PPA09209 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:103344:104148:-1 gene:PPA09209 transcript:PPA09209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cpx-1 MLPKKSSSCSPKPSRISQVVAARLEQEERRKEKHRKMEAEREKMREGIRSKYNIQKKEEGGAGMDFGTDGRIGAARKKTPEELAAEMNAEDDSIIGQLGMTEHVEKAKTAITGAFETVKGFLPFGK >PPA09186 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:1614:2363:-1 gene:PPA09186 transcript:PPA09186 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEDEASPTREMSASPLEDEEGSPPREVVEEQEVYDKHARGFFLEETMEICPYCKKALASYHAVERHVRREHSDAEEKVYSCSECNHHTRSLRGLEHHWKQSCPTGSINIRGVPVGDIWYGTREYPSPPKSRKPTGKNLSLAIGKTKDSQSKTGERVLRKRKALDALTN >PPA09201 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:67500:68783:-1 gene:PPA09201 transcript:PPA09201 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGVLILLPPLRRRPEALAPDHSSPKKVGDDIAKATGDWKGLKVTCKLTIQNLKHNGNITFDALLKIARIMRPRSMAHKLEGTIKGKIKGGELEIRVE >PPA09211 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:112602:114702:-1 gene:PPA09211 transcript:PPA09211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ile-2 MSRSLLLFLSLLASPSIASDSAADILANAIHGKSIHEFRGFYKREHSLIKPYQGAGMEIPNWDLNGGVMVTPHYIRLTPDEQSRQGAIWNRQPVHSRDWELSVSFKVSGATGDLFGDGFAIWYAQEPMQMGPVFGSKDYFRGLAVFLDTYSNHNGPHKHGHPYVSAMVADGSQHYDHDRDGTHTQLGGENTGCEAKFRNKEHDTNILIRYVGDTLSLFIDVEGKMEWKECMKVDNVHLPTGYYLGMSATTGELSDAHDIISVKMFEQEFSRVERLDEINSDRIVPHAEFQATPRDHSDDPKPSKLGFIGTVVLVLIGVVVVFGVLGYGVLYFKNKNERSRKRFY >PPA09236 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:274663:276386:1 gene:PPA09236 transcript:PPA09236 gene_biotype:protein_coding transcript_biotype:protein_coding MVKWINIAGKEEQLPPEYAKLENAFTTAGDVMNDALDLLNTSDFHTKTSWKLDCKSEDISVHYKDFPDGRYFAGRCKLPLAAKDLMYHFKNDLEKDSEWNENIKYVKKLHQITNNVDCVTYKNGYLLAGRSIDLKELPETSAAVRAYMHLGMGLATPDPDDPEYSCIYETVACMDMRGMLFKSAVNQIMGRLNLKDMEELRAHCKNVLRKELYPNL >PPA09196 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:47276:49565:1 gene:PPA09196 transcript:PPA09196 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAVVSKSAKSSPPSKVAKKGTKKAVKKTIAKKKETVASGSKRRRSSSTSSSSSDSDSDVSYGKGGQKKTKKVAKKRVVPKKKQPKHSSSEDSSALSDVLDEISSGNDEESADSDSPPRKKSTPHESSVDRDLGVGADDEDDQWIGAASSDWDSEMEEKAIKRGYITEKKKDKRKREEEEKKKEEEEKKKEKKDEKKGGKEESGKKKVSKK >PPA09244 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:304489:305002:-1 gene:PPA09244 transcript:PPA09244 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSCPEYPELQDKLQRLAMARDSLTLQVSVLSEQVSAQKEKIRDLESLLTQRRGEDIHSETHHSLIRLDLPIPFPPSSPTTCSFEKGMATPFLHH >PPA09222 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:166254:169670:1 gene:PPA09222 transcript:PPA09222 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSAMPLAGLLRIAESLPTSLTLGQPKLSTFDAWSKLITGAKRELTIAAYKSSLQGKHVLGDLHELYSLEGDSIFELLMDRGLHHNLTIKMVENYPPKDNGDNEDAMMLHENGAANRRYLTISKVMGRGKMHSKFLLADNKNFYLGSANLDWRSLNQKLELGVFVENCPCLGNDLRRIYDVYDQLTHGVSVELIKEEEALYNKRNPLTIQVDGAPTQVYIAKTSFQSSPPRLNNPGRTHDLDAILETINSAEEFVNLHVMDYFPLFVYRKPQEHWGKIDDALRSAVVRGVKVRMLTAALHYPDLLTSFLRSLQALDGATPNSSIEVKVFKVPADENAPVVISRERRTHKKLLVTEKTVVIGNSNWSGDYFESGTTGTAFIMNQTGDQRPLVEEMVENFERDFNSEYAHPVEDYFEKCIQSKTGSFCEGEKDPTLFAPKM >PPA09187 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig176:13196:13940:1 gene:PPA09187 transcript:PPA09187 gene_biotype:protein_coding transcript_biotype:protein_coding MATSREVPCHPSLTPEKENRRDAKTMNQDEPYEVLGKGTFGEFIIFHHPQTGAKLARKKIKKLFGSLSSAKSIYREVAIHSSCSHDNIVKLIDIAIPSSSTARNFKEV >PPA09232 pep:known supercontig:P_pacificus-5.0:Ppa_Contig176:245810:250904:1 gene:PPA09232 transcript:PPA09232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ckc-1 MESLELYDVSLPVEDITACKDIARILSCTIRPDWSVDMLETEVFTNGITNKIFSVFHKENRDDRLVYRVFGHGTDRIIDRKKELEQWLKLSAVGLAAKIIGRFHNGIVCEYLPGEPLKLHQLQWKDVQCAIAEVMSRMHELTIGGTPCTFPKMNQFLDNLRPDFGRNQERFDKEFPSVNLRSLVSELEKKIVAQNAGVAFCHNDLLIHNILVEDTASKKPNNGAAKTTEGAKKHNVRLTFIDYEYADANYELFDIANHFNEWAGVEDLDYSRCPDEIVKRHFIEHYYSCRTGEKVDIDTVMKQLPLFEAASHLFWATWALVQCQNSTIKFDYLG >PPA09245 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1761:193:760:-1 gene:PPA09245 transcript:PPA09245 gene_biotype:protein_coding transcript_biotype:protein_coding PNMADEWAANEVNEAVDVAPAFELESDLPEVKLFGKWNRQEVNVADISLVSYITVKEQVRPLPGRKNPAL >PPA09247 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1766:23:725:-1 gene:PPA09247 transcript:PPA09247 gene_biotype:protein_coding transcript_biotype:protein_coding MSPITLFSKINRVKLELFLDLPMTHIVAHLRACRLLRSSVSCSKCNVPCVEYQKASLRSLQHELRTPDGSTLSSKSFVDYHSFFREICWLDNERQPKIGGPGTVVEIDETAFSKRKIQPREADGSPA >PPA09249 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1769:403:1156:-1 gene:PPA09249 transcript:PPA09249 gene_biotype:protein_coding transcript_biotype:protein_coding ARSRLKEEFARGMDAAVAGGDVAGMHRYLKLFPFINDHDDGLRRLSEYLKKEIARIGQDQIKSRE >PPA09282 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig177:223800:224093:-1 gene:PPA09282 transcript:PPA09282 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLDVFVKALKPQFDDDSIDRLNYYYTPMLLVIFALTLSAKQYVGQPIQCWIPAQFTEYVSEEKRKV >PPA09252 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:24724:29543:-1 gene:PPA09252 transcript:PPA09252 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHCCSPSARYQKCLQEVISQEVECTPVIDEQPPLEGNIEKGRAYRKTFTNEWAVLIENGNEDEADRIASRYGYVNMGRIMDGENYFLFRSLSIRKRSSRKTRSLQSKELSHDGEVLWLEQQISKKRVKRVAIGEGGGILNGNKGGGIRMDIDSSPPNDPLWTDMWYLHGANGKKNYHNIQEAWDLGYTGKDIVVTILDDGLEWNHPDIAPNYDRKASLDVNDRDEDPMPRYEYSDENRHGTRCAGEVAAVFNNSQCIVGIAYEAKIGDDDGRTVDGPAKLTKAAFEKGIREGRNGKGNIYIWASGNGGKVTTDLHHACTTAHTGTSASAPLAAGIVALTLQANPNLTWRDMQHIVVRTARPLFLRAGDWQINGVGRNVSHSFGYGLMDAHAMVKIAETWVTVPEQHRCAQYYPSRFKSIPHGNRLQLQLYTDGCESSPEHSVTYVEHVQAILTLKAPKRGDVQIYLTSPSGTRSTLLTKRARDTSRTGFVEWAFMSTHSWGELASGLWILDIDNDGWDGLC >PPA09262 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig177:106399:107401:-1 gene:PPA09262 transcript:PPA09262 gene_biotype:protein_coding transcript_biotype:protein_coding METLYKVLIISDNPTIHEELEPEIKYVGNMHGNEVVGRETLLFLIDVLCKNYGKNKWLSDLVDGTRIHIMPSMNPDGYERGFDGSRKCSWN >PPA09275 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig177:185697:187260:1 gene:PPA09275 transcript:PPA09275 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRNDMRMKTAHRSQAYFEDSLIGGGGFGQIYRAIDEESDKEVAVKVEVVCSEAGRMVLEQRRKEENKGYFRKLSLPVVTRVGVHITNALRELHSQGFLHRDIKPSNMCFGLNDKRYTVVLIDFGMTRQYKDEGELPWRLITTHEEISKAKEKVTFDQLARRAPSHFKDLYEYTTKQTSYDEMDYKFIISCLDQSDEEEIEKSVDKSLDNFSY >PPA09258 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig177:69933:72028:-1 gene:PPA09258 transcript:PPA09258 gene_biotype:protein_coding transcript_biotype:protein_coding MNQVTDRFESFPRPIGYKYGIVYIDVRGSGGRGWKYRSPIYRGLLASESFFKCALAVAPVSNFAYYDATYTERFMGDTPRSSFTDLTLDLTKFMHTNNSLGTLGIRGQMDSRVISSLASGESDKNELDNVHFQHSAILVEALQYKNIPFQIMVYPNQGHSLNHNINEHLGQLFESFLSTCYA >PPA09274 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig177:183860:184394:-1 gene:PPA09274 transcript:PPA09274 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDGKDWRIHMDKMVRMMGKMDELKGVVGGKIVEMGVAMEKSIGIIESREKSLALQMESSLGKLKEKKDDLAEKTETYNSRSGGIDHRNKTLDSVTQDIRQIKEHIEREETKSTDGGTYGILFLQSN >PPA09283 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:224275:226111:-1 gene:PPA09283 transcript:PPA09283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-inx-12 MVEIPKNITDREKKEIRYKVKAICETCAVSHNMEPVDRKKNMAIVSRFLTQEHDISSALGGRVTLCDFSVRVLGNLHRHTVQCVLMINMFNEKIFVGLWFWLCIMVAISKKALLDEFITTKLHSDGVFLIRLISSNSGDTITASLLKELWENFMEDRVNLPPPYTEPLLLGKKTIDEDEL >PPA09293 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:274097:275568:-1 gene:PPA09293 transcript:PPA09293 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIDDGSGGEDRVINEEYKIWKKNTPFLYDMVMTHALEWPSLTVQWLPDVVKNESVDYSVHRLILGTHTSDEQNHLVIAKLQLPTEDTRFDASKYDTDKNEFGGFGSVTGKIEVEIRMNHEGEVNRARYMPQNPVIIATKSPSSDVFVFDYTKHPSVPPTDGQCRAQLRLKGHSKEGYGLSWNSSVAGHLLSASDDQTVCLWDVQAPNTQGGSLDAKSIFKGHTAVVEDVAWHVLHQSIFGSVGDDRKLMIWDTRMNTPTKPSQTVEAHGAEVNCLSFNPYSEFILATGSADKVGFHLIIYLLSAHVFTYSTPTA >PPA09263 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:107888:112889:-1 gene:PPA09263 transcript:PPA09263 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPTPHTTIESGKKQFIDKKFSKKFKLLPSSSAITEAPTEEYKPTKKHIEEQRKYGVFYDDNYDYMQHMRARDEGSVLLLEDEVRSVIRAPAIPPSFGIKEKKVVFESDIENALDGIVEASGDLEDDFIAMAGGIVEDRLMMPRMMKPLMEDDSDFEDEDDDDEEDFNRGYNEYDMEDEEEEEKDETAHDGADRDVDAAFEAMLEADYSEDQMGELEGEAFDLTAGMEPSDWRVRKLVGEKRGLPEYDEQLAKKYVRDRIKYLEKHGGEETEEEGGLSRRAIKRLEKKNAPISIEENSENEDEDMEEDMESVCTTATTIRRRGETTEERKMRKSAVKEARKQRRMEKKENQTAFAIEHRKATASRFGQIKSTPIV >PPA09266 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:128263:131214:1 gene:PPA09266 transcript:PPA09266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ppfr-1 MFGEKFTVEFAVPHTVQLSKDEMWGVRKAVCEIFVDIAIFCPHEIRRSLLAPLFIGLLRDTSRWVSYIAFQELGPFISTFANSSITGLMLKDGQVVRRSENGLGDEEEEKEREERAKMMAIHMPDGCYIPQMKEKTEEYEKDELDETVALEQLLGDVNEEKEMKSMGNEEERIGGEGISSKCHSLDDLSKIGLEMDIVSEREDSIGSPPSEESFYSNIYWGSYTLGDQDIAAFGANRIDSPRRKKKAEFMDRRMDLLSVKVKEAKEDEKDKKIELRKEKEKKKRKEDNDEIVPIELVEHFVKMVSGVSSDAGYMVTYRGADKYVLITRMGVPNEDNDENSI >PPA09286 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig177:245258:248396:1 gene:PPA09286 transcript:PPA09286 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPVMGYFETHGKTEFTAGEQYGLTEEQKKAVLWRYRVKQILKKEYLRREYDPTNFKYKFIIANTRLI >PPA09295 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig177:286982:287131:-1 gene:PPA09295 transcript:PPA09295 gene_biotype:protein_coding transcript_biotype:protein_coding LMMSFGTNRRETMKMIDTAHPEYNPESSIDSTTIPSQMGSNQYASQKVT >PPA09265 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:117144:120557:-1 gene:PPA09265 transcript:PPA09265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lin-41 MDEMESLQDGTATASSDHFNLQSSVFETPPSMLARNDSYSIWGNNTAGWDSGLQLENTHSVCSDPSGLFSPSRGCSSLPSCASCGEEAHATHFCPDCKDLLCIVCVEAHQRGGLEEKAPVSSLCPHHEGRLVALCEWCKCLPLCSTCFPEHMGHKITPLGDLRGALFAIVADSREEEKKCDEVEGEIGRMVERVDSSVQAVACELRSVIHLHVAALEERKRDLLALVETIRLSKMNALKSQSESVSLHKTRLLQMAQMVDQVKDEEDMSKLTATFDNLVQIYSMPRIGRHATESDSIKFIAPDPSLLPKLRGLGGLESGACAMNCQILGEGYRRAIRERQTTVCRCKGQQVGGSVIAPNGTPLDVTLSERENGLVVLSYLPVSDGMHTLSVTVRGIPISGCPAQVEVRRGRNYEDISAHGELFSFGGEGTGDGQLCRPWGICCDMMGRILVADRSNNRIQMFDKDGNFLSKFGSAGTRAGQFDRPAGITTNTLNQIIVADKDNHRIQVFDQDGTFILKFGERGRPVGLFNYPWGVASNNLNHIAVSDTRNHRVQIFTSMGQFIRKCGFDSAHFYKHLDSPRGLCYLPDGQLLIT >PPA09268 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:136761:137955:1 gene:PPA09268 transcript:PPA09268 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTIVVSGFGAFGNHKTNPSAAVAKALSTYPIEDCIVYSHEMRVIYSEVKEVVPKLWMEYNPDLVIHLGVHPEHNLIRVESTAYANGYCRPDINGCVPLDNKCVPNMDQSLKTSIDVDGLVEKTKESLNGEIGELSIQSSDDPGR >PPA09284 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:229859:230836:1 gene:PPA09284 transcript:PPA09284 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-asic-1 MNNGDNSTTVNVWDISPITTQSPIMRKKEKIEKAFGYKGMTDPIALKTKAMENVMFSVQGMNSTAKEMSNLFPILSDSLLQLDSSVHLVSDWYDSTNF >PPA09279 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:215497:216312:-1 gene:PPA09279 transcript:PPA09279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-yop-1 MSVPPQLQKVIDDVDKQLHEKGSLTDMLGMVESKTGIKRLHIVLGAFGLQALYLVFGHFAGLVCNIIGFLYPAYVSVMAIESAGKEDDTQWLTYWVVFAVLSVCEFFAQQIVAVFPIYWLVKAVFCIWLYLPATKVFMDYHIIAAE >PPA09261 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:95568:102559:1 gene:PPA09261 transcript:PPA09261 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLESVLGPPLDEVGDTRRVAQADGRGFSKLEVEESGARPIERKEDALVNKYAVKEINTKEIRKKNKKEVRQVILPGLTVRGQRGKKEEEDDEWNRNDTCSPMEDDDVYGGNGIDNGMDNNDITYEDSPFGLSFSGKGMCSKEKGTCQFLRKTYSNEGRMRREREDGIRREREYEDRRRKDEEEEWKENERRREEKKKEEVRRAVMELEERQKRLDEERRMDIERREEEERRARDKRRKEEEEKRQIQMEERRMEEEKRREGRKKKEMEEEERNRMENEKKKLEEKKKKEEEERERRIMEIMEEEEEESRKNEATNNKKINAKKTKERELERKTREAINTLGNTKGYSRDSSPERKKELLKASAFVPTHPSVEVPTLFDEKGTVVDSKWLEEKDEIIEEKDKTIVELNGRITELEDAKKKMEECLREKEIDMSKKEGERINENKKNIDKIFRLENEMRENKNELLWKEQTISEMTMESVKKLEELRQLENKLRCEREMMNSEVREVNKAVIRERREKEIVMDMKEMDDEEKRRKKLERMTDCAMESIISCTQIINSLTSDPQAQVMTSIFIDMRIGIDWDMIFSLEIPQLPRETDELVTECYVWHYQTLHNLSYRLAFYVRKYLRDHQRNYEDALIVGIIQKWMRTHKDLSKIDAKVHQVANLVAIAIGDTPLFAPQFAYVTPPPPPISVSNRMPSSVMNVHNEELMNNSRKTSSSSTKNEPKGWKKASDKKRDVELEGHECSICLEDIEGGDISYCEQVSCNEPYHNDNKINLNRRVRENPNNQIHIRENDLLP >PPA09287 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:249193:251732:1 gene:PPA09287 transcript:PPA09287 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nuo-6 MDLKSILKNGKKLLSNSQFGDAYFVLKEGIDAGEEDYLLWCLFALAASNDGKIEEGIDAYGRAIKMDRKCITAWQGLNKLYSESKMVIDERALETVEILLKESDESKKDGLMKDKRRYLIELKKWNLLTKEDLEIEKELIPRILNSIVSEEKEFTKDEENTCSLCFSILGDDLTSEMSLRKAIFMYKSGGYSSWSHCVYHCTVDGDNEWIKEKRRLAMAIHYMMKQEINQEWRQLIGDMNQDAFYRFIFALAEEDTITASEILESLTSMDVPLSIASLRLLIRDEEYELVLSRIESLKSKFHDDSEVCEFLVGLEAESLLRCGNYDAALKLIPLPSPSFALIEARIIMQNGKDVDEILMGKMSEMDRIRLEAECVSPSKRVNLLVKAAKLNPRCSRAFFLLASILRGKNGAKAISLAERATSIRKGDEEYARLVDELMSESGEDDEKRLKAIMSYVQARDSSPLPEWTRISISRLLIHLNRVNDAVFYLQEGIGENDSSIRWALLGEAYCIRGQLSSSINAYEESIKKELNLHIVVSNGR >PPA09254 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:40979:47016:1 gene:PPA09254 transcript:PPA09254 gene_biotype:protein_coding transcript_biotype:protein_coding MCQLLSDSLANGLLYTYVGNVLISINPYESINGLYSEDRRMEYKGKSLGKLPPHIYAIAEKARLELKRNKESQSIVSILSYYALAMRLLFNREYSIVRKRNMNKDKEYLIAGADDQTLTRLKLDKQPHTFHVGQMMTYLNGCPQFFGNAPSNRCSGETLSDALLSDAQQFNGVVKALESVGLKLEKIGQVVSILAGILHLGNIKFIDDTADMKGGCKVDPSTECHLSHASSLLGVESNELRTSLVCRIMQPTKGGVRGTLIRVALKPGEAVVGRDGLAKAIYSRLFDWIVSKINNSIPLEDNKETKFIGVLDIAGFEFFKHNSFEQLCINYCNEKMQNFFAERVMGEEQLLYQPLFEGKGTGILDILDEEVRMPRPSYANFTSSLHKKHEKSFRLDKPRGSKEYRHLRDEDGFLVRHFAGTVCYETKEFIEKNKDELHMSLEAAMEGSSVNLLSGLFKTGVDGRSNINKLRASTIGSKFKAQLAVLLQRLEKTVGSGYIDVLFSLNSVVPCLFRALGLSPQDYAFGTSKIVQGESWNISFLIDKTSRFLVTMRWKMVQYCAWSTIKLNRKISSRREAALTIQRYSRGAAIRRKYRRRMDVALKIRRLLSALEKVGETEMGEKGKIEDKLISLLDSVMKEDLSVGNVMASYNDCVKKVDDMIIALKDAEILRKQKEEEEKRRKEEEERERRMEEERALRRRIEEARQKEEEEWKKRKKEEERVEREEKEKEERQREEKERKRLDATLNAERRMEENVGPPQESVANDLSTWKYGELRDAINNSTDIEMLMACKAEFHRRLRVYNEWKMKTSNQNGSSPLPPCRPLPTTNCTLKNNEHRYFKIPLGGAGGQQGLLYIHFDGQWVGRQMEMRPGQKPALMKAGKDDGKMMHMSLEQSGLTRRKGAEIKGEEFDTVWTHLGGEALSVWKP >PPA09272 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:148362:156163:1 gene:PPA09272 transcript:PPA09272 gene_biotype:protein_coding transcript_biotype:protein_coding MLHITAEQLYVIWLYTCELDDTLAVATKEKFTILGSGKKANFFESVVGDGGDGLPAIEVIHRNKANKDKDNFAQLIGMIEKGGKETGCFIKDKFKNEFVDSWESALMEAGVKKKKKMSDLAVKVDESMSDVQVQGSLAKKKNIENCYDTIMQSGGSYSFKWSTPTSDNRIHFGAITTSLGAKFENYCSNLARTLLVQPSKELEDAYESVLAAELKLIETLKPGTRLKDAYNTAVKTLKPELRDKLYKKELGFLTGIEFREAAFTISADSEAIVEAGMVFIVSIGVAPLGKEDSKKDTKEAAVLISDTILVSHDGPNEILTEKAKSRLRSNMVRIKENEEDEDTRRRSDNKENKEMGRGKRSVVLSEQTRNKTTNEEKRKEHQKELAESLNEAAKKRLAEKTGSEEQKRTKKSNVSYKSLEKFPTDSDIRNLSVYVDKKHDTVILPIFGVPVPFHISMIKNCSQSVEGEYTYLRVNFTHPGSQVGKENANFPNPLAEYVKELTYRASNMKEPGEICASSNNLATAFRLIKEMQKKFRTEEAEEREKEGAVKQDKLLLSLAKANPKMKDLYVRPNIIAKKISGSLEAHTNGFRYTSLRGDKIDVLYNNIKHAFYQPCDNEMLILLHFHLKHPVLWGKKKYQDIQFYTEVGEVTTDLGKYHHMQDRDDIQSEQMEREMRRKLNEAFKSFTTKVERQTNEQIDFDSPFNELGFMGTPHRSNCILKPTSSCLINLTEWPPFVVTLEEVELVHLERVHFALKNFDMVFIFKDYNRKTQMVTSVPMTSIDSIKEWLNSCDIRYTEGPQSLNWPKIMKHIVDDPEKFFEEGGWNFLGGDSDAEDEDEDESEEEGYDPEGSESEEDSDEDESEGEETESEDDDGSLDSDESSGKDWSDLEEEAARDDKRKEKDEFEQGDKKRRDHHHKGGPPSKKRR >PPA09291 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:264659:267273:-1 gene:PPA09291 transcript:PPA09291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ile-1 MRHPLIGPSSWLLVLLLPLLIQSQQHNLFRRFEYKHSFRAPNLAQRDGSIPFWTVAGDAIASGEQLRLAPSMRSRKGIAWNKRAMTESENFELEVSLKITGQGRIGADGLAIWFTSQPGTIGPVFGANDYWTGMGLFLDSFDNDGQDFRNKPFPSRIKIEYSRNVLTVSIDDGMTATPRYQLCMRSENIFLPRNGYFGISAATGGLADDHDVVDFSVYSLHSDAPRPGTSQQLPQEEKQKYDAEFEKQMHEYESERQKMGIHQVMKSMDERLREMQNVQRSGVQPVQHVQTGGGGVPSFAVHEKNEVMQTLRDMSSSLKDMKSYVNEIFTKTYNLEQRWGQGTTNQGGGTAVPDSAAHQKLDNLLNELRTVRANQINQGIVILAFIFVRTKQDKAKFY >PPA09271 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig177:146038:146881:-1 gene:PPA09271 transcript:PPA09271 gene_biotype:protein_coding transcript_biotype:protein_coding MNEADLILAVIDASSSNEHVLPLIPTHIPSIVIYNKADLISNVLPSMKKSDVVLTSAISPIGCEALENKLEEKVLELCGNGNSELTLSAGIAEVEKLLEECLLHDDIGLTNEILQRKGEEEKTIRVKT >PPA09289 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig177:258821:259822:1 gene:PPA09289 transcript:PPA09289 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRSADEGQTSGGGLIAAGLLMAGAAFGARSILRNQAALKKGLEKIPVFEKYHRGGFEDKMSKREAALILGITPNEVLHTSQQRSTKQSKY >PPA09255 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:47083:52701:-1 gene:PPA09255 transcript:PPA09255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-top-1 MAEVVQPHSMDHQHKEYSNGERHLVAHDTEEQSDSEDDIPWSQRMKDDTKKNGSSSSRKSVKKDESESEEDYKPPAKKDRKEKEDKKKKKEKESKSSSKDKGRTSNESPVKRKKKEEEQDDVWEWWKEDKKPAGVKWNSLSHMGPLFAPPYERLPKHVHFKYNGQNVHLSENAEEIAGFYGRMLDHDYTSNEVFNKNFFHDWRKSMTSSERELITDLNKCDFRQIDVYYKEQSEKRKAMSKDEKLAIKEEKEAELKKYGFAFIDGHKQKIANFRIEPPGLFRGRGGHPKMGKLKKRIMPEDVIINCSKDSEIPPAPKGHKWKEVRHDNTVTWLVSWSENVLGQNKYIMLNPSSKIKGEKDYEKYETARRLKNMVGNIREVYTQDFKSKEMRVRQRAVALYFIDKLALRAGNEKDVDEAADTVGCCSLRCEHIKLHEALDGKENVVEFDFLGKDSIRYFNQVAVDRRVFKNLKLFMENKSQGDDLFDRLDTTTLNQHLQSLAPGLTVKVFRTYNASITLQEQLAKLTNPNDNVAQKMLSYNRANRQVAILCNHQRAVPKTHEKSMAALEQKIKDKKKELKAAKNELKGARGPNKEKAKKKVDRLKDQYKKLKISRTDKARIILDENKQIALGTSKLNYLDPRISVAWCKKFDVPVEKVFNKTQREKFRWALDMADEDYCSDLMGSITIELHESLR >PPA09269 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:140701:144894:-1 gene:PPA09269 transcript:PPA09269 gene_biotype:protein_coding transcript_biotype:protein_coding MTAANTNVEGEYSTPVDTVSLKIQRFSFKSICGKIEGTEDELKEEYDAMGADGGTIPKRCELIKKKKKSEKLDKNMKNAAKWRTCQMSQQKLKKPIVADRLGRMYSKEAVIEAILNKTIPQSCDVKELRLTDNKDFKDTDKKGGDAYDDANQTPFLCPVTTTPMNGVNLFVFNWKCGCVVSEKAIQEVKSENCHGCGGVWKEEDAVILFPNDEIAEKYKEALEKKKAKKRKEGEEKDDGKVKQRKKEDGSASSLQADPTKTDAYKNLFTSCEAAKNKPQGHWYIRISQPNLQP >PPA09260 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:84872:85526:-1 gene:PPA09260 transcript:PPA09260 gene_biotype:protein_coding transcript_biotype:protein_coding MESKEESHQGDGNMDDNAPRYLGACKWFNVLKGFGFLLQDGSGEEIFVHQSELQMKGFRSLENGSRVSFSTRPGKKGIEAYNVRGEADGDELTGSSVRPLGKKKNSLIRCFKCGGYGNHVAAKCRKIQTDNKVLREV >PPA09250 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:14695:16997:-1 gene:PPA09250 transcript:PPA09250 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNMNCGDIGGGRRRRLVSATYEGVGARWKEQLRDVSLAVYDETPQMEEIARKVASHNSMENGSFFVMDASQVEGAMDEWSRSLSHCSPFYPLACNDDPLLLELLTRKKICNLQVSTVAELERALSLVSPSRIIFHSHLLTRKMLRLITSLPDPLHSLIIDNEDSAMDASSLNPYQPLLLRVSLSPDSDSIDLAMGMPIEEAIECVPRLVRSGVAIVGISVQLLGEDEVERARSLIHLLSTLSPYGLSILDVGDGIDSQSMQSTSFLLDCILETANVPHMKLMSTPGRFFAATAFTLITCIVGKRSMDAGVITRREEDGGTQGFVYETNQSVYQCFSNRMMGEKVHCDPLPLIPSTGDGPAIESAILGSALDSFDFPQRHARLPSLSIGDCLMWKEMGAYAVRRDDEFPIYYYTGRDHWNRLNGEEKDVMSDGGSEGDTDGESEMDDDMTDIFFRYLEESFALVRKRSFII >PPA09280 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig177:219093:222274:1 gene:PPA09280 transcript:PPA09280 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTKNMTDEWKDAYKKVYGKSPGKADYTFAPNSIAKTCLESTGDVKKEEQKRNLFAPVKLKRPAQYSPVKQVIKKRAVQPCEDDVDHKPVAVSLRMSPRKKIVHIQSSPGTFAPISGSSCFKSPTKMIMGDEGTSSKLFGDTVNLSPQKPLSRSKVMISCADTLYEIKSPVKKKGEIKENTLDEFAVEDEAMKDLRPKIMRKKNGDKNFLKLNMKKGYHAKGKLTAQQKRKFKKKANYKKISSGH >PPA09251 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:18360:20406:-1 gene:PPA09251 transcript:PPA09251 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKHKLSEFAKDAMQATADSCKDGILYDDEATLLSAALKEDKTRLKKFVTNKHYYEKNKKIKEMLSSFGAFQDNSTITPDLISKVAHDSQAKVSRVENILKRVKKRKNSTWNPLGPDMLRYDRRAR >PPA09267 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig177:131764:133107:1 gene:PPA09267 transcript:PPA09267 gene_biotype:protein_coding transcript_biotype:protein_coding MDREGETNWRFRLEFAKQCNLLCDLYSIDAINVTIAGIALTLATDKVAVVRVEASKLMARIVYLMVDEEWNEKEKSVESNDTKEDMPLTNGFVRDIIKGFALSQRWSRRQTFLHMVEECRHLLTQSQLASLLLKETLDLSNDAVPNVRLVVARALTPPFHDALWKDRVMNTLEKLKKDCDMDVARQARLALGSIPSKEVIDISIRGLRLAEKEGNYE >PPA09277 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:203595:204575:1 gene:PPA09277 transcript:PPA09277 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNKELQKNKDELNKRMQELNDSAALKDARRKFEIVEQERLKSSEVVKQKVEKIGEEMKRMLAEIQKTETGKKLSEAGEEALKQARAAAEQVEKLAEKVGDTQVYKHVSNTMESVKKEVDSVADVRMYRRPVNML >PPA09294 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig177:276309:279088:1 gene:PPA09294 transcript:PPA09294 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRAQIKPNIGPAVTAPSALEEQKPKEGVANAPIAASVPVITDLPSTSSGVPLNETNVVTTPVSSMHVETSIVERQDHVFRSPLPKGNDTGHLLSPRARLTSTSSALDGGVLVSPRSRLLSTCSEMFGHNKKERKKFSGDEELDPKTMRMIDLITWNPKKEKKLDRTKLDNCETRSEMDREDDRPAAPQLKLDANGKLVVDETSLLLDERATNSVWQVVEEDRVTRKVLSKPIILNETLYDRAETMADELNKEESDKIEAKMTKKKKNGDKGQIMEDDDEWNEEKADLEKEAQDMINELIELDRRKEEILRIKREEKKKRAEEKRKLSKEASAILDQTIKVITKRKKKEKNDGDKVKDEEGKREENDEVVKKKKKKNEKVKKSDQWISRIGWI >PPA09290 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig177:260322:261588:1 gene:PPA09290 transcript:PPA09290 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTRRNVSPVAINRESLPPQVQRDELECVANRTISNLIRQLASLSKHAEHIFGEMFHDAMKLEHKTNQLKGRVDKLTHRLTTMENANDEGALDELEMRKAFKSSSLIDQRTLDRETLPSALVEQYEKCDAPPNLDLLNPYRESRENALSLYTNPSFFFDLWRSEMLKECTEMRPQGGTLSFPDEYQAPAALGIQRREWKEREERIEGGKGATHSLPPLNIPIGMSRPNNNMINNGIDKMGLISKWIG >PPA09278 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:209167:211043:1 gene:PPA09278 transcript:PPA09278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-aha-1 MDGMDVTSMGGWDPLGSMDEDDLGIPAGKYSRIEDMNNAQNKERFARENHSEIERRRRNKMTHYINELAEMVPQELKHLVLEAAGGFLFVVDCQTGRLLYEDWMGRNINELLHPDDHDKMREQLCSSESTLSRVTEQQSTSGGKKEKARVHLSCRRGFICRMRMGNVDGQDRLSTRRPIFSHGGLNYVVVHCNGYVKMTPPTAIEGSSTCLIAIARLQVREEKEREGEDNIYILANFDERCP >PPA09288 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:253215:258468:1 gene:PPA09288 transcript:PPA09288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pars-1 MSWNDGSEKGRRDGEDMMRHTLSLHPTVWSVSRYSEMVSERLKKGDKFDPSRLLIDINRVLQLKYSSSLSSIEDELRLGLLAELCGCYEEAKNIYDAVGESIHKERNELKYSCLPSSSTNSPKEKELVPLMKLCKESTQRLRELVESCECVIDPSVKEIVIVKEEDGEGEEKKERIIKTIYPSLKEQSIPLIVSNIIISNHTLDDSFISALHDLCPRDELIDYFPTVVPDEVDNGIRFISKDGDEPYRIHNEVARDITMTVAKEGVKKDKKEKKEKIEVDKKGGDKKTKLGIDVSKDDNYSEWYSQVITKAEMIEYYDVSGCYVLRPWSFSIWEAITEWFDTRIKRLGVKNCYFPMFVSAAALEREKTHIADFAPEVAWVTRAGSSEMSEPIAIRPTSETVMYPSFKKWVQSHRDLPLKLNQWCNVVRWEFKHPTPFLRTREFLWQEGHTAFATKEEAEKEVFDILDLYAGVYTNLLAIPVVKGRKSEKEKFAGGDFTTTVEAYVPVNGRGIQGATSHHLGQNFSKMFDISFESDKGKELAWQNSWGLSTRTIGAMVMIHSDDKGLVLPPRVAQIQRGKEEVEVIVLAVGITGSTTEENRKKLTDEALKVADSLVEKDVRAESDLRDNYTSGWKFSHWELKGVPIRIEIGPKDLEKGEVMIVIRHNGEKKSIKREGMEKEIIQILENIHTDMYNKVVTSRDAHMTVTKNLDEMKKKLDEKFILLAPFCGIPSCEELIKRDTTREEVTEGGTAQMGAKTLCIPLDQMSLSFSSSLFGFCPSCASILPTPNVAPSEIACKVCGEITSVKEVDDEGGADSIVDHICPKCNNGKATYR >PPA09256 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:54826:59024:1 gene:PPA09256 transcript:PPA09256 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGFSVVISLSILCVLSKLGSRLSLLRLLKAYVRVDELERFPLYSSIHWLCLFVPACLLVFILNDIFLFWYPKTTDINTSTIWLIVGIAFCLQILARLTALLLSGDDEKSLLFSLSILIFFTLFVFTVFVDRIFDISLLDAYTHLRKVAGEMANEEVIIKPDSPLLLYISLVVMFTSIGCSLIFPSFRFATMFQGAYHNAGPVGKKKSLDDDATVGTHESSTVRGLVHLDLAYEKVAALQRQSGKIKNIQLQSMIFQYYSYLCPAIAQYLTPTALILFLSLLLKVTTGLSFWGVPPEVIESASNIASLSTVFNTTICHVSLDGMRLVAKSHQHFPGEVRALASDPNDENKFATGGSDSGFLTF >PPA09285 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:237060:238164:-1 gene:PPA09285 transcript:PPA09285 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFTNTRNEKDSDCERDRSIHHDYIEYSDDVNKSIYQWDGTHHPIKIPSNGSEIGWNSMKRPQRRDDHSSLSQLNIPSMCPDQYQSTQMNANEYDGSLRRDLISGKEYRNEMREDPDKRKGYYNVQQLLRPDEESKILSGVESMSIDGRKDTTEKEEKKSELKWRMKEQRTEEVMTGREGGGDRSEVGEISRGEGTSYSYDSPPIPNSSSGVSSEGKSDKSSGGKPAIPPKTFLKENNNISDRNREEELVKNMTATQHKNWKEEE >PPA09257 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:68786:69617:1 gene:PPA09257 transcript:PPA09257 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIKLITEKASRNIANYAFEYARSQGRKMVTAVHKANIMRMSDGLFLRMCREEAAKYPDIKFKEAYLDTVCLNMVQDPSQYDVLVMPNLYGDILSDLCAGLVGGLGVTPSGNIGKDAAVFESVHGTAPDIAGQDKANPTALLLSSVMMLRYMKLNEHARKIENACFEAIAEGTCKTGDLGGNGTCTSFTNDIIARINNSD >PPA09270 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig177:145270:145469:1 gene:PPA09270 transcript:PPA09270 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFDWLGQEQTVSGIEMSVFSSSRSEFILSELEWQSHAFYLLFKFIRIDR >PPA09264 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig177:116561:116871:-1 gene:PPA09264 transcript:PPA09264 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVASFGGTTKTNGFQMPTELATPVMVGGTNTSLPPPLFNAQNNKIRSSQNVLDRPTDVCVSTEGRIYVVDFGNNCIRVF >PPA09259 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig177:79803:80689:1 gene:PPA09259 transcript:PPA09259 gene_biotype:protein_coding transcript_biotype:protein_coding MAHILCMDNGVEVNALTVEIGTQQVMYFNLTPQTEAYGFHVRNCFVIDEKNGDRHTVIDRKGLRTR >PPA09273 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:164304:170063:1 gene:PPA09273 transcript:PPA09273 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEYEKNVKAQKDEADKRMKAKLDEARNLILKMEVGNDNHMPPPIAPGGAGKGTVDIQTNLFGIRMSEDLRIFKYDVFITSEIGLKPKHVEFTKKGKSDYIVTDRKRSCMMVFSAGIKLMSFFRDDMMYYYDGQSLMYTTERLVFKDTTKPNETVVIEGSKADKDLAAFKYIFLAIKECSDGPHETVPMKEGKVLIPGMNKAIKLIEGPRGRHNASPALSIDSLRVAFHMKEKLIDKAMGIIPDINKATPRDIETFTAMVRGLGCSTIHTQVKRRLEIHGISRENSSKRVEVLLPGELNRNMTVQEYFMVKYHLELKYPLAPLVMSREKGQVNLYPMEVLEVIPMQRVTIPQTTPAQSQECAIPPGARQNAIIEQCKLHDLFNPDQPYLKAAGLGIVEQPLRVTARLLKGPVIRYKHGEMEIFQPAWRPPMQYQFAVPAKCRKWGLYAVLNPRDTFGVPEMNKFAEAFVKRCKERGMQIEKAFEVIVLGQPPHEKSADYPAREKIKEGPFGNYDLMFFISADNISIHKHLKLQERYSNVVTQDLKLKTAQNYLEKGQSLTLDNIIYKTNLKLGGMNYNISLKNACPATFNPFPANRLVIGIGISHAPQANLLDKNGVILPAPAVVGYAANMGDHGSTNFIGDFYYQDNKKDDRCCGLLLCTVEMMQNVMDHFLGKVDGEGKRGAAKREPPKEVLVYRQGISEGFYSMCMREEIPLMKAILAHNGAKDVKITYMVVQKEHNIRLMPVDINITEKPTIQNIVSGVVVDSQLTHPRYREFYLNSHITLQGTARTPRYTVLHDDNEMSMNELEMITYGLCHKFEIVNSPISIPAPLYIANRYSERGCALYLAKATDEMASTEQKKRTSMELLNEDHTFGKTKLGGVRINA >PPA09281 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:222340:223036:-1 gene:PPA09281 transcript:PPA09281 gene_biotype:protein_coding transcript_biotype:protein_coding MCDFEVRVLGNKHRHTVQCVLMINMFNEKVYLFLWWWLLGVGIATLLNLVWWFKSMSSESSRKDFVAKYLSVNNLIEPNDVANERTLSKFVEKGLKNDGVFVCRLLAANAGDLFVTELIASLWRRFLEDEQSRGSAPLHQPDIGFNEDLKKPLQAGCNKQK >PPA09276 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:187339:203024:-1 gene:PPA09276 transcript:PPA09276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-imb-1 MEDEEFSMYENRFGSRDLSLQAAAAAESLNSTSFLDQIDDGIGELRDWAEQLERKYKMEDEEFSMYENRFGSRDLSLQAAAAAESLNSTSFLDQIDDGIGELRDWAEQLERNPSSSRVFDVDKTGLGVRAAYVHPSSASSSLIDQSDDDMGGRQSTTLWNADNPSSSRVFDVDKTGLGARAAHIHLSSTRSSAAIHGMEEDDSEEKDEENEGGRGGDQGSVFLDDSGKYFYHRPLESCNEDLSMFLLGSDVYVNDEPPDHAPLITGTGRFVYVTNVTSKSDVKKCKVDLSGKWNENELVFCQSRKMTSLYALCIRVCLAVEQGDMVTDASPQFLNPKPQTRRPLALPPPSRTRQTDGMDEDYTQSDQLDMDVTYPATDDTISFTEAPSENKESMFTRLKTAGRIYNEEKKREAEKRKMMFDEEDDETTVNVVVESSVKPANLGNEMVEAASSTEKYDLGDFVTPSVLPPKTNLHHNDNPITHASRKCLRSRYPYSHKGMLLASQQLILRQTEADKLFLLKEFDVDLNSLGVAIRYENRRFKIDRIFIHFHRHHLELFPRIALLWGRNMRQRAYELIQIAHPDQRQNLDEIQAPILSPAHRGVVPASAFENRRFKIDRIFIHFHRHHLELFPRIALLWGRNMRQRAYELIQIAHPDQRQNLELLRPYGTVKSMLVQVLEKTISQNPDDQRRALEFLEQASTTDFCTFVKELSVVLRQTELQSHVRQAAGLQLKNVLYSKDETTKETYLARWLQLPADVRSHVKQHVTSTLGTESFRPSIAAQCVAAIACAEIPHGLWTDIINGLMQSVTNTASTEQSKEASLETLGYICQDIDPNVLEKQSNDILTAIVFGMKREQAIAVRLAATNALLNSLEFTRSNFSNEAERNIIMQVVCEASQCGDALVKVAALQCLVRIMSLYYQFMESYMSAALFPISVDAMRNKASDVALQGIEFWSNVCEEEINLGLEAEEAQENGRVPEHTSRHYAKGAVSHLSPILLETMADQEDADDDDDWTPSKAAGVCIMLLAQTVGNDIIDSVLPFLVHFSSPKWQYREAAIMAFGSILDGPDPTKLVPLVEQALPPLINSMTDPNVHVRDTAAWTIGRMCEICGEVVTRPQVLTAIVSLTKAAYEQAVALGTDNSGQPDTFVLSACFEPMINELIKTTDRADSNQSNLRLAAFETLMELIKNSPKDCYHVNLDESRLRTTVTTLGTRPMSASARAALAEEKMNQVLYSFEEDDADKDLLSELEMEDGDELEEESIDNGERRIALTRLCELEDGVTPIVRLPSISGCDSSSKIGPTSVKKRQENNKFEIYTDENEEKSTWLENVYEVRSQCIKMDLVDDNTNTTCKSSLTSTTRKNIVPSFTIWEEGKEEEKKKPRLMLRKELIRDGSVEESLVERYSVLSETLLPNQENRPKNLQLSKADIKPLLFD >PPA09253 pep:known supercontig:P_pacificus-5.0:Ppa_Contig177:36500:37151:1 gene:PPA09253 transcript:PPA09253 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKEGSRSSRSIDDNQIIDLSPTCCELVSPRGAVAVSSLLQTVLLVFP >PPA09292 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig177:269795:271405:-1 gene:PPA09292 transcript:PPA09292 gene_biotype:protein_coding transcript_biotype:protein_coding MANEKKKKDSMRLEKMIKQEMNYGVTLAKYEGSQMKAEQEKDEKEAVVERRMREIHEYFGYWMDPKDPRFEVMLQQKEAQEKKADKMAKRAELVKKKIAEVILDVDKFILSRTQKRVLRNMQVYLEKGLKVVGEKEERREMKIKEVMKKEEDNKEKKEKMEIMNTGKEGRGEKKKDMRRMRAKMKWIK >PPA09296 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1771:477:1438:-1 gene:PPA09296 transcript:PPA09296 gene_biotype:protein_coding transcript_biotype:protein_coding VECLEELKLTRLSRFKLARFVHAPFFNKTVIDCYVRIGVGKLLGNPNKDNYKIAQVIDVVETDKVYNVESAKTNKGLTLRIGTEERNRSLPTMGDIHKKMKDIASPVEHNYTDDEVNQVCAQLPPTFLYSPIFPEFWPSLFEERWHGDGRD >PPA09299 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1777:137:791:-1 gene:PPA09299 transcript:PPA09299 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAPQEVDSSLSSSSLPDRTSTQPIPPSPTTRFSAFLSRFTRTTDDALPLLELVKEMRSAMKSMQVNHESMRVQLEAVSGKVKVLEEKHDELLRENKELRKKLETVPSPSLTNVTPSLIPSSPIPVIAPSSARTILAPPRMNSSSESDVRAIVETVERERAVVIYGLPEYTSWSASQRAENDKGLVLDVLNHLGVQAIPRVA >PPA09302 pep:known supercontig:P_pacificus-5.0:Ppa_Contig178:25015:27420:-1 gene:PPA09302 transcript:PPA09302 gene_biotype:protein_coding transcript_biotype:protein_coding METLLFNFEGPSVDDVKIEQDDQEELTFEMVSLDEKDPSVLLAPHLLQPDATYNGECEPSCSKEEHDNKPAVPICYLDLLKVRDNELHFFPERTLRGKEGGWRSVISSTLSSYSNLFGWKEITGKRKMRNAQYTYSVIAHLRDMIKKKNEETNLLSAIRNSMVSFRMRNSISDYSTVPPIDDFSPYYLQNTREIVQERSIFASTEPAGKRDKRKRKLDLQLMQEASGHAPATLATAIIKKLHNEVCLNAALPPLPRAQLKKNSETEPRDEEWIPLPDANPLLGTIS >PPA09321 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig178:142101:142306:1 gene:PPA09321 transcript:PPA09321 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSVSEGRRYTQTCAREWRGERERQKEEKKKPGGNRDACDIARRGRQGEKK >PPA09331 pep:known supercontig:P_pacificus-5.0:Ppa_Contig178:201391:202645:-1 gene:PPA09331 transcript:PPA09331 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIFVLCALLSVSQAALSLTKNELPIGDPDFLVVPRFHSNLFDALATAVAMSDRQHHLAEGFSDSSSQKPMKHYEERRHFNEITAEMPFRFARRGGAEEFMA >PPA09328 pep:known supercontig:P_pacificus-5.0:Ppa_Contig178:179011:184697:-1 gene:PPA09328 transcript:PPA09328 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFTKIIGDSAFNPAGFEIAAGTYKLENRGEINPVFVFYIVDSEAANVNTQVYVPTETIGISFKGHDRYVTVLSSFDALEFSAFQGTFPAGYPRIYSTGFDAAGDSRCHPVYQARSQDNAEISLPRVASAVITVDFGFVGAHNVSVNQKSLDNPRKGQGASTVYMSPGYVGCSFNAGHNYYANVSEVYDYYQSFMNEFNLVAVYDSLTYNEPLQITMGGVEQALTGSSRYEVHNPSDALVPSYTVLWSRTTPSSSFAVQIEWNIDEAQPTIPTTTTRRAVQTTTTRAVPKTTPVPWQTTTFGAPSTRNPAIGDSYCSCAVDKFGMPHGWLSTEIWLDVVIVLDTSEAMGAESLEDAATLIESLIGDDDYDVLITDTRSQFYTRIGVVVMSDTAEVLFNLNMTKSDTITDKVHVAKGVTKIDVMHAFVTATDMFANGLITKPDRANTRQVIYYLTDSDAKLNATQIKDFKERGTIIVEQFAKKGENDKDLPQLASSGYYFKTNEESPIQNFCKANCFCAPDRSPYAGPWSDAAVIAGGGCFHAPTIGLQFGKAQKECSNNRGSGELASVHDKHKALFLNELVSDSTNKPYYFWIGYSKNDDGVWKWEDGSSDPYTNWDYNEPSSASVSKCAYVDQEQENLPWGAGNCQIVFPYVCEYAPCSVGNKIC >PPA09318 pep:known supercontig:P_pacificus-5.0:Ppa_Contig178:125007:127789:1 gene:PPA09318 transcript:PPA09318 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gpa-7 MQKSEQHGEQTKSREDGQTFLDNLPRLSQTSYVPTEQDLLRTRIKTTGITEVLFELKGLTFRVIDVGGQRSERKKWIHCFDNVNAIIFISSLSEYDQTLREDNCTNRMQESLKLFDSICNSPWFADIHFILFLNKKDLFSEKISRSPLTICFPEYKVVEIGQQNQTECINYIQWKFEQLNRSSQREIYCHHTCATDTNNVQFVLDACLDMIIAKNLKSMGLC >PPA09317 pep:known supercontig:P_pacificus-5.0:Ppa_Contig178:121583:123270:1 gene:PPA09317 transcript:PPA09317 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICAGESGKSTILKQMRILHKDGFSLQDLEMIRPVVYSNCIHSMLSILRAMFHLQIEYADPERVRDSQLVFATVHANKEELTDELAQNNSSWHLIPDNDTYK >PPA09301 pep:known supercontig:P_pacificus-5.0:Ppa_Contig178:18830:21137:1 gene:PPA09301 transcript:PPA09301 gene_biotype:protein_coding transcript_biotype:protein_coding MWPEFEAITERFGDDRTIKRPACKNCRAGDITTSGAGIAGGEIDKNGECYARTYTCTCGATEEATIVINGGAGTSSPALLKCNQDGLWISEQTLAKEAITKLECDRDERMCKNATSIRF >PPA09300 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig178:12987:15820:1 gene:PPA09300 transcript:PPA09300 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPVPMINPAEPVWTACMRECRDVCDQRGCGAFSYDLDKSLCITADYYRIECVKMVPHHYEYVMSLREVSFIPFIGIPATFTVDAPDYEDFLNKHMIPLIAAAIEALPDKQKNGYCYSPNPARPQTTLLDPHEVAKYTTARHAHGSGEDLSSTQAIHDDMDMRTTNGRDEEDLTEWPTTNGEHNSSSLADNAHSEIAAASPSTISARSRSGSTTTGSTTDRVDLTSTMSSHSPTTGSSTASTTTSTYHTKPSGPGDVQATTKSETVGHSSTTLSLSSASPGSSSSTTPELAATPDYQTEWSTSPTEDGSSTGGGVSLSTVDVDTTSLPHDAEDLSPSTPLPYIEKSSPSSSMTPDGDNSGDLSPSTPLPYIEKSSPSSSMTPDGDNSGGLDSTETSSVASTTTNQGAEDTSFQTPTPILHSEVTYGGYVSIKDVDYKVPINGEFATTNQEKMELFKKLSEGDVWIIVFPRCELEMYNIQADGQLNGNVKYVAPKRIKKFNVNTTGLRGFYDVRGQCGDLTSYGQSGPGWSWAAVAALQR >PPA09325 pep:known supercontig:P_pacificus-5.0:Ppa_Contig178:170224:175872:1 gene:PPA09325 transcript:PPA09325 gene_biotype:protein_coding transcript_biotype:protein_coding MYTEESAPKGSDAYAGFNGSVAHIIYHSRLAHEAESVPMKRICTDELSQSRASVAPSLPGKIGMTMRRRFSRGSSRCGGTTPVQQVPQIIVTSTSGQAPLTRARVDSITRKRDLNRADSFGDSIDTTDFANPFMNSTASENVRRYIASTNRRNSMLDVVSEETNLSRPDSGSSSSPSSHQKVCVCEEILEPFADDFSMWGSARNLQLLDTAPQPFSCSTLSHSVPSLLTPPPIPAQPASSPNMTTAPKSILKKRSREWDPSVHGDSGIRSEPASRDSRILPIVEEEPSVAEAVRCLLMKKLGVIDRDLLDSSLTSLCKNDSLRSQDDDDKEDPIEFRKKISSISEQYPFNKPLHLRPDSEGAK >PPA09332 pep:known supercontig:P_pacificus-5.0:Ppa_Contig178:205243:210361:-1 gene:PPA09332 transcript:PPA09332 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSILLLFMSSQAFNGYHQAEKYKELDGEREIEIGHEATTENHGAMTTEQPTRVYTRMHHGSTLTQSNGHTGLVSPFPTYTDEKAPNIGETTMAKNKDETGKASSSLEGLHPSTPDPITEPTKMTTNALTSPLSTEPLNVNEKTTDATSHMTNVEPRRVNSAVGGRAETNCTNGTTIGCSEIEATNWALYAWLVGVVVITSAFPTGMVLAKGGLNGASAWRNHTSVWDWMWAVRSFVLWAHSINYGIFAHPEAYARIKASWLGWILLPARAGGVFCHLLATTVMGFHVMEAVHVAAVLLNKSRNRFQEDGLWMRTTVSVFIFIAPIFPVSYFSLISREDAEAVLNRTNLEGFETEQGLAWNMSALYLAMGFVSGLIVLLASSFYACDSSQKEKLDAYCAEANEEDAGFADEEPLRCARLRPLTAVNFVFGIYAMDQRDNGSAFIAFGLSMVGGLSGVVQGAYSANICKMAKKACPCCSAKADEDKKAIELQAVAVQ >PPA09319 pep:known supercontig:P_pacificus-5.0:Ppa_Contig178:134620:137998:-1 gene:PPA09319 transcript:PPA09319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tax-6 MLQTCARKIKYSERVYDVCMESFDALPLAALMNQQFLCVHGGLSPEIHTLDDIKKLDRFKEPPAFGPMCDLLWSDPLEDFGNERNSEQFSHNSVRGCSYFYSYAACCDFLQHNNLLSIIRAHEAQDAGYRMYRKSQATGFPSLITIFSAPNYLDVYNNKAAILKYENNVMNIRQFNCSPHPYWLPNFMDVFTWSLPFVGEKVTEMLVHILNICSDDELMTDGDDTFEDTDILLSFISVLSNKKKPYS >PPA09324 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig178:166037:170067:1 gene:PPA09324 transcript:PPA09324 gene_biotype:protein_coding transcript_biotype:protein_coding MHWPKSGHTKRSVWKSVWRELLFWLVLYYIVMAVYRSDYILGPNGFFVSMVLARWRQMFLNLGFIENTAHAIATLFKHVDEEDLFLRRSLVRYLCLSQLMVFRDISLKVRKRFPNLDSIVKAGFMEEEERVLYENVNTKFNKYWIPFNWACSLAMKARQTGKLNGDNYLSNFFQELKNYRNSLQLLSNFDWIPVPLAYPQLVICIVRVYFLLCVVSRQFIVGKEAINKSVIDLGFPLMTVLQFLFYVGWMKVAESLLNPMGEDDDHFELNYLIDRNIETGLLIVDDTFGVIPPLKMDRFKVGFLPNRALLSFITLSRAARNIIRHFYLVRPCV >PPA09305 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig178:36993:37349:-1 gene:PPA09305 transcript:PPA09305 gene_biotype:protein_coding transcript_biotype:protein_coding MIQFAELVVVNQPLQEAVVILSGATVNAEEVEEIPRAASPAAEAAAAAPSARDQRAAARDARRHEADEEREEDRE >PPA09329 pep:known supercontig:P_pacificus-5.0:Ppa_Contig178:187574:190802:1 gene:PPA09329 transcript:PPA09329 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLICLALMATASIAFEHEELFKENESLDSVVEKKAYFAFKLKDAKLERRVMLAQELAKATQRTIRGTVSIVDGDIETMVTQDKLVPDITSSFAPTSALELKMGGFYYDEAEHGARMNATFAEISKALCSSYPRHLTFDRFALDIGTIRGHLQNSNLGTEPISRILIENGFTYRPVYDIVGGDKSGFVVVRNNGAYKVSVTERGKVTTSTLIHPAAKVFLQKPLRTSVYVDTLSMCRESIFGTCEEVASFKLESCKKAITTITGLSFSETQYPRKVR >PPA09335 pep:known supercontig:P_pacificus-5.0:Ppa_Contig178:226356:227033:1 gene:PPA09335 transcript:PPA09335 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLLAILSLQFILVLCKKEQKSDDEAAGKHAAPVQSTYRRYTSPRQSIASRSGRNREQDAPSEAVTEYDNADKKTEQREISMNSSGHDLKNNA >PPA09306 pep:known supercontig:P_pacificus-5.0:Ppa_Contig178:37613:39596:-1 gene:PPA09306 transcript:PPA09306 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHLHSDNSSPCTAAPAGGSSGADHHHEDHDHAAAHAVHAAHAAAHAAATVAAAAAAAAAAAVPAAAQAAKADDKAEEAETDNCPVCLEELSAKRDVSISPCGHAMHRTCIINWMETRCCEACHACPMCRATIGELQGEDAPGQRVRAYGDHGQPTKRTVWRSSGIETNGHLLPFLDKAEAEIDTTIREINASLAQLVDHRNCGCRDNDDYTQDIHEELAKLRRRAQIYVEMRRAFSEGGVVILPIGMLTLMNDALKRLFQSQMRWWQINMRTIDTKPWSYEAEMEDLEDTRQEEEAAFNNGNNVGFH >PPA09308 pep:known supercontig:P_pacificus-5.0:Ppa_Contig178:50195:54078:-1 gene:PPA09308 transcript:PPA09308 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFVNGDPTDVLRLMSLSEVLNEVVVKNKQRSDPHISIICALCASIRAMLESTVGSGVVERPAANAVTSDLDNAPKVESKDDAEIMDESSQFCGGGVNTAYFRPNRNQEQSNHPTVSDIKDEFGDNDFIAEDGMQNVPGCSTDSRDTEYLGLFKISDSEICLLAILSSPSKALSVDQINNYARSFFPERALKGSSEIRSVYYHHNLFGREKAKIRNRVSVYFVQPKLNDKVDASSPYYLQIGHDIKQDASVFESPGSNIPDEMQPACSSVEKREDSPQDEQEEAHFDCMDCGRSFESDASLRVSLIPLSDPLRRLTIASLDLMNTVVEDGQTDENVSPKVFAIMKKTYENDAMSIMIGAMLEEAVEVAESAHTSQVINISDDENDDGIKQEIMDELIIESVPASPRPNASAAASDAVYISDGVNDQLIKQEIGDCMPIFEEMQCSVEEMIPSEDVVYISDEDSEALDDSYETFSETKSDQCSHVIKENDAMNFLPDKGSARNALLVKLLNAKRTFKHYSHIRGASEW >PPA09307 pep:known supercontig:P_pacificus-5.0:Ppa_Contig178:44815:47808:1 gene:PPA09307 transcript:PPA09307 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPKLLLLISVLISQCQAIAQCPIFDDYGCKGGAFCETQNKKADCTCAQCTCPGEPCLSGPTCKTQIGDPCAEVPCLNGGRCASNGAAFICVCTTDRFGPQWQFSGDPCSDVTCAATGTCNTIFETKQHNATFPLNAAIKQRARDTVSSRTTASVVWVPQVGLAVTAVQRMIPAPPSPVLMTASAFLQRTNHTPIVSVQGVTRPQIALSKTDASSHRVRRTEALALRRRIQALDSCLWLNARHLVTDITPVSTLDECKHLCVAMAECAACSFSKSYTCALLGPDTNRRLSSCFAPAVLYERDPTCVIDRVGLSSKIARS >PPA09327 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig178:178421:178897:1 gene:PPA09327 transcript:PPA09327 gene_biotype:protein_coding transcript_biotype:protein_coding MALARINVVAVYDSLALSEPLQLTMSDREENLTGTSTYDVHYPCDVAVPTYTVSWNRTTPYSSFAVQIERTTSRTLSSAKTRDILIEHTHNS >PPA09316 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig178:118923:119156:1 gene:PPA09316 transcript:PPA09316 gene_biotype:protein_coding transcript_biotype:protein_coding MCLKLRMGCTSKESKDARKLNRKIEDQIRKDQSMSLRIIKLLLLGEYTQTDR >PPA09315 pep:known supercontig:P_pacificus-5.0:Ppa_Contig178:95703:97267:-1 gene:PPA09315 transcript:PPA09315 gene_biotype:protein_coding transcript_biotype:protein_coding MGASHSSTSKAGKFAGTPKRQRACSCYEGAATAPSIRRNASNINRNAKEFSKFWQNGGNFQPHQSVRRRGSTVQRSQSARRPRRIPPSINLPA >PPA09323 pep:known supercontig:P_pacificus-5.0:Ppa_Contig178:161994:163339:-1 gene:PPA09323 transcript:PPA09323 gene_biotype:protein_coding transcript_biotype:protein_coding MNALTQYIITSIIGLPSTVLYLRAVLDLFFVPYFILNANSLTWPFAYPFLLWTNDTFYPPFSYIYVFYILYGQCYGIVLISLHRLLSVLTPQSKAIQAGTSVHFFFQTPTRFVYRNETNSLQKVTNTADINSDTKHTLF >PPA09334 pep:known supercontig:P_pacificus-5.0:Ppa_Contig178:221462:223790:1 gene:PPA09334 transcript:PPA09334 gene_biotype:protein_coding transcript_biotype:protein_coding MIALRPQLHLRRIGQRKEGVSEESIDVLVPDMDEIVFDRKQREALLRMMNVGGGGRKAFKVKIDGFSVTPTEGVVEPGAISTLTLKQNAEFTKDSKMTVEFGNLSNSIIKERCFPVCVRVFVHYCDRQAVTKVNRVARAFCIAKWIESEPNSSEPAEGCGEIGWFTDKIVGNGKLMEKLFQVSGPVHTIPKSFTVPVKQQHLKAIGGLRFRKDEQNGAKRSIVLANFTGHKKAYMMYPTSAVSSPLNIFDVSSSGFIEHESFAVMTVLKPVSNNAATSFKIGFVDAPDSITSTTPFQKRDSKDRTPGSIVSSEKDKAKIVTKMITVHCEK >PPA09333 pep:known supercontig:P_pacificus-5.0:Ppa_Contig178:211514:214594:1 gene:PPA09333 transcript:PPA09333 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIILLSLLIMGIDASCVSKGAAVKVEGRGPKGVGFARVESGMGGGILGVIETADMPNCSKTCGRYAKSTLECSLYAYSADAKIMLGEPFGDVSTYAMFRSKDVARPTLTVDSEELAAYLRRNVDREVHVADQCRNPAIELFKTSSPYPLHPSSPSTPALTALESPPPTFSATERTALPATPQLSPYNSTENADSISHSSPGVSADNLATTVPVFERATPSAGTVPTGTTPHHPTLPNFTEAEEKNSKATVISRLTRLEDNPDKYSSVTLSPTVVGSEPSTGSTVLSSTKIVNSEGKNATQPRLRRRMRDGTARRHLALGIVAFKFIGLASDDEFFYFLIHEQCAGGYGESLDKRGDFRSIEHNWRIGACFDVFRWTNGEPIAQPFYLRSIE >PPA09310 pep:known supercontig:P_pacificus-5.0:Ppa_Contig178:68091:69725:1 gene:PPA09310 transcript:PPA09310 gene_biotype:protein_coding transcript_biotype:protein_coding MECPPPLELLPRELIWSLIEQAPEAVAALRLSSSTLRAHVDQYALHGAAIRLVDEVQVNSLGLQACVDVKVEVPRRMASLLELRLRLRCAQAKRILKRRRIDDRIAYYFTVPTFHPILRALSEAAGRHIGRLSLARYGPMPLAEIDFMWREKSFRKLDVELEKANDDDAKYVQNTIVTHKVDHLTWNASEVGDSCDTGFAQNPEKISLFHCVHWPSGRTWTIQFGFRPHEFL >PPA09313 pep:known supercontig:P_pacificus-5.0:Ppa_Contig178:82814:86779:-1 gene:PPA09313 transcript:PPA09313 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVFTKGKHESAKVLDDRAKRRGETKQCKVDYFIATEDREIGGRLVTAQILVKSNGYARPSWQKSGAQKGRQVLEYMQRQARLDFVELVIRQLDGDKDFESNYPCRYLTAEDGEGQGGYLEQKSLGQYKNQIRALEYSFNQTLKRYQLPPIYVEDWTTKMKGAEDLRKLKFIQSSILTAEVKQLLKDNENGLGDAIRCGDECRASGANCDSKWHGNCCARNQALEMVGTEQTPRFTQGKGRKHPLLIFFHPVKGWTIRTLAEMGVWDMIGEYCGVESILKYVQTEPSRTAKAKAYDVDLQYPVKRANGSMGNLYVAADAKGNETRFLSHGCDPNARMEPYIIERHGLFANTMVFFPIRSLIRGEECVFDYMPDLTPEDEACHYKMYFNECGCKSPKCRFTKEKIEAAERALEMEELNEEEDGDGSVARKKTKSSPAL >PPA09312 pep:known supercontig:P_pacificus-5.0:Ppa_Contig178:79509:81475:-1 gene:PPA09312 transcript:PPA09312 gene_biotype:protein_coding transcript_biotype:protein_coding MLALLTLVVLHISILGVALLTMCGGKKKKEEPPAAGTPVESKPTSEKKGAQTPPAAKDVEGPEKKGDKPAEQSGDKEYDALAKTEGLGAGSNEKVDAKSKASKKDKEQSAKCPDVDKTEGDKTNYNIVGLSKEDVKTDKEKCDKADAAKMVTGPLWRIAENLGPAPNTSGMFSA >PPA09320 pep:known supercontig:P_pacificus-5.0:Ppa_Contig178:139032:141212:-1 gene:PPA09320 transcript:PPA09320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tax-6 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3EHV1] MCRKTRIAMRIDCVQFPISERLTADAVYDRRTGKPKTDVLKDHFIKEGRLDEECAVRIIQECTALFRQEKTMLDIEAPVTVCGDIHGQFYDLMKLFEVGGHPSTTKYLFLGDYVDRGYFSIECVLYLWSLKICYPNTLFLLRGNHECRHLTEYFTFKQECDLFVSIAVE >PPA09322 pep:known supercontig:P_pacificus-5.0:Ppa_Contig178:159490:160681:-1 gene:PPA09322 transcript:PPA09322 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRDTSRIRRRELPLIIASFSLFLFMCLMTAYFVLLYLISSVGTLADVQELRTFYPLLSALFCYSTPWLLLVTSAETRKRVLGGRLDSMLACRLGFGISPSSVIMPTQPTLATTEHRLVSIRD >PPA09303 pep:known supercontig:P_pacificus-5.0:Ppa_Contig178:28276:33349:-1 gene:PPA09303 transcript:PPA09303 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHIQKRWTREVDIAKLPIDPLRYLTLDFLELMHTVVKDGPAGEELSKKVTLQAKVLRQAIRMDRQRNSPQRSMYYAIASCMCTMLESIAGRGKEREYCEESKEKSYYEENDEERLQQYPFHGMAEAAGEKEMEPIFRDEGPSEPKVPKMDHAVKEEPLDDEDLSQNGTFGSVPGFVPMPDEDIKEEEVDLLDAIEEEKASAGFVDDNAPCTSSAAARRLPGDYPTQDAEAPPRYLDLLSISDAEMIMMALMSSSVGGLTLGRICSYIEFFFPERVGQCEGHNYRNSISNSISNLNGRLIKSKKVKETLSSLRLVNEYYVLPKVFEEIKKLNQGMGLLASIRRLRNGGAHFTFIRSFENDRSAPYYLQLTQDIIQDESIFSNLPNPLEHRRKRPRTSPDIHICSECGLKVNSEKALSQHSLDCAPVKYPGYEEYICDECGEVEDSAERLKEHIVTKHSGKKISCAFCGLDFRTKHDVDEHNETEEHKAAVLLFLNENA >PPA09314 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig178:87746:88812:-1 gene:PPA09314 transcript:PPA09314 gene_biotype:protein_coding transcript_biotype:protein_coding MMISFPHSSRFLVEPTERAERKKKEEERWKTRLIRMVEERKAERKRRADEKEDNDEMKEKMKKIKVSCITARNTRN >PPA09311 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig178:76348:77751:1 gene:PPA09311 transcript:PPA09311 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMLIISSILRVYHGLNVLFLYCAVVIERVLATRYMHDYELKRRFYISIILRIAVTSVYLYFYNRHRLKHVLKRTDSYSLSLRYQLIENMRAFRFLQTITAVGVAGITVNCLIVAVPQFILEERTNAMELCGAAFETLYALLACPKFEDSAALVRRNVTDETNVYFDDLVKNWDLQLKKTKK >PPA09304 pep:known supercontig:P_pacificus-5.0:Ppa_Contig178:34498:36239:-1 gene:PPA09304 transcript:PPA09304 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRGRNAAAAPAEGSGAAPAAAAAAAPAAAEADRCTVCLDAMSAKREVTLIPCNHVLHRTCIINWIETRCCDRCFRCPICRAIITELREGGASIQRVRAYGDHGQPTKRYVIIGAYHETEGKILPYLEKVLDEMEVTLGELRASLAVARHNGRDSDNTFTEDMEEELNKLSARVNIYIEMKRAYQAGAMRGWQENIHSSVNNPWNYEEEMRDLRESVKEREERMAMLSVVAAPSTPRAAPKAPAARERERAARAARVTRAHPPSARDVRANARDVRRA >PPA09330 pep:known supercontig:P_pacificus-5.0:Ppa_Contig178:191080:198862:1 gene:PPA09330 transcript:PPA09330 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVKKSKKGSTSAKPDGAVAKSARRKTPIDDPEAEKKGGKLMSSEVKSYDALAKTERLCKTKESAKDKKSGKDERGASKKEKKADQQQKNKEEVAVDRSQVGTVVESPRDAKDLKDPKDPKDPKEAEDKTLDMDAALAPTPPSDKIPKRTSNKRSQKSQKSARSKTDCTKSQMTQMGAPNESQGPNKKKKTKRIKSFV >PPA09326 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig178:175994:176921:-1 gene:PPA09326 transcript:PPA09326 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPARFCYFLLLLFVYALIASDTAGGEATQSGAVLTIAESINYVLNSHAHRLYAKFCEKYTFEELIQLSTMMVVACIHNDDDDDHEEQILGEHFDVNQNDDQTNYERADNWEREH >PPA09309 pep:known supercontig:P_pacificus-5.0:Ppa_Contig178:66807:67850:1 gene:PPA09309 transcript:PPA09309 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVRVVTYIPAALAIGMIIFNHLRVKRILLSDRYSLAAKFQLQENLKAFKFLLLIIVWIVTGFGCAGLVMLYRDRHLDDNNIYTLCSTANDTLLTISAACGVTTCFLTQREWRLAMRTKLVTIISILRCRSPRELPPAPAQPPPSLRRRNRSNRIDDESAVYFNNLFSDWDKVYVAKQARRR >PPA09336 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1782:100:429:1 gene:PPA09336 transcript:PPA09336 gene_biotype:protein_coding transcript_biotype:protein_coding VKLGKESLNLGDVFILDVGEKIYVWMPPESGRLEKIKGMSRAKNIADNERSGRTKVVPL >PPA09338 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1784:174:488:-1 gene:PPA09338 transcript:PPA09338 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEFEPIEQAMAAVAKMRIREEVEQQVFSLKVDQEEAADCKCQCCPPRSTQEAADYCCNALFTLDLLKKGKLLRDGLMRKLKEPGHHSCIVKDKLFTTYIMNEA >PPA09339 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1785:79:561:1 gene:PPA09339 transcript:PPA09339 gene_biotype:protein_coding transcript_biotype:protein_coding VQQVQSERKAVEQTSANFRQRCSALQHELDVSEQVQKDFVQLSQSLQIQLEKIRQSDQ >PPA09340 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1789:88:1076:-1 gene:PPA09340 transcript:PPA09340 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVHLLGIVGLLVVCAGKQKKASEDAKKKETFSSTKSSKTTTASRASSTSVQQPAIPGGDSTKKEDKKSSSKTSSTGQKKLEEFPPCNDFKVPSLTPAEAAKRKHLLEQQRDQRMANGELT >PPA09375 pep:known supercontig:P_pacificus-5.0:Ppa_Contig179:256274:258102:1 gene:PPA09375 transcript:PPA09375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-let-607 MHNNNRYSEKPAVRPTPPSSISLSSSSSSTSSLASSVTPSSGPGERTRKYPALILTEEEKRLCKKESISLPDHYPLTKAEERDLKRIRRKIRNKRSAQTSRKRKQTGAVLYFQRALGYCKIRETRKYRASVHSVIICHISETHCSSPMPFYWGAL >PPA09344 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig179:15349:16375:-1 gene:PPA09344 transcript:PPA09344 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVSAVRRLSTSLPACAAARQSPVRGRFRAWSKPVYVSPEPKAFALEPDFSFLDGRPCPVTSQFQLDWKKDQLRLAKSIVSSLAEIREMERVHESAIRSEEEARQTRERSRPIPKGTKTIQ >PPA09370 pep:known supercontig:P_pacificus-5.0:Ppa_Contig179:231039:235185:1 gene:PPA09370 transcript:PPA09370 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKQFEEEFGNARDTKRVPLRRHIKKAARGCLQPCSSPSAALATVLSFVPILGWLPKYNIRECLIPDLIGGITTGIMHVPQGLAYSVLAGVDPVYGLYSSCFPAFFYMLFGTSRHTSLGSFAVVTLMAGIANDNIMRIYGAANGGGAIGPSNGTNTTLAGDLTAIEVASTLTVAIGIVQFAAGLLRLEFLASYFSDPLVSGFTTGSAVHVLIAQIDDIFGLTGLPKSSGPGYIFRRAWDIIIAAVDRTNLVTLITSAVAITFLHCGKEYLSPYLKKKGLKLPVPYSWQK >PPA09341 pep:known supercontig:P_pacificus-5.0:Ppa_Contig179:1379:6397:-1 gene:PPA09341 transcript:PPA09341 gene_biotype:protein_coding transcript_biotype:protein_coding MRISQYTEEKHRRKSIMSTTVPEKGARRPTAAALENGLKHDDKPPQPAIPYKFILIVLIGMTSISANGLTTSFTITQFHEYGNGSANYKLYDYEQSDDEHNGIGRNITLLKRNFLSNAEKASLMMVVPIGAILSFFPVASCYKKFGFSRTFVFCLCSNYVWPSLHFTRSLTGVSFGAFLPVIAKVGSYLSIEDFTMTTVLLFSYLQFSALLLFPLAGVLCWSTLGWHSVHYIFAGWTMFVTLVFIAYHYSEGFQRTVRQGRLFEIFYGTMAEHCSSSILTFHLPYLSIYQDLSFNNKMNTTEKVFSVCNLDVAEQRNTN >PPA09365 pep:known supercontig:P_pacificus-5.0:Ppa_Contig179:202553:206524:1 gene:PPA09365 transcript:PPA09365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-apm-1 MATSAVFILDLKGNVIISRNYRGDIEMAVIEKFMPLVVEKEDEGVHTPIITHDGVSYVYIKHMNVYLVSISKKNTNVALVLSYLYKAVEVFCEYFKDLEEESVRDNFVCIFELFDEMMDFGYPQTTESKILQEYMLKYTMVESEIVEHRQNSVRFVSVIGQSHLGFDI >PPA09359 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig179:161493:164803:1 gene:PPA09359 transcript:PPA09359 gene_biotype:protein_coding transcript_biotype:protein_coding MANNGKVADKVCLIVIDGWGISEESHGNAILNAKTPVMDGLCSGNWTQLEASGEHVGLPEGLMGNSEVGHLNIGSGRPVYQDIVRINMACRQNTLVKNENLIAACERAKKGTGRLHLAGLVSDGGVHSHIGVFWNDFVDKMCL >PPA09362 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig179:178795:181836:1 gene:PPA09362 transcript:PPA09362 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSSPSSVSRLTGSPRDLSPPLLEELACMNVREVVARPDVGQLGRPIMIKAVADHPKIFPKKFAIAYDGAHQMYTPMKLDFPEDKPSCRLECSVSLARDPRDNTKCAVSLQRVGLVLMDMKRTRSNELDARTLAPIQIIDIVFRQGLNCPFLEKSNIFYPWKSSFYRIPKASGTVDTFFCAMHCSNN >PPA09347 pep:known supercontig:P_pacificus-5.0:Ppa_Contig179:22130:46762:1 gene:PPA09347 transcript:PPA09347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-smu-1 MGASESVPIPGGGSEGYHILRVQENSPGQQAGLEPFFDFVVCIGQARLDKDNDTMREVLKQHMDRPVELTVYNSKTQTVRQTQVVPSQTWGGQGLLGVSIRFCTFDGASQNVWHVLTVQPNSPASIAGLQSNTDYILGAESVLAQAEDLTALVQANEGKPLKLYVYNVDSDVVREVSLTPNSAWGGEGCLGCDIGYGYLHRIPISVDRSKPAGAPPPQPPTLMQQPTSAAAAAAAGGGVYVAPVGRTGIAQIDQVIAPPAPLSFPDPSSLASQFAATTISAPYGAPPPASNGHGHSHEHGGGHGHSHDHDDHDGHGHSHDDHSGAGHGHSHDGPGGCGGGGGGHSPSPAPATIPASPYSYQAPAAQQQQSPYNYAAPAPAPVSSAAPVHDYSAGAAAAAAASFAPPTAAPTPYSAPTPVPVAPTYGAPPPATSYPYYPPSSGPAPPPVTSMPPTMPAHTYAPPPPSSFAPPPQAASSAHQLQQYAAPPPNAFMMPPPSMPSFAPPVFNPPTGGSEIPSVVPSMFSQQQQQHAPPAPIQFPMPSLSSMGITSIAPGTILPPSAFPPAPPGGTVCLLPSSSSTTSNTKKAATVAYREMTSIEIESADVVRLIEQYLKENNLMRTLATLQEETNITLNTVDSIDSFCHEITSGHWDTVLKIIQPLKLPAKKLIDLYEQIILELIELRETGSARLVLRQSDPMLLLKQIDPERFGRLENLLARPYIEPRDLYGETSKDKRRQAIGASLSSEVNVVPPARLLALLAQSLKWQQHQGLLPPGTQIDLFRGKAAIREQIDETYPTQLARHIKFSANSYPMSAIFSPDGQFLVTGSKDGFIEVWNFMNGKLRKDLKYQAQDNLMMMEHSVMCLAFSRDSEMLAAASITGGIKVYKVQTGECLRRFERAHSSAITAIRFSRDNTQLLTAGNDNVIRVHGLKSGKCLKELKGHASFVSDVVYSEDAHQAISCSADGYVRVWSLKTTECVCAFRVAGDKAVISIASIPKTDQFVVCNKSPILFVVNLQGQMIRTLSSGKREGGDFLACSLSPRGEWTYAVGEDHVLYCFSMLSGQLESTLPVSVT >PPA09369 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig179:222672:228605:-1 gene:PPA09369 transcript:PPA09369 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVLSDLIDEETSFERSSGSCDQCDTSTSSVVSYDARYIYRLQQASNHLASTESHFYHNKVPLLDKLEKRCVSLSMDLIAANETLFSYRFDDQIAMDNLMWTLRFAPFGLHLSHSLPVAGWSRGGSRTQYMMELRVQSEWVEEVNWHAEVRLKVTLGGKMLSPLRKFNHPVRFSSQSPSLLVFVVDAATVRAWPSGLVQVDIYPEDLVGVNIAPLFSSKSDPSDVTIRVHNGKAHRTFYVNRRWIESQSEYFDEKLNGRFTQRPTQEIDVNAVAPRDFLLLLRGMERFEEQEMFHPKKIESFLRMIDMFKAGPLSRTVGHALEDTHLLDIRTKMELADKYLPWYIIERILDLCDAFDLRCSKIPQIRGGIRISLRLREEVIRRTSHHFPSTMPSLPRGGGGGGGGTDVARDVQ >PPA09348 pep:known supercontig:P_pacificus-5.0:Ppa_Contig179:63995:68913:1 gene:PPA09348 transcript:PPA09348 gene_biotype:protein_coding transcript_biotype:protein_coding MRHDDQGSVKHEMIGDRDAARPFASLFRVVGGGGGEGGEKISAQLGPTAAGKEQKNDIMIESLRGEHAAERSVEAVHLQLDESSLTGETEPKRKQIEAIPVGSGRSEKDDHDASPLGGGHVDHLHSVVFMGTLVCSGHGKSVWYDGSIVESR >PPA09352 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig179:99513:101044:-1 gene:PPA09352 transcript:PPA09352 gene_biotype:protein_coding transcript_biotype:protein_coding MSSELNLAELPVEVLLDIFRNVAREDTKTVSLRTTLRLVCSRFNNIISDPNNNRPLSEIRMKIEKITIAQFGSALAIRAFHGTSNEQTAHMNRERIYSYPEIPLLKSGRENPVPWRFASNFRALGFIPKRFNTFEFIEKLTNGPEIGDFGSRTIQQ >PPA09342 pep:known supercontig:P_pacificus-5.0:Ppa_Contig179:10829:13254:1 gene:PPA09342 transcript:PPA09342 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKFATRYSLLGCAIEKNFSTLLTAILCFLHDEKKLVCEQRTEAGEGGFSPQTTTLFAITREPVDRFISGYVDKCIKYAASSRVPLLLSHAEKLSALLESKRHETWQHHPDSCCGCKRDVDCFVEKMYARIIKSRGEKQRTTFDDEHFFPQSWRCEFASHLRDYTILDFSSAGKGIRHRLLVCGVDTSKPH >PPA09360 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig179:166526:171069:1 gene:PPA09360 transcript:PPA09360 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLSPEVFDLRLIVWSITDKVGDHLFALVKATKELGVPQLYVHFYGDGRDTSPTSGAGFLQQTIDFLVAQGHGKLATVVGRYYAMDRDKRWERICVAYEAMIGGKGEESTVDKVVDVVKARYAANETDEFLKPIILEGAEGRVRDGDTLIFFDYRADRMREISEVMGMERYKDCASALTHPKDVQKYGGLPKRAVALV >PPA09367 pep:known supercontig:P_pacificus-5.0:Ppa_Contig179:213238:218827:-1 gene:PPA09367 transcript:PPA09367 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKAKQPKMELPTASPDAPPVVSASALLATPRRTLPPHPSRIAVGGGPTRTWSAPCFSRVPRGSKPGDFRLSRLPSYVRALEMIAPDFEPSAPIRLPDKKPAPAPPAAAADGAASDDAAPAAEDAKPAVAAAEAAPAEASPKPDVDVAATPAATATATATARIKLRDGWGYKIGGTRFRAVFDPERKAIPKLPERPPGGYEVVGLSVKKEGGEGDGDSHTKKPAISLYVNSFPDPKLSHRATLKKMATKRSNTAPTRIGEYYQGIDRDAALAVKQCYDESRVAVSMAMSSHPLPFPCSLCDAIVIAIESTTLVFRLAEDPSSFFAALVRIITVEQGARAPTEEHAYLGRPKCEHTAEIVTTFPLDQAAHYRKKKYKDDHVVIDSTELEDIYNEVHRIRMNRRRWARIKDRCAADATFAAKMRAKKVFRLRPLCHPDSAPILPQIRGYAAEKAKIAAMSEEERKAINVRKYAYHKARKLEVDPEQTAKKQRLEERRAANLARNKALLAAGVDDPEERRRLQRRIRQAERTPEERRELQLRDVRRKKAWLANATPEQLAAFQEAKRAKRAKHAALAGLSPKERMRVQQREYHANYTARQMRSKGPPMPRARASTSPAKKTQVNKRKQDMRSVLDRAMKEEEEDELEEDDDDNEDEMVDVMGVNDDDDDGVDYGGGGGFEHGDDGDGGGSIVEEEEENQMAARAIASPSPTPPPPPSSARNRGMPAPRPAAPSRHHQPSGSSSVFNASINYAPLPRYDDDADHDVMGLGRSPQARIYRPPQPQPSHHRQHLQPSNYGQQQQAHQPHRYGGYIEIPEPSRVSARFGGDLEAELLQLQKDVAWSDKAIHNSRVEAERLRAEAESLESAIEEELHAQVQRKNRIARLMADWRDEHGEDSQG >PPA09357 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig179:124355:132907:1 gene:PPA09357 transcript:PPA09357 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAENAVYERYNLYVSPDKLYIEPCDRFGGVKSNQHLQIDRHSNAIGLVETSSNQIPLGDCDIFLIYGVIGTIQLVSGQALIVIKSAEMVGQLNGHDIWKVLATEVIPFKKTTLHLTEKQNWFNRQFTEMLHTVLGTNGFYFSYTADITHSFQWMAENATPQFYQRSLIDRAEERFTWNGYCLQRLRGVPGAHPYCLPLMHGFFGSVTQQIGAHRFKLILVSRRSIQRAGVRFYKRGVNTEGHCANFVETEQIVEVLKDGQAGGGQKTVTAFLQTRGSIPLFWSQRPNLRWQPCPLLRPTDDQLQAYVRHFKSQAEHYRGKQVIVNLVNSKGRERRVGGELERISLQANLPFVRYNPFDFHKECHAMNWDRISVLKGQLRPEITEFGFFAAQPDHPSGGRMQQGIYRSNCMDCLDRTNVVQSMIARESLTDQLAFLGILSGGRRVEGGYRDQSGWCLQRDNTPLT >PPA09358 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig179:134661:138276:1 gene:PPA09358 transcript:PPA09358 gene_biotype:protein_coding transcript_biotype:protein_coding MCNRLGRGSNLTLPFRRDWCFIRAPLADLEELERVFKFLWADNGDECSRQYAGTGALKDSIDLFLGNFPVDPDHLPSTFETTILALDYHGVAIVAAAFAVAMVVLCLLVSENLSATLFWLAVVMFCLAFIFFNGEEFVNTPKLKQD >PPA09373 pep:known supercontig:P_pacificus-5.0:Ppa_Contig179:241431:244971:1 gene:PPA09373 transcript:PPA09373 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLFSVYPVSTALGRTAVNVSTGTKTQLSTVFSCALLLVIILWLGPLLRALPMCILACVIIVALKSMFQRCEEIKTLWRISRTDLLVWIVSFTATVVIDVMEGLAISILFALLTVVFRSQWPKWERVLGAMSSDSDKSTLEEASHPRPSVCVFRFDAPLIFTNVERFVAKVKKTVDEWEGIELPSDNPCAVKVVLEKDDDPIPRYFIVDCSSIVYVDYMGVKALSETTNDLKKRGLTVYLAAVKPDVLVVLKAHGLLDTFSKVP >PPA09349 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig179:76679:83049:1 gene:PPA09349 transcript:PPA09349 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCAETVPGDVGSRTFFVKGAVDRVLEKCEYYLRDGIRPTPLDDATRRVIMDEARNAALTGLRVLGLARGTSLSSLVFVGCVGMLDPPRPGADEAIAAVKSSGVDVKLITGDSMETAQSIGNRLGIYNPSTDTCLSGHEVDRMSDSELELVIRSVTVFYRASPRHKLKIIKALQNMGEVVAMTGDGVNDAAALKKADIGVAMGKCGTDVCKEAADMILCDDDFSTLKEAIEEGKGIYHNITNFVRFQLSTSVAALSLIAASTIFHFENPLNAMQILWINIIMDGPPAQRMKFPNLSWRGASGRGHHPAAAEEYEDGHVEQMSADNEITPRDTTMTFTCFVLFDMWNALSCRSATKAIWEIGLLRLAKFGFKPGPNILENWMAKNDLVKKWKLQSCFSRS >PPA09346 pep:known supercontig:P_pacificus-5.0:Ppa_Contig179:20541:21658:-1 gene:PPA09346 transcript:PPA09346 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRGYTAHDSDSDDNDHALAPPTGTSLGLAIQAAPFVVPDAKKTYVAVVNPDTKQLDHNPRYDELFLPEAGPSNPFKTERQKAAKNTLTGFVEPAHINQFHFDREIRSFDTLGYARNPTAENGDSFIGDKQKAQDNKGGSLFDSTKTGGEKRKRARNDDAGDVEGYEGPWAKYKDEKTVAIPDPELQKIC >PPA09354 pep:known supercontig:P_pacificus-5.0:Ppa_Contig179:106054:108126:-1 gene:PPA09354 transcript:PPA09354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-bli-1 MRSMNDFAVAQSWKLLEAEAWLLLRPSPSRSRRQADGGYEVRTTDNGPSNDNDTAPAKDTVVSESTTVTASTRKTVMSTVTTTVSATIDGNGTGSEPAATAAGAGSPAPLCLCEKPPTNCPPGPPGDPGPPGENGEDGLPGEPGAPGALWEPSDNDHLGCVQCPAGPPGEPGDVGDPGPDGEDGKNGEDGLPGLPGPEGPEGPPGDPGHDGFPGAPGMPGEPGENSERIMNFPGPPGPRGPPGQPGPPGRPGSSGPAPLPGPPGAPGKPGKNGVNGPPGMPGMPGDPGEPGEPGHCKCPIVTYEP >PPA09364 pep:known supercontig:P_pacificus-5.0:Ppa_Contig179:198816:200600:1 gene:PPA09364 transcript:PPA09364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ahcy-1 description:Adenosylhomocysteinase [Source:UniProtKB/TrEMBL;Acc:H3EHZ4] MSKPTYKVADISQAEFGRKEITLAENEMPGLMAMRAKYGPSKPLAGARIAGCLHMTIQTAVLIETLQELGASVQWSSCNIFSTQDHAAAAIAAAGIPVYAWKGETEEEYEWCIEQTLVWPDGKPLNMILDDGGDLTNIVHAKYPQYLADIRGLSEETTTGVHNLAKMLAKGDLKVPAINVNDSVTKSKFDNLYGIRESLPDGIKRATDVMLAGKVAVVAGYGDVGKGTAASLKAFGCRVIVTEIDPINALQAAMEGYEVATLEEYASKAQIIVTTTGCKGIVRGEHFLQLPNDSIVCNVGHFDCEIDVKWLNENGKKDTIKPQVDRYLLSSGRHVILLAEGRLVNLGCATGHPSFVMSASFTNQVLAQIDIWTKHGTKEQYPVGLYVLPKTLDEEVARLHLDHLGVKLTTLSKEQSDYLGVPVTGPYKPDHYRY >PPA09351 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig179:88869:89772:-1 gene:PPA09351 transcript:PPA09351 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMAWRKQNLFFLNRKKLKMPSDSGAQRAASIAMDIGSTAADKASELHGDWSRDGGLLSMLQSKTTKKAEGFVFQPSYPSKEN >PPA09353 pep:known supercontig:P_pacificus-5.0:Ppa_Contig179:101875:103017:1 gene:PPA09353 transcript:PPA09353 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSDNRGMIQRSVIDRLINYAGIRRRDRQKRQSSSAMTARLLNKGRARKGRKGQEALGNEEMDEGENDEGMGEDGNGMEENDNGMGEDGNGMEVDQNGMWDGDDEQEEGIQMRDGKPVRQALFDDTATLSDSISELTQ >PPA09368 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig179:219074:221037:1 gene:PPA09368 transcript:PPA09368 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPGCDGAKSQFKRQSIANHVEVIIPVPSDADSPKFKTSVGSVKYVPELNSFVWTIRSFPGGREYLMRAHFSLPSIHSEEVEGKPPISVKFEIPYYTTSGLQVRYLKIIEKSGYQALPWVRAEREFRIDICIL >PPA09363 pep:known supercontig:P_pacificus-5.0:Ppa_Contig179:187443:197500:1 gene:PPA09363 transcript:PPA09363 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMRGPPGGRGMPPNRGPGGYGGAGMRQPSPPGGYGHGGGGGAGGALSIETLYPEFTLSPHELAVLSEAVKGVRVRIAHRPKVVRVYRVNSLQLPADQLSFPTTSEEGEEKMMTVAEYFEQKYTKLKWPKLPCVHVGPPNRSIYYPIEDPVVYPRDGAWVLDNQVLYLPATCRSYAMIALVSQREQMALQSFCGALHGKALQMGMEMPRWPDVVKYGKGRDDVPQLFHDTLSEYEQIGNQCDLIIVVLPAKNSEIYMTVKECSDMVHGVMSQCVLLKNVLRPSPATCANLILKLNMKLGGINSRLVADGITQKYLIDVPTIVIGVDVTHPTQAEERMNMPSVAAIVANVDLFPQGYGANVKVQRKSRESVVYMCDAVRERLISFFRNTHQKPSRIIVYRDGVSEGQFAEVLREEMQSIRSACLTLSPDYRPPITYIVVQKRHHARLFAKNPRDTVGKAKNIPPGTTVDTGIVSPEGFDFYLCSHFGIQGTSRPSRYHVLWDENNFTSDEIQVLTYSMCHTYVRCARSVSIPAPVYYADLVATRARCHIKRKLGMHDMDGMSDSSATSSMSSLVSLRRRGGSDGGTAAGARSTNRSGGSSAETVSESMAENIASACDAALQDYVTVKEVFKSRMYFV >PPA09374 pep:known supercontig:P_pacificus-5.0:Ppa_Contig179:248811:251765:1 gene:PPA09374 transcript:PPA09374 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDSPSRRRVLHTESIDTRPGIKHGLVRILEHVRKLKDARSYFIGDDPFLSGGADDPHLGSMLDSYTHNFNLDGLDDEFPDFKNDLSPEDEFADDLFGKLHEQEQACILTEQACILTTMTEDHAYAASSSPDESDRGSGLSLSPACSSSPSDYGHVDILRAASDASGIYYDSGFETMPTNPTQHTTQQQQKHTMFVPTTYGRQQSTSGSSSSTGSSLGRRTLVKAATSSSTKNGQFVRFIAIVFIGGILFPMTMLFLSPVHFSRISLISLLLAEYQLYERNCVE >PPA09350 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig179:84965:87998:-1 gene:PPA09350 transcript:PPA09350 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPIYEGGARAGGFKGGVLSGYGREAKGGSFEGENLPSRGAVGDLRPTATATAIELHGDNSRDGGVLSRMLSKMTEKAQDPTIRARTLAGEILPISKFVMENSWEVGEKCYAPCDVKGAIRWRVAVITAIFLEKVRYKIESTEATNHSRHPNPPTRYDQRSAKPGPIMPRGSGKGWSLMKKLEERKHKRHTY >PPA09361 pep:known supercontig:P_pacificus-5.0:Ppa_Contig179:171109:177569:1 gene:PPA09361 transcript:PPA09361 gene_biotype:protein_coding transcript_biotype:protein_coding MTQYKAEFPFPSLFPPINNANVLAEWLAKQVEPERIFRSPVNVLAEWLAKQGVTQYHCAETEKYAHVTFFFNGGKEAQYEGEERCMVPSPKVATYDLQPEMSSAGVGDKMVEMVKSGAHPFVMCNFAPPDMVGHTGVYEAAIKACEATDIAIGRIRDACAEHGYVLMVTADHGNAEKMIAPDGGKHTAHTCYKVPFTCSSNKYKFVEAPGRAVALCDVAPTVLDILGLPIPTDMTGVSIIKRLRK >PPA09356 pep:known supercontig:P_pacificus-5.0:Ppa_Contig179:118519:123716:-1 gene:PPA09356 transcript:PPA09356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-eaf-1 MGSQKGPEVDLKPGTYDLKLGGSWDPPSRDQTSFHTLKYDFKPKSVANETETYVAMGQNGDVQVAVPAENDTLTMYKGAQKKVKEARECLLFFNPRTGEVRLEKVQSNITVKKTRPGDAEPATEQYLRSEIARLRTTSTSRPAPSTRDDSANSSMNSSMMNSSSEVERKRKSSSSSSSSSSSSDSSSDDSEDEENFKNAGGLNVASAQQVPQQAAFQPPVFPPSHPHALSSPAASSPMEMSDVDDDSDDEDAMMLQRLTEAAAPPSSSAAPPPPPAESMPLFDMGSPPRQAPPRPAPVAKSASLFNDLALKFEIRVPVSSSHVLSRISERC >PPA09343 pep:known supercontig:P_pacificus-5.0:Ppa_Contig179:14508:15073:1 gene:PPA09343 transcript:PPA09343 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALVLLVLVLAYIGYRVYSSPTQAKADADDPRYQTLRISENVAFEDKSGSKSGAKSAPKPAAKSTTKSSKKKN >PPA09345 pep:known supercontig:P_pacificus-5.0:Ppa_Contig179:17026:20481:-1 gene:PPA09345 transcript:PPA09345 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-prp-17 MDAITEKRKKKSRKYKKEQEQEKHSAEEKSTFHLKEALDYQGRSFMAVPSFTGVNLREDAVPDRCFIPKKLVHTYKGHSKGINAMRLFPKSGHLLLTASMDSKIKLWEVYNNHSIIRTYEGHKLPIKDIAFNYHGTEFASASYDKYIKLWDTETGQVKQRFHTGHIPNCVKFHPDEDKTFMLCAGMSNKKIVQWDSRSGDVVQEYDRHLGGVNSITFFDKNRRFCTTAEDKSLRIWEWEIPVDTKLIQNAGMHSVPTMTKSPTDKWIVGQSMDNRIVLFQLIEDKLRFAKKKAFRGHNSAGYACAVDFSPDMSFLTSGDADGKVFIWDWRTHKIVARWKAHEQTCISVLWHPHEKSKLISASWDGTMKMWN >PPA09355 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig179:113839:118020:1 gene:PPA09355 transcript:PPA09355 gene_biotype:protein_coding transcript_biotype:protein_coding MSEWLRRLTRNQLGSARVGSNPARCEKTLFMVYGLTSAAATAAFPFAVATGFYPLIFATRIAQGFAMAFTMSAAGSVCLTWAPVKEFGLYMSILSMHFQFCAIFTMPVAGAFCVSPFGWRGVFFLQAGLTFALFALFFFFYEDEPAMHRKVSSKELSRIERGKENEAKGGSVPYLAICTSPAVLGVWLSSIGGNLGFQIFLQFGPIYLNKVLNFAVASTGFATALPYILSATLKAFTGPLSDHSTCLSEKDTELDPNVPVEPLHVSPYSTPIQARIILFASISQGFMAVCYIVMASTTNPLISQVCYTAAIVFSGLNVIGVVRCAQLVARQFMPFVMSVLNGILCVITLVLPPFVATVASSNTPEQWSLIFYSAAAVIVVTNIVFLFVASDQAAPWTRSDYQKNKVLDGVKTVA >PPA09366 pep:known supercontig:P_pacificus-5.0:Ppa_Contig179:209183:212715:1 gene:PPA09366 transcript:PPA09366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-apm-1 MGPNPESYAMSSDQAHLGFITQEGHRLEIAPRPPMAVTNAVSWRSEGLKYRKNEVFLDVIESVNMLVNRDATVSPQANASGTVLRSEIVGSIRMRVVLSGMPELRLGLNDKVIFQQAGQGGRKTGGVGKGVELEDVKFHQCVRLSRFDAERTISFIPPDGEFELMSYRLTTQVKPLIWVEAVVEKYRGRVEYMVKRVGNSSIHFRLEFISNI >PPA09371 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig179:237497:238526:1 gene:PPA09371 transcript:PPA09371 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQISKIITYGDFIIISTAVSAFLDFQHEYGVPVVGFIPTGPPTPALPVMAIMPNCIVQSLGMVVVTIAVHISLAKMYAKKQNYAVDARQFVGT >PPA09376 pep:known supercontig:P_pacificus-5.0:Ppa_Contig179:260459:265068:1 gene:PPA09376 transcript:PPA09376 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDASRLNVYEARVWQVPIIVAGVDYIEQLEDRVADCTTENQDLKAQIELMQSQNASIMQQMRKLQAALAQSTKRGAQAGTCFAVLLLSVCLLVAPNLSPLIKKAIPVGEGEEMQTERGAEEAKADIMPGRSRTLMEYAAPAYLPLGSNVAGGVPPPQAMAPAQCAPPSLHGGHHYGQEAEEEEEEDELSRYLVPRPAKRARTSGGVGGGGGGPWSEGARYVNNQYQQMGGAQYNNNLPHHVPKEEWVYPSNQQQQQLHYSPKLSPDYTSSSSASSPDYGSQGYAVYGTGGGSSSVYGSGGGGSTGSSPVNRSTHHQVHTTQHVYRTQFKAEPI >PPA09372 pep:known supercontig:P_pacificus-5.0:Ppa_Contig179:239289:240970:1 gene:PPA09372 transcript:PPA09372 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNKRDLYDLLRSKEKYIPENEYTGEEEKGLMTNDSRADLDHDYHMDREENGQHEMSGMNQSNHDVDKRNGNIESIETKPLMPKLFALTNVKVENSMEIQNRKDMKGETQTYIDFTQENGNTEHGNTGERNDFIELASIVHDAGFDANAMEFYKPPSSKPPLNLDDITTL >PPA09377 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1791:53:1922:-1 gene:PPA09377 transcript:PPA09377 gene_biotype:protein_coding transcript_biotype:protein_coding TIELSNFDKLPAGQNGIIAVMSYSGYDIEDALVLNKASLDRGYGRCLVYKHMKATARKYPNQTYDRLMGPALDPKTMKPIWKHGVLDQEGIVFTGARIYSKQTLINKHMPMVTGGDASEAAQCVQYKDVSQSYKNPVPSYAERVLLTSNEEDAHLVKVLLRQTRRPELGDKFSSRHGQKG >PPA09378 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1796:452:906:-1 gene:PPA09378 transcript:PPA09378 gene_biotype:protein_coding transcript_biotype:protein_coding AKRFRKAPCPIGERLAWSLMMPGRSNAHECPHRQALFRDHPPPYWRGYAAAAFRNIKTIAECLADELINAAKESPNSYALKKKDELERVAKFNGYA >PPA09379 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1797:33:1235:1 gene:PPA09379 transcript:PPA09379 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSTIMQTTGVRIATWSFSEAQSGKSSADRYAAYCKTIMRNYMSKGKNIENHDDMFIALTSGKGLRGMSVHLMSVDFTPQPKTTLEEISKLGHFEFQGDTIHAWRFRGIGKGMSKSRLTGIVSSATFHTHGGKLSRSGLKTEDEQKIRKGEEPHYWFFPTTKITTPEPDDVDDEAASGIAPTPIDASSPKSLFVCNQCSSTFSRHASLLRHLDVGRHKIRPEKISMYDFALGTFKRVLEEVQQGVGIVLPESFKEFTEAPKNPRPKGWALRSTKKTGRYDPVARKLVDDLFEQYFSNGKKLRPDEAEKRMRERNDILPAQRMTFDQIRNRITTLLSQKKEHQRKEHGNRQRRYVKLIDDFERDLAEEGISLDDIEEEVDLERPLHEDDLIITSDEIYDL >PPA09380 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1798:178:908:-1 gene:PPA09380 transcript:PPA09380 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLSWRLTLLTFVAIPVVAYVTKVYGVFFDKLSERMQKTIANANQTAEEVLSTMRTVRSFACENREARRFEKMLEETLTIGRKRAIGYVGSNVVSEFSNNAILVAVLGYGGHLVISGRMSSENFIAFLIYQMQLGENLY >PPA09414 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:184842:186025:-1 gene:PPA09414 transcript:PPA09414 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQIRLITATINAPEYDCSLHTAEEWTVLFGTPRYAFESKDRKQKNTFQFMFLIALADMGGLCCIGSLFGYVMISGGVYCSNPPLGLIVGSGFWIISSTNCILLVINRMCELTDRAWVFKGWSSSMCMLATIVYGILELLFTRPPLPNSTQQFCDFNPYIPGHTADDV >PPA09490 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:679682:683166:-1 gene:PPA09490 transcript:PPA09490 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNRRLKEGTLQCYLRSCLILKKWKQAWVELYSDSRLVVSEEKGDKPKYTVLLKDVIPYMAVGQVCDRMPVRRPNLPDGASVHHLLGIGEDPRAETVHWLLFASDQDMESWFGEIMKTLPKPPAPAGNEPPPQYDEKAPGGPMGPGGVPGGPPGQPGGPGSTVVVVQGQQQQDNGMDGMMMGMLMGSLAGYGMGSMFGGIGGFGMMGGMGGYGMGGAFVSNDTNITNNYYGDQGGAGGEQGGAQADDGYDGGDYGGGDFDGGDYGGDFGGGDFGGGDFGF >PPA09392 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:69344:73680:-1 gene:PPA09392 transcript:PPA09392 gene_biotype:protein_coding transcript_biotype:protein_coding MLQITAGSQQCSKQLWQRQSHDTMWYSVKGYECNKGVWRMNGQWTSWNVDSHSVKLICAETVRCNVSDIVDHCSDEWQECEKINITSSGVIGLDRDLWHKTADDKWVRGNGLECADGVWKMKANDSEWIVQPSAQLISSHAIRCSPSDLVDYCDGKDCQQLKITAQSVETCSTRLWLHNSSDDKWLWVNEIKCDNGKWMIGRDNSKWNVSSVKLACAQSRPLCTMESSDKVLSIILGVYCILASIVLIALFVVMYRKMDDIKGPSKYKVVRVQGTRDSKPSSSGSSSGSASDSGKKKRAKKPIKQKNQLGNAIFDPSTSHTSSKKTKKGSKKSLKEKKEQKEESQKDSGRSGPSATEIDRLKTK >PPA09431 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:285322:287153:1 gene:PPA09431 transcript:PPA09431 gene_biotype:protein_coding transcript_biotype:protein_coding MIGHYTLLVVLFTSVYCSPSEEGSGWVFDAAAAAAADPAPLTSDPTVIVKTRDVTKCISNKVCTGDRECGERGSCLGFLSVPGTCNCAACVNLWTCRDDRDCGGLKGACDVMYGTCRCMQALERNGFRLLKATSSFCNVKKCRVDHRDDDCLGLPCHTGRCYCRYSWIDD >PPA09504 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:815266:817070:1 gene:PPA09504 transcript:PPA09504 gene_biotype:protein_coding transcript_biotype:protein_coding MITPPPRPDQQHQEEFELAEEGPAPERHSAMSGVTCADGSCSPVQLPQQIQEELTPINEDKCNSMRLKEIIERNIVPNDAEASKRAVQAVAEDVTGRYFDAICGTGFFSYIAHTDEFCQASSSGVNCYLFSPVCGNTQGNVPSRSTANERLY >PPA09396 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:88543:91283:-1 gene:PPA09396 transcript:PPA09396 gene_biotype:protein_coding transcript_biotype:protein_coding MNDQLNELEKRLASSSKVSNGVGPSAPSATPAAAATQEKGSKKSKKGGDKKEATPPPAAAPTKEEKKDEEERVRGERRLVVSHIGKVIGGAPALDEAADAKSAPAPAPAVVADDPEKEELRKQNDALIDALATLEHELTTIEQLKSEREASYARRIAELEKTHGKAPLLHMLSELELPYPTWPSGCDGVVVGPPTEVELLGAGDYCPTPTGNLPYQSSPHDHDHHHCPEDTVPPERPQEKGGRLSVSKQRIVAEIRCCHAIRRQIAEVRSAIEALPALSTGASTANSGAVVLPPPRVSPPTSQSEDDGSWEVVA >PPA09449 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:424581:427605:-1 gene:PPA09449 transcript:PPA09449 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKYESTYSDLQSHLSNIKPQLISIDDEITKLLSASTSDEITDLSASIAKGVDNIHSIILDYSDIVNSVKDGITTMEDESMKNDELEQFNGKMASTDGASGLPMNPSLIDRIATIESYSKRTPNLSLPPIKLECFDGSDITKYPAYKYQLDQLILNNSSLKEVEKAYHVRSSLKGVALSLVSSIPTHQNFLKRITERLELEYGRSDLTQATLLQSLLRIRAKSIKLDDQLEAVRSMINLVQTIDEGSGINGLLTQQQLVDRIHPRFIAVVWKRKPATLLAALEFIEETLRLEQEEATITNAISERTNPTQNSTVTHFGNSGSKSQRPAAMGNSKVPAACTTVKSVKDRKAILRSKSLCYCCFSSKHSSAECSRKCGICSEKHHKALCEKPHSTSFNALSIDSKQPERLFTVKAKVSNPVNNSIISAHVHLDHGAQATLISRNLMNRLSLVPIEQREMTITGINDNNARSSTYDIVQVEVVTNHGRRIPIEAVVHESSSVNSIHSHPLSDTDLNVIKTTLGYIPKHFSRSTVVHTDLLLSVGDTLELLENAKETKLPCGFRLLQSSIGPLVVGSNKSRSRAIDSSVSALTTYVDTVESLESKIERLFSVDTAARVYETTEKEARKVSNELVNKHFEDTVEKQGDEYIVKYSVKPEAATVLPSNYDLAVSRLSSTIRTLSKQRSYLRFYNSIIDDQLHLGQIEKVDPNDNEGIVHYLAHQPVLRPDKPTTPLRIVYDASAHLKNKPSLNDMIHPGPSDLERIPAILLRARSRKSLIVADVEKAFLQVKLHPSQRNMLRFLWIKDLDKPVSSQNILVLRFCVTPFGVNASPSLLNKIIRPIVPPSTVSCQRLIDPKMSSRNF >PPA09527 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:989593:994460:1 gene:PPA09527 transcript:PPA09527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3EIF7] MQSSTDRTEQDGLSSDAEDGGIVTRRAGKSPGGGEGGGGGGRKSPGGGTNRRRRAGKGYMDMIYCLASQRYSGNYFNFKFSDILRVLVEIRGIFENEKMLIECQTPVVVVGDLHGQYTDLLRAFNLFNVGTGAKVRPGYLTQRFVFTGDYVDRGKQSLEVIMLLFLLKIKFKKSYLLLRGNHECKAINRVYGFKAELEERFDKDESNKLFHMFNEVFTYMPLACLVSGSILCMHGGIAPSATCLDDIRNIPKPLIDPPEDEIGCDLLWADPMIGLKGHRPNSVRGVSKHFGEDVLEDFMKRLDLTLVMMMNGFNFFHDKRLVTVFTASSYYPDRANNGVVMYINREGRCGFKILTPQEEKGEGRGDSTGQEKGGGVGAGGAALESRNRRSVLIKVACAMTVASEVDGASQINQYLPRREGGKVFRGAHDDANAYDTGYVVYGEEKGAEKKGKKKSDSKRSEKEEDDKKGKPRKSDSKRSEKEKEILKPIKSLFQNLK >PPA09446 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:403663:405632:-1 gene:PPA09446 transcript:PPA09446 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTAAAAARQAAARASVTNAARAAPAAGAAGRLVAAPSRRPSTVAATPQARLNRPLPNGATSSHANSATVAAAAAAAVAVQPIGPDGAATSRFQLQPTAATLARQEAEARQQAFAAAADAVAAAPAAPSHRIVRPQHQVVRVSAAGAGPAARRRLSGQSSTPLGAPPARRPRQQQPVAEPRVVRVYINTTPSVSSEDDEEDDVVVVNYHETPRRSERIAAVAIRRFESGGGD >PPA09479 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:603169:605076:1 gene:PPA09479 transcript:PPA09479 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLALLAAFSSCVLFAMPIETSEPSEDDCETGDLLGLCELDKELRRGLNAAETRLLEQYISNYTVTGVHDLSTVRHKSPFLTSKIEHFVRTGEGRVAAVGPEAREAIAQVILN >PPA09472 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:571059:575620:-1 gene:PPA09472 transcript:PPA09472 gene_biotype:protein_coding transcript_biotype:protein_coding MSECTELLCGGCMETFEDREERREHRLEEHPEECARTSYSFCAFCGKQQESQLGAYDHLEEKHPVQWSKMVARLFKVSRLKDHHFHERWALAGSACMDTKRMATLKSILEQIDSLWAYPEKDNDKPPSTSSTQASSAPLTSSCEDVPAQSGSHLKECGTTSGFDPESSLAEALFAEDNDDETSNQIYQSLQNHFASVSQMISPTGSNDMSQDNTDSTDSSATPTGTDLEAVTAPVNVIPQGEPERATVITQQKQVSPARKRVRFEEQATNDAPRAVVKRPRLVHATPTPAGPQPSTMSEIPVDIVCMSADQTVAYITLPAACYRGRVLETPMRVGPLEGHGPSVPSPTVMAGPRKSHMLINAVNNELIPKALYDSAMTDIDPNQPCSSSSIQWGFEPKTTVPTTTSSFANRPSTSSSSIDAAIESTVVEGRTLCAPRATEPSDPDTDYCNVRGAYRGMSQCPRCSYVYVGNPIIRRQHYMMHHYNVYYAKTQGLCASNIELFKSISFGEEHHTPRACLFCSVDLINFKSRESLLAHHVKDHPFQFASFSLELNRLQAKR >PPA09521 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:928032:943754:-1 gene:PPA09521 transcript:PPA09521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-xpo-1 MAQLAFIERAKKQFSEEGKFDVDLLDQVISAMNVGVGEDQRQANLLLMEVKENPSSWTKVDAILEYSKQAESKYFALQILEGTIQTRWKTLPTVQRDGIKTFIVNLVLKLSESTEEAAANALLLHKLNLVLVQIVKQDWPKNWPTFITDIVAASKTSDSICVNNMNILRLLSEEVFDFGSQNLTQAKEHHLKQQFCGQFQDVFDLCLAIFEKCYDTAMVEATLRTLHRFLSWIPVGYVFETNITELLANNFLPLEMFRSIALQCLTEIAQINITSDDVAYQQKLKAMFVTTMAEVQRLLGGVQDLNAAYKSSNDKDQKFIANLAQFLVSFLKEHSSIVEALGKHEEVREVHAYALQMLLQISEVEETEIFKICLDYWNWLTAELFRACPFPASGGTSLGGFLGMGGGFMGGQTAGTPEERRKQLYAPSLSRLRQIMICRMAKPEEVLVVENDQGEKHSLHDHVIREVFKDTDSIALYKNMKETLVYLTHLDYKDTELKMTEKLATQVNGNEFSWKNLNTLCWAVGAISGTMSEEDEKRFLVLVIRDLLGLCEQKRGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVINKLFEFMHETHEGVQDMACDTFIKIAIKCKRHFVITQMGEGQPFVDEMLCNLSSIICDLSPPQVHVFYEAVGTIISAQTEPSIQALLATERMVATGQLVEASGERVAEERMALIDKLMTLPNTVWDEIIAQAETNIEALKDQEILRNMINILKTNVAACKAIGMPFACQLTRIYDALLSVYRIHSQDVSEAVKEHGEDVLTNPIVRQMRNVKREILVLLSTWVAKADDDDTVMDSFVPPLFDSILFDYQRNVAAAREPKVLSLLSIIITKLREKISPEVPRILDAVFNCTLEMINKDMEAFPEHRTGFFRLLLSLSQSCFPVFLALPEETMQFVIDAVVWAFQHSMRNVAEMGLDILKDMLTKVAMLPEEQAQPFYKNHYVQLLQHVLAVVADSNQVQVAGMTYFAEIMCLMFRALESSITVPLNPTNPGQSNIDFISDHIGNIFVQHFTNLTT >PPA09448 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:411935:413903:1 gene:PPA09448 transcript:PPA09448 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSLGYGLHIDRDFSIDALNMRVQLAAHDVWGLLLYQLHPLLPYPVMHCSGFACGKGWIGAGVCMAIEASFTVHSMMLIIATLHFMTQRVLEQGSRLKLGQIGTYLFLLFLYLFLLLNVVGCALFARDSPDWERILRSLSNLTE >PPA09529 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:998600:1000350:-1 gene:PPA09529 transcript:PPA09529 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRAKGEVLHEYIVTGRKLPTEKEPVTPIYKMQIFASNTIIAKSHFWYFISMLRRLKKANREILECRRPAPGFCKCSSQSEDELPWLSATQIENVQVTIQSKDKSIPYAPAWMQCGLFPPKRFPIYKRTADTSEMDRRVDSWKFDCEGLIAVYKNCNAIIKEECENDTDSLDNSYGSAEGIHGRFQSSADVENDNFRL >PPA09470 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:566128:567423:-1 gene:PPA09470 transcript:PPA09470 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGWDTLYISTAVASSSTIIPRSKLGSHEPGDPDTDYWNHHGDYRSTPKCPRWSFVHQHYNIYYAKAQKMSVSEIELLKCLSLGVSLRGRASSAMPIRATSGAETSYSLITSRQFDTQDEALILQDHPAQFASFSQELKRLQTKQ >PPA09423 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:225348:229988:-1 gene:PPA09423 transcript:PPA09423 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTKVMSKKAAIVKPKSIAKTAPKATGKAVKGSVKPSVLAKKQTVLVRTVKKMKDVKVKKIATPKTSLKSVTKAKKPLDKSNKATVNEKKQTVNKVGTAKKILKVSRNPIKKVRKPVKKSIKSEAVGKKKISKLVEKSIAAVSPKKNELLPTKLEKEAIAKAKDFLVERLSHMGPMTAFDCPADARFRIQKWYLGSAYKYRPMTKVDRRAFYGGFLMTFPANVPTFLVPVGLTETDIILSKLKNDPKEGFMKTTEKLSFEEAIREIVIGQTEKGFGFELKITYTQTKSTWMGAKRNPMFRVVEKEIAYQYELPNRLHFLATRTTENQPNEEKEQNNTAVIIEKKTVKHRRAFPLTSNAVLFRKARIAHLDNSRDYPLPIDGDNLKHLSSILTNCIIDKVTLVIDDFASRLVRAGIEEVTFSSYRFILPENESKEERVKSQFVSRLLQRLFNAGIKNVVVDYDGLLNETIHNREQLDILLKETEEFKKPLLLRLNFPQKAPHNLGV >PPA09416 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:209859:210979:1 gene:PPA09416 transcript:PPA09416 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRALFSKTALGSLNYVPTPPRLQHGKIYGGVVHVEGVADNKEYMAVLEAAKPYASESRTANGRLRIQFASTLKAYELVHEGLRAGGKNYDDNYIEGKTVFYRLSGIPPCMDDDLIKKLCSSDAGEALLLFSGEKEAVRGCAILHEFELCGGVVSVHPIGSKDIHRIRLLER >PPA09487 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:657655:661015:1 gene:PPA09487 transcript:PPA09487 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVRAERIYIKRRSKLEDEPFNPQAMETRVRTHPSDDKEKGCKDCHLYAKALKDVQVQ >PPA09557 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:1200953:1201511:1 gene:PPA09557 transcript:PPA09557 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSGVNDAIAYIKAGEKPLAAYIFTRSEDKVKKFLTETSSGAVTVNDVIMHLTVDTLPFGGVGNSGMGRYR >PPA09408 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:155146:159781:-1 gene:PPA09408 transcript:PPA09408 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLLLLTFVHSSLATLEDPELTFERLYKFGKDAYTAGEWADCVGFMRRALEDWDYYQSETLSCAARCLKKLPELRFDAKADPNHAALGKLNYTLAVVVNDENEIRLSHLANHCDSTRFHHTSQRALCIRRCRRERFSPRRPGIARREIVHDLMERRPYNYLQVCHWKDGEFESAVKAAYTFLVANPTDEQAKVNMDFYMAEAEFTEDMLEDKERADYEVAFKRMFISGVSAYEDEDWTKCVTHLDTALDEFFKEEELCRLGCRDRVDWEGIGSDDDVDAVINAIHRSSVECQHSCLARLSWVNGHFFGNLVAQVYRYQHLCYFKQMRGQDAARAVANHLLLDASPDIRWNKAHYRTLYPDREEIFRPEMVFVWNDHQGSKNDQNAILSVNAQRIVEFARNRLYEQRYLDFTEEKSKLVHGMYPTESKEDYAPLEVVDKESLVKDDFPYAEVGSILSAGLCKTLRQVALQLPTAIEKQAKSEVESAVQRMFPYSKLQGVWCGELRRPACDRAIVLSIEEGNCSEWLGPMHGGCALVACEYSEKVQLNSTCWRTFARLCSLYVVRFEIHQFDVLTNNRSPVLTVRCPFS >PPA09492 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:696154:716563:1 gene:PPA09492 transcript:PPA09492 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDSSSQEKPPSEESSAKQPNAQNTPKPAKPPFVLPRMMPPKPPRSPTPVRVSSSDDEKASKEPPPKPATKALMAPTKSVTQPASTKTPSDKPSTSTGKTVFRPVATSTPKVVKVQAPTAPGTTRQAPGAVSVVKSTMMRKTQQVGDNELYEKYPWLRPSQPSATAATSMPTTSSSPAKTPGWQQSSLQKQKSGSQSTRPFIPPGSTPTTSRPTPLSNQSTPGSGSTTRPAVPSYRALAQPIAVTRLGDRPRMPTADKAGVKVTSQGLTVLEFLKSSEPKKKWDEMTLEEQQDQRKRDMAKLTEGRIMDEEMRNRTHPRPPPEIVRPKIEKASKTTEGMPTLTPSSRVSDDVIARFKETAAKNAGKWKEDAGSSQPKSAPRETIRAYVPPPPPRRTTFDPFAPPAPKRERPIISGINSQRAQAEAKAAGTSAQDKTPAKKGPEKPEDISLNDDFGDTGGMSDYEEANPNTSSPSKTPNLKKEGDKLDETALKVKKEKDQEARRQELLKPSMKQLYSEEMAALARDKERFERELKERLSREKAQLPALPSLSQLTTLRNKPDDLKVAMDRLVENMKKIGSTEDNQDAPQGELTKHLNNMMDTMRKEVFLGTVRTEVYEAPDNQFEDAVTSYLRTSSMARLSKEDYDRGAQAMRIMRAENEKKRIAEMRRSQRRRAETKYTKRALAKLTPAQRLRRALPAPRTPPGSPPGGSPPVSTAGSRAHTPERAPEPMTPPGSPPSEYQYSRRNASRKRLEVMLDDEFDMPMDDFDRERKEFKLREEVKKRQLQQLQRNYQFHQHEYKMLESQPRGRPSYPSMPHIGQRNLTEFLRQDRKNDSKMKIESAYTGGMQPMLELLLQSSTVHANASDRIRPYTRRLSTSTPSTRGRDIQKRMKPLLPRQSSRPALRMTDLDSDHDNGRDAGREREVIDKPLSEKEIGERMKECDQILSDFYRSLSRDPLSVYEKERRINAAVAQSSSLLSWMESSLQAHKSSADGELAPALSPAGGEQGEPEKARKSWDEPEAPPTLDRSRDGGEGGKKAAKDKEVITQKLANLWQIVNGAPRHIRELARRPLPTTNNERAKALLDMRMRIVTVEKLKGPFEFRANSSDENDDSEQDEIMMLDSDIEDMPMLYGESRTSRQKREEATLQAKRKAFAEKKLEDERRLIRDNQRALLGGMVVGLHPGESIDNLRKTSSNDSDDAASSKRKVLIRKELTLKAKESRILAEFYVYWREKEKERKEKAKQSCDLIIAESPAEVESFNEMRPDVRIEYDKWRKMRQRLRIVRLNTGNLVDAVELAEVFVPDAMEEDALEDTRPYQIMSLALKESKDNVMDRSGTMWTKKPNPKRNELLERLSEKQRITKKKWMGYKLTPSEKKFMKTFPKPPRSNKGKGQGFVPDPEQHSSLSLSVLENRLRKGIASIPSEGDEGGIRIPANKNKPTSITPHSQIVIKRTPEGGKLLHILNYGAPRASVEAAVLAVMDAMVNTICEDEDAALDALDGPEDDEPRRPSILRKPFVFKPADHSCPKTQEGGRTQNDNPTAGAAAASAPHPGQPIAAIAPPSPRKPTIVVVRKPPPKIDDARVVRLVMDNILYKVTGEEAAARKNLTPIWMPPKAPDALGASSKEIYWKPAAKALAATLITASASAHKRHVVVPRKRERETVDDEVKSTSLRLLQKAKTAPKDSGAATASSSTVMASSAATASAEDAPSTSKESTGSDFPLDWFDDDILEVEREKDEEGKEAKDKIIDSSGGDRKAVSKGRRKQSLERSGKTHPDSDDEVTIVRGKEGDGESLSSIIADTNWPGKKGFEEWKAKHGGESLTVVTPRMEPRKSTRIKSNPTLADALDRTMKEEGKSPGDKLGWIDCLRLVDDKKGAGVRMKNMKKKMDKMEKKWIRVDEKTALKIEEEKKGAAGEKVLQKTPVETPVQKRYFTTQSGKRILLKPHRTIKKEQKEAEDAPPQLDPEVVGATATAVPASPSQQRLLAAATTRAAGVKDPAPTAPATASPAAAAAAVTPKLHMKLRERSAGKMEAVYEIDDDDKDDLMIIGDDEVESGAKPSKRILEKRVEMKRRAEFAQGTPPAKKFRMGEVTFSAANSEKSKASTSQPLRAAEPIQRSDRSSSPPLPPVNPPRDLAREQAIKAEREHQLKQQLWEFRKNEATISRQSEQIEMQKRLLLEMRKEMEKTYENADMMNKLVEQARRAMIGECADFAEYRKLIDAREKLMNTMQWRRRVDL >PPA09500 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:775841:776557:-1 gene:PPA09500 transcript:PPA09500 gene_biotype:protein_coding transcript_biotype:protein_coding MFFAGNSRRGARMGECRLAPQFESVVRQALELIMRSREDYGPVMHSNGGNHIMAKVKHKSRV >PPA09475 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:589729:592171:-1 gene:PPA09475 transcript:PPA09475 gene_biotype:protein_coding transcript_biotype:protein_coding MYNTLAYLNWTSISFAPSLFYAIYAAEIVLCLFSLLLAPFAGLALMRAGVIHRNFRFCVIGAVVQLSIACLSRFVILYYQIFDLPFDDTVLVLASVLRDEFFGYFSSILGGVTVERLVATFHTEWYEKEEVFIPDIVNFGFFAMQLLLSTSYLIGIFGVMGVMSSVAFILYFCYLFLPDELANVRRVCIALFDAWGAAEQVAITYLLIRRNTAIWTKFEQLFVIRSVRRLCTVVKTYEKEISMDVDERMGRDTETHFDDLRRLWS >PPA09461 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:505218:508515:-1 gene:PPA09461 transcript:PPA09461 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFDQLFYSDGELLSLADFSSDAAGTLLMDTVAFMFGCTLILWPPPAFTACGLILENLPRPRNRGGSLLRELEIKVLFNRLYLSLLPALYLLTAATCFLLFIQLQSLNLFYRFYKFTRGETKVAREFSIEELAIIDKITSSPAFYTHPSYSIVILLNDRFFINERRFGYSIERMTDLKLSAIESNLCVVLKRGGNDFTMILVENTKTGGSFKIHSNDLSRVREFVNVEMKCKSIHERFLERFTSYIDVNPKFIMRDTASFDACFACYQKQPDVIIKKSCIGCVSCLARIFAVAQPADKPSHWMDGTAACPTCRATFCPNDVLFIIDKADL >PPA09407 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:141705:148382:-1 gene:PPA09407 transcript:PPA09407 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-somi-1 MEDFARFGGDFGRPFDQTAHRLPILYPGQHPSLGLPAHAPIMSYGLPLAAAAAAAAPMAFGHPMGLQVPAPRPMQQGAFHRFPAHSLAAPIPIAPQTAPVPSISGSPDDGRPHTAAAVMPQSVAPQLQHQQHQQQACAAAAAAAAASSPMQQQQAAAAPAAAAVAASSSAPPPPPNGTPSILCECCRGVYPSRRSLLGHIGRNEKCRAFVESMGTLGAPKGAAPSASLAPESGAPPGAGSPPKPGMVSSTGEGGLSPICPYCERFISHYKGNIRRHINQCCKNGGKRLKTPKNPACGGTSSTSGKRRKFTAGSDGTPAGEGWGAPGGATAAGEMTLYEHITSSNFNGAVSSAGAPPPMVQQQQQQLQQPSQQQQSNPLYDLPPSTNSAEGAIHHPDSTTPPKKTSESDVPDDPYLCPYCDFLTGNMKRHLNTCHPAEMEKGDTRLDDMRASVQGVANEELNAKLNAHKMNSTRGRKPKVGKLGMDTSGSALSPNGNDHSLGSGGSSTTSPMSTSDPYYRPPVSGHMLASANPAMMSYAPMGYPQAPQHLLMVASGVPPQSYYHPVQQMQLQQPYLQQAPPPPPPSHLQQQQQSMHPAAAAAAPSSMMRPSDANSTTTSRENGMKKEVGDFIAV >PPA09458 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:488328:492375:1 gene:PPA09458 transcript:PPA09458 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVHRSTYLRNSAKSRIMALAREYGSDRAVAGDDDEFHEELQFDNSDSNDDNSVPPAVPYLGEVGEVLGGVREMDSEKMEKMERAMGFMEDYFNIGDEGGERDEHNYGFINTSMPVLSPVLAQYQSQYDAEIARLEKEVAKANLLLNELMDENRCDEVMDQYQKIEGMNAELTTLHGHRDMEMARRLQDELDANNEISPDEALARQLQKEEEAAEKKSQAIADEILAKHSGERGGSTMVVPQEKIDQRETENRRRKAERRTKKCEEAQWKADEKWEERMQWEREEWEREEKERYPSRMNEPMMTPSPDPTEGERIAAGGGEERADEGRVTRRRGARGGRRRERANKLPNLGSEHL >PPA09551 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1171776:1176855:1 gene:PPA09551 transcript:PPA09551 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQSLADRTTQLRAEAAALSSSDRLGGLVFKTFDLLGQLQNKELGAEDLEFRLQSFEAERELMKKHDRIPATPIRSMMYGLVETLYGTVEKMLTEGRIRVKEDNTSRDESKSTSSGMEGAEGKNDRKKEPVQPPQVSAAAAAAAAATATPPPPVVNSALVAAAAAPSVATAIPAASATPAATAATTEKKFTASVGRMPLPIKLPKKEPADEISEIVIDTVKEENVEADEIAAKNEEMSDDDAVSHNADGEMAGDNGIVNNMDNDDRAQFEMPELDDMRDGFENERVYAMTNDPQDNEMRSEDDEKEDGDREKDSSDMNRKRRSSRKVRKPTKFIQESEPVVKKRRTIVLNKIVATAPECLLCEVCPSTPTSYISHLSKQHMSNLSDHGIYLLCACGAKYRHATADIKHTAECAHTDYTVHKLTDQ >PPA09541 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:1093208:1095875:1 gene:PPA09541 transcript:PPA09541 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRVSDQRENIEAKSVGHLQKLNSGLENKIIELQQKLDVSNAEVSRLHGQEREMDQLKMQLMERDMERAHAIALRTRLEEMEGEVEQLQSENLEQLQMGLMFR >PPA09427 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:265703:269899:-1 gene:PPA09427 transcript:PPA09427 gene_biotype:protein_coding transcript_biotype:protein_coding MVWALMKQWLRYKKRTNTLKDLEDGINEWWSTKLTKELCRKLILRMQKQMKKVVEKQEVVETGILARSSAQRANSTKKTTASTRNDEDTAPAILALVDPLEGLKRFESLADDASGSDPVEDTALAILALVDPLEGLKRFESLADDASGNLGVAVDPCRIPLIGKGVVKKASPNVGLITKKGMKETEKVKKETAQTTKGKKDTLRCKVIVKGGPKKKMEEEEEPSKVVKTKQEKTEQEPSTSKATNRGRPKVEQDEGQGVNRGKKEDGVNTPKNKKMKTTEEEDDVPSTSQVAKKAMKPSKKPAPHPRGLTAAEERLIAAIDAEEAKGEKLREELEERNERVKELQGEEKEAKKEKAALEKTNKEMRAKLKALDRKLVKEDIMLDRRLVQLQAIQDQRDIQSAHRGREALTHRVLNRQLKRYLAALRTCIIRMADGRSSPFIDRLLEIAHEDYSPVEEHRRIRDMMRSIGAGGMPVMGRRVMQVDLTDL >PPA09483 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:629370:632313:-1 gene:PPA09483 transcript:PPA09483 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFTQLTMDDDDAGSSFSSIFRSATGRPPKRARLVDDRIPAPDDESLRWFEDVQHDDRVRQEGEVRYRRNRDSLDDPAVAERAEQWLAKLRKEDEAEQQRRVEEEQRRRGDGLEQKPFVAVKQEEEAVIDAAEPDPPLMNALDQAQLFAYHYDSPLPVLPFNDLPDAAIMNIFRLCMVDEKEAWTKADGVGGLLSYLNVTKRRVCKKWNEVLNNPANLAHLRDHRELVHRIIIDRAEVGTEILIMLHPDKIITRKYPGDLLERRRPFSTRFIHGVTSSLGLFESEILELHWMTLKEDLMNCLAEQLSLYPKIQHLEINSDLSAHHMLPNVDLSSITGNFEKLLLPGYYITNDVIQATIEQPHKMNYEIVADQEIAIKAASDHISMNNFERIRLFHDYTCEAPFEGVLDAIERAVANPFKRKWKLGLTNNATGFAEYAEVKTRARAYDVGLTLRLEFVGSGSEENAENL >PPA09390 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:60006:63590:1 gene:PPA09390 transcript:PPA09390 gene_biotype:protein_coding transcript_biotype:protein_coding MLRREKRNSIVVDSPSHKLVAKNQEVDGPGARKYGLFYYLKRMLGRARASIAEHNFFGLRWIIEKFNDMDVERIRDIGADRACAEWILRCGGTVKFDDGKPEIADYNTLVQQTAQRSGTFNEKKIFLNEVHAVKACVTARGCRHFEGVTRLHDVVFAGCKNLEDDGINVLVQNVGSTLRTVELADCRYLTNEGLRLLGNCTSLSSVILTDLPRVKDWEKLIDELKSNLPKDCQVVGYPKKK >PPA09536 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1034964:1036538:1 gene:PPA09536 transcript:PPA09536 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLCVVASLLLLLYAPLPSSAERTIRLKAVGDEPHHHHSSSDKHAHLSSIEELPYCRADPYEHLHWTIVGGVITALLVALIYVHFQYASMQYQHALLVRIYRGRARRDNEDHAAIRKELKGRRMGARLSEYLDRKAAENGLDDVKTARDAVPRPQRVPSRRSRRSVRRVRTEDEIREDLFADPANNAALVSERSLLSSPKKKPKELPITYAFDIKESYNDDLIGAPDPVPPKPAQPASDPPASDPKSQPASDPPSTKNKVEPKSD >PPA09556 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1195568:1198874:1 gene:PPA09556 transcript:PPA09556 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVVSGGIPESTALLKERFDHILYTGCPPVAKVIMEAASKHLTPVTLELGGKCPVVVEDDADIETSAKRIVWGKWLNNGQTCLAPDYIMVSQKTKPRLLDAMRKVLVDFYTDEPKSSKDYSRIISERHFDRLVSLLDKTKGNHAVELGVPDREDLFIPPTILDVTREDVFMEDEYYWF >PPA09477 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:598766:599487:-1 gene:PPA09477 transcript:PPA09477 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEENLVVQACHFRTIINCQRNERSWEMERYPDPEPTLGQKLKALFAKFQDKVKNLGTNAKEVVELVRENIQSMRTQQKDPNASEDEVKQTAWKKVRKPD >PPA09512 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:858513:860400:-1 gene:PPA09512 transcript:PPA09512 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTTVVCLLLLAVVALTTALPTRGAAAESIGRQKKWYNWNDPQEMPSKKCPFLYFF >PPA09570 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1285551:1292852:1 gene:PPA09570 transcript:PPA09570 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKAARKLECRAKLFEEKFEERSYSEAGNVIRNKDRFKLNCDNYGTSYTMRLRYEKAGDIGEMKQLNCTEKTPGVYFYSIDTGKKNDAGEDIVIDVPDPESYSIFCAATFNELCKNPYTCTKAEDPNCPVYVPGSKGVAATLTCPDNKWKIKDEFYELKDQPACERNRILETNGASFVAVLPSNQRVLITKEDRCFTDWDCKKKSNLNIPSGSVIIDNGIMKCNDTEAKMIVYHHEKNITMTGAQSYKCNQTDGVFFNEANPAEKVRKDSRVSCRVNKTKSTTQTTEAEPSITKAQVYGIIVGSAVLLILIVIGFATCGFRCYRHRNDVSIKNLKKMNRSALAEKCIKDFHHIVKAVPSNSYLIVVGFTLVDKMLEMEVDDPKAWEEAGKFLTRAHGSIMRRDFQVWHLLSRYCHLQAKKIIDKYGWRTDVPITNANPNGIIRCVAVQLLAFCLNDHANYTAEEYIEYGYGQLGFRGSSQYPHAGVMWSVVPHTRRGQYLRNAEHPHLLINGFNKVLFCDVTRKIACKKMPIAIPDGDVIPEFGTVQEQAAYALGGQPGHMYLVNETNNILFGTEQMPAICAPIAYLKGMCESRGRDPAQILLDSCKIFTDEKETFLAKMNLLAPEPVWHKVWRNAFQLLSFYGRDLDIQIEREQANFEKAIDSALEDIREWLSKHTDKDEFKKKPTMVVNPKVTLRQRRYAAGQTGGCSTSDKSSGAGKPPAAAAAAAAAAAAAAAAGTPVAAGTAPTPRAAGSPGAAAPPTTAAAAAAAPAAGPPIAAAAAMPTAPVAPTPTA >PPA09387 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:28489:29125:1 gene:PPA09387 transcript:PPA09387 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFLLFLLSLIAATSAQFNWGPYTPYTAVTQLPQGSLDGWVYNGKGGLGRFVRHSEHRDNRGYYPNGKFNFVPPAYYQQLFYGK >PPA09485 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:648135:651345:1 gene:PPA09485 transcript:PPA09485 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGKVTVKKEEVDDEIQIVHVKLRAPAVAPTEPQPPTATAFCSARQNLVSTSVRHLAKDAPPCDFDKEYFNGRMLQSGCPRQCSGSFLSRFAREQHYRKHHYNIYYTLTRPSVGRAKYWMRVQLGEQNRENRVCVRCVVAFDNADYYAGRGELLTHMRQAHPLSFEVLVHKYAEVAQWGIPDSEIHPALQAAMTEMAFAPCVASLTSTLRSSAAAAAPVAATSAPAAMQQPVATALQQPAADAATPSPASPAAAAAKKAAVPPLLASRLTWKRSTGEGSHRSPRVHVDAMEESRRKTYHYNIYYAMAFRRNLRMWTVEERWMCVRLGDAGG >PPA09439 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:346562:346973:-1 gene:PPA09439 transcript:PPA09439 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKLILVCALLVLCAFVADVDAQYYWPSYYGGYGGYGYGGYGYGSYYGYPYSYYGYGKREAGFGPSQGSGQQQ >PPA09495 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:721119:722235:1 gene:PPA09495 transcript:PPA09495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EIC5] MKWEKNMGSTFTDIKMTNQFDEFHTFKVKCTDNNIFRVRPPMGAIDPKTSVNIRVIQHAQERPPNNKHFVAILHMKCTAADAKKRDFKMVWRPDSKPEGVIRIPIVFVDAVNPTGPSAPSAPAPADLQSTVAPTTRQGSHENG >PPA09549 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1148941:1160948:1 gene:PPA09549 transcript:PPA09549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-csn-1 MDEHMDQSPEDAPDPVQQDRIDEADDVIGDDDDDYPMDRSREDEDPFVPARRGFRHKFLRMDEEFGAARKKKEEKDRKTPEPRFSAKSPVAAVKVHNAEAVHLKKLSDAYEPNAFVNRIDFIMDGCPPLEKECFLLLVNFLKETKRNAQKYHALYTRAETRPWGADADIPPMDKEYYELTHAAASSKTDSLLHELKKQKDEGVKESTRRAMEDLVVHYSRTGQLTEAFKAFNRGMREYCTQMRHVITMYTTWLETAVLLFEWHRVSPLISQSERALQEAEEAENQANGPGGRLNRNQYDQVEASRKTNKLLIAVSRSKLNAIVGLSSIEQKNYKHACERFLQVEADHLSEPWLLAPFDIARYGSLCALATLERSEMKAKCLDSKFRKMLETEPLLVEALTCYTRSQFTRFFEIINGLQDTMLLDPYFSSHVARIYELIKKRALAQYLAPFAVADLGTMAGVFGMKQDELCTEIISLIDKGVVKGRFDERAGIIEMLAPDERAAAHQKLADTCERVCARAEYTVLRALIQSNKVFVSTDDSKPARGKRRTNDENHREETAGGGGGGIMRNMRSTMMRMS >PPA09411 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:174944:176872:1 gene:PPA09411 transcript:PPA09411 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCFLALLVVQSAFAATCFLNETSRTPYVALGVTIEKSLKWCFTACDDSPGCSSVVFDAKDGSCVKQSDALVLQPSVCQEPYTRHYMTDVGCPYGDPMAAMGSDPCARLMPFVLDYDVDGTNRICPQRFCDGTGGPPIIVRYRDESGVLKTMDNCNNNQLSYDSATGKVDWKLSYNDKYGNFSVIATAVACAEITNAAACPCPPISEYAEPNLNPKGPLLVNTIPACPPPYYPKVIVKNAKTGTRTLEDPNTFIAICMGGSWMACGKGALSYTAMKIGGCGTP >PPA09481 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:606945:613991:-1 gene:PPA09481 transcript:PPA09481 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMGQQMSSKTTPKSTRYWLQVAFVRAPEEHSNVMMVMWEKMVKLGEELLASCDVSGGSNTSEERDDDRDDLTPPALLHLTDPEGTLSEGIPAADETPFDPVPSTSGSSVGLSTAQAASPNDIDAAGTRNAAIISQQAQQIPFSNTSTMPLQFVFPVDLGDGRTVFMPAPASLMSTASTSHSSPHEASEVRQAAASMPSPSVPQINGTATSVNPSKDTTEDDEGDLVVVKHIKPAPKDPQELLSPMLNGQLTPCQMAALMGRPILAPGTCQDDIQAVLEQQMGGAYDQMAAACIRRTSSTNSTSNILELACASIGIDEESVGEDAGPSTMEDQHGTHGVGAASIIDGPSCAISSGADAASLGDGPEDVRTPADGSSIIMDQPGPSRILEDGSPAGIELQRESVIQSIATDASITSAIDSVVLNNTSPSPIISDPSSIPTQVLLPQNDLFSNILRGLAAGQSINDAFAAALSGFCGPMMPPGPSGVILKSPIPSSGGSSIIVNNSMGSIVSACSTNADEAVFKVPQLPKEKPAKKRTLADEARKYNLGLPSHKMARVGDSCFKPLIKSIQVLKLNHRQAKQQQQPKHRGQSNNTRLSILITTLLGIDNRKDREHCQTMEKEFSDHINMIEFALPETDNDLRDQLILSFRVLRDVLESPLKIPDPVFTPAELYEVRQMAGRLWAFLPPLLGQSLLDTLMRLQNNLSLVMAQCPRKHTHHIRMHHYNWFQQEAQKDFSIMRKISAILSSINQSPIPVDDTTDPRVLLFSQVYDTVTRSSPPAAAPSMPAFDPSTPEGVEILNLIIEKSSGIITGFPLKDPALIEDTPAPASSPVDMEEPAPMDEGEPEKTPQPGSNGEETAASTPSPGRLVIVEDEEEEQAITNAVNTAAPGLSNDGAALALPSEEPVLKPFVPYLEARAIYDVDRKIWLNTGTPIACRYKCDMAATTSGMVSHYRRYHYDVYYQAVHKVWEETSIQRWLSVRFGNALTGAKEKRACVACRHGKAEFTDRLQVAQHMKRAHTKYFEDLQVDYFNVFKGQIHDDPVVQCLFTV >PPA09400 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:104325:116697:-1 gene:PPA09400 transcript:PPA09400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ptr-1 MGKAFDDAIHRLFYWLGLKIHRFRTACFLGSIIFTAVASYGIVFLEAQTTMDPELVFSPVNAPWRYERAVLTQHWPLDEQSFYPGKSYQYFGYVDVLVAGKVHDAFGRPNILHAKYLNEIERINNYIVHNLTVPVIVNGKTYEVAYTDLCMTNNWKCFLNDHITMLQPRSKWGNFSASIAEFAKDIILREVNITYPIGWRGSEPIYFGALVGSPHLIDDEGHFDYASAVRLTYNTRDEKVGNISHLWRKRLTDYLTNKEHPPSEILDIGMYHNESLPAGLQDVADALTPKFAGTVTILFTFCFAASVVLMNHGRGVIGIDWVRSKPMVVVAGIFVPLTSIPTAIGLLLWMGELYNSIVNVSPFLVLCIGIDDLFVMSAAWHRTNPEKRPEIRIAETLEEAAVAITITSVTDILTFGIGIFTPLPAVRIFCLYTSVQCIFTYVYQLTFFSPVLAWAAEMEERGTHSLFFCKAIQASETNSKLKLHLLAGSVSRKSQRRLAEERRARDLSEIEAPPPSEVPPPADSPPTDEEQRADSPRIVPPEPSSERTETPTQAPKKTSILKGSGRVVPEESSKIKRVVRFLEDKLDHSDNKDLDPDIGEETLVNKLFREMIGPFLLERTTQMCAGLIYLIYLLVAIAGVLHIREGLNPKFLVRESFYLANFYELIDETLWQEGLQMQVVVNNPPDLFSKEGREGIDEMIHAFEGTYYTMRHNATMLWLTAYDRYLEDQEKDVFIKKPTNSSEWYQRCKEWLIIAGGRTLWEKDTVWAEGEDYKQLRAFRFQVGLRNYRTPTDHTESCKLMRSIAASYPQFNVTTFHEYYPFADQYLELKPSLISNIGMGLGMMLTVALIMIPDWRAACSVVLAIASINVGVLGYMTFWGVNLDSVSMITVIMCIGFAVDLSAHIAYAYALAHGCPKTRAVTALEELGWPVFLQHTVTLQGAFSTILGIMVLTLVDSYIVQIFFKTVFLVITFSMLHGLLFLPIFLMICIPETKAKEKKEPPSEVAFDLPSEKEDKSSVPPPDSEEDRSSSADSSVHEYEHVERGEERKEKEEVKNQEPKIKDQLAEVKDQEPEIKDQTMNVDEKVEEQTQKCDEHPKTEIKDQLEEKVEKTEEGKHEDKSEIKDHEQVEVIDVIEDVKDGDVKKESTPDRPVSRAVSEVESDDERRPEKKKD >PPA09533 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1017788:1021263:-1 gene:PPA09533 transcript:PPA09533 gene_biotype:protein_coding transcript_biotype:protein_coding METAMYMRREVIGDSKVNVTEQPVDVLPLARAIAEAKNMKDNDEAFMIINLDALYERFKLWKRELPQIEPFYAVKCNSDETMVQALAALGVGFDCASREEIDIVMRMGVAQERIIYANPCKTRSFIAHAEEKGVTMMTFDNAEELEKIAKHHKCPELILRIAVSDPTATCPLNLKFGAEPVKQAPELLKRAADLGIAVIGVSFHVGSGCNDPSAYRVALGHARNLFDLGLGLGHKMEIVDIGGGFPGGEHHTPFEQIAALIRSAVGEFFPEPEVRLIAEPGRFFAARPFTLVANVIAHTAVPAEKITKNACDAAATGHMYYINDGVYGSFNCILFDHAHPTGRPLFDIEGEKYPSTIWGPTCDSLDQIEDKKMMRALDVGDWLIYEDMGAYTCAASTTFNGFQRPTPIYEGSGHVSYPTIQDHPSTALISLHYHILWGNHHTVILVLLLLSAFRRFS >PPA09493 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:716696:717483:1 gene:PPA09493 transcript:PPA09493 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTVAAEETRRRGFENYKRKAIRREKALIKEVEHTKDLLDKANKKHSDGLGGLPKKWSSLLPCSLHESARSIEKQPSAQPSIEARAEP >PPA09524 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:964741:965788:1 gene:PPA09524 transcript:PPA09524 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSWRRRMKRRNGTAMDREQTFLFGRNDGSFASSAGGINGYCKATKLCKEDSHLEDSPQQAQIVTMEDLTGNMKTYLKVDVEDQPDIQQAMNRVHVSLDEIRGILHHDREQRRIQFEWQQVTRIIDRLIMFAYIIVTVVFAVYMLATREPEIKLTDDIMDSVKK >PPA09382 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:4470:9319:-1 gene:PPA09382 transcript:PPA09382 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLVLSVILAGVALCDEVKVEPGCRYKGNIYKNDETWTEKDAFKMRCIVEPNGSWRTEVTGCMTPSGLEVPVNSTKEENGMDWICESGAEGSIALKQKRNKMADCAGGHKNGEKWVESSFEFECGEGGVQKFIGCVTPSGEKIPEGEIKEISGNKLECKMHANGTVALGALPKEKNAECAGKDGEKHPHGSTWVENVMFEYKCEDFGLKTIKGCIVKEQGLQIPINETREAGNTVFHCEMKDGSYRFYTSNKDGRCQPFFFLGCGGNDNNFIDKRSCEESCLSSSSPSKPSPQGAQSSNMRIVATCSLSTSAVLQDKATACRSPSDCAAGHACSNGSCCPTKEHICSLTPDNGNEATEFVHRGRYAWIPSLKNCIRFSYFGVNGNANNFPSYKECVAFCGAQ >PPA09434 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:294545:296703:-1 gene:PPA09434 transcript:PPA09434 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSSGHHFVLATASAGIAVVLFLSLVSLLSVVSDVNNMQQETMAEMHDFKGLADSMWKSLTKDSMFILGVRATRGSGYASGGGGGGGGYAGGGGGGGGYAMAAPMCNCAAKAAGCPKGPPGPPGEVGEAGMPGQPGAPGAPGMSGMAAAYPMMMECVKCPPGAPGPAGPDGGPGPAGPPGPDGGPGEAGGYPMPGPPGPAGDPGPDGNPGGPGAPGNPGAGGMMMMANPGPPGPAGPPGPAGPAGSGGYAAGPGPDGPPGPPGPPGNPGGPGSPGSPGAPGADGQPGSDGGYCPCPARSMSGYASGGGGGGGGGGYSGGGGSSGGGGGGGGGGGYSGGGGGGASPPAPAPPAGGGGYRKRTVGSAAIAH >PPA09464 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:528197:529480:1 gene:PPA09464 transcript:PPA09464 gene_biotype:protein_coding transcript_biotype:protein_coding MTKESLKDTARKQGCKYDWTVSAIVDAAAAAAAAGGKTMGCNDDAVWDLINSRIQTDIDRREAVWQAGLLPQDVERAIDEQGMAIRVQRAFKSMRYGRRTTPDARANRCKINAAQVDVTKLVARLSFAAGDCPNGAKRSNRSTNRDEEEEEWHDEDTHGAFNPADPKCKCANS >PPA09507 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:827788:830893:1 gene:PPA09507 transcript:PPA09507 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTRSISQRLTRRRRRNNTENAPVAPPAQDALALASSTVVVPQNKPLAFDSLPIHTMFSPKSVSAALATHFGNALVSPAPSPLTDEERRMFSRLKILLTEKEQGLIESEEEDEEALVQESGDSDSDYDAEEDEKPEQRSRKPWTKELCDKMLEFYRSKDLEDGRRGASQSFKRMQNRFRAHMKTEYDLTLLRKYEKTGVIPSERYSAMRQLASDVRAKLGEKMKKGVPIHDTDIRKIALDLNKLNAASGNFKGLVPKGHKVTILNIPKGGTSLAQPLDLCYNQQWKCVMRRLNDAILVHDIDFVLHTRDNLLRCISQVYWAFGAPMFKEYRKYGWYRGGFLTTHPAPFVTPPKYMFGEGSEADCPCSEPGLIRCPYCKKAHCFSCFVINSHRCA >PPA09523 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:956969:963589:1 gene:PPA09523 transcript:PPA09523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acr-18 MALPRSLLLLLLTAGVRAAYTRSVPAAPTILPVFVPSTMQTPPSSTSRSIPSKQTAMRNLYEHLFHDYQRELRPVLHENITLPVKLKFWLKQILKVDERDQILNVYCWLELYWTDETLKWDPAEFGGLTRVHVPATKIWKPDILVYNNANMNVAENEIETNAIIQNTGEVMLFRSMITDITCTLSLEQFPFDQQVCYLIFASWSMDGSKIELSATPNTDNLELYIRNTEWTLTDFKVKNYIKVYDCCPHPFPDITYFMVLRRSPSYYIFSLVIPSAFITVVTIVGFFTPHSTTGDNTEKVSLGVTALLSMAIIMMMVSDEVPATSEVIPLIGKYYIGLIFLIFIAAFTTTLTLSYQMRGNAGKRASLIFDL >PPA09418 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:215834:216694:-1 gene:PPA09418 transcript:PPA09418 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVDSLVSRGPQKSSATRIRSFPHGKHTETIPSASTECESESSLISREQGPLPRSLPRLAHGCYVRPSGITLPPPPILLRWSQVLKIVRSHNVEEVTIAVERFQANNIGKFLRSVTQTANKRWSMKYSDDLGLSGKGKRENGVRMDQ >PPA09456 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:474268:475193:-1 gene:PPA09456 transcript:PPA09456 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRRHSRAPLHLAGGETAVEEAPIEVTEERVHVGGRIDQLVRRINQAAHRVKSAARRLVDYRPPSPKLSSSSVRSSISAVRRLGRRPRSGRHERLQYTSLVEDDHLADACWD >PPA09405 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:134801:137034:-1 gene:PPA09405 transcript:PPA09405 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVDMIHFKLAHNGEIRRFILPHLPHLERRMFERLHVKVRSIVGSKDFKLMWKTGLPDGDIPLETVGDLSAALDYAIAMADCKPSTPPCVHLEVIVASSAAVGNKQQTEVKTAADLPECGSDDEDIGPYCTIQAPQPALDSSSERPLPPPRPSKSVCGVGIPVLLEKSGNAILSEKSSDDGQSVHERQSVISYRRTTHRHQKQKHRKTGAGLSSLQRNRCDSLQLSPSADIQQTIGALTEPIPLEVENIQLKKELKKVKKELDEYRMKTVEMKLTEVERRLKELEMKDEDYEKRDTKKMSELNFRMDDMVERIEPTSLFT >PPA09454 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:466208:467250:-1 gene:PPA09454 transcript:PPA09454 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGHVKVLSCGDVQGKFVQLVKRVKAINEKSGPFEMLLCVGEFFGPNEEENERVTSGHIEFPIPTYILVRAEEGRLKQKWIEFQD >PPA09467 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:547966:549728:-1 gene:PPA09467 transcript:PPA09467 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNLLGLSRDVLNKILSCVENGSLLQLREVSKPMKEIADVLVVTKQTVIKFLNVSEGAFDYILTARELKEFLAHLKPLVIRTTFCQLPSWISFRDLRPGTIATCAIFFDGYEVPSVEILPRDPENIEVSQWLNLMRRCNIVKVFLCRIQHTPDIAREISIRMDVADEDRQIPLPISLCQTILSRRCDSLYISRPKFAYSLAHLERMIQFLHHTGRKKKFDSWVNINIQKHMKITIGEYLLTVRQSNKRSEFVLSHSGLKEAEILD >PPA09437 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:340917:343873:-1 gene:PPA09437 transcript:PPA09437 gene_biotype:protein_coding transcript_biotype:protein_coding MATWEDYIRSQEDVDGVRFTWNVWPHSRLDAQRLVVPVAAFFTPLKERPADQPQQPPLEYDPVLCSKQNCKAVLNALCFVDYRAKAWVCIMCNQRNTFPPHYSAISEENRPPELYPQFTTIEYTLKKATTMPPIFVFVVDTCMAADELKALKESIQTALSLLPANALVGLITYGRMVQLHELSTNGISRSYVFKCSVL >PPA09545 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1128694:1135118:-1 gene:PPA09545 transcript:PPA09545 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSVRRRPAKRDGSTPDASSRTSRRTSGSTSVDSKGEKAVKEKKSKETSTMRGEIARGILHIVFILAAILSIICCFEYAMLHYIEWELPKVQQPKLMSYYGASFYTSRTPRRNMQDHIQSQAGHLHRRFLGLDVPIKYVDWAALHTLMLAAQGFICNSMLRPDMFPTRRKNQMFYLNARHVISDIDKEGIKKEYFNIFHDASKRSYILSSLNRWDKSFTIPLTNTKGMPRDNQLFFIPWEIRDQEQKYKDDFTYVDLNMDDINDCVQPKANTDVGRRDWISDMRWAAPETKQGSNKNKWSRETTQHTERFRVDTVPGFTGELVKKIVEMSMVMVDQELAHSGRGEHPFTDDMMLMIYRHVLLHAIRNPFQLIFNTKEWRKHVANFVPESPLTFHDWDQMPKLEGAGASISFLFGAKSTSATKIPETLAVTISEQKAKFGNYSDKTKKKNFDLNVPIRISSGLTTVEIVTSAQGERAFHANIITALRVMLRLRKYKGATNPDFNDVLHIPMAFYSLEEKKMVFDRYSIQTALRDALCKKIEQCATPYDQHSIDWQTRFNGTTAIQFHGTKRVINSRVETMQNKDNYAVGY >PPA09535 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1029916:1032947:-1 gene:PPA09535 transcript:PPA09535 gene_biotype:protein_coding transcript_biotype:protein_coding MKESTIDSVIPRSCRPTQLQLTSIKTARARPESRRVKDIAQRREGRRRHENPPSSCRNRRVVATAQRSNISLTGKRGPNSLTPSSGKGAPSYTAKNSRRRGPQLTSDSRPRRRSLLERASNMAKRTREKKKSDKKAKENQRKKMLDRKRLRLLEDTVATVPPIPVTDSDEESEDSEAMRHRMTFPADPYNQRVPSYTAPDGRVLTPKEQIAIRREFRKAVEYPTMEDIKSMWDSIENKDEGGGGGGRGRGRRRSGTSSSKKQPYCLLLRSVVRISDITECSNKNISIAYRTPPYHPLQKGPVPRSSETPTDTQKTSEAEPRGALQPIAAGGGVTAAAAAGAGGLSNRPSKTDIGADSYGEETT >PPA09502 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:807184:809369:1 gene:PPA09502 transcript:PPA09502 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLFLLPIVLAASPHAPDERSTVSISQRRTSVAVDSNELIDEGSSAEFEQPRSRKRARSVATAVDSSKEDAKMFSTNLHGSRTRFLKNKLLDNLNKYFGEESGALEILSSEDPAPEGSWNSAPAPQPAQPQQQQWGLPPAANPWMQPQQHPQQPFPQQQQPIQQQLPMQPPHAVVPPVGNPWLAWTTPAPFQQQQPFVPPPQQPPGIHPTLIPAPPPLDTRQQRSGPQQPLEWKAETKSRLVKQSSWEQSADRTITK >PPA09421 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:221534:222254:1 gene:PPA09421 transcript:PPA09421 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMHQSFGYGHFVDNSDDNSPSDATELAITTLLLCIIRFRNPVLPATNFGKQPTSSTRIYAHRDPRQQKNLKKKTEIMSRKETTNEQFPLLAQSAENTPTTSSVDRPGGSVPQSCKFCQNEEHDSVRCPTYYAPTAREKRIVELNLLMASNVNGKWLVGRGAKRELCLISP >PPA09558 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1209849:1214332:1 gene:PPA09558 transcript:PPA09558 gene_biotype:protein_coding transcript_biotype:protein_coding MATYLVAFSSQPAATEDATVPASPDTVFLLRATEPPTVTASRFASLRVLKPIAMVKRRVEQDESSEDSPNSLDGADYTDRGWTTNGGSDWRSFSWAFGLGPSTSSVGRRRRRGRRGVRGGSTPAGGSGVEEESDIMKELLEIVLWNGEKEIDITEELTDEEDSDDEEMACIKRLTMAREQTIRPRASAAGTSAAKKEGGEAKEKGAKVSSGPLSVSNLRFDSLLKIGPKTEQQVLSLGFRDGDCLNDHANHWSSDSHVELFRSPEWQSIQRMTFDQIRNRITTLLSQKKEHQRKEHGNRQRRYVKLIDDFERDLAEEGMSLDDIEEEVDLERPLDEDDLIITSDEIYDLVHSNMEFFDNPSEPVFSDFGEFEQ >PPA09538 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1062371:1068442:-1 gene:PPA09538 transcript:PPA09538 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIEGARPYDALVLDLEGTICTISFVRDVLFPYASKRTEAFLRKNLHEPHIKEVIARIRADSAEEIAKGDRDVSYKYNTAISPDAMSKVRSIGSNDEAAIGDIAKNVKYWTKKDLKNGVRSELNWLIRIGFVYPMKPQSYKFPIQIPGMKELQGHIWKEGYASGDLTAELFPDVMPVLKQLLVPIYTYSSGSREAQEQFLRHTKEGDAALYIRYKFSLADIGHKREHDSYVKLADAIGKPAQNILFVTDIEEEAAAARTAGMRALLMIRPGNLDLTQFARDNFECAYNLAAVLTKEDIERCYSLRQTPESDLLRAAIKSYQACIMSRADEGRLIHLAKRRERAKEDIELQKQKLEEERAKTLNNDIDTKFTANYDALEETIKSRTVGLVTLEEMRDQQRNAVHQR >PPA09384 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:15954:16712:1 gene:PPA09384 transcript:PPA09384 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQCLFFVDLSKVETLRAETDQLRDIFFTSATTSSSSQMDDSGLARLIASNHNNNNNGSVGGFSGLGLGSLGAGLGSLGSGALSTTSSLGAPSGLSSLDLSPSSSCVSSSTSPASPSSTILRPVPIHPFSVIARTSVLVAPSF >PPA09395 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:84190:86419:1 gene:PPA09395 transcript:PPA09395 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRTFICADKLRTLGRHLPSMDLYVHNAVENVFDPGMGYEALRERQIEQAAEQKNGRIQYDKRIKARESADRTGHLLTSLLPSLPMDNGIKLRAYRAVGYIAVGFSTVAILSISITMPLVSRYVDSVSRQMYHDLASCQAEARRVWANAHSAQPLRGNRTARASGYDGEDAALATAVNGEGFAFFTDAKCDNCCTAGPAGPDGTPGRPGAPGKDGAPGAPGNPGRPPGKPCDPITPPPCQPCPAGEPGPAGSAGPTGNQGPPGPAGPKGDDGAKGEPGTKGYPGRAGNQGKPGAPGAQGKSAEYGRPIPGPQGQPGKDGPAGPQGRPGAPGQQGTPGPAGERGAKGEAGPNGDDGKQGPAGHDGNPGPNGEKGICPKYCALDGGIFYEDGNRR >PPA09469 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:559911:560555:-1 gene:PPA09469 transcript:PPA09469 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFATRKRIIAEVDDIVVGGTEAEAGEDLTKNSWDTITNVTNDKEGNDEMNEEEETILVNRQDDEEIMMCMVQEGFNDVFYH >PPA09515 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:887692:889091:-1 gene:PPA09515 transcript:PPA09515 gene_biotype:protein_coding transcript_biotype:protein_coding MTCAAPANNHTYFAKKEHLFPCLQEDSGIPTEPFLSAFQGLADFVGFMGTAFAPVKSDIAGNVRTRWLKDPIGQDTLQKLIASDLKDNGGKLGIATEGLLWLKRGQEFMLLMLIFMVRDYRKDKASTESLVSVINGAYEGSLKRHHGFISKQVFKVLTLGERSPLAEWPTMADPVVEDQSSSSQDEVLQIKTLTKKQIQVLYRLLILIVDNFGGGRKDLFGCEGGRREGKATFRYSFLKWG >PPA09554 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1188283:1189395:-1 gene:PPA09554 transcript:PPA09554 gene_biotype:protein_coding transcript_biotype:protein_coding MISDGPEVLAYSSLSIRAGFVRKVFGIVAMMLMIVTVECALAMTQKKVADWMESEKGLIVLISSGVLFFVLFAALALTDGLRRSFPINLFIVFAMATTLGYPIAFLCTQYTVDSILLCVVLLCQSCVALSLLACDPNFDLVRSTGIAKMIGSFIILSSFVSTFYMLT >PPA09546 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1135878:1141461:-1 gene:PPA09546 transcript:PPA09546 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVQTMTLENIRRWIDPIFPVPDRVEITDDVGQRPDLYDSNVRPALPVNVNAPAAVIRARRTEVNRTRSPKRSRWNKFTGKRRIVENVPSDNMAELDGENVGNDWIEQTLDHFNPNEDRTFMQQWFFNLNYGTADGPNFLLIGGEGLEDMYWVQNENLPWLRFAKEVGANAFLLEHRYYGKSKLGTNDLQYLSSAQMLYDVATFIRTQQVRNGRTGPWITFGGSYPGMLAAWSREWFPELILGAVASSAPVQQEVDNYEYLEVVEDVVKRQSQKCHDRTADAFEHMRELTMSPEGRKKIQETFKLRPAWTGEEDETIHPLDLNEVFQELYAIFQDAVQYNPVKNQKVESLCYPMEKDFYDEPIDQLRAVQERMSDEGPDVETMSSFEEEIQPYLDGLNHIDGKDPSFSDHDIKEVLYMWQTCNEIGFYQTTDYGKGIFGTPVPINFFVTMCERIFGVGMDHLQKGVARTLYQYGGRKRYNATNVVFTNGDADPWHVLGILERGHLDKSVVPIVIKGTSHCTDMQEPTINDTDSLTEAREMTLENIKKWLGLGQTTEDSADETTQGIIQIPTSHDANEYNNPINLQDYYNSTDNLANEYYCPNNHFLDCPHISSTTGVQQ >PPA09444 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:394813:400041:-1 gene:PPA09444 transcript:PPA09444 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWGEGDPRWIVEERPDATNVNNWHWSEKNATPWSKDRLKELLEGLKFEDGSTSVELTSIKRMEGEATANNRKAKLIFLFEWELEVNFVGRIAGSEEEVEGHVEIPNLSDENDANEVDVNTTISTKSPMEAPIRHLINKKGVDAVRAALAVYIRELKEEFSKGLILPTDKPKAQVITKGKTAVVDKKEFMNNVETAAAPSTSSSSSSLASSGDVAVKTVSCVENFKVPPARLFEVLTQREMVNAWANGSAAQWDFRPQGEFALFGGMVTGRFLKIEEPTSFGFTWRLKSFPVGHFANVVFSIKDKGDSTDLSIDAANVPETLADQTQDGFTRYYLQN >PPA09513 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:865605:869358:1 gene:PPA09513 transcript:PPA09513 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNTFLENPKKIDNSKKIDNLQILSSFLTLFSFWIDHEYYPLMLLVFNSIFQALYGWDMVRTLPSGNGAMPDMIWFYGVNLSLTLTAIVIHILVEVLPVNIPKEIELPEQLRVWAAQIRSIQFFSHKGFSFDPAELYGNGSSLSHEDPSTPSISTLNPSASAILLSGFETTPETGEVLVQMEECLSSEKPCSSSSLVDHNDEAPLVEVQQDGVAVEIEGEEEGGEKKDESILPPATAEEHFALVRRIAFCLFALIYLWELPFAFN >PPA09420 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:220261:220902:1 gene:PPA09420 transcript:PPA09420 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCNDRREIKKIDWDMGHQCTSSSNKNKCEPNVQMNEMIPGQSLSFDPMLVYPTNGSKILFEEMNRRLTMNSSLYDQSFLDTRVAQSWNINNLVVHICKLD >PPA09442 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:364295:365504:-1 gene:PPA09442 transcript:PPA09442 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPYLVVFAVGVAFGSRMPHPPIFTAVESYPSICYLPPEPEKQGDSERARERERSQTVTADSGLCPTTNKDDSEETQDLLTRYYFDMATEQCYPFGVQSCGGNENRFPSKTACLAYCRIEKTGSK >PPA09560 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:1233428:1234656:1 gene:PPA09560 transcript:PPA09560 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGRSGALGVLKAVVSIPAAAFDALMKQNLAGWIAATIQRPNLSRWEVIYLGEIYSLLLENARVYGRGRAGAVVALALAKITVHSVTRRLGAGGVTAADTVAYGHIERAEKCLLREWTASPEAKPEDTIDQ >PPA09415 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:191780:192099:-1 gene:PPA09415 transcript:PPA09415 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRMRTRADHIHLATQFIVGCLAVIFNITLLVAVKFKYARLYLLLFLGGVGHANVH >PPA09465 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:532289:537979:-1 gene:PPA09465 transcript:PPA09465 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPIFKLDFNGTTHRFELPLRSEELFRAVKQKVAEIVGDENPEMCWTDGSTNTPISSPDDLHKAIVFGLKGKPWFFLSPCVTLVVTNPLLRKCERLKEQLVEKEKENSSNGQKLKNYLYEKYLWLRPSQPCATSTPATASSPTTIPGWQQNSMQRHNPGCQTTHSSASTPTTSRQTAQDGAPTPGVNEATYLKKQIEGLVTQMKETTATVVKKMEEMRQLEQENQRLKKQKEELERKVRIHFDSKMNLLESISKLERKIAELEDEKRRMQNLLDEKDAQICQHNEESARKDQEITELQDKMKKATSRIPLPSNRLPVGRPMGVLINETLDMSFMYENGCVTAAHPLYKAIWTSFDAQHTQQQELFAKSTNAEMPEVKRSTPEVTSSTDETSDVARAQVPPLHAGFKNEQFVPDEESTSDEEDDELPCESEDSHDELTSVCDNTASEVADDDAQDEEESELSDLSSLNYHGSDDDDIRPYFSHQKKQHISSEKNSDVAREDSKNEQEECDSVEEDDQDEDLFVADHIRQHLKERIAVAQKEARERKISVKIAESKTIPFWDRMASFEEKMMKIRGAVKRVEERVEQFEDSDEVEKMNEMEGRMDDMEERVERLE >PPA09480 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:605404:605810:1 gene:PPA09480 transcript:PPA09480 gene_biotype:protein_coding transcript_biotype:protein_coding MQDYFRDSAAGLFNEEEDDAQWKAMLSRFAALSLEAKLKITLHFPKLARFLEFELSRK >PPA09473 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:581941:582919:-1 gene:PPA09473 transcript:PPA09473 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTEHRPAPGAAAVISLLILFVGLPHQVVAGPHKRGCHLVGRIVEIDDVDCEFMSVKVNACAGYCASLSFYDPVEKRIRAEGSSCCRMRDSDETATG >PPA09398 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:95073:100225:-1 gene:PPA09398 transcript:PPA09398 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTTGVIAVTIISAVAFFLYKMYGQEEDTFAKARDNKQIYTLEDAMSTKNDKKEKERQQQKKKEEKKKKAAAAAAATSTTSADSKEDKPAAAATAAAAAAAAPTTTQESPVSAPAPKKETAKKEQPKPEEPKKMESKKDSEPVAVKKEEAAPLHTAAPEPKKSAPQSNGEKKANKKAAQNKQIEEIENEEEFVEAKTKKAKKIEKKEAAPAAAAGESTAPRHLSLKDLDTNKVVARLSSIDELELEYLEYLNNFFRDTHEKNVKALTDNVRAERLANESARALATVKAEKAKLEAEMESNKDQKRMTNEVVQKMAASGSEAQEAKSQLASKIAELDKLARELDTAKKTASSVVIPIIPDNKEEVEKLKADAKSAASRIADLEETLKNAKETAAKERKQFEQSVLLQKTREDAAVGQEVLLAESRQNAKAISQRLTELEQVKETLEARAADAAKQVEQLMEEAKKN >PPA09460 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:503521:505298:1 gene:PPA09460 transcript:PPA09460 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNAGGAEDEMAASRRLAAAAAAAAARNRGQRECAEAVTMERVEEGGESSDDQRSKIKDLLERSKISLVDDEKDVVGTESCTASGAGCL >PPA09562 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1240691:1243873:1 gene:PPA09562 transcript:PPA09562 gene_biotype:protein_coding transcript_biotype:protein_coding MELRFPIYAYNYDRDEKWRSEEVRILRKMLDKSMARGDDFSRKCDACREDALEQWPVAVECGHIRADIGSSHSSFAALSTRDETELPVPYCELYWTCNKSTKFARLYEDGNGSRECGICCTEQPYRRAFFVCGHIICVACAVHSEPAWYMGRRLAEYSCPTCRSHSWKLSILREEMIKPNPSRRLAKCYWQRNQQHQYTSKGTGRYNYYASCAEGVMMGLLYVLSSLEKEDFFRGLEQ >PPA09519 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:915202:917589:1 gene:PPA09519 transcript:PPA09519 gene_biotype:protein_coding transcript_biotype:protein_coding MNADELKIALRVAIDAGYRLIDTAAFYQNEHVIGEILEEYFKAGKLKRSDVFITTKLPFNSMRPAEVEESIKKQLASLRVDYIDLYLIHVPCPMKMDVSDTRKLTTAKFTFENDVDHIDTWSVMEKYYKSGQLKALGVSNFNAKQIQDLYDLAEVKPANLQVELHIYWPQHQLHELCKKLNMTVTAYAPIGSPGRLTFRPDDNWPIGSPMEDLVVVELGKKYAKTPAQILLRHLLQRDISVIPKSTNPDRVKQNINIFDFELSPTDQKKLLDVQKRVRLFEFRFAAGHRYYPFDDVDLSKKGDEADDGI >PPA09413 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:179839:181919:1 gene:PPA09413 transcript:PPA09413 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFQCVSASYSEATRVCHNQVPSTLPMCTVPPFRRFVRTMTGCIGADLGVTYVRKDLSLEHGVDPCVPAALLHADSALWAQRPLASNGESTTLMDPCLDGNGKYESYGIHNGTTFAYDATSNSFMVRYKPDFGMTVTYLCISGFCAEEDPFDSECCAPLPPFTITTLTNPAPAQFNTSFAKVITKFHNQGGFWLVGDDEKSGVGQTFRITSAFCVSGAIARVTIYIESLESEVYVEEEKLPLSSMLSNAGNHLGFLLGMSIVGIIEILILFVQLGTEQCTHKKQ >PPA09429 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:277222:280529:-1 gene:PPA09429 transcript:PPA09429 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVGGGIKRYFTPDFALMKNPDSWAAAVNESCQSLCLGMGGMVTMSSYNKRSNDAFRDALVMVVVMTIASTMAGLEAYAVWGLIMHNYEFADWDSALLRPQGQAFYFADLPEIMQEMQMYKLWQFVFYAMLLCIGISTMFGLLEIPVAALTDQFRWCRQHRATMIFLMCTGGFLLGLVNLTKMGFNIFYQSEILAFSIVSIYLVALELLVMIVYGPRNFYRDIFGALGRSVNRFGQWLSPYGFLIRLVQVFVAPVLTLFAAITFHLLIKKILKLWHTRLTDKHVKFVPTLIYSITFLLWPIVAVGAIKAVVDNVRAKRPWLALVSASHEHPAIRYSLPAPCGNFFSPRAIEVAPMPTPCTPSVEVVAPSSTNRSPILN >PPA09426 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:261263:262582:-1 gene:PPA09426 transcript:PPA09426 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQTDDIPRYEYDHVVKILETVKELFMKEGPLVETGPDIIIVGDLHGSLFDARRVYNQFRVPMERQKYAPAHVVTSFLFLGNYVDRGENSLEVLLLLFTMKLAASFYKQREQADDLFEKFNDIFDHMPLACLIGPIHMYQRG >PPA09564 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:1254375:1256441:1 gene:PPA09564 transcript:PPA09564 gene_biotype:protein_coding transcript_biotype:protein_coding MIDEADEFVYLAVMDYAPATMYTIPHHYYTALQDALVRAAMERYVEVRFMMSKWDHTIPKQYPFMHALDAFNDGMPCRTPFANPCGNGQINARIFEAIHNDNIIPNIGYETIPYSRVQHNKYFVTEKSTYIGTSNWLADYWISMGGIGMGIRSAEEGQEEPLVTKMKHIFLRDWNSKYATPITHIHKQ >PPA09566 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1259480:1263795:-1 gene:PPA09566 transcript:PPA09566 gene_biotype:protein_coding transcript_biotype:protein_coding MAANMLLVTLLPTLVTFLVTSGVWVGVFFIVGLNQPSATNNYYYGQNTSQTTLPPPIPTYPPLETCPAAGKYSECSVDCEFQVCESVPDDLYPGVDRGTWTTTKCWLKLIESAKEEIVIGSYYWTLLLKETDDCTDKDLCDKYSVDGQQIFDGLIDAAKRGISIRIAMNDGGDDGYTMDEPLAIRDINPEKVRVRALNFPRFLGAGILHTKAHLRARQFQNPRVKRLAPYELKYGNYAKNNKKKIQLQKYQIA >PPA09537 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:1036941:1037717:1 gene:PPA09537 transcript:PPA09537 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQLDTSIEILEEEGTVASSSAKSSSSKTPIIVISEEPTTEVITLRGNALYARLAVLMGSAVSKEDALSEMKEMSRQLRLS >PPA09526 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:986793:988145:-1 gene:PPA09526 transcript:PPA09526 gene_biotype:protein_coding transcript_biotype:protein_coding MRELNALPGAYPHALRLLNEEIDRVWCVLYPGGVATGSGPAPSSTVPPQSAPLPPLIPPQSTVPASLISPSALRDTYTPTSPRTISAHENILAIQRAYAALVGSHFDPFEGGVTVQEKIPIPYYPRCNFIGRILGPRGISVKQIESETECNILVRGRGSVKDPVREARLLNHPGWEHLTEPLHVLIKATDVSQALAEVKLHRGVSAVSKLLTPSNDAHKRRQLVQLAIINGTYRCNNEA >PPA09489 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:671751:679567:1 gene:PPA09489 transcript:PPA09489 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSIPSVALNTGARLPLFGLGTWTAMNADELKIALRVALDEGYRLIDTAAIYQNEHVIGEILEEYFTAGKLKREDVFVTSKLPFFAMQPDEAEESIKKQLAALRLDYLDLYLIHCPCAMKNKADGTAGFDHQNIEHIDTWRVLEKYYKSGQLKAIGVSNFNGNQIQALYDQAEIKPSNLQVELHIYWPQHELHELCKKLNMTVTAYAPIGSPGRLTFKPNDDWPIGSPMEDAVVVELAKKYGKTPAQILIRHLLQRGISVIPKSTNPERVKQNINIFDFELSKDDQQKLLDVPTRVRLFEFRFCAGNKHFPFEDVDLTKKSDEKEDISTISILTRTAS >PPA09462 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:509459:510679:1 gene:PPA09462 transcript:PPA09462 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLSEYTIFAGDSLFFIPWEIRDKEEMYKEDFTYVDLNIDDINDARSNKNRWSRETTQHPERFRVDTVPGFSGELVKKIVEMSMVMIDQELGHSARGQHPFTDDMMLMIYRHVLLHAIRNPFQLIVILLARLSVGHTFTQTKNNYAVGYQEDS >PPA09388 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:33404:46485:-1 gene:PPA09388 transcript:PPA09388 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFSIDRKEKRERDGRESLQNRLSNPEVAEAGNCTDADATKNCIDGLIVPIWRPFLDLSSNDRLLRGVIYFIVIAYCFIGVSIVADRFMSGIEVITSQERTITVKRPGLEPMQVKVRVWNDTVSNLTLMALGSSAPEILLSIIEILARKFEAGDLGPNTIVGSAAFNLFMITAICVLVIPKGEVRRQKHLDVFFVTASWSIFAYIWMYLILAVISPGVIDLTMCLLNVWEGVVTFLFFPLTVLTAFIADIKIIQNKFLPKRYRRSSHGLIATEGEEMKMLESNGVHLGAGNQNDREINVVQQEHGDPAIKAFEDHRQEFIELMREIRKKNPHIAPADLQKQAEYEMISRGPKSRAFYRVQATRRLIGGGDIVKKRLDKQHDKALDALLQAQEKQTREHSCRIFFDPAHYTVLENVGTFDVVVGRDGGPEGLTIMVDYYTEDGTAESGSDYVPVKGTLTFYPEDKHQKISIEIVDDDVFEEDEHFYLHLKNLRVRTKDGLVLDPTRIGGLPVAQLEMPATATIMVLDDDHAGVFSFEHDHYEVVESCGYLSLKVQRHSGARGKVIIPYRTIEGSASSGKHFEAKEGELVFEDNQTEAFIELGIVDTEQYERSDSFQIEIDPPIWAKKMNDLAKVQERFRRRMERRSVSQQPPGEPETPGRSQSSGDLLTVDDESTMITPRELAPNINERFRRRMSSWIAGMNKQPSPGTNHVSRVVPAQVHEANPIVRPEPCCSVHDASAHRLNFSTHPSPFFANHREEELLHGKPLTDNQLQVAELGKPRIGDHSKCMITIRESKEFQARDFPPSSLIFPLHNVIDRMIKNANTKLMLGTSSWREQFKEALTVNAGDDDDDDEEGEEGEEGSEKIEEPPSCFDYFMHIITVPWKLLFATIPPTDYWGGWACFVVSIAMIGVLTALVGDLASQFGCWVGLKDSVTAISFVALGTSVPDTFASKVSAVQDKYADNSIGNVTGSNAVNVFLGIGIAWSMAAIYHMMNGNVFRVDPGNLGFSVMIFCCEAAICIFVIVLRRHKKIGGELGGPMGFRYATSMFFCSLWFIYLLLSALEAYCIIPGF >PPA09436 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:333620:334059:1 gene:PPA09436 transcript:PPA09436 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLPSLFILLCLLLAALLPAAAGYSAYDRSTVDEPENLMRILQRVMRRRAIPESYEFRMRPIEMSGLEATLAQ >PPA09509 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:842479:850856:1 gene:PPA09509 transcript:PPA09509 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRDYRYAINMGGRRVRSFVNSFARPRGPLLAPPLPPTPVLPRPTVTSDGTRSEKVASKVSGVKKRVERLHDNYRLRQYRLMEGIENGCYEEWEWQMIMEEPYAGPSSFVGETITEADEGVGPLLLPELADLVPTCSPAASPNPQYQNVQAAPTHPTAGPTPIAAWGAPSAAANGVQQLPGSLYQRPANAVSTGFTPADETNVNALWSAHSSPPSSSRSKADEWLASTLQSMNVGGSGPGTPLGPLSPRLGGASASSSTEGASSSSAPPPMHPPPPLPPAAVLASPDRPVGERLFERQENIAPVQWATNGVQQQQSAGKSAFPTVFSPDQPFAPSGFPAAAAAAAVQPVQQVPAVDPFDVQWSRLALNGA >PPA09486 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:653505:654251:1 gene:PPA09486 transcript:PPA09486 gene_biotype:protein_coding transcript_biotype:protein_coding MRMIGWEVAVRKGWAKKHHYNVYYYLIPANNRPPSWPQYDQWMHCHFGNHAKEPRVCVRCVGDARHSADYNSREELIRHMLQALARKS >PPA09471 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:567872:569180:-1 gene:PPA09471 transcript:PPA09471 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSKRVLDDQLYDGAVLERPPLVHAPPPPAGPPPVTIPVEVVGLSADQTIAYIILPTAYYEGRALEAPMRVEPLQGQILHVQQPVPSNLLQQQQSCLSATPPSEGSGHSASLMPFTFRSKAFIATRRKHTLPHVCFKPGPQGALRFGHRRNRPEPAVLQLIHSMGMATTLFNPNINNLRIRDLSWNNVLIDCGGERDVGFC >PPA09403 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:125037:126874:-1 gene:PPA09403 transcript:PPA09403 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-aps-1 MMQFMLLFSRQGKLRLQKWYTAYQDKQKKKICRELITTILSRKPKMCAFLEYKDLKIVYKRYASLYFCCAVEEGDNELICLETIHRYVELLDKYFGSVCELDIIFNFEKAYFILDEFLLAGEVQETSKKQVLKAIAAQDLLQEEETPQGFFEDHGLG >PPA09539 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1073159:1087543:1 gene:PPA09539 transcript:PPA09539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hum-2 MMADGGMTLGRGHSTPIDNYKRGARVWHRHAELVWIAGELQTDVTFSTTSIRILLDDGETVEYPLKSPDQLPFLRNPEILIGADDLTTLSYLHEPAVLHNVAFRFVEKEAVYTYCGIVLVAVNPYADCRDLYGDEMIQVYRGVGKQVRGLDPHIYAVAEEAFYDLAEYGKNQSVIVSGESGAGKTVSAKYVMRYFASVAGSRKGGPGIEQRVLASNPIMEAIGNAKTIRNDNSSRFGKYIQLNFGDRYAISGAEMRTYLLEKSRLVFQASNERNYHIFYQMCAAREDPLLKGMKLGSADAYHYTAQGRASLLEGVDDGEEFRATVQALTEVGFSADAQRDLLKVLAGVLTLGNVQFSAGGGDDATAVQRDSSGAVRQLCEELYGIDEADLRLWLTNREIRAVTEVVRKPLNREEASRNRDALAKMIYAHTFNWVVEKVNLALRGTFSSSSSSPSKGAPKPQRFIGVLDIYGFETFEVNSFEQFCINYANEKLQQQFNQHVFKLEQAEYEREEIAWVRIDFYDNQPCIDLIEARPGLINYLDEQCKVVKGSDPGWLDQITNCPTLKKSAQLQLPKIRSASFMIKHFAADVSYTVDGFLEKNKDTVNEQLMAVVAATKFPLLREILEPSVGDLAQAAAAGGKKMKKTVASQFRDSLKDLMTVLESTRPHYVRCIKPNDEKLRFTFDPKRAIQQLRACGVLETDVNVNNINSSGEGEMHKSDHLYTCCEF >PPA09393 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:75192:76199:-1 gene:PPA09393 transcript:PPA09393 gene_biotype:protein_coding transcript_biotype:protein_coding MRTISARRFDFRVFYRRNYTELNLPSIVFIATQGATLTIKDKATHQWDRDENLNMTDRTPVNETAGILRSFRFSIALKPGDVNLAGIMASDSCKPSCLISKIKDHCLKTISQGDRGYHDRFAYYKTFMDHGY >PPA09498 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:762711:771027:-1 gene:PPA09498 transcript:PPA09498 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGESKENLSTVEEEEQSTVDEEQEEERDAKSARRWRGAAAERPPLPAAAATARTAGTGGRIPASSGGXXXXTDASATSLSDGRRRGPAQPAAQATASASRLARVAARNAAAAVAAAATPLVPTFALPVLAQPPIAPATLPAALLSPAARLGGLFAAAAAAAPPSSPTTTSSPPTATPAPESPTAAAVAAAASRSNPPVVHASLPVVTNTSSVESLPDWFSMLAGESKENLSTVEEEEQSTVDEEQEEERDAKSAGDGEVQQPNGHHYQQQQQQHVQQAPCLLELLKIIISISSLHKFVSIEKDAKEGMFRVETVSGFDL >PPA09397 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:91914:93631:-1 gene:PPA09397 transcript:PPA09397 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIMLVREASHLADTNSLKTMIEELNKEVHRFEEAKKEQAEVVAKLAAKEAELAKKSAALEEAQKEISAIKKGSESSNSAADAQIASLTKRVSELEQSLADAEKRAAEIVIPVAEPAKKEADVEEIVELKKSVFLNAAPPVAVDNSAELAALKEENAELKREQERLHEKNTVNHVKPIDSIDNSSLFSRESPKGVLNRGLFLRYLIEPATGDMRV >PPA09430 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:282766:284831:-1 gene:PPA09430 transcript:PPA09430 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQQPPPSSTPLRSEPLRTESVGTKPPVRQSTERIGTDEDIDYEEDAHWLPDHDDPKRPEFDNKSKYYLTLIGFALGNGNFWRIPSGNFLLQYYLCMVVFGLPTLYLELTIGQMTQTGVQRAFGMYAPILQGVGWAMCLLSFARAVNYNLLNTYSLHYMIDSLFGVSMYTSCEHEWNTKFCISHRTSQRKCGNYTKASAQQHYWYQGTCHHSSAFNNSQVNKMRFASKEYFE >PPA09534 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:1022516:1022867:1 gene:PPA09534 transcript:PPA09534 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVDIGGGFPGGEHHTPFEQIAALIRSAVGEFFPEPEVRLTLYAPLVSPPTTTCGRR >PPA09550 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:1167674:1171306:1 gene:PPA09550 transcript:PPA09550 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQSLADRTTQLRAEAVALSSSDRLGSLVSKTYDLLGQLQRKELGAEDLEFGLQSFEAERELVMKHDRIPATPLRSVVYGLVDTLYGTVEKMRTEGRIKVKEDDTSRDESKSTSSGMKGAEGECNKKKEPVQPSPVSAAAAAAAAAIAAPPPPVDNAALVVAAAAPSVATAIPAATAATEKKIKASLPKEESIDAALVSFKEEDIWGGEMGINDGELPNNDAMPALNGMGEEVDLENEPVDGTMQDNQIMEEDRERDSFDTVEIDRKRRSSRNVARYVSPSINSEPSAKKVKGESSTTAKATPQCLLCELGIYLLCACGAKYRHYIPDCQHTDECTRFGYTVHKLTDQ >PPA09476 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:594974:596072:-1 gene:PPA09476 transcript:PPA09476 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTIKRWLALNDEGKAQLRNYFPTLAKFLDNEKLQKQLCGFSCVVADTNKENKEAREAKKGTTEEPATQADAPIVE >PPA09381 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:3183:4036:1 gene:PPA09381 transcript:PPA09381 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCVGATSAQEQKGSMGAGVVEVCSLTTDAKISDEAKKCSTNKECDSKWACTRGYCCPSKDYICHLPANQGTQLNGQVSKAQKFVWLKGINNCLPFSYFGVDGNFNNFATYDSCIAACKP >PPA09433 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:293270:294352:1 gene:PPA09433 transcript:PPA09433 gene_biotype:protein_coding transcript_biotype:protein_coding MLARFVVFVLLAAAAAAAIECETSKLCNNSEECGKDGYCLGAFVGKCNCNACMTFWTCQNDAACGGLRGACDLKTNRCKCWEALESLGYPFLRASTELCNSKQCFGQESNCFGLPCNVGRCVCKAKN >PPA09409 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:161882:163590:-1 gene:PPA09409 transcript:PPA09409 gene_biotype:protein_coding transcript_biotype:protein_coding MCHTRMIAEMHARGDPPHPLLMDVDAPSTFPAACKTMHIANKILLASLLEFGKAAFPDFTKLQAHEQWSIVSEFFYRFRAFEGCCRANECFPDHPTRFLPSFTSFLSPEVYAHFYDKLPQNADLEGAVSYFKNSTNSIKEVPMARECIARLKPAHDEFFAVIGLMFWCIEALPHRQHLSDLAEKYRKQIMTELHVYYKEKLKMDDYAPRLGELLMFIQVFDVKERFQEHFENLRLLNILDDDNFIYRLQKE >PPA09445 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:401766:403042:1 gene:PPA09445 transcript:PPA09445 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRDHAGLEIRRGDVSHFQTALYTISVVAIVVSLAAIALGIVLTLHSDWHEFLPLYKQHLAYLRRANPQEYWLIYKCVAITWTVAHFVHGITVGMTIAGAVSTRPRMLVPQFIVLILLVGVYIFSFAALLILTFTRPRHLFFSISFIIIFAFFACANLIVLVVFFRFLSDKAEALREILANTKSVHFKESTNS >PPA09428 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:271263:273342:-1 gene:PPA09428 transcript:PPA09428 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKAKKAESTITRKQKPKEKEDEKKVKVPEWSDLVKLGVTKDMAPPLPVGVNSYAKASGSVIRKALKSLEPLKWVDKSEDGKGRVLSKQGRKDLDRIASGSVIRKALKSLEPPQVGRQERGWQGPGGKDLDRIASESVIRKALKSLEPLKWVDKSEDGRGRVLSKQGRKDLDRIAADLRSTVAPTGQCKS >PPA09501 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:777369:782905:-1 gene:PPA09501 transcript:PPA09501 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGWRETCSQSPRADRRRERSVGAGGEERRRRRPKSLCIQECLRWRDLNGEGERVEAAAEAEVMHHDPDSGVVATLSWISLSGGRSAMVRVLHNARSQQFRVTAHLENQCVLDTRLYFKMHYKCATPTFHQWRDEHKHVYGLNFAPPNADQTHGENAPSLHTCFNWFTRFKRGDYNLEHQPHPGRPSSRVRGRVLRELKAKRPMTIHIPKTTVARILHDAGKTPKLPQVIPHDLTTAQLKKRVDVCQGLLHRRSNFNWISHIVAMDEKWITYDNPERKLQWVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVRVPPKLLSEGKILMLMDNARPHHAKITQKKMDELEMEWLPHPPYSPDLSPCDYHCFRSLSNFCRGKKFKNRDALVKEFEAWINSKPQAFWKRGIETLPDRWRQVWVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVRVPPKLLSEGKILMLMDNARPHHAKITQKKMDELEMEWLPHPPYSPDLSPCDYHCFRSLSNFCRGKKFKNRDALVKEFEAWINSKPQAFWKRGIETLPDRWRQVVTTKGAYIDY >PPA09488 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:665831:669487:1 gene:PPA09488 transcript:PPA09488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EIB8] MRAPRITMPPIYRFMAQSFGDLDEERACTYGCKEATRYTSRIELAQHMEKKHLWTLQSLSLAFFKLIEEEADRQAQTADYAEMYNILSKVRPSQSVPPGDIATQPGTKIVFNAPFEDKYTYHIKVTNSSARRIGWTFKTTNMKRLGVDPAAGVLDPKEAVLISVSCDSFVYGQYIVNDCVTIEWTNTPDGDAKHSGIEFLLGHLGTYSTLTMSSVSFVPPGPIDSSTSWSKNLSCMPPFSLYPVKTEWTTPSASDDAVGFKLAWMGVGNAIGCPVTAEPQFLQLLHTLSASRWREVASLTGKRDRSTPSKPRPPWCSAIECTMIGPHHRMN >PPA09511 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:854693:856694:1 gene:PPA09511 transcript:PPA09511 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRVQWHDVDLPGDLEDLAAGPVAYSTSIDRQGVVMSQGAFSFYVTCQFDFSNWPNDEHRCPIVIADWVYDLSRVNLSESINNVDMKPYLNLHYDPFDNREKKHVADRLGIHVILHYDPFDSREKKHVAGVAIVATRP >PPA09520 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:918111:919620:1 gene:PPA09520 transcript:PPA09520 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIDEDDRNPNDALSALHMENGDEMLVVGRSLLSMLNHLKYGWLSGFVSFGGNLADCHWREGGGGGEPLGTREWGESEGMRRRRNGEKSEK >PPA09412 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:177269:177630:-1 gene:PPA09412 transcript:PPA09412 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISSTGSESLFKFESIGEHNPTKALWTCDMFNDHVQNSHCGNMEEVIREYSIIVVYGAALAAIYMLSFLSHFKVIQYFRKNWNFAR >PPA09406 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:137540:140323:1 gene:PPA09406 transcript:PPA09406 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPLLELPIEIVTTIFEFANDESLLNLAQASKITSRLACPIFLNRNEALDRIEFIEMGGGKISIRFSFGKDNLRSKFAFLKALNNSLMNLIIQLETTSIRVRLGKFYPGYYLGQLATVVDKILISGSVKKDNAVAWNAHDILSRRCCHLDFTNSSLTFESVDLLLLSDMLNEDIGDRPFFFTAMFIHRLEKKVYFTSKIDMDQADRREMQIGNCKVRMNAMIYRGTTIIIIESVDI >PPA09496 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:729155:730767:1 gene:PPA09496 transcript:PPA09496 gene_biotype:protein_coding transcript_biotype:protein_coding MRWDVSDIPAPLPPTLPSPLPLTRPSNEASPFAWRSKWFVIAEHGRFCEDDEMRGGRVDKFTRERDHGGNRRRDDDRRANSVPVLLPFKRWLLQQEDSKTDDDGVAEYAEYKLEHKNSVPLLALLLLPYVKDGSSCLPSATLIGPATDHAGSAGVAMKLPFGGR >PPA09568 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:1268273:1270116:1 gene:PPA09568 transcript:PPA09568 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPRASPAESRETLEQPIAVTNQQLEQQQPSELHCLQAEQRALKEENASLRAVMQQLLRLMQDLAIRVSTVEQQGDLLQDAFIDTNESLRRLRRKVDCIGDDLAVTKNEFDQGRYYM >PPA09401 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:122139:123192:1 gene:PPA09401 transcript:PPA09401 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVLSGALIEELWQRATFVQNPPEEPKCCLGFISIRPGSYASSRVPLSTPVRERKRCSLFTGIDQAVAGDNCVQVAQRQYRRPLARYFFISMYFVSFK >PPA09530 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1000396:1002631:-1 gene:PPA09530 transcript:PPA09530 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKERILHKIEMMKEWILLLLCKYILAELTQRLAFEVGNKPFYFSARTQERCYSQIHSQPNHILETDETISIVFSFSRNNLQGKLFLMSARLAIVTFKCSAETLRKTQLGSQSVS >PPA09516 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:893433:896018:1 gene:PPA09516 transcript:PPA09516 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFLGGTAGPASHSFYEYEYAKADLSSSRLSARSGPASPPHSGMIHTQQPLILPSIFDQIGDWFKGVIDRRKVLAAAVSAGCSVALFFVLTALLQWSPWAPFASVYGAVCLLFSFSMWTAAIVVFVAAFLALALTTLTLAGPDSTRRLCVLRKDAWGAAAVLFLSQAVP >PPA09386 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:24043:27269:-1 gene:PPA09386 transcript:PPA09386 gene_biotype:protein_coding transcript_biotype:protein_coding MDIYTLSCITMQSVAQTGYLYLMMIDRSISPNVFFFSYGAAKISQFVSLIIVSLYTNRTHRYKVPLMLGRVFALCGVCLYLALGIIDHNWRVAAYLTSFVFIGFGEGTAVLCRGYAPKYSSIENRSAALGIMTAAGMGGVLFGPAVNLSFASLKERALSHWGGIIWNIFTLPLLILIGLNITAIILSLFVEEPTFLRRRRKEQGSSSNAGAGFASIRRIINEEAKVVDPSLWRVSMQMKAATAGSFFAVLTGLSQHFDATFNLTKEANIELLSKCQIGAGVVSAVVACSFIFGRLGSRKLFHPTLSLVYSLFAFSGMSLITLSWPWADRIAVRGAMASTGCDPIKYDWCYDTKQIGSFAWIVAGGFLLGISMPMTLIAQDTLFSRVIENSTRRFLFIFNSEKAGKEKPVTCIEQYREI >PPA09385 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:18707:23548:1 gene:PPA09385 transcript:PPA09385 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSPAQTYQFTVLMVVIHTVFLILFGLYVTFDEKYAMPNATDDNTMLNTKYPNYTDTHVMIFVGFGFLMTFLKRYGFSAVSINMLLACVTIEWGILCRGFLTEHFAETGKITLNVEQLLEADFAAAVILISMGVMLGKLSPIQYVIMVLIETPAAIAAEHLVEEVFKINDVGDSIVVHVFGAYFGIACSLAFAKKKQRGHEYDGSIYHTDIFAMIGALFLWVSWPSFNAATAEPAEAHHRAIINTLLSLVGCTITTFLTSQLFEPHKRFNMVHIANSTLAGGVAIGTCANVVLDPILSLALGSFSGVISVLGYIYLTPFLSARLGMHDTCGVHNLHGMPGVLAGLFSAVMAVAYPKERFGPSLSSIYPAMANDGQTESGQALMQLAGLGTVLGCSLIAGAVTGVLLRAPFLNQVRDEEYFADGDYFHTPDDYDFTTRIAERIKHVSVLEDEHKTKMTMA >PPA09484 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:638355:645821:-1 gene:PPA09484 transcript:PPA09484 gene_biotype:protein_coding transcript_biotype:protein_coding MSARVHFKLVHNGTIHKFASTYLDEELFKAVKERVIFIVQEEQFGEMYWNDGESHVVLETAADLNTAIGYANFKRECPTKPPCVQLFVSDPKSVEIRRLWSLLAESETRMMELEHELARNEKEAKYPRTFKSWMHFGEFVDSTLPAEKKKQIDLVVEINELRLKVSKLESQIAQMEKKLQAEVEQRELMEADKKSLTENYATLEMMLDESRTQVGLAEAQYGDLQEEYTLLRNSSEEECSDLVLENEELRAKVQRLEVEAEEKNNTLQKVSQIWTDNGELQKEKQELECNLQHAYVKQKNLLESHCHLERSLVEIKAEVEKLNETITERDKEISDLLLLADETSCEKDKEIADLRELLSLADASSAVKDEELARKERQFTYFMRETGKAERFVEPYTPLVKKKSCEDPIGPQLAVILIELSLPFFVYSNGCVTPEHPAYESLRVEYERETNAIALQKYEKAIREMLSKDIPRTNDDTEKQLLIARQTEVLEKMRPCFVHSVLTKEYNACGMRLFTMGNAKESVENEVDAASEDVVIEPAVAEETQVPSSVVREELVEEQLVPVLEAELTTAVTEEVPVLSPAAPEEKKRSQNAINEEEWMGKVLQQKNEESLATAATAAASAPVADAPQPAEVSTEAVPVALSVQPATIDWAGMKKLDDIFSRMFHSGPSYEVSESDLSSFMDLHKKLARGESPKKSSPVVPVNKEEDEKESEESGEETNDEDIDEEEVEEEQVPSRELSVEKVLPCKAASNDVQESDSDSSDEDETTSEEETDDDEKEKEDTNDEQQSLKRTMMKVLANVVEESEHVTKEADRDTEDEEEKEKQFEKVEEETTKAPGGSSSDEAPLSFPTLVLNAATILSTVQSDEKEAKIEAKVAEQKSVPFWERMASFTMKMEQIGQKMKKMEERVEKIEGWEKENAVEDRLDDIEDRLEMVEERMEDKKDYVFAIL >PPA09478 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:600893:601771:-1 gene:PPA09478 transcript:PPA09478 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFLLLALPLFLVTGAAPLETIAEEKSSELRDLSKEVNEGRKEVEELTYPEKLPEFTLFEGDSSVEELSADDRKTLEELILNPIVTEEHVMNVTVR >PPA09447 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:405870:409170:-1 gene:PPA09447 transcript:PPA09447 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVTRTPARGQPPAWLTTPIRTTRATPSTTRTRGSPVSVENCCICFSSLTAKRSATLRPCSHRYHETCVRTWMETRKDRSGQTCPMCRTRTTAVVDEDGRSAPPAFPYGDSGEPLKEHLLQHDQPENLEWLLRETEEQLRRCDELVKNEHRGNEYTEDIRAESVKLQTRGAKLSRMLQEVERGEWSRPRLVDPTAWLSHDIDVRLAQLRIRHAQLLQQAAAAARLPQHDRIYVHRPPPAAPRVMAAARPVQPDPDDWSQGRPNTRSKIMRFPLQVAEPFRAGRATAASTLRAAEGAAARAAARQASAARAAAVVAARQQPQPVARRPSTVGGPAPQHRFVS >PPA09482 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:623141:628565:1 gene:PPA09482 transcript:PPA09482 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYQEHLCGGCMETFEDLEDRDQHRTEAHPDECRLIRFCFCAFCGKQQHSDLGAYDHILEVHSAHWNEMVARMLKVSRLKEHHFQERWALAGSACIDTSRRATLKSVLKTIDDFWTFPMDKENSGPASISLDQEVHASSSALLIDECNNKKKTHQSPAIRSTASPLIEPSSSEIFDEEEQVPSTSADQPSEETETVQPTATSLPQQMFHADLTSDGVTMPVLIPKEMICFHDNGTPYIDMNRHNQNAGPKKYAFLPEESLRKQQRQSGHAAHQQQQQSPHSPSTREPSPSQPPIPINAGNGASPATSSGSGSVDSSNQPFNHPNASRSSSTNATTLQGLLEASFDQPALNEGMPVSSKPMAVVPTPQSASSPIYDLSHADPHYVFWTGKDTSGRCPRCSYSTAGGPQTKRGHFVKCHYNIYYDKVKRAESELETPATKSHPTEFNAFRTRFEQLWQKTGEFVDRAILEYTIMCKAARIGLIEDIRRRKEAQAMKAATLVRSVVKTTRNLHTSKKRLLVPDPVSGALSQDATKTAVRAFYEDLYSPSVQLPLAIPLDSEDPLPPFLPDETREALKLLKCGHSPGSDGILPDMLYHAREHLAPILADLLNLLVDGDQVPVDMVDAIVSLLHKKGDPANISNFRPISLFTVSLKATTRVVLKRMESSLEEAESHTQTGFRKAYSTVHNLHTNKQLAEKAHEYQIPLYIGLVDFRKAFDCVEWSAVWQSLWIAGIHPKLVHLLRRLYEASRTRVKVNEDLVPVAINRGVRQGDTLSPRLFNTVLRMAMNEIDWENDGIRVDGRNLSHIEYADDIALIAKSRQELEGMLRKLMAACSRAGLEYSMDLRRGPYVQVKKRGSQSHSEKWSEKCYE >PPA09505 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:818947:819384:1 gene:PPA09505 transcript:PPA09505 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAHDLLHPDSEEERQACKQKRLVQHPNSFFMDVKCPGCYKIITMFSHAQTVIVCTKCNTILCQPTGGKAHLTNGCSFRKKQC >PPA09548 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:1147771:1148486:1 gene:PPA09548 transcript:PPA09548 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHLLGLALLVSSTLADSPLYIDELEDLVRGPDRHILDAMDDDDYTPRAELKVKLDEILARQSPSIQKAFEHIVELKETQRLAKNNHWQARADDAGVGNLYEKIKHLQTDMTINELKAKSRARQLWYEMNIRHGSHSSEEGYRFRRSVRH >PPA09451 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:434534:441925:-1 gene:PPA09451 transcript:PPA09451 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLTRSELTVQPPNSSEFPYMDLITDMLKREAAEARAKEPKKSNGEFFFDMSEPTEDTVDRGGRKRRGDRDDDSDVALESSEDLATAIEYAEATRTDPAKPPCVYLSVEVHGSTEPESATVQQEPREETAAPAAAAASETHEPDDEAAAVAPSAAVSSMDKEENTSRKPLTPEQEEVLQEAFTLEPYPTSSETEALARQLCTDTVQIIVWFFIRRINNGWYDQRLTREAMERLLEHPEELEAKHFIETKLLSTEEKLALLKFMGKAFNDMEMTAELMERSKNKLAKPLRSSTSLLSLAQPRVDKSSQHLTLLSSLDHFNCDFMRVDRDDELRQHFYENRKDWAERAEEDHSDDDSLDEYGREITTKQRLERTERNIREIGVEFEEDETKWRVNPRQEFALEERFFYRGRTSAEQREELAADLGLTQDQVHRWFEQRKLKDLREEKERLEILNAANVPYPGSAYLAFREQQVKEQEQTKKCEDDCLASSALENEREVEDGENDDAELVKDKSTAKLTNRRLEKTERQIEDMGAELEEEESKWRFTPRQEFALEERFYYRGYPYAEKREELASELGLTVDQVNMWFEQRNLKENRKMKEDFEKMAAPNRLPKGCEEMFKLLETGMAKRPQEVLSKDMEKEQEEVNSTADQATLQQDKDVENNAMKKEENSIDDRERALKIREKALELKEEAFERRVTEFAVKIEEYEEEMRGLEERVKRIEEENEMNHLEKRMDALEKRLAKAEEGMDEMSSDPYTNWNVGEPSSDSVSKCAYVDVTDKDLPW >PPA09474 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:587220:589211:-1 gene:PPA09474 transcript:PPA09474 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIHLNSTRTAFAPSLFYFIFGVETLMNIISTNRCCFNGASLFLLYKYNLHLIAKTGAQYNGYSVSRTFQLRENVRMLKYLIDIFLPIAVTTSVTFMFYFVYWYLSPEYDHIRFVCIALFEVAVAGEQVILFSLLIRKDPAILKEFTKIGVKDRDPIEDSISLGPYRKQSKVSESEIYFRDLEKAWA >PPA09552 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1177853:1181234:-1 gene:PPA09552 transcript:PPA09552 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQEEAWSSSTADNFYPAQTQYTYAYSNPAMPPSNNQHPQAGGVGYDQHYNSGPPAPPPSAPAYSYDPQQGLGAAPSAPPAADDVEAGKAVYLASIAFSEASVRAAFIRKVFGLVTLMLIIVVAECAVAVFVEPVNTWMKTDTSLYVMGGACVVFLVLYFTIICGGNLRKKFPANIVIAFIMAAALGFLVAQLCAFFTVDSIILCVALLVVSVGTIALFSCQTRFDMRKWVGAYWLNMVWSVLACTIFMIYLAIDIQMIMGGRRHSISPEEYVYAAVQIFIDVVYIFMYLLQIFGVVKS >PPA09391 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:63978:68410:-1 gene:PPA09391 transcript:PPA09391 gene_biotype:protein_coding transcript_biotype:protein_coding MKWLISLALLAGVQSEPNNSSVTCDHGFSIDGACVCDWGWTGTLCNDDIDECLHSPCSSGSTCLNTDGSYECICLEGRTGPLCEAGSCGRDAECLNGGYCEDYECICQPAFTGPNCERKYSDPCLASSDGIHKCKEYEVCLRNRTEMRGFSCVCSPGFGGRFCDTPIDNHYNPASANHIFNNKANGHHTMTDVNITVLVQPEYFVKKVDDFLFSLSQRLKTTVKIRKTAGELDVFVWNSMYGQESRVQFGARNDARAEYSRRRKRSSEEVMMSGVLVVLQVNVIQIDLEECTKRCFKDAHAVARFIAAMEAKEPVNPAMPIHVPLVAKDEEGSEIPVILIIVIVVLIVAFIALGVIIAERHQKDSVTAPIWKVPTAKEAIAREEADKEMRNSGVFGQIDDNKTVQNHNSASTFEIQSPHSNRQPLTPLEEAAIGGDRISFEMRPLASKLDSEGRTSLHLLALNTKKCAVDVISDCNKLISFGMDVNAQDHYGNTALNYACRNARSSFVQRLLEAGADPDITNESYMTALHEAAQHFDDYSIEVLLAHPMYKEKSKLTAVDLKDRTALMLYAANCNHSIRGAELLIKAGTEVNFNGDRNVTYRMGRTALHHAAQFNSINREMIAFLIANNANKDATDDDGATPLFLAVSANNLMAVDELIRAGASLEIADNNDQTPEALAIARGYSTIAKRLDAAKKLTSMYFGSQQRQVKLAKHGNSVTPSSTCTTPSPLGITASGPASLESEHSRLSAYSMGSNSDQFLQQQSPPYNYYDHSGFYSMAQSACIVDASQQFARYNPPACAFQQQ >PPA09432 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:290030:291735:-1 gene:PPA09432 transcript:PPA09432 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCAFAEELYDLYHVQAIALSVASLVLIGYTARHYLRKTIFENITEELIIALYVAIAIYSVFLIISQLSQLFYRYTASTKCDAQVPKFWCIFRFSITVIVCSFIVLHIGITFQHLLSSFRFGTRTQQAAARISIVISFIYPTAVGTIAYGHESLEGRTAYCPGMTTSSAMVLRASLYFNFALHILNILASVLLLKYNHHRLRTELILK >PPA09438 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:344701:346179:1 gene:PPA09438 transcript:PPA09438 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLAAPACAASMACCFGSTACSLCCAACPSSRSSTTTRIMYALMLLVGTFVSCIMLAPGIQHKLADVKQMVL >PPA09389 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:58583:59693:1 gene:PPA09389 transcript:PPA09389 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVNLTQIRVPLNEAVRVRFEDHISNIFYNHLTIRIHWRQKGMSTEGKLPQTGFASTTGEAPTSAEVEQGPGAYPRSTLKADDAFVSSFLVLSNRPDERAPLTFALFRQMMVHPTCVVVVVDCTSITCLLIEFVVEMKQMPLTSKLIFVTIRINLPLYSSRSHESAGSFRLLSRRADRQIQTE >PPA09543 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1118262:1127149:1 gene:PPA09543 transcript:PPA09543 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVKGRSGSSVGSREMDPAEKYYQMTVVDIDDDDDDEEEENGLKKYSETSTEDSIRSIHGQLNHLVLENLSLNEKILRASEELTETRGQLRGYTGGLGFSLDNTSDSEIIRLETLSKESCEHSALLEVFNVPEFARVLVCDLKPRLARLITKCFPAYCLLVAFRYHDHARDEASLTGLFNTVHIMLKDTLTIWINSRDLDVLSLWLVNTWRLFNLLRQYSGEAGGEEWHAQNTERQNAQRMQAFDVEPIRRQLKSRVDDAYQALMKHAIEPVLNNKIVPGILQHESDWMNGGGKKESKEGKDGKALDDLIDLLNFIHAKLSVYGADPVLLGTVFGQISAWICALALNHLMFRKELCNFEKAIQIKHNVTEVQSWLHSKGLGEHRAELEPLVQASHLLQSKKDEANIDTLCGEMTSKLKPRQVVAILQHYAPSDGFEERCLDADFLVKVQKKLNERNEPDPDSLIMMGTYLKPFDSRAFVYSEFQLESLALPSCLHLNQLFTCARPVCL >PPA09522 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:945709:948040:1 gene:PPA09522 transcript:PPA09522 gene_biotype:protein_coding transcript_biotype:protein_coding MASATVNTNPLKDGSSKFNQSTSGKKPKAGVKAGTKAAKNPGSSSRSQKSGRGSGKEAGKKRTTGRTKESRGGSSRDRSKSGSKRKSGSSSSGSQKKGAGSKNSKGGMSRSETIKLSCGPDVYYVSSRHFAACSNLIKKILCMHTPITAPEGKGD >PPA09542 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1096650:1102890:1 gene:PPA09542 transcript:PPA09542 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIIKDEEREAVVKKMELLQLEAEKRAADAARAIADAEERAAEAAAALADAEKDRRALEKALNSGRGMSTIRLILYAYERITAAFWSALDCNFRFVRDWNTVLMSSECNHRALAEAEMGAMREQLLANANLLASPAFSRAGSVRGEVARATMSLGGAAAAGGSPLAEAYAAGGGAAAAAAAAADGSGSIDEITLISRQQQIINELRIRSDQHQRENERLKAILDANALVDSLDKRTSLRAFENQRVQELECAYAKLKAELDRLASERAERGGVEGMDLKLLVDRTMEENERRREESVELRALLSNRFERQSTHGGGPSASPRPDSGHWSATHSEDGSSMSGDLDEELCLERQCRQLKAHIDNTPKTHIEYAFSTAVLIEIH >PPA09567 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1267124:1267853:1 gene:PPA09567 transcript:PPA09567 gene_biotype:protein_coding transcript_biotype:protein_coding MCKGGKRKTMVCKKTAEAKTEDETDKKARDAKEAERIAKFKANLAARSPPTTSRPSVRWTRSPRSPL >PPA09497 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:737192:750853:-1 gene:PPA09497 transcript:PPA09497 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLLLALVGVSTTMGVFRREEMSKFREKNEEKVKQIILEAEAGNSSTSSGSGERSDLAGMKRDPDDSIQKAAVAHQKQRDDEAKRREEAQDKLGDMLEQELKEGVQGTTGTAGTKALLGEMKMGRAPFDYLMFTMIYPTATCMADDDQVPGSCEIPTGTAEWTIHGLWPNFADGSYPQFCDHQKFDEKQVQALHEQMRTKWPNLFPKTPEAQLWSHEWEKHGTCSKSDPLLDSQFKYFNMSLFLLDHVDLRTRMEQKGISPRTAPYERDTLQKTLDELIGHHVQMYCLNDKKTHESLLADIRVCMDAELKPMDCPKADIQPYVRAGTVTPVDHQPLPVPRACSESVYYVAAGTDISKSIDVFPPGKDGETGKKLGTEEKDDYYDDDEDETKKRNKEEDEKAHKLEAELMDALTFKTEQFRSRYCLNMVDRSTDRSGFLQLNLRKHVVASSTHGDSFFADLKLPKDEMSARNWAKVTEMARQFQQSRVRGELRDVEVAAPISQKANLIQYPFIAD >PPA09441 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:362070:363474:1 gene:PPA09441 transcript:PPA09441 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSKMPRYVGPVNPSMYPSLTIGLCGLGALFMAWFFVYEVTSNKYTRSLAKEVVVATLAAAFLGLGSVFLLLWVGIYV >PPA09544 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:1127345:1128069:-1 gene:PPA09544 transcript:PPA09544 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRAELKVKIDEILARQTPEIQKSFERIIEIKEAQRQAKNKADAAGVGNLYEKIKHLQTDMTINELKAKSRARQLWYGSR >PPA09532 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1011167:1015853:1 gene:PPA09532 transcript:PPA09532 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQPDAIHQTAGKNSSPAAPNPQRVVQCKESGVKETELILGNKWMWIGIAAAFLAIIAIGNCILCRRKQGGESKASVKKVEKRNHREKSPKRNKKKKSAKEKRDDESSSNHSESSESKVEAPEKTIERKPLMQKSLHPTVSSDATEMHLDRLKTEVFEVTFTEEDWADRRNMARGPLYVLIKKLVERKQRFLLSYNDVVGLCNRVLSKLKEENCLVEVDSLLPHTVVGDLHGMIDSTAIAYKHFTRDGDMNVFTPTRRFIALGNYLDGPNPVLVLILLFAMKIRCTKAVILLRGNQENRADNAVNKLREELGRMFVQEQADELFERFNEVFDHLPLACLIGGKVLCVHGGISPVLHSLDDIRNIPKPLKNPNTHRLACDLLWADPMLRLQGFIPNSARGRSVYFGEDVLGETLQKLGVMYKGAVDCFGARVITVTSATNYHRENYGGILLVDENCHVVFDAALMPSNKEYDKTDYRKYDHLHNHAVYRGSVGDHVVIPMERREESTREELNETRGYFIIEMPNF >PPA09499 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:772349:773739:-1 gene:PPA09499 transcript:PPA09499 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMSDISAKAVVVEQAVYQEPHAAVGGGGGLHHGMHSAPVMRDDGYRGASLAAAQLAAAQQQQVRRQSQGSSHSGGGGGGIYAQGRRRGCVLEWLWGIELDDMPW >PPA09569 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1271468:1277644:-1 gene:PPA09569 transcript:PPA09569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3EIJ9] MVADSASGLPIPSVARHLENRNSTRKAGGGEGISDLAAPLTDPAPATAPQATAPQATAPQATAPAATVAPHKAQSAPEPADVRATALKAEAQQTFCGVPEMDGGWQLFEPPPRIKECKVSDADRAKLVEHLDNIFDDESLNGTRVAFLPYDVAYLTSLVIPILESEPMLITDVPMGITVVGDLHGQLHDLHRVFAAEERDGKKGWENMKYLFLGDYVDRGRQSLEILMCLFSLKCLYPDRIFLLRGNHEFITTNSKYGFPTELWDRYEEETARFLYSQLNATFCYLSVCAIVGNQYFCAHGGIALSGMTRRHWKGILKPYLQSQDDVVVHDTIWSDPAGGLRGSTFNVERYTSHYYGLDLLAVALHSMECKLLIRAHSMLNSGYDFLGNLCISIFTATGMKIKDQRAGVMGIFKKNNGAIAVIDDNGKVRMSILPIDLERVAWDRKLKRMDANHFEFEMIRAKLSEEMPKFIEIRLMTRKERRSMRSPSMVTIWERDSSRI >PPA09404 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:127335:134048:1 gene:PPA09404 transcript:PPA09404 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGLPLGLLLLLAATGRSADFALTLPAKGAAPGADLVYNKEFMSGILQAFMSPKGVNATRLLEAFNPTPCLQKCMPTLSKFLDSLDTKHSLRDTKDTVALCRQLESIEECAATTHCDSTLLDLATKTYKFACVDKIESLGDSLKCAQDAFSTARVDCETGCIVDRTNHVDPSKLPVDVESLCESSVCLLGCMQKSINAACLSRGNTPSLFNAILTTTSGDDRTLSSVFAGILPMECRDVLKAQANLPEQIAQLAGLGKDSPPMSVGKPRAPATTTSTTTTTATPSSTTTTRPSSTTTPSNAMLWQPEWVEAPIDVDGEARTLQCRVLDWERRPVASPSVDRLARLIAQQLLKQDDMQMRAADDGDADSTGTAEDWAFRRWMSSHDAANYRDLGTIGLKGCVAKH >PPA09506 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:822961:826068:-1 gene:PPA09506 transcript:PPA09506 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sbt-1 MLGTLVLCLISTTWAQLDLADSGISLGDSSDLLGGIAARDSEPLPAGPLKFGTKYMTGGAGEGDQQLTEDGEYKHRAEVKSDNVLPAYCEPPNPCPVGYTAEHGCIEEFENSAEFSREYQAGQHCICDQEHMFNCAKDEASDSADNLQTSPLAGKINESMLEDDEHQAMIAKKFHEKRGGESFIPRKKRSIDPAHHAPNPYLQGEPLRSMQKKDGRKSSWSH >PPA09547 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1141697:1146967:-1 gene:PPA09547 transcript:PPA09547 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDYTPRSELKVKVDLILAKQSPHIQKAFEHIVEMKESQRLAKNKFWQARADEAGVGNLYEKIKHLQTDMTINELKAKSRARQLWYEMNIRHGSHSSEEGYYSRYRRSVLATITDASLPRMFGGRRRGGFTSHLHQTAPNTLVDDPNLGSGWITQKLDHFDENNAKTWKQKYFYNLNKAKEGSNVNFLYLSGEQTAGLSQVQSGAYMEYVTQVGASIYSIEHRFYGASHPIEDISTDNLKYLSSRQAVEDVAEFIRQKNQEKGGEHKWIVVGGSYAGNLAAWSRLKHPELIAGTLASSAPLLSQMDFYGYLQTVDAVFAKEGGLCYKQISKGLEEATKLFQSPQGRDQLTEMFGISPSLSDYEVLTPSEIETFYGFLIDPFMDSAQYDSPPPRELCQQFTANGDDYDPLKAFLTVVPAGMDVNFTASLSALFDVNYQGDYEDRIWLYQTCAEFGYFATTDTGTNVFGQVFSTRTYFEYCTELFGIDADQIKKNVDSTNDYYGERDYYAGTNVVLSHGTQDPWSFLAKTSDPKHWSVVIAEIQDGSHCSDLHDSCLAPSEKCTDNPSLSSFRVVSR >PPA09435 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:299393:306888:-1 gene:PPA09435 transcript:PPA09435 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYMLSFDRALYILQSSGYDYKKALETVSQRKSVREEWSEDDNVMFRQAYALYGKNFARIRQTMPHRSMSSIIHHYYSTKKQQDYKSLVDSKIDRTGFHIEEESSGAILDGGMCENCEERVDRLFLIESTKQCNTCTLYFKLMLKPRPVPKEELPEGRSKHRGLTLDKDYMEIVDDFIALAEHLPDDNGEPLPKKSRFDGIHMSVCVFQSKQTKVHEKMRELDHEMLRCRTRTVRTEQAIKAQRAQLAERANFDRLRQQIDKNDADKGRVRMQYTWTDQEKITAFHCFVRYGRDFEAVAEVVGTKSPDMVKSLYSELKDDIDKIIDDAEEADEKEAESMDREEVLGTKKLPVEDASTQTADVDFAQAQQPIPPKKKANNANQYRHANGQFDKDPNKKAVKKVAARAIQKKKVLAKQPTPPARHPMSLRPRKCENIRLLDSK >PPA09555 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:1190821:1192628:1 gene:PPA09555 transcript:PPA09555 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNALVSKQREYFLSGATSSLKARKENLNKLKQLINENTDELCNAVYRDLRRDPRATVNLEIGNAIVEIDYMLSNLASWMEPTRVQKTHLTALDTPLVYKDPKGVVLIISPWNYPIAMILLPLIPAVAAVLLPLNSALAAS >PPA09491 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:687492:692961:-1 gene:PPA09491 transcript:PPA09491 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIRKLKEGEVMRYSKGFLGGKWKKAHAVLFSDSNLCIFDEKGDRKPKTSVLLKDVIPYICVGLVCDRMPVKRPQLPAGYSVHHLVGIGMDPRADTVHWVLFSSDTDIESWFNEITKTLPKPAEQPAPPPQQQPQGGGYVPPPKYPDAPPPVGFAPPPAYPAQPAQGGYAPAGGYPQQPAAYPRQPSPYGGGGGGGYQGGGAAGPTVVVVDRGGAGGGGYGGGGGTATVATEVVVSASVNKGGCLCSLPFTCSLALSDRRECKGKEERQANKCGSGFGSAIGGFGTGMLLGSLTGYGMGSFFGGHHGYGGLGGGYGGGYGMGGGYGGSSSYYSDNDTTTINNYYNYDNNGGGGGQPAIDNSSHAVEPAGDGINYGDYGMH >PPA09419 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:217251:219685:1 gene:PPA09419 transcript:PPA09419 gene_biotype:protein_coding transcript_biotype:protein_coding MRYPDSLLKWWFSSNPTVSLFRAYAALVGSHFDPFEGGVTVQEKIPIPYYPRCNFIGRILGPRGISVKQIESETECNILVRGRGSVKDPVREARLLNHPGWEHLTEPLHVLIKATDVSQALAEVKLHRGVSAVSKLLTPSNDEHKRRQLVQLAIINGTYRCDNEA >PPA09457 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:480800:487280:1 gene:PPA09457 transcript:PPA09457 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCAGLCCYEVRTGLCQIKLSTPLLKLRPRSDLVETLLHEMIHAFLFVSVRDRDRDGHGPQFQWHMHRINAEAGTRITIYHSFHAEVAVYKQHHWRCSGPCRERRPYFGWVKRTTNRAPSKNDLWWAEHERSCGGTYTKVAEPKEFTEKREKAERKKAEGGGVTKRGGASAKGGGAKSPVIVDDPKKQPRIDAVFGAGGGGTRLGTTASAATKPVTVGGVVGGGGGGQMLGGVAGGRSRLLDLMGGGPVGKRSGTVGKENHRSIVATVIDDDDDDCVMIEPMPRSSTTLVRDRPRLRGGSQEDINLEDSDNSREILARSCPN >PPA09452 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:444751:447079:-1 gene:PPA09452 transcript:PPA09452 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIRGLLNTASGLTIAYLSGVDGSRPNQFTFGWDDVQELLVPIRATSGFLGVDILLTSMWPAELGEIRSH >PPA09468 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:551551:557363:-1 gene:PPA09468 transcript:PPA09468 gene_biotype:protein_coding transcript_biotype:protein_coding MQSALTTIATGGSVASRLKQCSLKVQTGDDAPLSSAFSPHFSVVEEAPKKKLKSYDKAIIRVIAQYFQDLGLSDTVQCLSKESRTKVENAHAVRLRSAIYEGNWDDAIAIIDSCYDHLKEEVIENVRNILMEEKFFDLMIKQKRPLALHMLKTEFDPKNPARERLLRLMYDTDEEIFARNEYRQYQPPKNAGPAYRNTERICTRLQQALPASFMLPGKRLLKLLNQAFEQQVSRCGTHMEMDDEFVLGSDQSMVYVDHTCPKRMDRYFVETCMRDEHKAEVWKVQFSPCGKYLASASFATTVYIWKVNERTNQIDFYRKISAADAIHGISGMTWSADSKLLAACGVDDHPYGLYVYDVHGNTIFTIVQHHDAFAFTDIDFFKKPLEPGCYHITVGDMKGSLRFMEIRRSHSREIQAYEGYRIRCVYSCRSGRGAYATDTHNRVRWYRNDGTHKVDHTVIREENTIMGMTMHPSEQLMLLTTKNFGLRMWNVQARTLLRTFQGYHDGGCVINACFGGVNNEFIVTGSFASDEYDEDGNVVRKRHDQDYSTEETIRIWRLTDEYMVCGIAGHRSTVNSVSWNPKDPFMIASGSDDHSIRLWSCRQRDLNYVDEPRPSTKKKATNGAAKDGVVDERSLEEDIDDEDEESDVSDETDSEDTDEDEEETDGSRSEDSSGSEDGMSMSDRVFRRIDISDILGGGMDEEREQEREEDEEEDEEEEERQGVDVGRPVRQYVEEDSDDEEHDPPPVFFQHA >PPA09503 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:812076:813841:1 gene:PPA09503 transcript:PPA09503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-grl-14 MIRGRELLEFSNQTPLVADKNLQMLKSRDPQLHHVPRHVQQQPTFGMDQNGKIAPMFGMERQGYRFTGQHPMPVEYSHPPHALYLPPSAPQQQQQPYYHTTPPPLPPAFYTTPSYYSTTAPPYTLFPPLKHNVLTYVSPSNEMRRGWMEALSENLGPHLFWLQGR >PPA09422 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:223626:224678:1 gene:PPA09422 transcript:PPA09422 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEAKISNEELTKEMKNLTEKHYWLVSMNTELTSHIAVMKERVVSIGLTPAETSTMTKVSSTDGDERTMLMEKIDALREHNHAMIPEINALEKEYVSGGSSKGKEGKSMKVEGEKKEKEVAARKDGMKNDDKKDEKKNEEIGEKHQETIEEKNNNQEEENNNEKKEEKKSVAIF >PPA09383 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:12101:14000:1 gene:PPA09383 transcript:PPA09383 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDFSRTNLGLSSVSGGIPYSSLLGGGGGGGSDSFSVLGGGNSSRFDGSSRDDSFSDHSFLGTGSSSSGFFGNGGDSSSLSASISAAISSSTSDRSSASPPGRNIIQKAEGKKKQLVKDDAYWMRRKKNNDAAKRSRDIRKTRVRRTKWPSVHRFWSERTGV >PPA09455 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:468574:473696:-1 gene:PPA09455 transcript:PPA09455 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEDDFMSDKFLAGTEEVRPGLAKTNHQRRIMKIENERAEAEAERVRLQKLKKPAFWCSHQMYSSIEDCEIAVIVHHIEAERREETMAKPISTESKGFALMAKMGFKPGMSLGKKKDENDLGSGIKEPINIVIRNHRGGLGVESEEVERLKKEESTAKVRIEAQLKRMKERAIMADDLSDDYRKRARMASTRKQIIGDIIKSRKACHEMDMRKEAELPEEPWFWPAYKEKKIDATPTASSSAGRYFSREEDDDDVKYHYSNGKEAPREERLDEMTDEILEERLEQITVHLRSVHFYCVWCGCAFTDEDDMDGGCPGPARTVHDEGED >PPA09510 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:851907:852481:1 gene:PPA09510 transcript:PPA09510 gene_biotype:protein_coding transcript_biotype:protein_coding MNESDEDTVETFRDTLYYLFGRAAQNANTTDKHALLMLIDDYYCKYQVEPLLNIETMREDQKQVVRNNFEGLINALRTETDLIGSKHNRYEHSF >PPA09440 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:347427:354026:1 gene:PPA09440 transcript:PPA09440 gene_biotype:protein_coding transcript_biotype:protein_coding MHYKADLKKESLRSTMCAAMASFFGLMMVLMLGVKTSADGRSKIQNGFWGKGIDKKLLMLGVKTSADGRSKIQNVFWFFKYLILGAITVGFFYIRSDNLSTPLMWIGMIGGFLFILIQLILIIDFAHNVAEGWIEKYEEDESKWCYAGLLSVTFGTYALAITAVVLMYIFYTTGSTCALPTFFISFNIILCIAVSVVSILPAILFFGVKVQERMPRSGLLQSSLISMYVMYLTWAALINNPDKACNPSMITIFTNTTTKDHKGDEYGTPLPMQSIVSLVLWFLCLLYASIRSSNQSSFDRLARTDSSQTGPEEIDMSENASGRRLFGGGGEGLAIGDEDLESPAPVGGVRSNNYGLTEGGGKGSKRCGVVFDKDVDAKRWMH >PPA09410 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:164276:166258:1 gene:PPA09410 transcript:PPA09410 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRAKGEVLHEYIVIGLLTEKEPVTPIYKMQTFASNAVIAKSRFWYFISMLRRLKKANGEILECKESVLLNLRTSFPVNDTDSLDNSYGSAEGIHGRFQSSADVENDYFPFDSEESYLIDGVEGQAEEEKPKGPPLFSSHSSAPLPIHLPRSLSSFHSSSAEVSVMETDDHQSVARFHLTAFLDVANPIAIPDPTDSASRKTHIAHCG >PPA09531 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1005225:1006922:1 gene:PPA09531 transcript:PPA09531 gene_biotype:protein_coding transcript_biotype:protein_coding MNNEEWISIKSISCKDQQWKIIKPDDLPIDFPGAFEIRCFESPPTTMERLVHCDRGAEIDMPTSSAPNETVAEHPDPFHQTAGNGSTSAPDTEPRVEGKGKSEEDKTTKLLGNKWLWIGIAIAFLAIVAISNVIGCLLCRRKQGGESKASVKKVVKRNHREKSPKRNKKKKSAKQKKDDESSSAQPESSESKAEAPEKTVERKPLMQKSLHPTVSSDATVNYLDCLKTEDLN >PPA09424 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:237785:246918:-1 gene:PPA09424 transcript:PPA09424 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMEPSDESTIPEAKRRPPGTDNVDDEEIDITTISDDETLEERRRKNKMNAAPVNEEDILHISDDNESALDLSLKPKPLLPGEIVLCLFHVDLSDDAESGVQPAQSATEPPIQPSDPSTSQPQPPADPTPSPKRTEVPSESAALPSKKSARKSTAAKKSKSSADPELVVESPEVSIDEPPETADEPEPKPTSTRKRRASSDLRPVLESLHQSPSPSPIPIEEPAGDPIAETSTVSRKRKAPGDILDLEEDVDDSVQEEIPEAESDDVDADDVEAAEELRVLSLRYAELSAAVDGLYKPEDGFIPDPNTTTFINERERRKWEKKNGTKEVRKIKKQRDLLLYALGISKEDVKATSRGEIKAALVKFTGAEFVHDTKWWNNAIRFSLYVEGVNYGDIHHEHVAMKPEDREDLLDAFVSLMKAKMPKDARLLHQYDLFLSIYLKYRETILMGANKFPTWKQFKVLLRYKHIGTDIQKDNNETGAVVKTSREPVEEYLSCYGDILILKALFEAIRVFQTTISEDSMIRVNKLIGIKEKELTRVAALSYAVICFRLLHISRYLFHQEELYAKSNRAVPYKLVEDAILAKTVVKQMLQLRARAFELDTYESDEIFLMMRCMKPVLDRAHHNLGDGEDEEYDIEMELPFHKRETEIWRSEAIRLLNNKRDKNFSKEEPSVFTAKFSAIIDWIEKETVLTDRDRGHEENEYPGKLKLLFGARTKREIELWKITIRLLARKLQITKWSKRQEHFNENLGKCRNSNALKLVDRLKPIKFIYETDNLTIDNSRFFIRVAIFAEIMKIKVDGIYNRRILVSMACEIFKRKCAMLDVESNDYCLGVASADIEKIIKIVNSSPKRLRFEASTEEEQYNKTFGVCNVHMRYAYAWERSTDRWSRGGGAREKDRRREETESLVGAPLLSG >PPA09466 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:538528:547359:-1 gene:PPA09466 transcript:PPA09466 gene_biotype:protein_coding transcript_biotype:protein_coding MANRVEFNVTDGRRCTLDLSEDEMFNALKDKVCSLTKEKDPAMYRNDGKCFNVVASPSDLRSAIEDANNKKKCPDDLPCVNVYIPDATTLEIKRLLTEKVEENSKLVARLEAQNQSALNRFYGTLFRKEKEFNLQLRTKISLLESSVKAMEEKMMKVESENLALKFENEELDANLQRSHRNQKNNLAEMDHLKMKIEAMVEERRELDSDIYERNTIIHQLQQQVDTLNEKLEKATKFVQDPLLLRLAVKASKAKQQETLVATPWRSVQEPKEFVLEDVMIPEGKSHASCISKLTEELDRLTEKIFQDRALMRKQLNEQIRATAEQIEAAVRAESLPISTEAPTESTESEGDWESEDGETIDDEENSEEESDQTDEYSGQEEDSEDEREDVAAKTIGGCKGRIVAVIGAVVDVQFDEIPPPILNGLEVTGRSPRLLLEVSQHLGDCVARTIAMDDTEGLLRGDEVIDTGHPIKIFATGIKAPFELTESEDEMTIVDDEEEDSEEETDQTDECSGQEEDSENEDEEEEVNETSEEDSEEETNETDEDEDSESDSNESLPTLPNTQSSTSVPKDINDVVESDEAARDRKIGEKTAELKAIPFYDIITSFSCILNIGIACTLADIETQLMIERYVYLPTLFIIFATAVANSTDYTEPALEDFHARAAGAISGILQQAKRAISSYHIFDPSEVKPTDAILHLEELRTSNLAKDTSFFEGLRLTVAQEIYLAVVFIENPAKTIREVANYFGINKDTYADCEWQTSLGVQEKDEKEKRLENLKERITMANRVDFNLTVGGKFYRISYDHRKEGLFSALVEDVSRITNEPNPEIFLNDGLCKNIIASTCDVLTAIANAKMKKRGPICVDIFVVDPEIKKLKDLLAEREEENLKLAANLNVWKEVYANLYDRDRKSISNWKDKVKQLEREASILGENVMKMESENQALKLENDELEAFLQRCQITQKQHLADIEQLTMRMDALEELNEDINEEAAEIEGGWVTEDGDSDQDVDTARDDFEEGCNGETDQNNEEDEMDSVLSCKHSILPYSTDNEPMLPDQHHINTAIEMEESERKFDEKKNEFAAVPFWNSMVSFTVKMESIREKMKMMEEKVDIIEEMEDDPYEHIDCVEERLNRAEKRIEENREVLL >PPA09517 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:897802:898347:1 gene:PPA09517 transcript:PPA09517 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAATYSMAQTPMDSARWLFTLIAVVASSTHVIFRLDYRLVFGTFGSKV >PPA09394 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:77126:79383:1 gene:PPA09394 transcript:PPA09394 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEEKKKSLFSRILARFLALFHHRRPLLPTEPSASKTPIIDGDFRQTPSPHTMSEVKMMTMKEDAEVGQKWAAAVKQEQEETERRRQQKHENFAKAKASYSDLATALPKEMSFSARLPDSDNSSVPDNDTTGTGVNGKTGTALEMKDVSKTGVSRTESSPYINLSNMASAGSYLSETVELHVKTMNEESTKAKKNVATPTTPLQLVRASPEKKGAKCTTTCQAENIPRESPSSVNRTTPKAGTVDAKKTPSPGQFGWRSKITIRRNGVPHVEPTQSELDVNIKKTSEEYVTPKPGTLDEKKSKERNKKQ >PPA09565 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1256521:1259154:-1 gene:PPA09565 transcript:PPA09565 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKSWAVDGKHSYVGSANFDWRSLTQVKEIGVATFNCACVAEDVQRMLDIYWYMGAEDAVVPPSWPAEYTTNANHDHPYNIPRHEGPATAIHFSSAPPQLDSCGRDSDIDAMVKLIDEAEDYLYMAVMDYAPSSLYDKPNTYYDKLDAAIKRAAFDRFVDVKFMMSKWAHTKKKSFSYIHEFDDFSYYLPCKPNVSPCQSGKIEAKIFQMPEDAYAGIPYARVQHTKYFVTEKAAYIGTSNWSSDYWISTGGIGIGVRSADAGGKEQLVEQVKNLYMQDFTSDYAIPIYKFTINGTLIDN >PPA09514 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:882455:884541:1 gene:PPA09514 transcript:PPA09514 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAANSAHLAVPIVAAPRDAALLRALNIVGRRADGARRSRSSIDPRTQIPYPQPDSQHSGVVGFPQSAEHQSNSCIQYVEVLSGSVVVREMNSTEESKEYHQQV >PPA09463 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:514461:523287:1 gene:PPA09463 transcript:PPA09463 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGDDLLRAVKTKVVAITNCKEAEMYWRDEESDIVLETVEDLATAIDYAKATRRKPSKTPCVTLVALVSAPPAEEEPLAQVHAVEEKPEESTATSFLDELLSGIQTVAITEPVAEPWQTMFSPTRVETEKGGDLKGNLKKMREMRKEAAAAAAAAAEVAAAFERDQIREMMRTAGCSPDLQQKTSKPTVEEQKRVREDSIRFLSEDFPERYSMAAAAIVNSERRMMTRKEMTEWAKITFPAFKTLGVRYKDDIRKSLRNGDCFVKIPRPTEGKKSLWTINSKYVIGDQMHLECEQNASNTSKRQTNNAIAPRLTVLMADTLPMKKQATVQPTRPSVAACDITAAGRKKAKLITDWARPVECVAKRTQQHCKNVAAAANNALQPEDERREGEQPASPMKKDAACQPARPRLSLIDITAAERIVKKTKKITDWAQPVTAVPKAARMGAKECDITATERKKVKKITDWAAPILAASAAPAAAATPKVECIAKISQERKKNAAAASLTLQHEGVLRLPMKKEPARMGGKILLDITAAERIVKKTRTITDWAHPVAASVAPMVECIDSALQQETVVNSQMKMEEPKKAVPIDGALRKETSVKIKVFISYRRKSGENLASLVRVLLQLRGYEALMVTDKWDTKTLEVMIKSAQHFIAVLTPESLHDFNNGDRFHKEFRYAFAHNKPIIPLFDQFFEFPDDEESIPQDIRKIASLSGVRWVHAYQEQCMNHVERKMRSNEKAAVVHNSSAVEVADAAASPKPAAVEVVFNDHHLLKCYRALLHIAQSMPTIADSLAKSKEDDDTKSLNVKVYVSYRRGHDDELASLVKAHLIQRGYEDFVDENDDTEPLAAKIQSAAHFIVLLTPFSFEYFKKDSLFSKELQCAVSHKRHPVFIVGKHFGYPARTCGREDPIPQLTKEVRKMLEENEKGRYIIIKWNNEDQDESMDQLNIILRNFGHYYDRFYKKQERKMLQLECDAKRQTFTDYLDKHESMMKEDVKLVQTTAEDDDVTIKAEQLQSTRRAFIDDLEKRGREMIEPLQLLKKGESIMAKMQQSPAEKERANVDGVEVGVEALTQECNDIREREERKVVKEIEKSDKRFGHKLDKRIRAMKKEMKREKNRQLKQVAKAVRKQKAAAAAAAEARPMKSEVAAKTIGGCKGRIVAVIGHWTLSSSPS >PPA09563 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1245234:1248699:1 gene:PPA09563 transcript:PPA09563 gene_biotype:protein_coding transcript_biotype:protein_coding MANYLLVSVLPVLVTILLSSGIWVGVSDSTTTSPPVSTLAPGQCELSGQYSPCTADCEFVICETLQDDLYPNEQFGTTSSTDCWLRLLKEAKEEIVIGSYYWSLLMNETADCTDDLGYSKDGQKIYDGLMDAAKRGITIRIAMNEPSGEYTFNEPFAIRDANPEKVQVRSLNFSKWFPGYGLLHTKSWAVDGKHSYVGSANFDWRSLAQVKEIGMAVYNCPCIAEDVKRMIDVYWYMGAENAVLPAKWGAEYATNANHDAPYVVPRQVGPSTAVHFSVSH >PPA09399 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:102219:103809:-1 gene:PPA09399 transcript:PPA09399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-clec-225 MRAGAAAYQEKKDELSPCLSECIQPLAKMERSFAFIFNNFEKVCDSLERGAHCVMKCGGEDAQKFHQLTSFYRLYCIDYEEDLEPHVSCLARASQGSDKECKRRCAMKVEKQHEKEKKLKHACSSIECSTVCYYQLLSEECPNAQDVLLNVNLRQISEMASTLDPKVHGDLGDECRHIHDREYMRSD >PPA09459 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:501295:502488:1 gene:PPA09459 transcript:PPA09459 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPSLPAEDGPPVYEDVGTLMIPTRAAARPAVRGNQNTRQRAAPAAPAAPAARAAPAAPAARAAPAAPAARAAPAAPAAPAARAAPRLRAPPAAPVQAVPAAAAAAESDEEWQQQQPERRLQRATRMFKRGAGTRGGKQPTEKRPRDVRRGQETMDEEATIAAMAADVDGWVLNRARNSNNQPFLNSLVPESS >PPA09443 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:385644:394100:1 gene:PPA09443 transcript:PPA09443 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLLCISLLPALIAGQEFQCGTDKIQSDIAKTVVQFNCKDKVADINKCCISHDGCYDQQQPRGTCDATFCSCVQAASVGNPLCGFYTNLFCDTAKVFGEPAYKKVGEEVKKRREKADEEAKAAAAAAAAAAINLEVRLRKEEAAARKAAAKAATTLVASAPEPAVAAAAAAAATTTASSVTIALPDVKKADTVKLTITKHVPKKEEAKVEEPKHEPKKEDVKTEEATPVTAKVEEAKPEVAKEEEKIEEAKPEVKIQEKKGAGESRHKEEKLPGEKGLKRAEPSSTITSVPAEKKQTSSEEDAELADLEARLAREERDLERAEAEQRRVLDALAARKAALREELRSFRAAQRALWRAKEESAASSDDAEETTAVVAPATTPTVVPSSTTTMDPILKARSARQKLEMRARHEFMKKKAEERKARASKTLKIEPTSTTTIAPVENAEEPVVTVTSDLVEGSGHQERTTVAGAGKWSDDDLIPEAESSTSLLQAGEAKSKATAAEAPTPAPAATPSPYIPVQQGQSGPECVSVLPLTLHEPSSSISEMMTHPSQVVAAAEAAAAAQAAAYRAAKKVTPNPLAFTPFPDPMEKMREWMQPRTRGTPAPVTPDPAEIERMRVQKLARAEFERTIEMSKQANAQLAIRDHQLEQLAEAKMRSMAERKHAHPTAAPPLAYGIPGDGGAGAALHEFQRSLKAEPKSMIVDERMIEASQQPSSSDGLALSPEQEERIAERVKEVMRKSFAQPQLKKIEPGSENAFSQDDNDDAVQQRASINIRRAPPSSSSTPSPSTASTPSLVPPPTTFNNLFHNVPSNSEPRPFAEVKTPSEATTVASVFHAKHSDRTTAALPENDPSATVFHRKDPLPITQFLQDHEAIDTLSSIDVGGLTRGEEEEERAPSQHLREGFTPVADEAGPFESLLQEAQEHLRQSQQRVDQLIKDQRLKIEREEKERKRLLEERRLKLAEQRRLLEARKRRREEKRKVKALEDSTRVPDNSLEEDAPTTTTKKRSHHKKHRPTTTAEPEDDEDSSVEDTKSRRKHSRHAKNELDSDSYEAYEQWLRWREKRKLARRKHANESEAEE >PPA09402 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:123341:123750:1 gene:PPA09402 transcript:PPA09402 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGEDTAAKPGNGGGSCERGSSNSVRRKLSGHLRRVGGTQGGEYRPEKGAGATADATFGKHCGLTTVIEKD >PPA09518 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:899997:912599:1 gene:PPA09518 transcript:PPA09518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-npp-22 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EIE8] MTAGISSLSLALWECISLEAESPLAVAAKEAVLLYRLIMAASIVIGSLLLGCSSTLIALLSPSLHLSLLLMTGAVLFLGRAHVRLTREIVMKPIHFPLPPPHAVRSPTPAQTRTMLNVLEASEPTLKVFALTGLARLSRVMGDRRLEIFSLSQPGGHPRNWTSIKNACVRVIDDAREKVECATRTVIGRVGPINYDDDDEGVDRQMLLMPDRMRQQVYSSAIRTRHARSLRATAALAPRAPVKPTMMERIKRFLDVPVGVVSRHDATLVQLASESIHLLVVASFDEDRYGVVQRDLAEVLRAILRAANAIREHFRARNARATGSEEDAPLVAMDEALVTAVYQIKNQFGDCLRSLALTKEEFAAIDMIECPSSSNSPLLTLLASYLNEYCFTKGEGASLSYFVPFENGRASAPARMAEKSKEKSKMSKVGGGGGSKETTSAATSVKSVKSEQKSVQRSMVTSLAEPDVQTDDREIKKEDKKLSVDPEEGQFLEGGGKQEFMLVNTMDSPIAVKIKCSNNAMYRVTSVYLKIDTNQISTLSVTRMPGPAKNDKLVAAYCPIEGGIKDPKEAMSKYEAKGKKCQIIRIMLKVVKNNVHKKCERVDHRRMSVDDVDSIVTYIVVNADDMVVPDPSREAVS >PPA09561 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1235529:1236381:1 gene:PPA09561 transcript:PPA09561 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTRYFGPRSGVTVIPHWNLDEVNRLLNRVVAEPHKAVQITLANQQICEYRKASEESLARGDRFSRACRVCKAAHPRVRVVSTACGHASCRGCARGRRRCPLCNSLTTFVRLFEDDLKSRECAICLEIPFQRAFFPSCGHVTCCACATELGKDAQFCQDLSKMAITCPFCRVKSKSEPLNILYEELIEEKKDKTKIGIRYQLTRKDQYPISQKLNFSRPNYRLNRVNQPQMRGNHRR >PPA09508 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:834306:841637:1 gene:PPA09508 transcript:PPA09508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-num-1 MDRIRKSLRIPSRHRGGELVRGDASGGAASGTGGPDAWHPDEGAVRAGLCTFQVKYLGSVEVFESRGMQVCEGALKMLRAQTKTPIKAVLHVSGDGLRLVDQQNHRGLIVDQTIEKVSFCAPDRNNGRGFAYICREGSSRRWMCHGFTAMHESGERLSHAVGCAFSVCLERKKKRDEDSLQAADKIDVSKALNPDWEKMGAAPSAVGGAEGGVDNGLHRTNAAYQSFRAMPMAERVRDPQGAIVPLPPNGSQSDASKLPPPSIAKPRPSSNPALFERQGSLRAPANDAANLQFRRQFSLRNYEKTQCRMG >PPA09540 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:1088479:1091147:1 gene:PPA09540 transcript:PPA09540 gene_biotype:protein_coding transcript_biotype:protein_coding MWRDAPRKFASAACAKHLEEGKFALGKTKMFFRTGQVALLERKRTETLKASALKIQTRWRGYVARKRYQTIRNSVKLIQAAGRAFMVYRAAKYLQMHRASIAIQTAWRRHAAEKRYSQMRKAALAIQAAFRAARVRKVVLKLRYEKSALTIQRYWRGYMVRREEIRRLRKIIKVQSCVRRFIAKRRLRKLKFTATVY >PPA09525 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:975728:982479:1 gene:PPA09525 transcript:PPA09525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acr-17 MIIRWLLAALVAASFCVVSASDQKQLFNYLFKDYRKELRPVKDDSSGPTNVTVQLYFKQIQKIQENDQIITLYCWLEEYWMDEFLRWDPAEFGGISTLHVPADMIWKPDLLVYNNANMNVKDNELQTNSLIEYDGKVSLFRAMIIDITCYLNMNKFPFDQQICYIMLASWSYDGSQIMLQTAEEPTAAPNANKTNLATLTHYIPNMEWKLVDFKYRSNLKFYECCPNPYPDISYFFAIKRNPSYYLFTLIIPSAFITIVTVIGFFTPHSSTGENTEKVSLGVTALLSLAIILMMVSDKLPATSDSVPLLGQYYVGLIFIMFLATYCTTFTLGIQMNGNAGRPIPKRMRSFLLSIQVNRSLFLKYFFGTELTNTQESIKMRLKKYEKLSELKRLFARDILAFQQTLIKGHGGSPPDVRMSKDLAEAEEVQRQDMTRKISEMPASDVNEKDKFF >PPA09559 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1221796:1231216:1 gene:PPA09559 transcript:PPA09559 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKRRRSEKEEESGEKKKVRKEEEEEEEEVEVKSKRDKRKKKEDVEVEDTVEVEEISEKKDKRKKKSRRSEGEGEEESSSSPDIFDEIAAVSSTDLDDEIVERLRKMLQDNKDDESSVKSIRARASSVPFLASLLCSYHATRTVADQSILDCLHTLERQYGVNLSVLSPLVFDGRARENYDKLRELGRFLHIKPTADQVVEWLDAQQLWTTAIMGDHPRMVDRPSQMYDTKFVLRLLLTVVQPGSELTCRLFVDRNCLSLAFGACSSTDEETRRLAMILLQRFLSLMMELKNDEFEEKSMVVYLVRLFKISLEQEAARVSHVISHFFARTSKLLLNPAHPVYSPVCAFITLKPAMEMNQVPELFKLLLSSSTENFEAEQTWLLNLLTAAVVDAADYRVLQNR >PPA09450 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:429037:429992:1 gene:PPA09450 transcript:PPA09450 gene_biotype:protein_coding transcript_biotype:protein_coding MQERLVSVLTGMLSVFYIYPQMVIFIFIYLPPEWLPQFVTASIRLLCMMSFRRHAKTE >PPA09453 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:447272:452382:-1 gene:PPA09453 transcript:PPA09453 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEEVRAEVDKFKNAFTLMAHKAGKAVVVFERNYRTQHLQVQCVPVPKGCIKGLKSAFQSQAQIAGTELALLSQEENVGDVWDQVNEGCPYFYVELPDGTKLFTRQMKGFPLQFAREVLASDEILGCEDKVDWRACELGKEEETKLTKQLQERFKPFDFTDAGDSD >PPA09425 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:248761:259884:1 gene:PPA09425 transcript:PPA09425 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVYPLRIPADGIDDNTFKQLMSQQNLPVLTPKDALRAVSLRIKHVVDELPHKNVIFEIDSDLSEDSLSRIVEKLSSKFAQIDVMTAEDELVHFVVERLVKELVPTTVDERNGTTEMKGTWREYYKTHDYSLTVGRISHFLRAEFERDVREKTVENRAAVLYRTESMLDGRGIMEPADVDTVDRQVAPMLYQIYLLVDEFDDNEVVRRLREYIKRYTDPFLARFLADRLEDFVRSARAAKTFMQGTNYGLVKGEGSPTLIVTSSTAREHLAILQYLQLRHSLPVTPLRIATLTRSPYHLLKAYDRLFGVFRRGGYELLQWLPLLVGFTLSSARVYPHEVLMAPIVAAPASATICEWIVQVEQLMGWHWKIPQRGVRLIVCPSTSAMNQLWRYLKYSHDVIVSQTTIVVCTGTAEQLERAMYGRNGDRLVTVVTTAALFETWHTRYLCNMQQVTVFLGTPRKELPYLHRAYRFARPVTNVITVPLETTPADHTPSGFDSDASNDKGPDGDLQQQQLPFCLPAQALPPTVHENRSHWAAIFIKEMWGRGDWRPNLSCTEDKEYEMCVQWMRRKWREEERKNFGKRIEFDVWRAKMMEEKRDKEETRDVHCSNED >PPA09417 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:212111:213180:1 gene:PPA09417 transcript:PPA09417 gene_biotype:protein_coding transcript_biotype:protein_coding MIVYAIASTITFSKHQRLSHAVRRGREGGFTLIFFINSIGFCPSPPTLDDDDRLIGTVVHIEDVDEKDYLNLLAALKPFTHERFCDSGLLTAYKYAVYGISVNGKSHKVKFPRFDDRFIPNKNIHYHIEGFSPATDESLIKKLCTLVGAEVEADLAKTLFILHVLLALGPFLAKPFPTWLIQDKGTASILIKTKADASRGCDILQGYDLAGGKLSVIQVHRQCEFGKRRSVSGAFVSLELALLAEDRISTYLH >PPA09494 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:717607:720167:1 gene:PPA09494 transcript:PPA09494 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRRVVGDGVEGDDELEKEQVKAIIERLKSKYDIQGNMNVEQDREFCSVHEIGYRKRIYMKKFLAKMGLDFFCSQRSFDKMRRELGEGDGTEETEITVKREKEDGNGRKAGGGRKKAMIDPREISLARNGSDDEDASDNDIEELIIEEDYKDFDELRRGMTDGGETSGGERGTTNRGLSWLMTSDEEYVQESEPDDQPGPSNRHNGRIT >PPA09553 pep:known supercontig:P_pacificus-5.0:Ppa_Contig18:1182793:1186188:-1 gene:PPA09553 transcript:PPA09553 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVSELVDRYLSYAALEPGPFSPRLPAAFWWDLAIFLLILWPIIWPPEPLVRWGLTLENLHPRLMRYDVEFLTYLIMKTSFNRFFLSILPYAFIYIEIEIHDSLPMLKLAEMCTQSLLAGPFVSKTSHSIFAYTQEASDEFRRGSAKWNWEFSTISPTVACNNSGGEDRLYDEILTILAVHKESGRSYSFRIPSYSLPSLRNYTRVEWKCKKKEEVFVEKFVHSVKLNEPCAVDETEQSLDSCFACHIARPDVIIRKGCNWVAPSVPLFGYAHPPAICGECTCRPSWCSSCLGRIFVQAQHKQSRALLWLRGRANCPFCRRPFCVKDVRPIRHGWFR >PPA09528 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig18:996822:997405:-1 gene:PPA09528 transcript:PPA09528 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALKKLTRKDYNKYVVDTMGEQYGVQVVRTPPYMAEYAPIEFGWSAMKRAQHDLITHTDDGKKRIEEGALTFCPSLSTEEIVAASDEIIDEADPQPVEDLEELLYMSDDEEEEYSELL >PPA09623 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:331350:332472:-1 gene:PPA09623 transcript:PPA09623 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLGMALLLLLLLTSSTVFGCFLNSCPYRRYGRSAGCAECGEHQTGICTSLYRCCTSTNCFIDQECREAAVCERNGCKIKKAMGTCKSQGLCCTEHACDWNMQCF >PPA09603 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig180:178270:181521:1 gene:PPA09603 transcript:PPA09603 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKKGKKADEPEEVVPQLVLPGKVRQLPRGFSMWWDLANSDPEAKRQLDEECNVAVECAYCYNIIRNPKTFLQHKKKVCTGKHKSLLHIPDVDSEEEEQKRLIEEAKKRRKGGKKKKKEEEEKTEKTEKTEKTLLPPAQAVPSVPRVYTNAVLTNHARVPVECPGQRDYRDAMIQKAIDENDGKFLERIRKKYKSDMVDVKFMKCKAPGCDHILAFHTPQEEDKKPCFFCEKEKIKDYKSLVVHMDQCHSDKKDDHIEKREEAMKLLLKGSARRKITVIHRSRSLSCDPDVRAAIDAMVEEGNDDSVEVVGNGGGNGEEVQPVVEIVQEAEVPSSNQSFPFVLWLHTVKKE >PPA09593 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:138992:141571:1 gene:PPA09593 transcript:PPA09593 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFVILASALLVNADPIRANRQSGCDCVQQQQSYCPCPQPSPAAQCNCAPAAPSNNNCNCVPQMTQTQPPVYQTPSPTTPQYFPPITTPQPAYQCPQPQQPMYVCVPACMPACNQQCVDTVATTPSTTTMTTPASTPAPVHYQPQQQYYICLPACQPACTPQCVEATTTTTSTTTTTTPAPTPAPVQYQPQQQQQIYVNVCVSTCMPACNPLCVEATTTTTSTTTTTTTTPAPTQAPVQYQQQTICLSFCMPACSPQCIVASTTMAPTTTTTTTTLAPITTPQQQMYQCVPVCMPMCSPECVVATTTTTLAPITAPLPTPGPYQARIIAYYIYLPSTCPLGCMPVCTPQCIEATTTTPQPVYQAPAQQLPVVVRDQPQSQQNQMNGGASSPIVIRVSIPYSTASAPSSQCNSSCQQTCNQQCSQQNYAPSQCQPACQSSCSAQCSPSTFVPTLAPITSTSAPLYQPQSSVTTCNNQSFQNGCTCPQNYGVCGQGRLCCRRR >PPA09616 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:286389:286917:1 gene:PPA09616 transcript:PPA09616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ser-7 MNLAGQRGERILIVIVVVIMIICTMAGNAMVCLAVLLVRTLKQPPNFLLVSLAVADFFVGCIVMPLGLTQLLTENNTWILPSFLCGVYTVLDLALCTASIVNLCMISVDR >PPA09627 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:354794:357207:-1 gene:PPA09627 transcript:PPA09627 gene_biotype:protein_coding transcript_biotype:protein_coding MADEMSDAQRKKEEMERRKAEVRKRLEESGKAGKKAKKGFLTPERKKKLRKLLMFKAAEELKSQQLLKEQERQKILAQRTIPCPEVDDINDQGKLLKIYEELFDVVRRLEDEKFDINQLVLVKETEINTLTIAVNDLRGKYVKPTLKKVSKYDNKFKQATKTEGEAPKKADFRNNLKVVKKETNIDELLKKKEDKPDWKAEMKGEKVEKKEEEKEEKAEEPEPVAEEAPAAAEEAEEEGAEEEEGSEDEEEE >PPA09613 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:214113:215527:-1 gene:PPA09613 transcript:PPA09613 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELSRYEARVICEKTAELAELSVYEINSLYERSDANRTGRVSIQQFLNQYRHQKRLSAEVHYIEDSYESTLNLFEALDPAHSGQINSQDLLESWSKAHLRIDEGIAVLQIL >PPA09579 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig180:30835:31243:-1 gene:PPA09579 transcript:PPA09579 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLDTLEVSCPECRRESRYIELFEGGEPPKETPMIHKETQYMDQDIMVVKEEENDNYSYPCMSLKRPIDREQDESSPAKRGFDLGLDSSNSHSQFSWSMLQYQ >PPA09604 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:184961:187729:1 gene:PPA09604 transcript:PPA09604 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVGDDSNEKKSLEDEIEKESKPSNRPRRSVRNRDGEESTSSSTVGVAKDVGSSGNGRDDTEDATDKVDTKEEEEEAKVSARRKSARTIRKAAEEVVSGDDKMEVEEEKEEVSVRRKSTRFGRTPAEEALNGESQEKEEVDKEKETRPKRRATVSASVRTEAEPSTSGHLPKMAGKEMGESSDEKKNEDEATRPRRRTTVISPAKIDAETSKTGKKETKKQEEEKKTDKGRRTLDNLLDEEASDSEEEGEDGGEKKRRIVPTEEKIRIVEYVKTTPNASNRGTARLFKVNESSIRRWRGNEDELRELLIIEQNANKKEEEEKREEEEKKTKKSGRQSDVTAPVKDEKDKKRRGVKDEEEKKNRGTHSSNSSCASKKKKKRKDESDSDEEEEAPKEGRKKAIARKSLATTETEKKKGNKEEKEESSKAETPDKKRGRTIAAKEESTKTKKTKEEKEKEKKEKTADEVTVPTHSQRERKRPHWDDIYYPLTPKAGETTESQGSALQDALAAAVAEPVAKKAKKEGGEITDKKEKRPIGRQLDSTVHDDDIPSTSASQSDASSMRRSTRRPNQQ >PPA09600 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig180:165276:166151:-1 gene:PPA09600 transcript:PPA09600 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHFEGEFANFGEYMNNLKEEDGDQQLGGYKAKHKSYFGKLYNSESILNIEEQPFNLNMDEINQSFGDMKLLEMVDFFNKEISFEEEDEGAHIGEEMVGEGNGDIFEDEEKEEEVSADGDSDESEEIAGDEPQRPLQKLKIFINKETGVFRSELVDGNEVREEEVEKEKDEEGAEMEQASKLITFK >PPA09589 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:126376:127137:1 gene:PPA09589 transcript:PPA09589 gene_biotype:protein_coding transcript_biotype:protein_coding MKVASLVCILLAVVFAAAENLPDKFFGTFDINRSVNFTEYWEAKGYGYTTRWRFITRAGVTKVITKTGDDTFNFDSLSTKKDLKYKDIKLGEEFIGEGWDGSNHTITFSFKDGVLYEKHVPTDMDAEQKEDEYRFHFEEDEYRFHFEEDELVQTLEYNGVIAKKYFKRI >PPA09609 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:204779:206435:-1 gene:PPA09609 transcript:PPA09609 gene_biotype:protein_coding transcript_biotype:protein_coding MLESSIEGQRNGYEKKLADMKDRHNSAVKQLRDSNPLERSNTGSFMSLIEKYRRNETSAGYSSSPSSSLAVEKQRPQQTLSNSYHNHAPFQRSVSSLASRCERCEQVDSRLRELYNILCGDQTSAESGIEDLGSSTGSHIDDKLVLKKELRKWKGRFEAAKERVTELRILVNSSGVPARYKNSLGGSEGSSEWKKMPLKWSEMARAVDRLESEKVILESRLAESQMMMKKVLEEFKKQHEYFTSVRSNSSQSLPPPPSSLDESSLKELHSAR >PPA09617 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig180:288141:289908:1 gene:PPA09617 transcript:PPA09617 gene_biotype:protein_coding transcript_biotype:protein_coding MYYQIGATIIAFYAPTIIMVIVYVKIWRAAKRLAMQDKILGVESYVNKAIPDRIPLPDKRVSSSSQTSEGERKLLHRPSFILHNLKAQKTLGVMMGIYIVCWLPFFVRALYCALRGVHPDTKFDLVVLWLGYSNSMLNPMIYCKYNKEFRVPFREMLCCRFSTLQNVMRHEEFNNKFGDIKCPRRVESNGNGNGHGNGEVQMTM >PPA09625 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:337919:340978:1 gene:PPA09625 transcript:PPA09625 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKENVVVSVEEVKEEEKETVVEEKKVLVDEEKKEEKEEEAPIEKRTLNGQTLDARFSVKLFDTSKSLFKRLSEDYDDKHENARKLQAFLDCVLPGEQQFPLVSLSRFLGLLPPWNTDGLTALRQFSRQDRLKAQESLKRERSIDVPEPDTSSFSNLFKQMAVDEEDKDEDGEGSSKKMKMASPPAGLFADEEDKPGCSNYQSRSKDNEKFK >PPA09630 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:369931:371561:-1 gene:PPA09630 transcript:PPA09630 gene_biotype:protein_coding transcript_biotype:protein_coding MSALYILQLVYGDVVEPIFCILYAYGIFNLYKNKNSTFHSEFYLYTMATGICAISNVLFWSKRKRYSLLFGMYFLPFLAFVYYPFQDAVFAATPDGYGRYLGIEGHWYKYLIPNTLSSFAVPVLLLVTSTKLMQTQMLRIKPMKSRYIHV >PPA09626 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:351545:354183:1 gene:PPA09626 transcript:PPA09626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tsp-20 MCGVLFLFLSCYLYILRSDYVPLLHNQNYMFCVSLMAGAGLLILVNGCLSCSAMNSRCVLCIYTLMLVVILGMQVVLSYTSFNYSRVADSDAEKHLAKDLLQYNNNTVLTRSIDVLQVEGKCCGAIGFEDFRGFEPVTEDEESVEIGNGRRATKQYVPDSCCRSISNGCGKSDHPSNIYYHGCLRFLQRQVKQHIYMIFTLSLAGAILQKFCSTM >PPA09629 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:364564:369043:-1 gene:PPA09629 transcript:PPA09629 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVKNESTEENTRKRAAKTASRPAKRDPVQAASMNLFGKVPDELLMSIVTSAVASEKEEENEEVADDFHREEIDKLKVTIDVYNGTESNDEFLQMMESRLRLYAPFKRLFLDLVDSLNDAKMESLLSSGPKQQFYIIEQAYIPDQIACISLDSFERVKFFNMRSCAAKFSDVLDAIDNTDKFGRDAFAKVKHVNGGYAALMVNTITYNWLEIDVKIEQRMDDEQADDDEEDDWIGRWAFFNFAFSVSFILSPALLGMKPINLHRIHKIQTALYLFMTIVVITVIYFACYFPCIEHPSDAYGNWTFKRRVATFVRDFVRCNKCFGGENFTNQPYPTLMYYDKYVNPVRE >PPA09577 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:24884:25770:-1 gene:PPA09577 transcript:PPA09577 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQKLPSVQLEQEPEAEQEEDDEEDLQNLVELSHELLDRKKRQLQDYPDNKQFMMDLSTLRDLKNKAEFNLTEHREWEKVGIKMEQRRLRKLENASHSSSLHFSRACPVCSSVNPSERAVMIHCGHFICKDCADELVDKARCLLMCPECSKETGYVVMIEKLIGKRTQREQQDNNNNDYSSPTKIQRIE >PPA09598 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:162019:162820:1 gene:PPA09598 transcript:PPA09598 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHSAILLVIFSVSFVSAQNLPESFYGKFSLDHSDNFDEYLTEKGYGWFTRRLVTLSNVDKIITKAGPNSFNFEDLWATKKLHYKDVVLGREFRGKGLDGSIHRITFTLRNNVLFEKHVPTDANAEQKQDEYRYHLEGGNIVQTLTANGVVARRYFTRQ >PPA09582 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:45427:46580:-1 gene:PPA09582 transcript:PPA09582 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQTAQAGTPAHGSPHSESIALLLKKTQHLEYGDEMRGGRGTDEMKSKIKSILKPSPSSKKELGSSPDSEFRTITFNVGNRYHGFESTEFRDYPHFSFTNRHDLKEEVHRLREIVKEWKDDAQMGIMEKEHLQIHTVIRKRFRKAIKDQKAQIEKQMEKLRQVEEKKIRKKRKRKAKLHAKEYLEEVEQEQSLFRRRG >PPA09572 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:4755:5392:1 gene:PPA09572 transcript:PPA09572 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFSFMDEDDDLDVIKVFKPNPAKKRQEEDTETTMKREPEPEEKIAPTSI >PPA09622 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:327543:330551:1 gene:PPA09622 transcript:PPA09622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tsp-14 MAGAVGALRDNISLLKTFAMSVFFCYIVLVLGTFCLFILFYADNPDGISAQTILQYSIGRYHNNRNIADLVDYMQEQLECCGVSSVAAGFRDWGLSSQFNCSDTNPFPERCGVPYSCCRRSVVSEAAGSANPLLPAMRSLECWQNALKKRPQDIENDIHTRGCLLPLRSVFETHALHIGMVVAVIIIPVSLSVCIANILARQIDHQRWLLEREARRHDRRRKRDRSAVTASAFRQMEAGVLPEITQFQQPLPQPKKSTERAPKLPDTPPPDKPPVEFTNQRKTSRAHKGTRAASTSPKRKMSSGARMGETSAPKKVSEENT >PPA09590 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:127789:128315:1 gene:PPA09590 transcript:PPA09590 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNVLIALIFLPSIVDCDCFNAEYGLTGLGNDEDTCFVMLVDNKVSAGYVDKSIEKILEETDVVPCYLIEEIFEQYNSTFACNCTGMICNGHALMQARHAIGMMVDKAPSDRDW >PPA09595 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:146112:151052:1 gene:PPA09595 transcript:PPA09595 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEGDIGSFYVSLYKAMNRKGSLEWSDVQKGYDESKGEVRDFLVDTILHLPCLPVGLVFFTDYRVMGLIPVREKPMKGEGGRQGLHLQIFYCKNRIRGVEVFVHHVNACRIDHEHPSRAESNKEEPRHETSVQSDTFDGQPPSPPLTPNTAKAGVSRDNTPSRISDKTDNKEESKTFVDQHPTPPITPGSIDDEKDDVESANNAIDIADQEVTEDKMLIGRGGMADFYNSLFQSINGDISIGWKDVVKAYVERSEEVRMLVCETIPHLPCFYCKKHQRGIEVFIPHVNSCRIARGLPVLAEKNVEDPPQHQSENFDGQSSSSQLNSEAVDDQTGDIDSIIHGTSNLEIIDGKLPATPLTPKEIDDEIGDFDSVNNGVHGEANRESGDFCSLGVMDDPLEGRMISDYIAPQAIRDSILQEVDNDTFNWDEEMEGAIFLKPISRSTADFADQGNISSTPTASDDGGKKEGRIENSYTKREDQAENHSNIEQMSVHEGQLPTSTLNLKELISTLDKEKKQGPLMIDFIKTSAAYSQLSPHSKKRLDFLVAKFRPYSWLDPFGGRIPDSLTLFNYLKPFICESEDDAAFILGEIESGENLLELLDGCIPFLVCRVCEQRFDDPEDFEYHKTTECRTSIRGGLAFYRPDPKVERQWMKERLEKEEEKLRSAIESIKTMDDDPSVQRVQDIEMGILGYIAYEHPKTWENLLYERRPELLPKVEVEEVKNVGKKKGKKNKKKGRK >PPA09608 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:202954:203683:-1 gene:PPA09608 transcript:PPA09608 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAPSVPDEYIKLKVVGQDSNEVHFRVKYGTSMAKLKKSYADKTGVDVKALRFLFDGTRIGDNDTPKTLEMEDDDTIEVYQEQLGGSAQ >PPA09585 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:60392:65176:1 gene:PPA09585 transcript:PPA09585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ipp-5 MNGDSSRRQRLLLMTANVGSLFEEGQRLQEPWLDVISKRILSEDADLSVIHMQETGGKRYVECSGQVPVLIEKLADRISGTYPLCRAFLDLNYTSPAYTALGSIFFVHKHALSLVEQFDFARKQFVPLPTANSVEIRADGLDNESLAVKRKFPKHFWPAIKWGRKGYLHTRWIVDGKVLDLINVHLFHDESNLALIHENPSLYSSNRKRALDFVINECVLDNDDDSSIHRFIFGDLNFRLDSKTFLNRLTEEAEPHPMEDLTTHGSQDLLKDGIAFRNDINQTENLRRTVSAIEFRREDGESNENCVLRIGRKVFDYENPYKLQTMWETYLQDDKEVDNFPELYEMPITFPPTYPWSEDPLDSGVFMKTRAPAWCDRVLMNKTAYESIDGSRNLTRSTGDFSSLITIFSTLLARIPDP >PPA09578 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:27457:28157:-1 gene:PPA09578 transcript:PPA09578 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHSPSILFTSSLIPLYREYKTAVKKRIQNEKGILPFVSPLSPAEQAWVIPLVERMPDVVEREELLLQRRPHDEERMKRVMMARDYKDMFDMILEQQQVCNPDLILLPLFRDKFEMLLMERPRERKRIQMEKKREKAKKGPVRMLRPEPSCFLYCPPAPPTQQKAVNSCVTLSAFS >PPA09631 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig180:376478:377021:-1 gene:PPA09631 transcript:PPA09631 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLFSVVLLFIIFVYSVYSQSTGHLGYPLEGAVDMPVWPFAHAKFLTRAPSAA >PPA09606 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:195965:197412:-1 gene:PPA09606 transcript:PPA09606 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVIFHTCICALSCCSNLFLLYLIIFNTPQQLKSYATMLITQCIYELITSISTLIVFPRIVPLGFEGIVRVYSGPCVYLDSDYTCFLIFTLVLVGFIIVAFYMLFHQSRKCENSFVERRHNWRLPLSLQSIAHNVLRAAISQVV >PPA09611 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:210002:211215:-1 gene:PPA09611 transcript:PPA09611 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKFRSKMSQLDEKLQSEKRDLQNDLEQVEDELTRVRQSETSARNKVTLLERQCARLNDEAREATDNISQLEQLNRQWGVSIRGGGGGRSFFIAAFSRPMYAFFRQLRSELNKAVQSRPTANIDADPNNVLLWKQKVELLVNHNKRLREKLQDMTASESKRRRRDEEANAIGASWSPAFKSQPA >PPA09601 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig180:168988:169756:1 gene:PPA09601 transcript:PPA09601 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVLLFYTMLSPIFLLSFTALEIIVSLRPLLYLGVVHEFIHLFIAFDRFLAVIMSRTHKTANTCTGNNFSHSRLTWQTFFNDVEFNNIKI >PPA09586 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:65825:67764:-1 gene:PPA09586 transcript:PPA09586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hsp-25 MATRPTYDPYLDNLKSPLIKDESDGKTLRLRFDVAQYKPEEVTVKTIDNRLLVHAKHEEKTPQRTVFREYNQEFLLPRGTNPEQISSTLSTDGVLTVEAPLPQLAIQQ >PPA09599 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:164182:165190:-1 gene:PPA09599 transcript:PPA09599 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRSTRERERDEKERKKEDEEEVFNEKELKNLKKADKLKTKKKLKVLDCRKEKNGTIWYKISYESETGKWLDKDSSDRIEAILKEKGEGVRKQYLVRWKGWQWVGYDSYVGVEGMNCPTLLKQWNAKKKTCSK >PPA09583 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:50111:50911:-1 gene:PPA09583 transcript:PPA09583 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMNLRSADSPASSTESIQRLLDEVKRLKAENQKLRGKVEVDLSNSQDLKEWPRKDLLKLIAQLESKEVRKPVQAAVKKLQEMGDTMSLHDQLKLARETVDSLK >PPA09602 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:173253:176208:1 gene:PPA09602 transcript:PPA09602 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRGSPMIVLILTLASAAALNKKFSAVAEKCCPAAAFQCCYNAIDFNLRLSCAQIPDVNRTDNEMIRCVQTELHGENDTMETGIGHLGCCQTFKNDQTDPRSMCYVTCRFFLHTPSFNSSEKLYMIEECRLTNPLYTCFNDCRTVHNENKAKGEMLTMYNETELCASYRKKKEEIPSTPTPLDEVQFNQIAEDEPTPVDVEQTTPVTQKVARPPLIDYKAGTIAGLPATPLERFFNKVADLIDLEGHLKDLLDLE >PPA09592 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:133156:134247:-1 gene:PPA09592 transcript:PPA09592 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLPSGLIRPLAIAGCIRNLTMLLPELEIDGYESESDDDEITDEDYIKRSMSDWPVGSLLPVVLFAIVHNGMDTAKKLIRMAELYFCQEEILEVDKLTELNIPALLAEKFTGQEPEIMLNTGFISGFAPEETENGGIRATQTPHSLTVLSVKALAKRNLAVWENKTARIRRNRK >PPA09615 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:222589:229994:-1 gene:PPA09615 transcript:PPA09615 gene_biotype:protein_coding transcript_biotype:protein_coding MTMESVDKWAGKVQQSDNHFFERITGTNERDVVKEADTDELYTIKNLTLNGSRKELEALYVEALYTISHKVGKEDCESQDTLFKYVRSAFKGDQTLHNNLLLKAKNTKPPVVLLNVLLLEARDLVAKDVNGFSDPFAMMGVVPGKKLLTVDSPILTKPDSPSNKGPASPEIRTPDEEGKTKKEKEGVLHRFGGSFRRKINAKDKKGKNATTSEMIPAKLIKASGVQKKTLNPTWNEKFQLLVEDVSSDKFHIDIWDHDDESQCVVDAVSSLNQIKGGLKGIGRYFKEVAQSARADSDESTDDFLGCLDIPLNTIWSQVVYLVSGGYNMPILAWASKSPEKPRKPRMNVAENDSSLLQNIPTQGVEEWFDLRPRSEKSKVKGQVKLKLWLSTREERFGSDADDDLLDVKEHIELMRQFALYEIHDSGPKNQQQARRLSIQAVRMWDGVFPDVALTILRQHAVQGDITDVHKWMALASMVNIDISFSLLYSTLQNLLSKWAPMALDKVNKRNPKAIQFNPKSFKEEENMLSESISNFDGYCKRCMIEHRKRFAPSKRNSGEEFEYLLKCMKILRESEFYQKILPFKRPFNAHVEAFLSKSAEEYFVQVVEQYQDDDPCKELLKILVVINGTCSRFLHYSVPIKNIIRSDYATITLSIFDRMLSEYLTSEMMSEKKADLRTQMRLAAAQDPVDDDGLVDLICIHIAIVEFRNYKLANRVSRGKDETEWNTIFDRGIKKLLEVAKVKADARMTLSCQLDVSIATSEKDMRHSSSHIDVCHIVEQMTVCWERMDPSETMLKVEYSGKLVDLLCSIVISYSNRVLQQLEAEGFAGDLQQFIPSTLLEMLCSAINNCEQVRRSLMINEKLRLDDLAVQYEREGRGRPVWKEELERKLEACDSEICTHIEKVE >PPA09581 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:38410:40031:-1 gene:PPA09581 transcript:PPA09581 gene_biotype:protein_coding transcript_biotype:protein_coding MASVIVLDLYLSDGYLFYTRALEGQGTKVAKAFLVIVAISFFMHFLTIMAWRCGRSRLYLLHAVWQLFLVGILIYILTNVIHAMNFSPPRILIASGYVITTFFSAVILLELWWVFVMVDAAFFEGFYYRRPSSRGDNDDEETQCKVDSRHGAVPIVTVDCVSE >PPA09571 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:288:1544:1 gene:PPA09571 transcript:PPA09571 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIAHCSLRRCDCGLCFEDATVHESLISDDDAAVLQEDVQVLPSGDDFYDGCAHDNHKLDQRMGLESFISPFGASSCTDVTADCAQKTQLCNDPAYQSMVNTYCRKTCNRCFVITTTASPSGCTDVTPDCAAKKDLCSIPSYNSMMLQYCRNTCNLCAAPTVTTAATTTAASCVDVTPDCLNKTAMCTMAAYQSMMQTYCKKSCKFC >PPA09588 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:117819:119549:-1 gene:PPA09588 transcript:PPA09588 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPKLLLLISVLISQAIAVCSIFDEFGCKEGGFCETQNKMADCTCPGEPCLSGPTCKTLLNTTACNNCLKYPCPNTQNYTCTNGYNTRTCDCIAGNTGNNCDFKIGDPCAEVPCLYGGRCASNGAAFTCVCTTDRFGPQCQFSEDPCSDVTCAATGTCNTIFECESQSVRSTKKWNEITRE >PPA09594 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:143483:145159:1 gene:PPA09594 transcript:PPA09594 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSAAKRKNRKSKQSKPQSQPKAEAQPNPEATARKEELLKTFSALALAYRNIDFPPNLDPLPETPSSPITLTDHLLTIVPLMSSTILEINQDTCITHAEVIDRALTVMEGKAHAVQHSIMMVAVQAQTKRSQKLWIVVRQFTAFNGGLDSIPGPSSARTVRNPQHETARIYLRDFERREVQISVRALALLKDLNGEKQESLITRLLEMFEESRRETLSDGRPRVVLSAESRKTRLIIVLQASVARALEFLPTISSRDIRFAIVSSLLQIVRQTERKNLPVSPIFTEPPSLTFEQLKKIMEWGFTLLPDEHQIQGKVVSKIIDRMAAAM >PPA09618 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:290587:297011:-1 gene:PPA09618 transcript:PPA09618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-immt-1 MLRAATRSTVRQSGARLQSSTPTPTPRPTKKSGGGGKKLLATTATIAAAGGGVIGYAYVDPEFRHKVESTIPQSKQVFDSILGQSSLEKTKKSIEDTKNRVIDAIPKRKQTDVIPPLPLEKLPPIEKKAPVHVDPVDVKPPVITEKKLSEEQAKKINAQLEERLMAALAAAERKVQSLTEAKMNTIQAIRKHADTLKQTVDAGQKGDWASVNAALAETEIQAKKDAGAEMDGKNYIDTLKKVINDGKANINSRSNPLLINAMETANKLSHQVDELNVLVNRARQEAQVFTQYKDLIDRSRQQFAQELKSIVPNVDVNAKDKNLNEDELNALIAHAHLRVDQLRRQLAEQQSNIVEAIGIEIPVHLVQENGRDTGSSDIREEEHIGKAIESQKRADEEASAERLALELKRLRDTTAVEVEKEVGRNRSTWEVETEEKLTRASAAHSEHLEKVVRTQRQLFEIEHNQKVEEAVRNERDLHSRHVGAALSRLEGIESALGSRVALDAENRRSKQFWIACHNLIQSIVHGEKAGKDMENRRAPLGQQLEILKQVNGEDSFVDSVISVIPEQAKKTGCYTHQDLKNRFDKLYSTGRRTAQIDTNGGTIGKYAWSYVRSLFLVDLPRRFSYDDKIDPVSIDNLEVLARAKYFIERDDLESAAKILNLLTGEASILAVDWVKDTRAHLETKFIAEILVAHAAVQSIRSTY >PPA09605 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig180:190859:192339:1 gene:PPA09605 transcript:PPA09605 gene_biotype:protein_coding transcript_biotype:protein_coding MPILEILQIAYGDVCEQIFCGFYVYMIVKIFRSKNSIFQSEFYFFTMATDGLCNILTWLSHYFALCLTLGKILTVMTRFTSICMPTTERKTHYEVTTSIVYDISGPGKSFTTLIPKYSTFRSVGQSFTFPLFSSPGTWKPETFGRGKTQDPTY >PPA09576 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:22452:23609:-1 gene:PPA09576 transcript:PPA09576 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLFDINSATSSINSILEQLPRLNQLQVPLFPMNQFNQQQLLGQDSLGLQIQPMMQMQPLNLSHSLYHCPFAQSAAQPNQQIPLIHPPYQSPYITSQNIPASLQLDHNLGASNNLLAHSLWNVQQEMNRQRQAHNLQLEEDRRREELEQHRQNAERRVAELRATSLRLGDREHNPEDWRLVQKYIELGVDS >PPA09575 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:18189:20278:-1 gene:PPA09575 transcript:PPA09575 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQAAMMAGQYHINHFGSAANYNLMGQPQWQQMAVNQFQQNALNLQAQSFHHAQSSSQYHHNGITLQQFGAPALYLARPNQQQFHVPRQVQHQEVQRVNQYYVMNGGGVANLLHHHNADSRMLPQKPPSSRNQQMQGLITLVCRLRKYLEQVPGMRDEIDNELGALGIQLVQPTDGGQQLRHQHTRHESTDADNQPIPRQRLVDEPTSSTAMVRAASRDSSVEKSPEKAQEEENEDDWSELKKEVIAEYRAENNDPVNLAKVYTRECCKCKHLNPARRVAMINCGHMFCFACSRTRGGGGYMLCLKCDRGSTCYNIIEEVATVAPTKRVKREIDEGDNEVASSSKIPRKK >PPA09607 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:199150:201155:-1 gene:PPA09607 transcript:PPA09607 gene_biotype:protein_coding transcript_biotype:protein_coding MRLILASALIVLATARIRLPTYWTGAFWPEKTTTTTTPAPTSPRSMLISQTVTYKHTIYESKEDVEEGSGEEYSSKPYDAYGNLVRAMEFLNKSSALPPTVPTTTSTTPIPDEDNHFLWRERQEKRMSDLFRGNPHHDNRMEMVLARRKAREESGVMKPGYVDGADDPTTKKYTEATLHHPVQYVFVQVEGIQRRRAEYEKALKEIEEEELIEADFINWQRENDARNERRRVYLEMKRQKEILEKYPPRKIKIEEDDHELDGYYEEMHMPHPPPLYLSVKNLYRRKPPSTTRTTPTIPSTTRDPTDGMPASFMCC >PPA09614 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig180:219654:222213:-1 gene:PPA09614 transcript:PPA09614 gene_biotype:protein_coding transcript_biotype:protein_coding MDTELTAVHKVLLHKNFLRIMSAQVAIILQLLKECVDENPGLDPSFYRRLLEAVQVVTDFFHASGKGISMDVLETNPNHMTLVKILTTFQTPTDQLIEKYYNGLLRQQNEAHECKYGILNLRAYYNQNTQTLVVEVLGAKQVIPLDTNGLSDPFVIVELVPKFRYPNQQSFKTKVVSKTLNPVFDESFEFQIPQNLPPAAMLHFTVMDYDYLRSNDFAGEAFLELAEVPGFGTAGVAPNALRQFNLLLIQPVDDNKDLIETLRSRAEDKQAIEFLKCITTTRSY >PPA09573 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:11548:12139:1 gene:PPA09573 transcript:PPA09573 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYLLILLSISSYASASCGSSAPSGGDYAILTVGSDRGLTTLVNDTKVAVGLVLNSPKTDCDASCLYTFEFYGAPHNRKPSIHADREERVIGTDSCGIHSSHQSAYRILCSIEWTVWSYCSDLATLLPQRNWNL >PPA09612 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:211889:212892:-1 gene:PPA09612 transcript:PPA09612 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAIYLSAFLESELNVTKTEAPVAVKTAIIALQCCVNTLRLNLKELEERAEHSHKQLQMANQRRTMLIEELEHNQMAIEQSYEQRMRKS >PPA09580 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:31629:33124:-1 gene:PPA09580 transcript:PPA09580 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPWNNQPDEEASLPLAYDSFLMPPVDPDALLMPPPPIPPMDAFHHDSEFEPPQLVSQVAQPQFDLVQFLAHVPPELQFEYIPPEGYTFFPRQQSENPVMSEMEMDMKFQSLVHEYYYLHHLDRHFENEFITRISFFMDKISECHNQKARFHGLFLTRMENLKLEIGEEGREAELWRIFKQEEWEEEESNAREEGVLIPPQDMTIEEEGDKEKEEDTTNEDGNEEIYDWGGTQIESNRCENNLVDNYEIMLTDSQIPINLLNQSLDSLIREDQEETEEKILRYDYELSDEELSEHKKDDNKLELKEREALDIGVQTDHPPQSRNSFLMKRLVILQEMEDSFDQQYPNMVLHHNFGNNLEDAFILPPEVVQLTVARKPMELEHLILQLDKLEEWIDKTLVQAHNNRCAFTKNSR >PPA09587 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:115405:116635:-1 gene:PPA09587 transcript:PPA09587 gene_biotype:protein_coding transcript_biotype:protein_coding MTATKERRVTNSFYRTKQLNATSPLNPAIKQRARDTVSSRTTASVVCVPQAGLAVTAVQRMIPAPPSPVLMTASAFLQRKNHTPIVSVPGVTRPQIALSKTDASSQRVRRTEALVLRRIDESTNPIVLPEGGGELMQHLLDISKRIQALDSCFTMGEWLNARHRVTDITPVSTLDECKYLCVAMAECAACSFSKCYTCALLGPDTNR >PPA09574 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:15971:17042:1 gene:PPA09574 transcript:PPA09574 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFIFLILVPVLVDADCYNSKVRLICGTEDYVGPLVINLYDDNFFLFGGDKKILARDYQVQKGKLIQIRDARDDCWFYEPYIIFTSYCKKSEGMNVFKEWVDYFRRKFFTTKDTHIYTLCRKVPLEANEITIQLAEEDEC >PPA09591 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:129312:131107:-1 gene:PPA09591 transcript:PPA09591 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLSLKSELIRPMTFAGGKRSLALLCPSLEIHGYPEWSDDIEIVYDTFKECQGHWQMYSAIDHATDLVIFCLENPMTEWPVGSLLLMAFHFHYGGAANDLLRVAQRHFCVEEIDEATKLLHLDFHGDLEIRLEGLIPTKEKSLGIVKKDGNDTDAALTITPHSLFTLAARTLAERNLHSWELQTAKIREMNYGQRGEDEKKMSDEENDEEEKEDEEENKRMEEKVEEDSENSDFDEVDYFSYVSYDSDDE >PPA09610 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig180:208156:208997:-1 gene:PPA09610 transcript:PPA09610 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEPEGIFFRVRRRRLQKKRERRKRYDQLQQRLAVHNVQQNGAESSDSESTSSQKYRLSSSSILSKGGNLRKRSTHSPSPMQTTPTGFSVFINHAVEEERKKWQEEMRKEAVRMKAESAQTVEGALKEQERKMKIE >PPA09597 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:153235:154781:-1 gene:PPA09597 transcript:PPA09597 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPKVNSSRSELSPKGNNAPLLDNKLEGYTEEELKEYRQVFNMFDTDRSGAIDLDELEAAMKHLGLELTRHDLDKIIDEVDQRGNHEIDFDEFCEVMRRLYEKKSSWNDVIRQCLDVFDRV >PPA09619 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:298330:301474:-1 gene:PPA09619 transcript:PPA09619 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIRTGPRQMWELDPEAEKVLANRSIWVRGLGLGRTTEEVMPLLERTGDRTQRVRVYRMDAKDRYGEYVIYATYESEEAAKTNMTRLRSHLHAHHRIIVIRAKPEGAYRPLTQEMKDRNRLRNLTKSDRKQILKKKETSSKVAKIREKLQKMKGTNGSPTSENGSRPSTSKQPSQSSDKSTISPASQLKTVRKDTSGSPVNAQRNGHGHSGERGQSNVDCCDRTAFPPNIAGNRSSHSGDRGQMKHDRSDTKEFPHNVDGKRPSHSGDRGQFNIGRSDAIGFPSNSTRHHPSHYSERDHSRNHSYDSPIDRNGCPLRMETSRYKEDLQLKRKHFDEPSTSSGNVVNIQLREQERPKYAPLSWEDVERDIKRMNKIEEQQGKRRGPRTPSTSPPPQYSTAWPLDDETELILPEGESPILSSMAEFEARVKSMSRRSREEKKRR >PPA09621 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:306239:309145:1 gene:PPA09621 transcript:PPA09621 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSENSGRHLIVIRHGERCDFVFNTPGGRSWVKRAFTDHGAYTPFDCNLPRNVPRRSDGHEGFERDTPLTEMGYLQSKLIGRAMRDQGIQIDHIYTSSALRCIQTGVGIIKGYGLGRGENRELTMNIDGGLYEWMQWCRGVKPSTMEHSDLLKIGYPINTSYKPMATVEELRLDETVPQYYQRSNSLVQRILKKHATGTILVIGHASTLEACTRQLCGGEIRSNDDFFAMLRNTPYLASIHAFEHPRRGWELNGSVVPSLTHSNNYSFDPSIYKLEKDEIKKRAEKDFNFAL >PPA09624 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:335009:337169:-1 gene:PPA09624 transcript:PPA09624 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYLLEKADKERLVGLADQLSEKNKEKVVALAKKDRVTVEDIDDLGREEVDTLPLFAFFSTLKLAPRKVEEYKASAAFKEKTRRLRIRLEDMEYKRIIRDVDRSQNFGKDNLMEGFGKEMKAANKQVMSIFNVVITVVCGFFFGFSGVTYLYPHLEIDLSIR >PPA09584 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:53075:54867:-1 gene:PPA09584 transcript:PPA09584 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGLIEQMRIPRPTLIRSSRLLAVPPQYEQLFGADGSDVPFSPPPAPGAPPSYASMDRPARRSTDRSTLSPPPGYEESRMEESMEMMEMGVERVREHTVIEITEEIIEENNNETSAVPSVVKVIDCSKAQPEVFEIPQNETVC >PPA09620 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:304238:304908:-1 gene:PPA09620 transcript:PPA09620 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKNSNIFRGLISRRRKAWLVIENMQALCLLPWSPLVQESAEHFQQSKNHSKSRRNEQ >PPA09596 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:152080:152445:-1 gene:PPA09596 transcript:PPA09596 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSETGCVTKRDFAWVLRELGDITDKTIIDEIFNETDVDGNGLIDRDEFTYMCRNYMTDDDI >PPA09628 pep:known supercontig:P_pacificus-5.0:Ppa_Contig180:358582:360807:-1 gene:PPA09628 transcript:PPA09628 gene_biotype:protein_coding transcript_biotype:protein_coding MADEENAQTQSDAQRKAAEREAKKAEVRKRLEESGKAGQKKKKGFLTPQRKKKLRKLLMFKAAEDLKKQQLIKDQERQKVLSQRTIPLPAVDGVEDKGKLESIYNDLFDRLCTLESEKFDINQLVLTKETEINELTIAVNDLRGKFVKPTLKKVSKYDNKFKKMAEVNKKEEKADFRNNLKTVKKENVFSMLSNDKKKEKPVWSKKGKEEEKKEEVKGAPVEVPPAEEAPAEQSEAEGFVDKR >PPA09633 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1802:1618:2052:-1 gene:PPA09633 transcript:PPA09633 gene_biotype:protein_coding transcript_biotype:protein_coding GYSTLVGEKGRSLSGGQKQRIAIARALVRNPKVVRVALESSSYGRTSIMIAHRLDTITQCDEICFIEGGKILERGSHSELIAKRGKYYEMTEQQRMF >PPA09632 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1802:393:1407:1 gene:PPA09632 transcript:PPA09632 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEKIMENIKGKGQCTTYWLEGTRDMTSTYRAPTTKEVKWKRPFKLLFSNWKQYFHALLSHIIIFLFLISFVLCYKAQDGEDRHDLRAVSSNIDLDEQNPYEEDERLLRVLHHVGGFTGIRNTWRK >PPA09634 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1803:269:1479:-1 gene:PPA09634 transcript:PPA09634 gene_biotype:protein_coding transcript_biotype:protein_coding DVAGVGPSVDRAYDALAQNINGMLATQNKASSRMFITSAVASVFMDCIEKTRVAIAARRAQAAAAPAAPPRNVPTTRRASTRRIKEEEHHSASAEARAYGALLGWMTPG >PPA09635 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1804:129:347:-1 gene:PPA09635 transcript:PPA09635 gene_biotype:protein_coding transcript_biotype:protein_coding MTCAAPANNHTDFAKKEHLFPCLQEDSGIPTEPFLSAFQGLADFVGFMGTAFAPVKE >PPA09653 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:86532:87037:-1 gene:PPA09653 transcript:PPA09653 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRVVIKCHIPRAAQTLYNVTLMEANRGRDAWFNADREIASKTNAEFLKAYYLKGMMEPEIDKELEPYLFITHKCNMKNLTLEGCFVLPKNTNWPDVFPPPYFHDTTTINVDQLVPCKL >PPA09704 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:312300:313059:1 gene:PPA09704 transcript:PPA09704 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVKTELSVFREAPPPYSFDPQPPTNEVVTTVVVVPKANLQVKFDKKKMTKSKIRNKHNDQVRIDALNLNDQYIIVKNNHHGQYSVGHLLMRNCRIRAKNMHKLHVNIGTFRMEYGSFEAKNVHNATINIDTLEIGNGNIEIKNMHNSTIQIGTLQLENLSIKGKNIHNSELIIGRLIPSANHYSSSVQLRIIENVRVNLKNIELRDGLDATRFLEQLMMR >PPA09672 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:220171:223363:1 gene:PPA09672 transcript:PPA09672 gene_biotype:protein_coding transcript_biotype:protein_coding MKDELEPPACVDARMDEACFAMNFVEDSADSPSSLYRSIAIWSLLNDDETKFVSSGSSVKIVIELWAIENERSLRDFIQNVFPLRIRILNNTEILEGSMSNSNLTVTNHVQSPRYPSAYPRDVEKKFLLQNADPKGIVRITFDDFNIDNQSEMEVFDSDGSYLFSSRSHFRRPPAMESSGRALSVNLKGNGFTQQIGFRAQYEFSNETRWSDMPSDELCDLTVDGYGGEITLNGRTELLDKRIDCIFVIQRRNSLVGRSYDRIFLRIDQFRLHGEDLRLEVREGAYSTGDSLISLYDAQQDDELLAKQPKNGLTVSSDDPAYYIRLRGLLKSTVGLQITYSLYYRWAGPVCPGSSEFRCTNQRCIKAHLHCDGIDNCGDGSDELVCDGSSSLSDVTAMIGGLPNTNNYKQQPQNESDISALLALVLGICGVVVIFLVAVTVVLKVYDRRRHRGRRVPTVDATGAALPHHNEVAPSIQTVGERRFYVLPETQISVIEAPPCYDDALKHPPVPSGHTGAYSNAAFVGGPPPAISPDSTARPDTPVPSFDEELEEIKDHPLHTSLTTIKKD >PPA09698 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:296303:297687:1 gene:PPA09698 transcript:PPA09698 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPLLLLSVATLGWAIYDENNALHRETMRMIEEHNANPNKTYTMKFNEISALSPEEYKIRLGVKELDVVPSPNYYFTTPENFTAPDSVDWRDAGVVTPVKNQGACGSCWAFAAVGALEGQHAIRGRPLTEFSEQNLVDCSGDYGNDGCSGGWPHKAFNYVKDNKGIDTETAYPYDGKDETCKFTKDSVGESDEGYVFVQSGDEEALKNAVASIGPITVAIDIRVYYEPTCSSTEVHHAVLVVGYGSDPEGGDYWLVKNSWAPKWGEDGYIKMSRNRDNNCAIASYASYPIVN >PPA09669 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:195996:196698:1 gene:PPA09669 transcript:PPA09669 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMLIPIDANRAFVPTVEIVTNRWQIDYRYSGRLCSVLANDNGCPRDVYRLASAEWSTIHHTHHSVECAWRVEAPIGYNIEFELVEVSVPVIPGAVCSKDYVEIKFRDDIGLTGARFCHFGMTFTK >PPA09660 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:132890:137131:1 gene:PPA09660 transcript:PPA09660 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pdi-3 MRSLFILAAVVASSVLASDDVIVLTDANWADEIKTLEVALVKFYAPWCGHCKRLAPEFDKAAPKLKANDPPIALVKVDCTIEKETCQQFGVSGYPTLKIFRNGQLAQDYDGPREADGIIKYMRGQAGPSAKEITSVKEYEKFISQDDNAVFGFFETDSKLKDSFFKVADTERDRFRFGYTSNAEVLKKAGYTDDIVVFVPTKLHNKFDPNEFKYDGNYDTDKIKAFLVSETNGFAGVRTQGNAFQFEARPLVVVYYNVDYVKDPKGSNYWRNRVLKVAQEYKRKVHFAVSNKEEYGGEIEQAGLGDRKGSDKPIAVMYTEAGKYPMDQAFSVENLKQFVQDVLDDKVEPFMKSEDVPAEQGDVKVLVAKNYKELILDSDKDALIEFYAPWCGHCKSLAPKYDELGAKLSKEDVIIAKMDATANDVPPMFEVKGFPTLFWLPKGDRKNPVPYNAGREVKDFITFIAKHSTDGLKGYDRSGKKIKKKSEL >PPA09655 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:96591:98096:1 gene:PPA09655 transcript:PPA09655 gene_biotype:protein_coding transcript_biotype:protein_coding MCTEAIGYIAQAAGLTIEPVQFEIPSRRDFAYGEYKNGSWSGALGYLAHGLFDVACLFYQRNDERDRDFHFTSSFQRTQFVMFSRLKTEPMPPTLGSPYEVWIAALATIIAQSIALFIISRYSEDSDNRSLHWHRRWETFTTIEEAAQLIENGELTFVITLDGTFYRQLFTSQDPSFARLRRAFEKGGRRNVTAHSNEEAVRFLQDPGFIFGTHSESSSFQFFRDVCDIFFIYEGLPTQETHFITRKDWPFNHRIDSAIVRSDAFLNQ >PPA09646 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:63702:64440:-1 gene:PPA09646 transcript:PPA09646 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVGLAACGVSAGLFGSMFVPVRKYDAGDGFFAQWVMSTAILFVGFVMHAIKGFPAFYPLAMIGGACWTIGNACAIPIINRLGMAMGILIWNTANCLTGWASGRFGLFGTIARPPANEPLNYAGLLCVIVGGVIFSRLDSKPKNGGRKASLEMITIR >PPA09642 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:37662:39245:-1 gene:PPA09642 transcript:PPA09642 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVVLDCDTINHPSQLAKTSLAPINVYIKISSPKVLQRLIKSRGKSQSRNMNVQMVAAEKLAQCPPECFDVLLDENQLEDACEHLADYLESYWRATHPPVRSPPRIKRNPMENRGPTTMFTPAQMMQGTGGNGMKGGIDPMAPPMLHNPAPPPVMATSIQGGMQGVPPSMAPQQPYLSPPNQGGPPSMQQNVSSTIHPFLFRRTTKLFIHESPS >PPA09696 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig181:290307:293289:1 gene:PPA09696 transcript:PPA09696 gene_biotype:protein_coding transcript_biotype:protein_coding MLEREKEGRRIDCVKGGMEWQIDTINGRLSNLRSLYENLWLTPARFFGLVYITIGLLAIALNSLVLFSLMKRRKQLTHCYYILIFNFALLDCLKGICSILSATKLLRETMDQWSSILDQYSGLVLRFANIVTIVNLMMITLNEFIYISNPLRYSMLVTKARVISVIILTWVMSGIFVVGTSILQADSRNRGIKIDNDCLENPLSSVFKFKENRTFAECIQYETSSTMSHYVFYLSVVVFCIVGLLFTCCTYFFLIKIISKLVQDDAKLKSELEALTNEDHNEKKKRRSSHGTAIRRKKYAIVIGSVIVVYCVFLLNYAISQILQPVSLAYSFFDRNHKL >PPA09658 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig181:104256:105418:-1 gene:PPA09658 transcript:PPA09658 gene_biotype:protein_coding transcript_biotype:protein_coding MLFERGSTAQLLHHMLTSLHPSSILPPLPPGDDSLLPPLSSLLPSTPATSTSPPLTESRADSGPPPNAVFNCSAEACGKSFSSLGSLCWHIQDVHPDEALFECEKCSGTYSRDQYSCHECVAESPSPRPSSVGAERSTVDDEEERRSVPTTIRNDFRIVDGDEGMDFEDGKDESLSSREATEEPKGMTLLSALFQKNENWQ >PPA09680 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:240885:241974:-1 gene:PPA09680 transcript:PPA09680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ran-4 MVKVATKGQQQIADENKETIQQFGIATVMVGGLYFVLGMTYFNMEGWAWWGWAISFIIQVASLLVMRAVSKSKTDAKGHVVDAGLDLNDSSAIGEPCKDLIILATISQFLGLFTNYGFLALLAAPSYGIYKALAGFIIPWFTASPPQDEEKDEREDKKARKMERRMKRMQ >PPA09694 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig181:278684:282184:1 gene:PPA09694 transcript:PPA09694 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSTSVDITVRCAFQSVVEDIKVSCSTDWTVLRFKEHLQEVAPSNPLVATQRLIFSGSILRDEKSIAEVLGARSQDSTIFFHLATTTPQAPTIQKRVTTAPSNPAAGDASSSQNQNTTNPWTAYYAQLSQMQTQEPSAAAAHAYYANYYANYMNYYQQYFGVNDQSLILTLQHGHGVVGAFDAAAVAAHAPNEAAAIPGPGVENVQMDGAAVEGEIGGGGVDFLERGYRLLRLALLLSLVFMYATIERALLVVAVILFVLFVQMRRNQAREDEIARRQAEEARREEERRMRENGNNNDGEPEGERDGEDHQEGIMDAPAVQEIVKSSKWNASVRVYLLSLRHNVLHFSRS >PPA09671 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:206810:215577:1 gene:PPA09671 transcript:PPA09671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-apr-1 description:Apr-1 [Source:UniProtKB/TrEMBL;Acc:Q5IWQ7] MSSRGSHTSGGYENGIAVEEAIVAQLKELDLVRRRNDHADREDLYELEQVYDEIVKNAIARDNDPVRKPKLEDLVEESFNLRRLILHLRGWHPADAANNFYFDTAMHTLIKVTERTYDVEYRKAAIVLGIVEAGAELLVMEVRMWGPMASIDQKYVNGKGRNERREVRKQIASVLTNLTFGSASMKKFVAEYDGFMDVVIDIIENAPNLVPPYANLLRNVSWPSCKGMASLFRATPALTKAAIEAHHRVDDKSVKSTLSAIWNIVGSGGEECVRKVVEMEGCVMMLIELLVTDAQRTLVVEYSTGILKYASAELVRGEKLEHCSHLRHRLITRLLPLLRASSMTIVKNSLCAVSEIAAKDNLSVQTRMTPSAMESLERLQRSNDNDIRRPAKSILSRIGAADYGSGAMSRSAHVGNGRDMSCSVRCDRLLPRRSPYCSPAMGGGGGGGMANGIVPLSMDASSSSHRASSLPRHFNEEMRGGGGGMSSSMYGTAGGGGGQFMMGSPSPLYGNMDTIRAAQQQQQAQLQLQHTRQLQHLQQQQQQGPPSPFGGMAPLPIPRGFPVSASFVPPPPGSFPSTSFAPPPFATTAACAAAEGGQGGQQPFGANGPPTLQYEESEEREDNGEYYEDDEEEDELSTQVTRAGSMESLNEDGMSHDISGFHSNVETANNSCRLSPVSYSDLPDSPTQCAAIRDRENALQLNLPSFPIASSTMGGTSPFGMGPSSSSGTNGSSGGLSSSQTHSSHTTPHATSAEQTPTYSTLNAHYPPDYGTPRKDEVGIAASSAAASSVPSTSHDPGVNGRQDDDEEDDYGVYGDEDILDRSIQEALPQRIEEKTERLNSFFMDSLSSTLPPYRSPSSKGSSRYSNTSTLRDEDVLASAIECALPKVGSLSSPHGTLVQQPKNGDALWSERSTMTTTEEGQMTSIEKKEEEEESDESDLEEGYPMGGSLVGMMPRDVEEEMEAETIDIECSTLKRKPRPPRTSLLPRQLAPPTSTRTARQTTPTSAAAAATNGRPSPRPSSIGRPRPITSTPNSSKSRLSKFPSTPRVSAVALSGTPNGNCLLDATPPLMTNGRATSPYAPSPAPSTPSSSSSSMSRLKKPTASAVVPPYNYQIPTKEEGKDWCDCGAKKE >PPA09689 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig181:264843:265565:-1 gene:PPA09689 transcript:PPA09689 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLMKPFGLFKRAFTNPTYYQSYAAYGTSGFFLAIYFCEWKTVGQYIPLWNARYPVDSDLDSWSLRPSGNSVRYTSKDVWEHD >PPA09700 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:302260:303791:1 gene:PPA09700 transcript:PPA09700 gene_biotype:protein_coding transcript_biotype:protein_coding MNRITTHNANRERTFTMGFHEIAALSPEDHNASEFYFGTNFVAPESKDWRDEGVVSPVKDQKGCGSCWAFSAVGALEGQHALKRGKLLQFSEQNLVDCDEEMDGCDGGDERFAFNYVRDNGGIDTEDAYPYKGEDDTCHFDRKSVGETDDGFHQVPEGDEETLKNVLATVGPLTTAIDVDHLSFQAYKEGVYYEPNCKSDVESLHHAVLLVGYGTDPKHGDYWLVKNSWGVKWGEIGYIRMARNRGNNCGIATEASYPIVQ >PPA09648 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:70228:71139:-1 gene:PPA09648 transcript:PPA09648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-phip-1 MAAKTMSEIKDVDLDKPGVFKYIQVQVTDKSDASNAKIIVRGYERCHYHCDIFDEIAEQVDKKLFKLKPLGGGRIKLDDKKKDICVYGYSQAYGPAKHEDSKELIEKAYPGYSVSISYDEE >PPA09674 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:227698:229505:1 gene:PPA09674 transcript:PPA09674 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGAINCIDYQEGCIPQARVSKVCTELAYEAANKNGAEYFYCYDVTYDRLNVPANMPLAGRECCCNGDFCNRIEVFFWVNIVYIVLKPAEREDDSAWYAAPIPRNLINDDDNLDEEEGEEVVDSAVIDIAAAEAKQNKDVEASKKKKKAAKKRLSKEDTPDAKLPLQTEKTQELSNEDSTLPAAKTGDDEIDEKKDEKKAAKEKGGKEKKEKGETLIDDKEKKTQEPDPKKEEEEGGKQFKLCKPKKLANGRVQYVSMFNENSDYSDDDDEKV >PPA09652 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:83866:85582:-1 gene:PPA09652 transcript:PPA09652 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRKKAANEVEEKPELPEPIEIPELIMNKKALRDEQRRLAKHREEEKEREARREEWKRKATMKEEKKSEKTKIESKKEEKKDGEEKKDDEKKDEEKKDEEKKDEEKKDGDEKKDEEKKDGDEKKDDEKKKEGDDKKVLYLTDGDGDKKKKFEAPKEGKKAAGADPAYQTLANLDNADAFGPAAKPVFKAPTAVKKADAADPQYQTLANLDNGETFGPGGDKDKKEGSKKSKKEKKEGSKKEAKKDEKKDEKKEGSKKSKKEAKEGSKKSKKEKKEGSKKESKTDDKKEEKKEEEKKEEKKEEEKKEGSKKEKKEGSKKEAKEGSKKEKKEEEKKEEGEKKEGEEKEKSKVEDAPKEGEKKDEEKKEEGEKKEEEKKE >PPA09637 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:8641:9117:-1 gene:PPA09637 transcript:PPA09637 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEHNGAIDPDMQVSSSYSSSSGESGRSLNEEVIMMEEEEEGSDGRSEVYLEEEDIEDEDDCGQNLQVDKTEIIFTSDEDIENEDDELEYDRWEEAPDDAEQSDEQKKLYEMIDGPKDTLESEL >PPA09708 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:328070:331282:1 gene:PPA09708 transcript:PPA09708 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGSIQSKTASHIALIGTAGSSSDDESSRLSSQLSSDSLSRHDDPINYSIEQRAMIIREVRATRMKQRASDEVLQLDCSLDELPSQLRSDFDQLAKREKTHAVTIYNIVINSLTGFYERNGGEGTVESRISDFLTSQFIINSTKTRSIFEDESK >PPA09677 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:233040:235244:-1 gene:PPA09677 transcript:PPA09677 gene_biotype:protein_coding transcript_biotype:protein_coding MSEELQQGEADRVARKRFAFQRANKDVMKDADQLAAYAAVYANMAALGCVYPDEVMRRIKLMSDNVEDGDDKEMRSLVLASRGRAHAAKQRQETEDTNEPRHNNNGRHALSEMRENLLMSHREASGIEMIDWASKRLNLRWNMTEQDGETKVYVASILVLAHRFAHSKNVKELAVNAVVDAFLEGGTVTIDGSVALFKGRRPQFTFIDSVTRNLNKAAKNPKLTAGRVTKAIMTSVFDDVNLPFTITTKHVQGWEQQVELRSAELLLAQKTLKKGECVKAKMDAAIDDMLPVSLQRPFD >PPA09690 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:265900:266658:-1 gene:PPA09690 transcript:PPA09690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dad-1 MQLVQVLTKLFDDYVKTTSQKLKIIDAYMFYILLTGIIQFVYCVLVGTFPFNAFLAGFISTVASFILAACLRMQVNEENKDEFNISPERAFADFLFAHAVLHLVVVNFLG >PPA09707 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:318233:325140:1 gene:PPA09707 transcript:PPA09707 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYQGASSSEDAPPQEESQGSSSPQYIEMMPMRVSNGGVEQMGIDGGGGGGEEFPLEEMIGEDTIGMGLEGEVGDVNKTNGDKGGGGEKKSIPPVDEYNMKKKIREFWPVQKQRVEKMSVKYLREASRNQVLPLARVKKIMKIDENVQKQMIAADAPLLLSVASEMFIEELTLRSWEITEESKRKTLQKTDVATASTRHEHMDFLIDIIPRTGIQPRPKEQMKMGGGGGGGHAQMVQTSELEIVREGGPTVGQLLKGGAILAEGVPSTSSQQEFSARLESGEEAQVIQIGKPIPLSRYLNWDWVLSLLPLLITLLILPILPFINLIPPILPTFKFPILPNIPPPISIPIPLNIPIPLHSFVPQPHPNPIILPITVLPPLPLPFIHLIPLPLPINSIPQPLNLIIPISLISLIPLFLPFLINPTPPLLPIPNLRTLSVFPPIWSQLLKVIEERCISEMASSPKKSRKRGLPDMIAHFPHNKPRRAEEMMIEEHKVDHEEKAYTVMNYAANCSSVFEVGREVPNPKDGNEYAVVLVNKRTGDVSAVKPAHIVSFQAVYAEDLEEAIGKKKKKTIDFAKDFGVKKEEWMNAKNSLSLQFAGTKKLRMLEASKRREINENTLEEMRKTAFASEGTLLSIMNDTRKEAMIDEKISLINKAESEVLPKFVNAELAKDVYPLDMFMSKSDAEECAEEVKEMWRKSREELEADGIERISRGCVYEIYKRISTDESESRPHAPFLASIAGSMKYWDVLRSIPNAEILRLNRGMLAKEKYEEMKLPKGVLQKLRLDFLQGNWRLSRGVDSIAVKQTDKERMIAHALALAVTLSPTLMIPITPWSRVLNVNEAKMVKTLEALGCTVFNLPASDAVKYTSIRAARLLSAPKEEQGRRRFRK >PPA09678 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig181:235602:237113:-1 gene:PPA09678 transcript:PPA09678 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEDYSPYFPFVHAHEKFYSVLFGKILKKLRELQITADTVRVVRTAIECSFKPGIKDALLYLGVDSGPPVTALLDTLYAMTRRANAPEEMDDDDYSAYSPYATSLTQMKNEVKQIIQDARNLFDYIPPMVNDEPQFSPRRRLPPDSYVDETTGMRMLLPSGRRQVASGERSFDFSPSCAQTRTSLVEKVLSLSPFRPLSPSILSTPIRSPSAVPLYSRFIPHFHSALKPAARQAAITVSLLSLLALIFHPLLFVLPLAYLYYLYSSPIND >PPA09656 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig181:100002:100864:-1 gene:PPA09656 transcript:PPA09656 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEDKANLFLHYIICANPLAKMIRNNLADKDLLPQPALPMQMLMQLMSSNLESLKPNCIICQKSFSSMGDLGDHMKEHSSSLSALSTMANNNIDLKTES >PPA09686 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:256020:257666:-1 gene:PPA09686 transcript:PPA09686 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQTSRKRKDKNDDGKTAEDGDENSKMKKKGMMASKEKTTATSGRKQAVKGASKDGKQGVPKPVIEAYQHFVKTTSATGIQGLLEEFTDIKKTTQAIGETPKMAFDANPLKNRYKDVFCVDESRVVLRWPEGAGNYVHANWAPIDEKRKYICTQGPIVATIEDFWRMIWQEKSKSILMLCNIVEQGKKKCEQYWPEGPNGEGQYGPVSVKESFDIEHIQWNDWPDRGVPLDVTTCVALIELLVKMSPTTIHCSAGIGRTGTIVGLDMMLTKLRSGQKVSLKNTVIALRARRHGSVQMDIQYLYMHRVLLADAVVKGIVAEEEIADFVGQYEALCKQRGFM >PPA09705 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:313217:316355:-1 gene:PPA09705 transcript:PPA09705 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-arx-1 MALGRLPACVIDNGTGYTKLGYAANSEPQFIIPSAIAVKDKGASSHSSALRSGSTVGKIDDLDFFIGDEAFAPTAANYSVKYPIRHGIVEDWDLMERFWEQCIFKYLRAEPEDHYFLLTEPPLNTPENREFTAEIMFESFNVPGLYIAVQAVLALAASWGGRAADQRSLTGMVVDSGDGVTHCIPVAEGYVIGSCIKHIPIAGRDITYFIQSLLRDREPTIPAEQSYEVAKAIKEKYSYVCPDILKEFTRYDTDGSKWMKTYEGINNITKKPFVVDVGYERFLGPEIFFHPEFANADYTTPISEVVDDVIQQCPIDVRRGLYENIVLSGGSTMFKDFSRRMQRDVKKLVDYRLSASEAIAGGNLKPKPIDVQVISHKMQRYAVWFGGSMLASTVCSTFYYGIH >PPA09670 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:201837:203885:-1 gene:PPA09670 transcript:PPA09670 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTSATASTTASSVAGASTTTSNPPRMSYPREFKLVVVDYFNNNGRNKYRTCKRFSITKSMLNGWISKVDKIRESRPGSLKSGRSGRKPQFPDIEKHLYVEYENEVATSGLKPGNKWIRDRARQLAIDRADGSQASIDLLNCQFSERWLSNFKKRFAIPIGKECQGVLTKEKTIEELSAELGSRTDTVQRAADEGFESRSSPSSSSTIPAFDSSSDKPSVPFNTEEMATELLANSDQLPIHSFYERFPSLCKKKGGPTPRSVSPDASKRGRKVQFPKVEENRTKLGFKISNKWLQDEAREIATTVCPEALDEATRQGKTLFSEHWLHNFKKRFNQKMEAVAGE >PPA09703 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig181:310008:310734:1 gene:PPA09703 transcript:PPA09703 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSKATPQMCEKAVPTNSATPSTHEATTNGINSAAIQIDLQATFEPAKMIKSRVENMCNHQLRIDALNIVDQWIEISNNHNGKYTVGNVSMRNSKMEAENMHNLYANIGTLRMECGSIEARNMFNANIVVDSLNIGNGRIEIENVYNLSIEIGILHLENLSIKGTNIFNSVLTIEKLIPSSSHQSIGHNRTLHDIRRGCGCTTIN >PPA09685 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:247416:249552:1 gene:PPA09685 transcript:PPA09685 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVDAPAAHDINEIRTTFVHNLAKGHTRENSLKIAQATVNYIRRIIALEKYENIEDLLNVLRTHLRYSISLAPTELVVRNIFLIAMKLAREENMRVLVGVDKEVGNPYDSLNTLWKAEENSANASATGKKMRKGLAATIKEILVELDSSRELIVSRAVLQLNDTVLTYGVSSSPTLRFFLESARRTIKMRQILSVSSQLDDHSGPDFTSSISLRDVAAAMTDVTKVLLPVSVVFPDGSALMPAGSLSIAHAAARHAVPVYGLAAFYKIAPYYSADAASAVNAQAGIGLPVKLADSLRHRVILSPVFDVVPAHLLSLYISNSGAVLPSHMYRLIADYYHPEDLTEEL >PPA09699 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:299560:300863:1 gene:PPA09699 transcript:PPA09699 gene_biotype:protein_coding transcript_biotype:protein_coding MFRINTHNANPNRTYNMTYYNNISALTPEEFKKRLGLRMPSVLPDTSAYKANENFKAPDSFDWRDSDSPKVVSPVKDQKACGSCWAFSAVGALEGQHARKRGELVQFSEQNLVDCAGNFHNHGCSGGLPDNAYEYVKANEGIDTEDSYPYEGIDDTCQFKKDSVGETDKGFVDLPSTDEEALKCSALTGPSQNAVAEIGPISVGVQCRLETWRHYRGEQGDYWLVKNSWGVGWGEEGYIRMSRNKDNNCGIATFASYPIVE >PPA09638 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:29988:30831:1 gene:PPA09638 transcript:PPA09638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EIR8] MAAPAAPPGPPSGGPSPAKKPPLNLTNKPGEPAFQLKLDPLNEVVLTWTKGVSSHVDMKITNSTSDWQSYKVKCTDNNIFRVRPPLGFVEPGKTETIKIFQSSMTLPEANRHFFALYHKKCTADDSKKQPRTLWKSDTKPDGVVRLLAVFSSTAPPSISGAIPDEKTEGSKMDAVRETKNDDKKDEKKDEKKVR >PPA09647 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:66350:67553:-1 gene:PPA09647 transcript:PPA09647 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKFIGRWNSASSENFEEYMKECGVGLLTRKAAANIKVTLEFKKQGNKWVCAQESTFKNTSLEFELDKEFEETTPDGRKYLTLVTITPEGKFIQRQKKIKDGDKDSTITRHVDDNDILNVVMECGSIVCKRTYTRQK >PPA09640 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:34222:35658:1 gene:PPA09640 transcript:PPA09640 gene_biotype:protein_coding transcript_biotype:protein_coding MREMEYHKWEMHNKKKVMKEDLASQTDFISPFLTPHFSIECQTDDVVVRTKEEIEEENKMRIKEKEDDEKKWKEEEERRKKETTVKTERPSTPVETKPNGVLTIHTGTRPSVPTAAAPEGTLLMKASPIATPTAAAAPATPTAATVAARGAETAGGTPKYTLLVIAIAPSIASSSSSMPTLTPAVSTPSTPTTSKPPRVAGGSGGGGPSVTSPRTLPLVPPQLPPGLFPNGLPNAATVVGSPAQAQAMQMQMHQMMQQQQQMAMMQQHAAQQQQQKAAAASNTQHKINELKAKDDSGPSTSSGIAPHPSMPRPTAAPSMPVPTPQTPQRPPQMQQQPQFPPGQ >PPA09657 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:101810:104096:-1 gene:PPA09657 transcript:PPA09657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sem-4 description:SEM-4 [Source:UniProtKB/TrEMBL;Acc:Q27ZK0] MDLSGGSLPPHHLTPNPFGQMHHQSSQFMPRPPPEMMMTPNGRIPVDSILQNEDDWESLMEISNTDEAEKVRALVGDNPIPTTDPNQCILCRRVLSCKSALQMHYRTHTGERPFKCKICQRAFTTKGNLKTHMGVHRSKHPFRPPGMGGGGGQMGGGPIMGNGGIPQQQCPICQKRFVTVPQLQSHIAEHTQRLIPPTPSSSSLPPFPTSAIPSSSPSAPSPLSSLPPFPFLPFLTPPNPSAAGPGGVMHNSQLHSFMQQLLAAQQKAVGGMRDGLDTKEEMITEMEESSPPRDLPFPIKTEDEPTIIERQSTVTIESLLKTQQETGTGVNSISPGASSDGSSGVHDQTDGSSISHASDTEEHRMGQLPSSSSSTGPIATATVSIKDELVRPAEAMTDGEKRSENPLDAMKKMWAETEPPPPRPMPPLSKHQCAVCYKHFSSSSALQIHMRTHTGDKPFKCEVCARAFTTRGNLKVHMSTHMTMHTPSRRGRRIFDPALEIGSPNGLPLPSPSGLQIPPHIAAQIQAMSGSE >PPA09649 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:71795:76892:1 gene:PPA09649 transcript:PPA09649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cids-2 MVHLSAELATKRLQSANATQDGIESISTWILHHTESIRMLADCWLKLFRTEAEDRRVVLFYIMNDVVQRAKKKHSDVVCSTFEQPVILAVSLGRFSEKLRNVMGRCLKLIAECTAFSAAGLDKMNRMIQDPNGDTDDGNYEIDVMDLAKKIEAFMKSVTAISKGFETLKRAPQDLEEQIQTRMKDRKEGAILLKETRTAILRLERFEEAVENNTKRLYEFVDEIETAKRLFNMQLRDVAVVEDAYVKFGQGVREVQDEVEEMVRTGVYPAGSPPRDAPSPSADDDVFSGGVEQVLNKMRPHDVTDEADMDIDDDEPPPSMWEKKPDAAPISTSRPSLVERAAALAAHMPSLASHMGIDPRARGNNSGVASTSSTPSTPSTPSLSSIAPPPAKKPAIDSAALHQVFLMAAANIPGMQQAQKAAGYPGAMPTTSAASATAPPVTYSVPPPAFAPNVPPPSQAAVAQFMALQQQQQQLGGGGAAAPISVHTLPPSVPSAPQISPYSAPPPGYPPMNSGTPAAQQYMNPPPQQQQQNRPPQQSHFSPPAAGGSYQMGYGGATGGYPQQQQAAASQPPYGGGQQQGQKKYSTMPEPSTPSASASAAAPATGTPYTPTAPGLMGRKASDGGGGGGQYGGYGNRQEEQSEDAYQPRPRQSSFEGGHDVDYRNQYPPRGGGGTRPGYGRGGNQGGGFGRGGGFQRGGPRGGGGRGGFGNQQNYRGGFNNQGY >PPA09701 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:304198:305960:1 gene:PPA09701 transcript:PPA09701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cpl-1 MTTRATSLLLLLALVATALSYNLRKEKTIKQKIDEAFSKWEDYKEDFGKTYEDEEDESNFMEAFVKNVIRIDDHNKKDKSFELGLNHIADLPFSQYRRLNGFRRSFMDRRESNATRFLVPYNVEVPDKVDWREKGLVTHVKNQGMCGSCWAFSAVAALEGQHARASGEMVELSEQNLVDCSTKFGNHGCNGGLMDFAFEYVKQNHGIDTEDSYPYKGKDMKCHFDKKHIGADDSGYVDLPEGDEDALKAAVATIGPISVAIDAGHHSFQLYKKGTECTTSPSALPKDSTME >PPA09666 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:172017:173904:-1 gene:PPA09666 transcript:PPA09666 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRFLFLLTVCVSVSTALKCLQCDRNDGWFDHDVNKKKAEICNLGLMDPTPGSNKTHTHCIVDSFRSGENPRVITSRRCGTLEDISGCTLYNTKSAGDEKNRKLRHLITTDGSSKKPARRTVSTYVEVVCSTSCPDGACINSSSSISLLLLLILTAYRTLN >PPA09692 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:273333:275144:-1 gene:PPA09692 transcript:PPA09692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rps-21 description:40S ribosomal protein S21 [Source:UniProtKB/TrEMBL;Acc:A6YNB9] MSDIGDFFRNMPLWRPLTALIYYPLNPQTGFHWLMMIYFLYNYSKSVEQGVFDGRPADYLFMLIFSGIVCSGLCFAASIPFMLEPMVMAVLYVWSQLNKDTIVSFWFGTRFKAMYLPWILVGFNAILRGGGINELLGILIGHTYFFLAFKYPQDHGGESLLRTPEFLYRFLPSEQGGVHSFGGSTVEQRRAAGAGGNGYAWGRGQQLGAARDLDEYKTKMEDDSGKTVELYIPRKCSASSRLIAANDHASIQIDFVDVDPETGRMIPGKVNRYAICGEIRRMGESDDALVRLAQKDGIIPRNL >PPA09687 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig181:258180:260173:-1 gene:PPA09687 transcript:PPA09687 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVKITKKEKIVPKNRKEESEEEVEEEEEDEVLDLAGSDEEGEEGSENEDEEEDEEMDEDEEEEGEEEVEGDGDGDDDGDDQEEDSEVLSGVDEGPEEKKETKKRKGVLKTDVDPEAKQKKTGVIYFSTIPNRFTVTRMRAEMSKYGSIGRIFLQQEKRRGSAGKVVKKYTEGWVEFHKKGTAKSVAATLNASEVACNRRSAAAGTFWNCKYLHGFKWIHLNEQLIYERKMEKARMTSEINQAKRIAEHFTENIEKGYHLKRLEEKVLKKQGVWEAFQRQIKQRSIVKGTKSKKREEKSKGYDLQ >PPA09663 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:164321:167257:1 gene:PPA09663 transcript:PPA09663 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGKKEEPTLISAMYLEYIGDGKPKTSVAEQVCKAYNVDKMALEGRWFFGRCSPLDCTVWLCNRSYLTAGSFLVCQPSFLFPVTPYDWPRFMLVVRTIGGSIEWFHQGWLIDELNNAMGRRELEGFKRREKENDLMPTLPHDPPPVVAYIIIQRTKEGRYYIEDAEKSFYLLPDLLNHHCSNPITFNDGKQTITLKETRPPLPVLEDGKPVENDNSTYRCPPPPPLPVAIDDFSGADILPELVKIPNVRIANTQMRIGKKPLVTSIDEHSPTGEAKRGRSETRCGRVAVIIILTVAHFCLNGRWHQVSLRFFSKEFLVMEGVAEDLLYVDSKSRARNQALSELNRLKLNLNGFEYVAQIVAGDLNEKVYAWLAYEFVPGMPLDALLEMRREDRDAIAMRQRYEIMGQARSLEGCDSSNRMDCAIDISTRGMWYGRLRKIVMLESFAHLRVKITDYMVPYSFLNKANARHVNMVKLAEKTNAYLWWSPEAVSNERIFDIKADIMNDGALPYSFEKPPITKITALKTFFDNKQSMKLTEDAAEPFMNQVLTRCMNRDPKIRPSFKVLNQFFHDLLFNSKLDPRQVIEKAFSDGDAIITTELTTY >PPA09682 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:243383:244236:1 gene:PPA09682 transcript:PPA09682 gene_biotype:protein_coding transcript_biotype:protein_coding MEARYKIELRERDPAKIDQLYLDNCQCDKIEGLSDNLASLDTLSMVNCGLKTLEGLPILPELVIFDISQNELDGGLEILADKCPKLEKLVLSDNKFVTMEQLEPLKALTSLSVLDTFQNDVEDTDDYRTKIFEMLPTLKDLNGHDINGEVTEECEEEETGGEDGEDSDGGDSSDEDDGPGLAYLQNSHILDDEDETEDFEAVEGDDDEEGADGAANTRRGVKRRHEGGDDEESNQ >PPA09645 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:41000:41941:-1 gene:PPA09645 transcript:PPA09645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ccb-1 MEKVADYKAVAFSICTNVEYDGSLDDDSPVHGCAVSFKIKDYLHIKEKYNNDWWIGRLVKEGCDLGFIPSPVKLETLRMQQQKGGAKFKQSTSTSNLGNLDAMMPRSGSRGSSPPTPGIHDDEHKNLKNVVTTPPTKEKKKLIFKKQEVLNPYDVVPSMRPVVLVGPSLKG >PPA09636 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:4184:6435:-1 gene:PPA09636 transcript:PPA09636 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFEGDIPEKEALNDTPKEFDEDEDQGIGSKDGLDYVFSHYFGIFLTSTALFLGYCIYKRNDPWINPQIVLPGYVSGLFWGIAQSSFFVANDNLSQAVSFPIITMLPGCIASAWSILYFREITGHRNFIILAVAMTITLCGAAMVGLSKTFMRNPMKCRHRLFL >PPA09675 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:229598:230590:-1 gene:PPA09675 transcript:PPA09675 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQMDEVRVYRVDDYEDDDFSSLDQGKRDVIREIEMEDMEAGRSIDTLIWIEEAYEVENRGASFIQSAEEIDEVMIFPIEEEIDWMEDSHSLSEDKRAVALEEEEWHPIRKSTFQPLLKENDLQRLHSAGRAAQARPGSAVVVCQNANRKACTSDQKVHI >PPA09651 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:80062:81033:1 gene:PPA09651 transcript:PPA09651 gene_biotype:protein_coding transcript_biotype:protein_coding MHMWLCSKGTQACINAANTVSGIIGDLDTTILFATSGSLNPAAHPGDFTTHREEVIKIAKALIEDTKALVSGAASNQEQLAVAAQNAVRTMVMLCEVVKTGALSLSADHNTEAQVSVMHACRDVAAALSLLIHATKNASGKSMKDPAFEKMKFVTKTMISNVSSLLKMVKSVEDREHKGTLALEAAEEAIYQEIQACKSHFTIMGS >PPA09673 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:225006:227142:1 gene:PPA09673 transcript:PPA09673 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVKNLLGSKNKKKRTQDDDGGGTVAGDGGGGGKARTKNKRSDKEKKAGSVEPKKKAETSPAAAATVADAAAAPQEGAEGRPLVRKKESKIQPSKMQKNDKDATCMMQPDTDSKDEKKDIKDKDGIVDPERDTKSITGRDPDEMTPEQMNNLRGYIKQYEALGVKGLLDEFETIKTYNIVPFDTKAHELNTSKNRYKDIFCIDVTRVKLNDGKDGDYVHANYVKNEPDAKNEKDKEKYFMNDFITTQGPIEGTVNDFWRLVVQENVGYIFMLCSIVELGKKKCEVYYPDTLKETVSYLDIKVTLADRHEDGHFINSKLILEAPGKAKRYVYHHYWKNWPDRGVPITALAGIRLLRHARLSKFSTIVHCSAGVGRTGTLVALEWLLQQICMKPPPYDMKEMLKYMRNHRAHAIQTAPQYAYIAFAVFRLMALKDTAFVPQFHKFSVDMQTHTGVKIDGGAPAAPAAATPAAAPPPGP >PPA09681 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig181:242240:242861:-1 gene:PPA09681 transcript:PPA09681 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNPEYENIGNQFVSHYYTQFDNGDPVARAQGLSVLYDPENSYMTFEGVQMKGQQAILQKFQSLTFKSIQRAITKSDCQPLYDGSIMVSVLGQLKTDEDPVQSYNQLFIIRPNNSSYFIANEIFRLVLHNN >PPA09693 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig181:275744:277895:1 gene:PPA09693 transcript:PPA09693 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGKEVVAPVEAKSSSLARALSVKTVDLFCDAAESFEKLAYMTAELDDTAQHRKSPDISMIEEIGQGQELVDERDLASKKVHCDNLTPAFTGPILRVQLKPRQGADQVKGLVGVPIDNNQIAHLEREIEQLKNQLKVMGTCLAEETAKNTKLSRLLYSSKLHHAYLEGKCQRAVLAKEETEKELNRYKIVASDLLGNLTSQLIGRVTTLKNAESRQKDVQSSNERKNKKIFTSSNESIVCHSEDLVEDPVRMCEESAQYMRMCNVICADPTIIKKLGPGGVPDQIYSIPKRTDRSKREKIPEPIRIVTV >PPA09662 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig181:141455:143778:1 gene:PPA09662 transcript:PPA09662 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLQVERVVAHQVSRDKKDDGEEHTEYLIKWTGLPYSECTWEDKRLIPRGMIEDYEERIENAKLPSRSAHVLKRRPKFVKLEEMPEYLGRGGKQKLRDYQLEGLNWMLAAWCKNNSSILADEMGLGKTIQSTSFLSSLSSIHDLHGPFLVVVPLSTMAAWQKELCQWAPGLNVVTYMGDMSSREFIRQYEWYAAGGKKMKINVVLTTYEILIKDKSFLGGVEWAALVVDEAHRLKNDDSLLYKCLSNFRTDHSSIVYRNLREWIFEG >PPA09639 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:32814:33225:-1 gene:PPA09639 transcript:PPA09639 gene_biotype:protein_coding transcript_biotype:protein_coding METIAHRDAAEELEKAKFKKAAFSIQANSDFDGSLEVDPPLPGRVISYKAKDGLEIMEKYNDEWWIGKKDGELGFIPSPIKLQSLRKQAQN >PPA09654 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:94563:96183:1 gene:PPA09654 transcript:PPA09654 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAITITSMECSQQIYPQDRRNLSQFAHHYGYFRYPEESKCIQSDGQHVKTLAVLTDFLSSYRDSPHFASVHLSITKSSDTVEIDFALASWFDHNKHLLDRTTIVITAASSPLLSSSTTHKYLLDARLPFLSISPPDQVEEKSQDIRNNSSALISSSKVFSTDLLGANHRSTNCAEAGIGAKHCMCVERRGALTNPIDIQDVVDITDSIVTWINEKTLKYRFLCMTLAVDEVVEATKMSLSPEALRLPIHGKSERFFIEEAFTEYKILFTTKPGRKLFEATVVYFSAERTVEIDENSLRLISEEEEGDCSRAFPSVAQFCSCKPRGLAFMSRLFFLG >PPA09641 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig181:35850:36715:1 gene:PPA09641 transcript:PPA09641 gene_biotype:protein_coding transcript_biotype:protein_coding MMPMMNPQQAAAAQQVMQHEFMRQMYLSTLMNQNGQGAQQQQQQAQQQQQQQQMQYPTLLTNWSPSRLEDYCMQSIH >PPA09643 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig181:39432:39687:1 gene:PPA09643 transcript:PPA09643 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFGRFLHSKSSLLWPSHRVRRFIMNSVYLMLFISCLLMVVVSRAVDEDVKSTVVGHWDTVEYRSITDP >PPA09661 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:138391:140559:1 gene:PPA09661 transcript:PPA09661 gene_biotype:protein_coding transcript_biotype:protein_coding MWDPDSGESNSSSGSGDEAVNEDSRDPFERTAAEESKDDETKDGEEDDGEHSSDGKGEADSDYEDDDKAASVKGSDDSEEEKDSEDEEESEESEESDDSGPKKKKARKVTRKIDDKTIKLLESENYLRRSGRQRTEVSETRSSSRRSTGARKRKIDSDESEEESSSDWSDQPKRKKAVAPPKPNKKTSTAKGKKGPSYTSGGRKRVDYRENSSDDEVNEEDVLEWKEEEPELEKSTISNGSISGGDTVEKVLKYRLGVASATGPSTTCYNVEEKGDPNEGGEKNERQFLVKWQGWSHLHNTWESEASLAVAGAKGEKKIANYIKKLREVEEWRRSADKEYIEYYECEQGMADELLDEYKKVR >PPA09695 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig181:283931:284744:1 gene:PPA09695 transcript:PPA09695 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTCQILNALVWVSFLMCASNLGVLIGTFIFPGIFPYLFGRHGTMILAIVVLPIFMRMKLKAEKFEILSAGLDRRLSVSLGCFGLSSILFGVAFFSFNSLSLLNIYSHLTTLAVTTLMLMFILGEIRTPEQTSAGYEMFVIMLVAIVRMMADSLGRPYRYKYYY >PPA09683 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:244562:246040:1 gene:PPA09683 transcript:PPA09683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MBD-2/3 description:5' methyl DNA binding protein 2/3-like protein [Source:UniProtKB/TrEMBL;Acc:Q5MK08] MGRSRSEADPQAKRRHHKAKKDTGNNSGKTGFDGLTVAPWRKTVSIFKQPVTLVHTTRSRDNKKPTSEQLKRGSSGNQHKPVQMMWAKSLEGIEAVVPLHIADKIDANNESLPSKLELPARVAPAASFITIEAASASLCTAIHNPMGGIVSGQKKDGKSIEHNPRGTMDTDQPLIAGMVVMEDDVKTQERRVIDARKRLQEIRKHFFTSP >PPA09667 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:185364:186664:1 gene:PPA09667 transcript:PPA09667 gene_biotype:protein_coding transcript_biotype:protein_coding MNGWNSDRGHLRVQKVEKNEVHPHSDSCGFLGVNEDGVHVFTFDQNLFESYRWENFFFSSSSSADATVIQFDHHTKEHTFTAHLVAAAILRLCDYFALRSRGIRR >PPA09688 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:260551:264083:-1 gene:PPA09688 transcript:PPA09688 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHLDDVFGESYACIEQGLCYDDVGQKVEAVSMYERGLILLHEGEQMEGAKDNELYQYIKAAEGSARQRMAVLQREIGQSVRTGTSELSKAKEAEFKGYPSLPSSPFGEEEAEMVLCIPEGVQLFSIDDNWTNAPTYPSPLSMFKFPPRQPAPPTSSTAPPSFDSLRPDAIIQVGPWTYPLFKGQTPVLVNEFGAYVVSNPTPDNADLSVAILLPADLDDNIKKELNGLLRELAVLRESSEAEGTKERLSSEEKKRVSERIARFLMKSSEKVAWTVQKTSVRTASLVHQKSEKWRSAPPTDKPMLVSPTVKSGVVYVHKGSKVVAKCTRYLLDKIGDVGVSVGRKVANGAKSTFGDGKGGGVVAGTITVLGGGIAGVSTVWIALEDASKTLCRSIADDTVGVVKVKYGDDAASTTHHTLYAAGHGTLAAAQLWDLGPRSIAGRMARKAGIQVVRDLDSSKKYEKKPLEDEDEGKKKQ >PPA09668 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:186695:189857:-1 gene:PPA09668 transcript:PPA09668 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSVLLLVQQSILQTSGEKFRDTGYEKLMDEQALINRLISRESDYDYRVRPRGTLNPISSDATAVDVHANMYLRSISKVDDVNMEYSLHFTFREEWVDERLYFNSPILQHIVLSPEQKIWVPDTFFQNEKDGKKHMIDKPNVLIRVYNGTGRILYSCRLTLTLSCPMRLVDYPLDVQTCFIDFASYAYTTKDIEYHWKDSKPIQIKDGIRESLPSFILSDVRTNSCTSVTNTGVYSCLRTEIELKREFSYYLLQLYIPSFMLDKDSVPARVTLGVTTLLTMTTQASGVNANLPPVSYTKAIDIWIGVCLGQPFIFGALLEFALVNWAARQDIVRNQYRTRHQTNMLYRLQSEANNHSAYNGHHYTALPMEPPRMNNNGHSMVIRQVVLSCNHLLSNPIALTQMGTARVGLSWWGSLWQNEYFPTRVCTNECCQSIKLIYA >PPA09697 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:293785:295460:-1 gene:PPA09697 transcript:PPA09697 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLVYLNRIKSIDNELNSSEEKSEDKKIGEEIDNVLQTLKENSAEDKNAVESKIASAPVLSSTSSPFPQPQIPFPWQRGLVQQEQQLNPFLRVRSAPTQFAHQPVVGSAYLQAQYPHYPYPILPQHLAQLRRDQLSGDELTVLASLPYGQQVGQAPASVQLLPVGGRRVVHSGAPHLSALDAVPFRAHGVSTHRGPLSDNKLVSISVFRLGQ >PPA09650 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:77375:79657:-1 gene:PPA09650 transcript:PPA09650 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRKKYTEKKHDEKKEGKEGSKKSKKEKKEESKKESKSDDKKEEKKDEEKKEKKEGSKKEAKEEPKKEKKEEEKKEEGEKKEGEEKEKSKVEDAPKEGEKKDEEKKEEEMASWFGWGGSSAPTDTGSAPSTSDVAPELRLYGDDLRPSGHASFAGGNPQQQAEAAPPTIDYSPAPVLALDQLKHAGVPLNRQMTPYLQIDPSMFAASQPQYIMPDGGVSGKGRFEFALGHIGWAVAGGFGVGSIRGALPEMLDKDTKTLRGKPWLTRMANATVKHGSGFAQPAGVLVFMYSVLEIGLRQLRADDDLNSIGAGALAGAIYRSPQGARAMAIGTGVGTALATAWIFFNEDSRERMANMFSK >PPA09706 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:316953:317985:-1 gene:PPA09706 transcript:PPA09706 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELAVNSSHAFSLCQYLSYSRGERASRVETVKDVITQQIKKAEEANATLKMNLSEIQNIELLKAVAQFEKEIHDKKAERTATILKKSSLEHNTSVNALKQRMLSTEEQLANETKFRSQEHKRISDMEKAHLEMEKRMRDISDQLALKEAELEKKFQSTNTYLNMQKILQSKNAIIQRMPF >PPA09659 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:127948:132089:-1 gene:PPA09659 transcript:PPA09659 gene_biotype:protein_coding transcript_biotype:protein_coding MTESSESGAGKIALKIKTTAVQYDVEVPEGATVGDVKHALVDKTGNSVEKHTLIFSGKILKDAETLAQHSIKDGMAIHLVIRTPAPAVPAPAHSAAPTAAAPAPAAPSTPSQQQRPSPFGGLSGMGAAPAAGGMNPMAMLQNPEMMQQMMNSPIMQSLMSNPQVLRSMLSENPQVQQLIESNPELGHILNDPEMMRQTMEMMRNPNMFNEMMRNHDQAIRNLQGIPGGEAALQRLYQDVQEPLLNSATSSFGGNPFAALSNNANNATSRSQNAGVENAEALPNPWGAGGAATGNAAGAAQGAQGGAARGGAGMGAMMEQMMQAMGGAGGAAGGLGGATGANGIMEMMNNPAMRQMAAQMAQGLASDPATAGLLGGVPPSVLTAMGNPRVIEAMMQIQSGMEVIRTEAPELARDMFGQSAAMMEALGRHAGGAAAPAAGTDGAAAGAAAGGLGGIPPSVLNSLFANMQMGMN >PPA09691 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:267175:273323:1 gene:PPA09691 transcript:PPA09691 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTINGTLHPSNKNCIDWSERGLIAFASHSVITIVDPWTLQRIQVLELHNSAICELHWCPSRRFLDEGESSLLASADISGEIVISDIITAQAHARLRIAGAQVWSHTYSTPYTRFITDPFDASRLCLLSSSNQLTIVSDISLNASPLSPGVTVTLPKDGPIQTAVFHRAYRNQLFVVADNTLYCINAEVGVILSKRPLDSSPLSLLPSLTRDALYVVHSNGSISLRTGRVSAEGECSTALVCSVEVGREGGGQRRPIAAALCPTTDAAVVVLHANGRMAMWQLENKSITALPYRSYTIDDVVGFNEALETTVEPPTRLRLTSQLSSISAGATLLRVRPLSTEMEDAWSGMQLSVVGTQHGKLLICDLFTARILKELQVQTSPIKNLEWAGPHSLLSAGYNHALSSTNLVRNDLVITDIRTGTSRKIRPEKDESPLTLMRLSHYNCYMALSFQSDPLEIWDLKSLRLLRKMSKTCPIIVDMSWSSKHHGVRQTENISVYRENLVVLDSDNRLYHVVVKGLHVRDGKEVNTQWRGGGWQLRCMSWKEDLLALGDADGRVVLWNLGRRKSTEARNSKTPCLRMSFSRVAQDFTLIVLHPREVLVWDAEKMSLQHAVSLDAHKSVIDVELCGLTLFAICSDNCVRVASTTDVNRPLLDQEVPLLGDSSRGGQELSRPGSPSSQWDAKKLPCPVSTRSVLAALAVLSDRMKDASPIRKEMALSRFLGRISSFSLLSLLDYATNKKELLPHLQILWPEDKYQDREKLLSSALCRLSPSQQCIEEAVVLGGMSREAAVDSLVSSAENLLVSSLKGALLVSQQEGEHTKSLIKLIATNLIASDHMSDGVQLLFLVGAGADACKYLQSQRMWYKSVRYAKLGLHGEALEDVMTKWKNHLQMDEKSLTVLVHASTGEWAKENKQ >PPA09665 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:169865:171649:1 gene:PPA09665 transcript:PPA09665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-kri-1 MAEGTRESGTGLQEEVANVIQENHHIMLTQPQSPLYPVHSLEVRSARDNRRSEPHPHISGSIVENQKTEAVSKKLDKAGELRYMRDGCIQGGGTVTYEMHSAAKNNDAKRIELLFTSGYDLNLRDDSGWAPIHYAAFLGHQIALGALIHSGCDVNILNNSGSSALHLAIMAVQPFVVELLLLHPKINRSAVDANGCTPFDLGMRKKQNSVGEELGKLLFLLEFLEESPKILVTFPDRQSAMMKMEDRKDTRADTLLYEVLTQDTAMKASEQKAKELMSYFSICIVSGDKGKHPPCIQLESGELPARLATAWGEMSGGSCSIEIRRNQLMAIEEEKNVSE >PPA09664 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig181:167754:168537:1 gene:PPA09664 transcript:PPA09664 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQAKGKTPLDDVEVEIAIVQMREEIVIWLSKDSVQASFLRVTVQAIRDQESSIERATLEAVKKALPIPSWRFVFTIPRAEKKKSMGDSSSGSSSYGGMERERNSSGKPLICLTLPRESSLEGIAPRCEPYTLKKMADDSRDKEYSKMYYGSSTISGAIYFMKYVLGSTKPY >PPA09684 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig181:246321:247034:-1 gene:PPA09684 transcript:PPA09684 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLCRILSSSRSVAANLTRGMASSPLTDMLGKLNHVAIATPDIEKASQFYRNLGANVSEKVAQPEHGVYTVFVTLPNSKIELLYPLGDKSPIQAFLDKNKAGGMHHICIEVKDINEAMSLVKSKGIRPLAEKPKIGAHGKPVVFLHPKDCGGVLIELEQDRPTSIVDRFQSMN >PPA09676 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig181:231380:232997:1 gene:PPA09676 transcript:PPA09676 gene_biotype:protein_coding transcript_biotype:protein_coding MRMELLPKKFASYCKTDGIDPLCPSCGLHVDDPNTRRSHAFTSCAIALVESSPTRIVQSNSPLTCSLHIRKGNGIIAEVEEIYPIDDLSFVDSDQSHASSRNMVALTLESHNLCKPIETTTEMTIDAAASLLARANEEKMMKTSKGQKERDTVSMKKKVETPKKDSSPTEMNTAKEPEEGKNGNNK >PPA09702 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:306904:309169:-1 gene:PPA09702 transcript:PPA09702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dnc-5 MDEGLVVVKLSPDEYTQTNSGNKIFKNASIFGSQNIIIHGKAISLSILMRDCMLRGDLTGIRMGKFCFIGERTLIRASHRKFSKGATMFPMHVGDCVIVEEDCVLVAAQIGSYTHIGKGAIVGRSSIIKECCKVEAGAVIPSDSTFPPFSVIAGNPARVVGRVPECTQELISQAAKELYESYISIPPFPLPHDHCEIGIL >PPA09644 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig181:40101:40503:-1 gene:PPA09644 transcript:PPA09644 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAVFDYLKHRFEGRIIITRVTADISLAKRSLLNNPSKRAYIERGNSRTSNSLGTSTMIDLR >PPA09679 pep:known supercontig:P_pacificus-5.0:Ppa_Contig181:239205:240591:-1 gene:PPA09679 transcript:PPA09679 gene_biotype:protein_coding transcript_biotype:protein_coding MQYTYDQNYSIGNGSYGAENRRMNHSGDYSNQPLPSIDKLTVLYKEIASNGHIPLEEVIRRTLLDPEIDLFCLPDVVNVQQGSISHQMHQILGVVTIFEVLWLMDGNGLVLDAVWSDMRLASRLFSAVRIHADRSTGPRDGLMSRCIVEWIEKLLPLQQSISSSSTLQSSLHSPLELFLPECTFLLSVPPEENDAASVRGVHLAQQLITALCDAEQFPSVNRFFLLRQTFRQLHSYCLSDGADPQLASLRA >PPA09709 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1811:854:1323:-1 gene:PPA09709 transcript:PPA09709 gene_biotype:protein_coding transcript_biotype:protein_coding RLCDFAQVLELEIPSDRQMRKTIREIGSIATERVFDGWQEISRELAVNVAGDKGLQVSIDGQYDSPGHTSTNGKVTVIDCETKLALAGVAKSKNDPGIDGVSCRIESEGAVEAIIELVDRNINIR >PPA09710 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1814:218:1108:-1 gene:PPA09710 transcript:PPA09710 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKNRDPMNLASLTVTKSATPSCPTRDLWTRCSWMRNLARRLPSVRPVEAAEEACEEACVTVEVVVDLAAVDTASRRLSTFLSLLDFTHC >PPA09711 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1816:57:476:-1 gene:PPA09711 transcript:PPA09711 gene_biotype:protein_coding transcript_biotype:protein_coding MNHDESSHFSSNKQPEIEIYFEHREAANPRAASDNSNDSALAAIRGELEKCNDFNKTIEKICEWKRKIDDAFDNFVLGAFVKAPRLL >PPA09712 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1819:301:1229:1 gene:PPA09712 transcript:PPA09712 gene_biotype:protein_coding transcript_biotype:protein_coding THYEVTTSIHSFRNIPHSVRLVPHSPDSRIATWSFSEAQSGKSSADRYAAYCKTIMRNYMSKGKNIENHDDMFIALTSGKGLRGMSVHLMSVDFTTQPKTTLEGISKLGHFEFQGDTIHAWRFRGIGKGMSKSRLTGIVSSATFHNHGGKLSRSGLKTEDEQKIRNGEEPHYWFFPTTKITTPEPDDVDDEAASGIAPTPIDASFPKSLF >PPA09717 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:78423:79755:1 gene:PPA09717 transcript:PPA09717 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRGVQFARDLSGRGDNFADLTEEEIDKDIDDYADRMDARGKNGTNKREDSDTPPATHTLASPAIVPPTTHYYGGMNGFAPPPSNRLRRKYMDTLHNTTAVSGHSSTSPTAGTTRKKGRPSTDHDSGSSGFYSGHPSSSSSAFSSHLSAVPHFNTLRRPTHGADIDHSFDSRVGHFPTLGRPSFVTDAPSSYSHPSPYFHLLTPNASPSQHDRTGGGIHNGFATLPRSTGRIPSAPGSSTSSGNGNCDGKILLRVTVTGNRPMVFRTEPDEGGLMTLKKFRTVFGLRREKNK >PPA09756 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig182:297916:298691:1 gene:PPA09756 transcript:PPA09756 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFASHARPLSSQSATEETVANLHAYASSYGHARVQPLSALDTVVVPSNVERIVAEKTKPAIFDLFLAAGIAAYLAVFTKIVSSSQICHCEQ >PPA09753 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:287508:289124:-1 gene:PPA09753 transcript:PPA09753 gene_biotype:protein_coding transcript_biotype:protein_coding MGGESDLAAERRAADRAEWEAYWKIRDIDSRGTFYPRARYYVHKMFDKPASWFRESIVEPLHGKNKMPYYHRELSRVPEIDDCGVNDKACFYEANEQFRLDKMVDGFILQILRQRVDRCMLYNQPNFNPCQKVIEDMEENELNFFIKYGELGSEADVRDAYMKQKHRMIWERRNPEVMAERARLYAEHKEKLANGEMDYDFWKKGTFFQDKKNLEPPYEFHLSKSTLEGDKPLSKDWQFYKKVAQDEEFAKAQDAKQTKMPLF >PPA09718 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:80942:82591:1 gene:PPA09718 transcript:PPA09718 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVFIQPRKRSTVEGDGKTKTAIGARPVNSKKKTKRATVEEDEKKRDSNWTAEGKKNAEGFMKKYSDLGIEGICAEYKKDIEPYKSPTFGEKAFKANAGKNRHKTPLDNTIEDFWKMIFEHKVICTVVLCDKTSDGQTEIVQKEQVTTLELLPEGCSNSFSTCIVQMTKWESTYTQSTGRNLLKAIRVISRLENLAAQSGNVGPIVVMDELSGISRASILAVVDVMSALIYKGDKVTTLSDLVKWARRCRNGAIKNEDDYVAVIKTIFEYLYRTNQEKFKDQFEKLCGKSQDPN >PPA09741 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:173461:178003:1 gene:PPA09741 transcript:PPA09741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dpf-3 MPPRDAPQFPEMLAMVKALRCDTKACAGVPFSKLALRPKGDGTELLALGTLGGLNQTLLVGDVTEKGCSLSRAIEPLTQPCTLTPAAILQAERQRSSVVGGVSHLSIARSGVVYMATAEKTIRMDLRGNFTSTKIRKTVLDVLVCPSDSRVAAVTADQSLMIIKEGEIVYEATSDNKDISNGIPPFIIQEEMERFNGMWWSPSHSLLLYERVNEEKVQRVLVSTRQAPMKYPLAGTKNARSTLRMIHVASTKVHDYGLRIDLHKRYTWMEYITRAGFMADGTTVWVELMDREQRRSSIALIPLSEFEGIDRSPDTVVQEPTLAYTEKCAYWINTHNLTSSCNLSTSIWSLIHGVTGDFATKLLLITPKQSQVITTDDYCVHKNGGVFVDSDKELVYFFAHRKTPMEVHFCVASLSHPTQVEQLTDDEVSCRVDRSARTAAISFSSCFVVWLSSLKLPPHCVVYSLIHGKEGTLPTAQRLCQVEAASTPSSILLSPRLDSPRVINYFSPTSGRTHYAIVHTPSGKGPFPVIHFVYGGPGVQLVRNEWSAWTFLQKYAALGFAAVVIDGRGSDGRGKKWEGVIKNKLGDVELNDQVEGLHIVDSMLGEGVLDMKRVAVHGWSYGGYLSVLALARHPELYRAAVAGGTVVDWSMYDTAYTERYLGLEVPSYASSNLEKVVKMLPDTPGKLMLAHGLQDENVHFRHAELLIELLIKNGKPYNLQLFPSERHGLRHVDASSHFDASMFSFISQSFRSPHFPTSTPVEL >PPA09755 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:292032:297197:1 gene:PPA09755 transcript:PPA09755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nra-2 MQEDLLEAFRTPLFFVYMSMLLSFCVVSSSQLGDRVELEFQAYRLQQYEVAGSVVGSKSFRVLYEAVGIDANALRKSVVVSWRDLVNRDLDSLFKTAVGSMIIILPADFSALSPDQRKQLLDLETALSMVQTELSVYAIKWSEAAAALLSDVSATSTASTGTQKMVQAVSANTFQLRSLRWLDPAAGKQPAAAMRLATLASLTSATTAIPASISYTPKNVIVRLAGGIPTASTIVFLAHYDTHSAFPDLGAGSDSNGSGMVALLELLSVFKRFYDSAATKPNNHMIFAWTAAGKHNYQGSATFLNEMQEKYADDKVDLVVCLDTIGNGMALNVHLSRVPSAGTTSDRFVTYLRAASHNQSIDVIAKKINMQLPFSWEHERSVIPFFSIITYAFRFNAKRQAALTLSGLSHPSEITRRSISDSSIDSTVLTSNIRTIAEATLSMILPLPERAAHKDERVTSDSTLLSPEAVSKTRVEQLDEGPPAWREADCFEQSACRQRTGVFKL >PPA09738 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:162934:165002:-1 gene:PPA09738 transcript:PPA09738 gene_biotype:protein_coding transcript_biotype:protein_coding description:POP-1 [Source:UniProtKB/TrEMBL;Acc:Q4VKB0] MRVTNQAAANVALTNAITAALSPVYPPLPSSPMNSVVDMYRNLGALAALSPLTGGHRVGMMPPFRPYTPMQLMGFGGIGGGMNHPSTSSAPATPSGMRRKRTVKEEDKEEHIKKPKNAYFWFMDENRKVLMNEEEWKDKQSADLNKELGKRWHDMKEEDKRPYFEMAAKDKEDHAKKYPNWSARENYANSAKKKKKRDRTTEPGEGKKCRARFGVDAQDRWCKHCVRKKKCLHTGGGGEDDEKEEREERASSHSTHQRATDSESDLDDEPTTIPSDEDLKTSMTLTPLTPSFALNQLMLK >PPA09725 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:106002:106630:-1 gene:PPA09725 transcript:PPA09725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-scpl-3 MKRSCTAVYVRLRPHLHEFLEKMAQCYELILFTASKRIYADKLMNLLDPGKKFVRYRLFREHCVNVFGNYIKDLSILGRDLSKTIIIDNSPQSFSYQIDNGIPIESWFHERQDTELRKLIPFLEDVSKQVLIRAQNTNKTRS >PPA09752 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:286457:287241:-1 gene:PPA09752 transcript:PPA09752 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSIRVLSEGLRYVQGATVEGKREYFYYISHEGRLFLDDTKHKNFTSSYKDVAFLNFFYRMLKGNETGRWDEFPFMSRCGIERNFLRCDDCPLVFTRLRMEGNEGKLRIGESGLEVPFEPSSLLLGSNGRLYHPSRLNIALLTSKLADELFPHCRFDENARAIEINWRGKKYPIQGIKRDIVYSNV >PPA09746 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig182:207696:208667:-1 gene:PPA09746 transcript:PPA09746 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKSEGKAQKAAAEKAQKEELEKVSSDGDDSSDEEDVPGLEDVEISDEQRKVAEAAGLGDQVAAAGKQSRSEKKARKLFSKLGLKPVQGISRVCIRKSKNILFVINRPDVYKSPGSDTYIVFGEAKIEDLSAHAHMNAIDRIKPSDDAPIPRLAPVAEGEDDTAEDASGIEEKDIDLVMSQANVKRNEAIRALRAADNDIVNAIMGLTM >PPA09723 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:92885:94326:-1 gene:PPA09723 transcript:PPA09723 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTQSEFSNEDQLSTEEGLFGSKYGMGRRLVESSRAPSGIRKGKEVVFDSKQDVQEVEFDGTNRGHEKKGERGTTNLWRKYGALRKLKTVGGYAPKDYKDSKGRNFEFFSEKSRLPAPPPGPELTLNRDKKYPSVVACVKIGDKPAVEEALLVAKEIDSMVTRMSLDDYINVKKEHGKKKNTVASKLNRSGVEHVSEAGMIQKGTLCEQVN >PPA09736 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:154707:155209:-1 gene:PPA09736 transcript:PPA09736 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCARDACPVLDCLLEHQLKREGQCCPVCNPHEKSNITSSPLFHCRFRGIDHEVGHEFRVDACTQCICMKGGLQCRVDNQGKLVIRRMMLILQNV >PPA09728 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:118990:126018:-1 gene:PPA09728 transcript:PPA09728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ego-2 MEGMPRFPMFAPDFKQGCNTLEENGFQDKIFKYIRSHYGQNPDEFVADMEEFNKIRTYCLLPFPSEELIPIIKRYYAQLLLLSNQFPLDGKHPDLTCEWQWSDRLHDPNNVLILTDAQFELCNLLYNLACIHAQIGSELPRDTDEGIKKAFTHFGYAAYPLQVLRDEMNASRFPTLDFDRDTISLYLNILMGQAQECMVEKALVESRKPLVIARLTSHLYDIYSKCAEHLVHGPLGDVMNAGKYKEWVRMCTIKSSVYGAISMLYQGMEAEENKKYGERVGFYDAAMQHITTARTLCAKETRRAYLETVAFVDDVIVFKSQDAKKENDFIYHAKIPKPEEITMAEPLAMACAYSFNALDPTVAGAPLFNGLVPPSVVKACSEYDEKKAELKRSVLEKVEAYDVELMQFVDRLDLTRMIKIIKNPYGTRGETDSPAGFSLPEDLLSSNSYFSIQNRDAVPEVLEQLRVIGEKARETDSLLTALIVRLDAIPVADLPNAEAAEGVRAIKDECAKLLEASSKAKGSNMNLRLALDDKMEAIQTLGMSIEELVKTLAPQEVKDYASTSEGARMLQLGEKVEEMKRQRKSLIDKVHEEFQKDNINGKLLIERDTNHTELFDKELKKHDNLLNLLEQNLSAQQRILHALLEANADFADHGKELREQAETRNMRMLDLIAASDQYFRVIAELEQGDLFYGKLQERAKKISEAVETIENTFSDFFKKRNEAKDSMERKRADERAAVDDFSIPSFPSLSTPIVPPQGGGGTFRRNPDGGSRRRLGDYMAFYRDKMTGGGSSLPPPSTHHLSHPPYLHSHHPVHPGPPLPSLSIPHHPPSAAPPSVYAESSGAPSPAPSTVSNFSYAPTPSAVATPFVPPQAPPTLQQRPMQPPYQMQPQQHGFPRFGPGPGPVYGYDQQQPQPPQGYGGPTPAGTMPGHAPFPPPPTSQSQPQQHGFPPVNGTNSAHYIPPPTQQQQPSMGGPSSVYTPPPTSQSRPPTMAPPPTVSQPPPPNTVPSSTTVPPPPPSQQYFSPVPPQFPPTSSNGQFAPISAAAAASPFAAPSLPPMGASPWLQGSTTTAAPAARPYGGGPPTVQQPQAPPPPMQQPQQRPATQNGPSPQPVAPPAPPAAAAAKLPSLADLGAQLKAASTQPMQQQQQQSGASPWHRGVGGAMGYGGGAAPTTQPYLWMQQQQQFPPAAAPPTSQYAPPPTVQQQPPPPAAAVPRPVQQVQQPLPPPQQPRNETIVSTVDDSEGNDVISQDLLSDLFSPAPVGPAPLLPTGVTKENQVHTSHAPSSSPAPSTPSVMGSVSMDTVSGGVSTAVVRPAAAVMPMQQTSTPSTMTMGGGEDQMLSISGATSVEYAPVTMNAANGECKERQR >PPA09732 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:142158:144983:1 gene:PPA09732 transcript:PPA09732 gene_biotype:protein_coding transcript_biotype:protein_coding MHGMAQFAVRATLALAKRTTGAAFSAPAATRSYATEASPEAYGYYSDPLEHATGREKKMLIARLAGDDRYEPKIYYSAAASTKEKPNLGPSHFSDIIVGCMSEPDSGHTNFMTIRKGTPKRIMATDVSDEDAEAAMRQFAEITGTDEILGQTILQDVNWSLDRALEVFYGGNVRNDEDGSIQVVTPPPPAVEQSQADSPNTPEDMTGFEISLLSWNIDGLDGNSLATRMLAVYKIVSRISADFVFLQEVVERDIDKLLKLKNSYNMYFSNRSSMYFTAILVSNAFSVRNHDVIHYQNSGMDRTLQLIEGTLGPFQVFLLNTHLESMAEHSDKRRQQLEQCMNKIASISNEHPNALIFFGGDLNVRDRELPGLPKGFADAWIAAGQPKSEEYTWDTRTNTNKQSFHTARCRFDRIFYKAQPLNKVSFALEGKQLIRTSLCYASDHWAIHSTFSISTYYLCEYVS >PPA09737 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:156478:159595:-1 gene:PPA09737 transcript:PPA09737 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKGSKGFQPAMPSSPSFATIFPIPSPGAFYQQYALMNQAMMLNTAQQYIRSLSPAYPPPGQSPFDMYRNALIAAVSPSAPKIGMMGVPLPASHRHNPLNQIQMMNSLHSISGASTSSTPHHPAPKRVKKEKEEHIKKPMNAFMWFMKENRPKIMEEEEWKDKQSAELNKELGSRWQKLDKDEQKKYYEMATKDKEDHNKKYPNWTARENYASNKKKKKKRDRTIDSNESKKCRARFGMNAQDKWCKHCVRKKKCLYTTGDDEERSSSHQRASDSESDLDDDPTLSLRDMEGDGVKMEVTDPINDPSSFAFNPLFMR >PPA09713 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:4008:14076:1 gene:PPA09713 transcript:PPA09713 gene_biotype:protein_coding transcript_biotype:protein_coding MTVARSVEQLLHDANGACQYDPNVEQARRNLGDIHTAARQVTTALDGLLGHIKTNPKLSTETNQYSDEYERLLRSSNQLITHQGPSQELVRHGERVIRHSQILVEEFEREAEERPENRQRLLDAAKRVADATSTMIDATKEVESRPGATDREMALRSAAERLQTETSAVTHDQQSERTMHQLEQAAKQVAYNATQTIAAASACKEAPPPPAHGRRVETTVTTRRTNEEMDGGRGEYRVRVERAAPPPVIEPTRETRVERTMTPRGDIVTTTTISETRQADIGDVTSYGQGMYRNIQIGPEGTTVTTTTQKSDEKGVRDVMTSVLRGGVPSTSSPLPPHSTHKTTSSTMEGERVIGVKREDEGVKKPRLEEQKKEHKEEVEQLLVDEEHTQLIESHTVVESLVMESSQTGDTMPRLISSIRDSQNAQTASDKFRAQSRLIRDSHVVIEPATRLVDVARSAVVHVPEQHMASNLQQSSQQLSESLSEMRIALNNAQQLNFSQQLVYSEELIKELDAELLEVQRAAVAGRLQPLHAHETTHTATSALMGGVRQVGSTLAQLVSAAVTHDGHHIGASAVEAAQALRTFTAASRSVCATRKDVDLDTFIVSARSVVHDAGGVFDRVREDANATHMAEAARLVSTSLRQVVACIPQHQHIEQGIDQIRKITVSSTVRQPDIRRAASSLAAATSDLVVAVRAPQHNESVNVFVNSYTDFHTAVMAGLPAVADREARIESLEYLEQARNQAVEVLSRAAVVASDRLDRPDQSHQQTLSTTTKQLTETVTLIVEKVTEVHRPWETECDAALRQIQGQAALVDAPRLHRSAVLVVQACDRMEKNKGDRTAILEDLSDVSKHISVMAGLCKEASDRTTAVTTKKQFINEAQQLASKTTSLFQSANALDERPTSVDSLSACTSNARELRETVQSLIQFVERPDFAPRPAAISHEGKQAQIPVLTATRRMLDASAEMIGTSKVLASSPRDAATWQNIAGNSRDVSESIKSLVAAIRDAAPGQAELDSTISRLEQLIQHVERREMDAVGSHPR >PPA09722 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig182:91817:92787:1 gene:PPA09722 transcript:PPA09722 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKAAPSTTSNKRYISSSNKKQVAPVVVPPSAKEEKKSKKEQMKVETKKEENQEEKKESKEIVAPVVVQCEKNKGKIQEAQSNFVPTADMEDLTPDCECEYYGDKSSIVSQQSNIINGVNGEAKQYKLLPPKKLANGRVQYVSMFNENSDYSDDNDNDFVGEPKESKTEDKMDPKQQ >PPA09742 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:178511:180672:1 gene:PPA09742 transcript:PPA09742 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVKNLLGSKNKKKRTQDDDGGGTVAGDGGGGGKARTKNKRSDKEKKGTRDQWSRRKKAETSPAAAATVADAAAAPQEGAEGRPLVRKKESKIQPSKMQKNDKDATCMMQPDTDSKDEKKDIKDKDGIVDPERDTKSITGRDPDEMTPEQMNNLRGYIKQYEALGVKGLLVEFETIKTYNIVPFDTKAHELNTSKNRYKDIFCIDVTRVKLNDGEDGDYVHANYVKNEPDAKNEKDKEKYFMNDFITTQGPIEGTVNDFWRLVVQENVGYIFMLCSIVELGKKKCEVYYPDTLKETVSYLDIKVTLADRHEDGHFINSKLILEAPGKAKRYVYHHYWKNWPDRGVPITALAGIRLLRHARLSKFSTIVHCSAGVGRTGTLVALEWLLQQICMKPPPYDMKEMLKYMRNHRAHAIQTAPQYAYIAFAVFRLMALKDTAFVPQFHKFSVDMQTHTGVKIDGGAPAAPAAPAAAPPPWPLRRREDTINIT >PPA09731 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:136741:141842:-1 gene:PPA09731 transcript:PPA09731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tfg-1 MVSNGTAETTIVKAKFLNDIRKTVLRHNQDVTFNDLVLMIQRIFSIKPSLPIQLKYKDSEGDFVTLNDDNDLLLALQIESSLFVEVTAVSSASMAHLTEMQEQISCIQTCIEKLSHNLVGVAAAASHVEPVSHEVHSPVPAPISPPPEVAPATIQPSLHQQVTSESLSRNEHVTESRGPDTSATSIEEEIHLGGEAASEFSEYPPPSIPSFPQATAPLPPIQSIPDPIPSLPSQHSPAPVPHYPIPQYPQPIAPPQSNANVAPPSSFAPPPTSFGAPPPSQFGGVAPPPPSGPPSGPPSTYGAPPTNSYGPPPTSFAPPPTSYAPPVSSYAPPPPSSNPQYPSGGVAPPPPPPSSMAPPPTAPPTSFAPPPTGIPQYPPSSFAPQYGGAPPSTFSNAPPTGYPPAGGYGAPPMGVPPMGLPPMGGAPPGGANPFARPPTDYRFARKTPPLSPSFSSRFYPVVTRAHNAYERVPFSLFFGFNPVSQGLRAMGFFSAFLDLIGGSARGNKDKKDKGKGTKEEKKRRKEKEKEKEKRREPEQAKGSSEAKATSNSTSRTTSIDLRGPQPKSEVIEETSAMAQSDDPDDPKSWDYDDIDEAKPTFGQKIKDMADKSVRRVRRISSGNDSMASRPIKEKTLDRFMERVKARQEKRVKSAERILHDSECVFPESADVVRAESIIAHKAAGSRETSEKNSRRKDENSEKSTRRKEEGKSPSREMIEGKSPSREKIEETSKRKTKSPSREKEDETSKRKSKSPKKKEKKEKEKKEKKEKKKESTESTRSSRSDKSEKSSRRAKPSPLKQIQKIFPDLSKSGREKRREKKELKKREKEEVGWKKGLNTIRRKNREKNLFVNGRPFWVTAGRMSAEEKAEMTQDDLPLNAAIILEVRKGNIDLPVLTDKLIKFDPYAPLDVLKGRDETLFNHKMLFANTIRSMINSADYISTEGTTGETATGETPHRVKRPEFKAHPTFFFTTIDRIQRR >PPA09714 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:39791:41299:-1 gene:PPA09714 transcript:PPA09714 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSYCFRVETPKTLKYHNFLDKGYTITPGSSITIDTKDFQWLELSLLPDISTSDFFKIKYSCGSKPAGNHGDNTGIQSDNFDCGYSTEIVISFTSDANVEVYQRRGADSYPWITGVRSIEFDLTTVKVYQLEGEFDTFSIYFNRMGPNDLVNYVKDNGLKNGNVLFLTFVIDGNKDVFFEITRGTKKRKMTKLKFHKMYNGDIGLASVASDSSEERCWIQKAGIIKILIQIFPGVAKAFNGYCSSYYDIVPPHEDPNTIEIHNLDYSTLIRADIVPSHINTEE >PPA09745 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig182:204814:206071:-1 gene:PPA09745 transcript:PPA09745 gene_biotype:protein_coding transcript_biotype:protein_coding MMGMDGDYVHANYVKNEPDAENEKDKEKYFMNDFTTTQGPIEGTVNDFWRLVVQENVGYIFMLCSIVELGKKKCEVYYPDTLKETVSYLDRHEDGHFINSKLILEAPGKAKRYVYHHYWKNWPDRGVPITALAGRTGTLVALEWLLQQICMKPPPYDMKEMLKYMRNHRAHAIQTAPQYAYIAFAVFRLMALKDTAFVPQFHKFSVDMQTHTGVKIDGGAPAAPAAPAAAPPLALKTKRRYDQYHVVTSRCNQMKMLILFILLI >PPA09729 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:127856:132002:1 gene:PPA09729 transcript:PPA09729 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLSQCRVSHLATPFSRHISMSSPLSAGSVAVERKGDVAVLKMNLPGAKENTLNETLSADLKSAIEQVERDDSVKAVVLMSGKPNSFVAGADIGMLKKAKTAEEAAKLSRDGQQQFDKLEQLKKPVVAAVMGSCMGGGLELAMACHYRIAVADKKTQLALPEVMLGLLPGAGGTVRLPKLVSVPNALDMMLTGKKVKADKAKKMGLVNQVVQPLGDGLKPAAEMTHEYLEKVAIETARDLASGKLKAKKEKGYMEKATEAVTKNSFVLDRAILKMARDKVMKMTMGNYPAPLKILEVVRTGLVEGHEKGLQHESKAFGELCKRSETAALMGLFHGSTECKKNKFGQGKEVKEIAVIGAGLMGAGIVNVSIDKGYRSVMLDMSEAGVERGVGQITSQLNGRHKKKRISLLEKERFLSNLIPTTKYSDIANSDLVIEAVFEDIGIKHKVIQQIESVVRPGTIIASNTSALPIAEIAKGSKNPEQIVGMHYFSPVDKMQLLEIITHRGTSKEALATATAVGLKQGKLVVVVKKHDCPGFFTVRCLGPMVAEVVRLMQEGVAPAELDKLTKQYGWPVGAATLADEVGIDVAAHVATFLGQSFGERMKGADGLLKEMIDAGFKGRKTGKGIFVYGSGKKGEKKANEESMKILQKYKLTPKASVSSKSDQQLRIVSRFVNEAVMCLEEGIIATPSDGDIASVFGLGFPPFWGGPFRFVDLYGADKLNADMNRYAAEYGIAFKPCNLLAQYAKSGEKFYK >PPA09751 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:284838:286231:-1 gene:PPA09751 transcript:PPA09751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mus-101 MNAPKKRRSSIGRPTQIMDPDEDGDMNDDVPFLFVMEVPSSCDEELKNFHETLFGVMEKKNIQPKHISRSEVLSVQKSTADIYVLPQFEGPEFEHLMSKGCNGWSIRCGSMYLKANTRLPKWRRPIYALTMKGAVVCFSGLTKRKKAMLSDMVRHLGGQVSNDLHDKVTVLIAKNCDTNFPKYTESAKLKIPILREDWITDSWKEVVEQLSDTTVTNAETYDQYRVPLFKDMVITVSGLKLDERSNAGRMIEMGRGTFAPEMSKATCTHLITDKNSGDKFKRKNIGYP >PPA09747 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:209344:224501:-1 gene:PPA09747 transcript:PPA09747 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQMAMAQHMLNMAQMLQFMQQQQQPSSSNGEVKEEVVDTEEEDDERIPRDEKTLFAMLESLSTFPLSLIRSLDKSSSSSLGNSAELSQLALLNMLSASGGMPFPFSSPFMPPELMANLLRMPNPAQLAALQNAQNNQKRARTRITDDQLKERQRDKDSPYNFSIPPSVGIDLDTYEKTGEAKVVHLTPESFSPPKEEKKIKEEEKEIINPSNQGVTSPTLNLNSMLSSALSGDGRSPFPFTLPDGANIAQSSIRYPVPLSDYSNPLFLAGTIPGLSQSVVPPTTQSSSSSTGRRANRTRFTDHQLRTLQQFFDKQAYPKDDDLEMLSKKLQLSPRVIVVWFQNARQKARKIYENQPNVEGVDRFVRTPGCNFQCKRCSLVFQRYYELIDHQQKKCYKDDSRAAADDNKNVEAALSSEERQAVSLSSSPPNESTVTPGATVSIQGVGTPVDLAKLLGKSSTCEALLKMCEEQTRSQSTTSSANSQSPSSSNGFFHKRCPLCALLFRSAPSLIDHLQLKHPDHPPIDLDLLPEADDVQKALDPLRREIPLDLSHGERGETLSISPYLNTSDGDDMLEGFEESLSPPNSLHPRSPSGNGKRYRTHLTPLQVYVMKCVFSDYKTPSMSECETLGREVGLHKRVVQVWFQNARAKERKTRGGNGETDPTRPLSSSCNICGVEYNTGLSLQEHVFSPSHIARLKSAPKEEMIERCDNGEETPRVRPSTVREGRDGGRQKNAQSHSSSSKSFPINLLLGLQGMSASALPLLMDPAVIGTPISLLQIPDSVKEQIARDLSAGSSSTTFTQDGLAVDSVRASVSAEDSACLEPNDIQVGWACPGCSNVFQQESMLLAHQKTFRLPKAFNEEIQGVLTSHASAANLEQLQADYYTVGMNLSKQGKHRPARCDSLEKKLFDLAAKARKESQKTLRGICLLEETGVSKDSELDSDDMMAAQQAATTAEESLPDGWEMRFDQYGRRYYVDHTTKSTTWERPSSQLLPQSNCGNADDPLGPLPEGWEKRSDPNSARFYFVNHENRTTQWEDPRTQGVADTPLPEGWEMRYTDQNVPFFIDHMKKTTTYNDPRTGKPGIHSNSVSIAKTFRWKICQFRYLCLSNSVPHHVKIGVSRKTLFEDSFLEIMRTNAVDLRRRLYIQFKGEEGLDYGGISREWFFLLSHEVLNPMYCLFMYAGGNNYSLQINPASFINPDHLKYFEFIGRFVAMALFHGKFIYSGFTMPFYKKMLNKKLVLKDLESVDSQFYNSVVWIRDNDINECQMELYFVSDYELLGEIKSHELKEGGKDIAVNESNKLEYIELLVEWRFNRGVEQQLKAFFSGFKSVFPLEWLQYFDERELELLLCGMQDIDVDDWERHTVYRHYSPASNQVTWFWQWVRALDQEKRARLLQFVTGTCRVPVGGFAELMGSTGSQSFCIERVGKKNWLPRSHTCFNRLDLPPYRSYEQLVEKLSLAIEMTEGFGNEYLN >PPA09715 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:56151:57655:1 gene:PPA09715 transcript:PPA09715 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nmur-4 MCTVERAKLILKVIWVLALAYNAPWIFLAKWTEDDAGLKTCGFSLSRDHSAYRMVYTFDILSFYAFPMVLNIFIYIKIYFVLSTYLFFAKTLVFINCSVNPILYNLMSQRFRNAFKSLLMGNKKSRSFSPSE >PPA09757 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:299216:301166:-1 gene:PPA09757 transcript:PPA09757 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIGDPGKGSKSTQSGQSGAKKLKKTKEGTSVEDKNETKDDEGSITDDIRNADFYHGLIPKTDAEALLKKEGDFVLRKTEHNSGVIVLCISVRTEADKDASKSYFIDAAHKETSVSNLINFYKSTKTPLSAASNAKLKKAIERPPWGINHDAIFIIKKLGQGAFGEVYLAEYVSEKGREKVAVKTMKGEASRDARNKFLKEARMMRKYDHKNVVKILGAAVHEHPLMIVMECCEGGAMCSYLKKNGKTMPMKEKNRFVAEAAEGLAYLEKQQCIHRDIAARNCLLSGKNNIVKISDFGMSDDKAILHDDTLEKVPVKWLAPETLQDRIYSLKSDVWAFGVLVWEIYSNGAEPYPGLTRLQTRAKIVLTDYRMKMPDDTPTEMGKIALQCWDKVPDKRPAISAILP >PPA09724 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:100327:103383:-1 gene:PPA09724 transcript:PPA09724 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCYLPALSEIILLGFYPAEEFADFCERCQQQYRIPIRYVQEKGPQGTAGGMARLKEELLSGEPEGIFVMNADVCGDLPVDEMVEQLNTQKEAECILLTTEATREQSTNFGCVAIGGNNRVLHYVDKPTTFISVNISCGVYLMRPRIVKSLEACESGSLWFETDIFPHMTHKGTLYAHNTKRWWSQTKTAAAVLYANRAYLRLYRTRYAARLRVAGAQIVGDVFIDPSAEVDPTAKIGPNVSIGPNAKIGKGVRIKESIILADTIVHKRACIMHSVIGWRSVIGEWSHVEGVPLAPNPNVPFAKMENRPLFLKDGRLTPSVTILGSDVTVAPETILLNVVVLPYKELTQSHTNQIILVFRIAILSTPRLFPITNMSHYSQL >PPA09733 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:145169:146710:-1 gene:PPA09733 transcript:PPA09733 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFQLLFLLGANSRRRNQIVIKPRELPDYFDAREKWPGLIHGVRDQGDCASSWAVSTTDTSQDRLAIITDGRLNEALSSQQLLSCNQHRQKGCEGGYLDRAWWYIRKIGVVSESCYPYESGSTREPGICMLPKTLFQAKLPISCPNENESKGKVYKMTPPYRVSPREEDIMTEIISNGPVQATFVVHEDFFMYKHGVFQHTHIAADKGDSFTGQGYHSVRVLGWGTDHSTGRPVKYWLCANSWGQNWGENGFFRIIRGENHCEIESFVLGAWGKGAKRRRRFKLRKLRRRFKKRLF >PPA09749 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:264666:265994:1 gene:PPA09749 transcript:PPA09749 gene_biotype:protein_coding transcript_biotype:protein_coding MECQEKRTINRKGLTSSYATPPCVRYCTPEIRSFPFSFSLTPFIRISLSLRQWSSPLHVGSFSMNVSERRRRRKKKKSDDRNKDNDSPLQLAMHKLGLSEKKKKKKKAPPALTPVRREPPALVVQATTPSEPREQRTERETRTEKEKRITANNRPPNIEGAIGVRKKKSRNDPSLLMQQQKKEEEMMHEETADQDDQNKDPLEDGDSQPKRTPEKDKRINNDYGSIKSIHFR >PPA09726 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:108851:113534:1 gene:PPA09726 transcript:PPA09726 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRGRTGEVKLEGIEGRKSKRNVKVEIKREQKKSPIKKSGGSPIKKQQSPTKKTPVKKIVLTAYCLEMLSPGRTTYTSKLRTPTRNYKKMEVDVDEIRTRMDKCVLQMSPPLSQDSAISMSGSQSKSTSSLDSRRDDMTPSPSECNKPSTSSVVPIVPLKGREEQFNQIVSLVDGSIAEKKPLFIYISGSPGTGKTATTALVLKLFTMAPRKIKTCIVNCTSLTKGDALCAAIMNKIEKPCPVTTALYKFTAFVSALTKTFILVLDEADFVEEKALTTIFSMPASVSSHLIVIGIANKIDLTERTLRKIKLKIEPVRMVFPRYSKDELAYIIKSKMEEEMKEGERLDATGVDLCARKVSAVNGDVREGMSVMRQSCARSRIETSMKLKEEEENMQVDKEPNENVPSTPVAPPTRVEIRHIADVSKTKYCPLASACLPLQPRVLLALCLKLSAGKKKSLSRITLFNEYRKASSHKEAAWPMVESSDLNEAFEMLVSQGYISMQKDQMIRMQVDSNVARSVIIEKNGQSKNDDVIKAIDYIMRLFEQMRPLTEEEQQSVFSKLAKYIGDNVTHLIERADGDYVFRLHKERVYYCSENLLKMAASISRDALLSFGTCLGKFTKSRKFLLHITALDYIAPYAKCRIWLKPNAEQQFLYGNNVLKSGVLRMSEGADKSEGVVVYSSSDTPLGFGVTAKGTSDTKRSDPTALVLLHQADLGEYLRNEEHLTLFN >PPA09758 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:301961:304164:-1 gene:PPA09758 transcript:PPA09758 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCRVHVVRAATIIGYAQLALLGLFSALLLYVFAHAAIQGIPSEGWVKRQGGENYLTSIVSAVGLQLCLVLMLLHGIKTERRSYLLPFIIFATLTAVMAMVSILNSARYHVPINAFLSNLFGVIIHVWCIHVMWRCYCYLGDKKMTKPVANDTAASAEKEKVSHPKYMELVTDTIKELKDRKGSTKPKIANRIIAAYAGLDAKLVRRQVGKALEKGLMDGTFSQTDGLGLKGRFRIAQPGSTHVVQKKSAPSPKKKTVAVSSKTAAATKSPKKATPKRAPVAKATKKAAAAKSPKKAPTKAAKGMKAKKATAAAVAPAVSDAQA >PPA09748 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:251285:252246:-1 gene:PPA09748 transcript:PPA09748 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLSAPSPSPLTLESFASVPTPDDSLFSSFFTQNIQDDISSFPPLSMADLGALSSTLRNSSKTLKCPKCNWHYKYQETLEIHMKEKHSESEIKCIFCVEGRQHPRLARGETYSCGYKPYRCEVCKYSTTTKGNLSIHMQSDKHLHAVQDLPQGMGVPSPTSLSLPPVPRSPSSSSIRPSDLFICLICQSFSTDSIEEMIAHIEKV >PPA09735 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:152661:153823:1 gene:PPA09735 transcript:PPA09735 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKLKFNEQKLLKKTDFITWETDQAGRQNEMMSKYGVTKREHYVLYNKLASKARELADKLKELPPNDPYRVKRTRELIGKLYSLGLIASADSLERVGKICGSSFARRRLPVVMKKSGMVNGVNIAADYVEQGHVRVGVHLVNDPAFLVTRNFQDAITWTRGSKIREKVLDYNNARDDFDLS >PPA09750 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:268344:269314:1 gene:PPA09750 transcript:PPA09750 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVVDKLGFSNKKKPQKKTSKDKSVMGEVAKSRKKSTGGKSPIDDGKSSARRLKSGKKDKGGGERSILTQMKKTDKNEVDVETGKSQMQQTNTEKDKEEEEKKKEEDNDNNLKSSANTFKPNSQRPLLKTHEPEFPNEKQLEKFTEFVESVKTQGVQGLMDEFASVKGYNIEPFVTNAHNAHHPKNRYKDIYCLDDSRVVLSQKEVMRDYIHANFVKGPPFINDFICTQVILD >PPA09740 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:171764:173296:1 gene:PPA09740 transcript:PPA09740 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARAGTSKDEEDDDIVEEPPKKVFKTLTGGASILDLKNELLKKKNEAAASGIKAPSANKGVLYVKKDEKSRLKLEAEERRARISEHEQALRREATERVEECNRKLREKAELYDRLQESGVSLDDEVGEGLVDFNAKKRESDREKEKERERERRRREEEEEEEAQAVVVHHVAGEEGRMYGASHVIFSKNEEKRQKEMSDLVKMTALTEKNREKTKTLAEKREEARKAKEKALREKLGLAPLPEPEEEKEEVPIDPSISSIPLPGPPKEEKPRPPPKEREWDRGKGSVTNWVRSRREERDEDFAPPSFY >PPA09730 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:133034:135138:1 gene:PPA09730 transcript:PPA09730 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLLWKLLFSSLAVSVAALPLLISGCAGKKGPKTGNADLADDDEDGTGSKKSSTRSKTSGKPSNKDSQSPATLDSEPKKPKGGAAPDKKPPAVPPGGPKAPAAGGIADTYDPNYQTLAAVKEGAGPSAPKPPAVGGMAGAHDPNYQTLAAVGGDAFGADKKQGGAGGGAPKAPAARGMAGTHDPNIRWSEGFGGRRNGWHHDPNYQTLVAVGGDAFGADKKVGGGGGGPKAPAAGGIAGTHDPNYQTLAAVGGDAFGADKKVPGGGGGAGPKAPAAQGTVAGTHDPNYQTLAAVGSTHDPNYQTLAAVGGDAFGADKKKAPGAFAPAGGKPAAPVNKSAVAATHDPNYQTLAAVGGDAFGADKKAAGGPGKPAVPANKAVVAGTHDPNYQTLAAVGGDAFGADKKKW >PPA09716 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:58652:60621:1 gene:PPA09716 transcript:PPA09716 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLAICFSLLSLLTLATAANRPDVLVWRQFLSNYLPVNHEVPLVLSAVNVGDQTAHDVTLSVYRQMYSNSSSTGPLTVKFSKIQPGEKVQHVVRVLLNRIPQGQDNKAPDQKAFMSIVASPAQVIYHGKHGKTYVGYSHVSQDKLPVQVLLESAVQKYIGGNGWYWLVFSGLMSVTIGLPLLTVCTFKWKYDDQKKKRVKKDNNEEDEGEL >PPA09739 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig182:170422:170930:1 gene:PPA09739 transcript:PPA09739 gene_biotype:protein_coding transcript_biotype:protein_coding MQLITNMTNDLSLLILQSPFLQTPMDIAKHPLAPITILVKISNRKILAKLLRKTGCPNSNLAGADALNVMPKSSFDMVVEDGSLDGATDKISEYLEKYWLAVHPPHPLLGPIENTKKESNISFRPSTPAHKKLSQVFSFK >PPA09743 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:181049:183207:1 gene:PPA09743 transcript:PPA09743 gene_biotype:protein_coding transcript_biotype:protein_coding MFRCNPFHSFQIPRPFLTRSHKDYDPNPVNMEMGWGAINCIDYQEGCIPQARVSKVCTELAYEAANKNGAEYFYCYDVTYDRLNVPANMPLAGRECCCNGDFCNRIESAWYAAPIPRNLINDDDNLDEEEGEEVVDSAVIDIAAAEAKQNKDVEASKKKKKGAKKRLSKEDSPDAKLPLQTEKTQELSIEDLPVAKTGDDEVDEKKEVKKTPKEKSQKEKKEETPIDDKEKKTQEPDPKKEEEEGGKQFKLCKPKKLANGRVQYVSMFNENSEYSDDD >PPA09719 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:83240:85146:1 gene:PPA09719 transcript:PPA09719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-chp-1 MPEATLVDCYNKGCGKKFDATSNSTEDCQYHPGPPFFHDAYKIWNCCNKKSTDFGTWLSYPGCTKGRHSNEKPVDIVKVAAVKEIRPEKEEDVIVWNGLNKPAERRDETSREEKSITIETTDGAKAAIQRYLEEHQSETSNELQIGAPCRNNSCKSSYCGPSESHSPCVHHPGVAIFHEGMKYWTCCKKKTSDFQSFLDQIGCEKGEHCWSKNEKVDKLREDFYSANGTITVNLYCKGAMPDEVSVESDGQSMRVKLVHGFGTKESTFVYDLWGEIIPEESKARIGERKVELILKQVDNVKWPRLQFDIAQDAQIEA >PPA09759 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig182:305636:305949:1 gene:PPA09759 transcript:PPA09759 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAAYGGIPSPFDPGENNEAKRSGSLEQCS >PPA09727 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:113761:116966:-1 gene:PPA09727 transcript:PPA09727 gene_biotype:protein_coding transcript_biotype:protein_coding MTTERRRSGSDEEGGAVTKEHKMGVISCSSYVIGNIIGSGIFITAGDVAKQTNSVGLTLIIWAVCAVISILGAIVYVELATSIPDPGADYAYAMRVGWKAIAFAFMLVSVCITFPASAAVQSITFGQYMEKFISVLLPSSSSDDSALSSQWLIIVLALLLLVSLTFLNFFSLDKFASTFQNVVSVCKILSVAAIIGIGFYFLIFKDETENFDDAFAGSNWDIGRLTMAVYGGLWSYAGWDILNYGTPEIKNPRSTMPLSLIFGLGIVASAYILINVSFFTVMTLEDVQNSTAIGDEFARLTLGSGFANVIPAMIAVLVMGTLNSNIFCGSRIMHAAAREGQLPSFLSGINVASGSPRPAVLFQGIFSAILCFVNIDTLVNSVTFVMWAQKVFTAAALLFIRYKNVSVESNAIKVPIILVWLLLFVSIALVTIPFIEDTTITLIGCGVIAIALGIYFVAIKFGICGYGGFYDKICEVVNLVVKRLLCVELDVKTVSTPDERTELNGEKEGE >PPA09754 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig182:289313:291498:-1 gene:PPA09754 transcript:PPA09754 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVILPGELIEPSSSSGANDKQIIGYGIERLEGDRLVSTQPGILRSTAKKQWISVQARRYIPERGDAVLGVVTGKIGDLFKVDIGSADLAFLSYLAFEGATKRNRPTLKTGDLIYARVISTSKHVEPELSCVDAEQKARGMGVLPVGGCFFRVPLHVARRLISPKSHLLKQLGEDFKFEISIGLNGRVWLMCDAHRVNVFLRNLILNKIQTPIHSPAPRGVVPASAWFIWSLYFHISEYIVESDIPAFVEGEIRRFKGFEQPEKMETQ >PPA09734 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:150250:151837:1 gene:PPA09734 transcript:PPA09734 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGDGPSRHCGCGELDCDGEKLWSDFEHCAASVTRVYRECDWRSLQTAAAATTQLYKSGLDCHKRGYDKGFQAGRQALAKELYAMQGQKIDANVLLNMLAKCMGGTEDPRMHRGVRVGGGEAEGEGGDGTALHLFQQALMPNPSSSPQRSPELKEFLVHQVARHRKRARSPSSPASLLKRMRRN >PPA09721 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:87362:90973:-1 gene:PPA09721 transcript:PPA09721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-wwp-1 description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:H3EJ01] MARNESPSSTSTTANSAANGTSNGVSANRSTKMYKIDVTIHGAKWTQSGLFSKPADSYAELTIEGGGSHSIKKTATKKKSNSPEWEETFNVSVSEQSILSLRILNKSKLFDDTLVGATKMKMSSTARNDNGELTPDAVTLSISSKEGTKVGTARISLRGSMERKRRSAGSSGRSDSAEHFGEASTSSSTLVPPADSANAPRRPTSKTTRDTMMTSQQQAAAAAAAEEPLPDGWELRFDQYGRKYYVDHTTKSTTWERPSSQPLPQGWEMRRDPRGRVYYVDHNTRTTTWQRPTEDMINAHERWQNNRPEAQASWEQRFLIQNNSGMTDDPLGPLPEGWEKRSDPNTARVYFVNHVNRTTQWEDPRTQGVSDDPLPEGWEMRFTEQQGVPFFIDHINKTTTYNDPRTGKPVGPLGVLSHNMTFERTFRWKIAQFRYLCLSNSVPNHVKITVSRNAVFEDSFQEIMRKNPVDLRRRLYIQFKGEEGLDYGGVAREWFFLLSHEVLNPMYCLFMYAGGNNYSLQINPASFINPDHLKYFEYIGRFIAMALFHGKFIFSGFTMPFYKKMLNKKLVLKDLESVDTEFYNSVVWIRDNDIDECQMELYFVADYELLGEIKSHELKEGGKDIPVNETNKLEYIELLVEWRFNRGVEEQLKAFFNGFKSVFPLEWLQYFDERELELLLCGMQDIDVNDWQRHTVYRHYAPGSKQVMWFWQWVRALDQEKRARLLQFVTGTCRVPVGGFAELIGSTGPQLFCIERVGKENWLPRSHTCFNRLDLPPYRSYEQLVEKLSLAIEMTEGFGNE >PPA09744 pep:known supercontig:P_pacificus-5.0:Ppa_Contig182:184315:191313:1 gene:PPA09744 transcript:PPA09744 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKPKPFLKKGQGKYTKIDYPVLRRSGVYQPPPDTPNSNREQRQQPVENTTRRRQTMPEHSFSLHSPSVHRSPPFIPPLNIPAETGVMGQRSPSVSEYSSDSPPRTAKSFGSSLERRMAESDEGVVRDMNNSPGLLSGRPYTAATTDSGFPREELPPLSPASSSGDTHASSFPSMVEDLTYLRPQRNGATDEVLVPHRCPSHSPVTAPPSAPPPLPPPVVNPSMNDEEVIVEPSLRSIPPVAKRRTLNADGSFIGDTTHEFERREQHALRDRRDARTPPSMYSSLASSTSSISKDIQHDMRQLVKEVGEDNGEDPFFRKCHQENRGVGRGEGGREGRGLSTVREEVLGGLERQLPQRMSEGRLDESVASDAPLISPIGSQTKARSVEVFEGASFRGSLDSRHESTSHFRIHSPSRDEYAVDGDERRREERGQQPRHVLHPESQPVRRSAHEESERSSGSTADARRFLIGDRRPLDDIHCGRNREDITMTQQRPSNHRIRASQATLRHPPIGISEESDRSDGSSSSYGQEEETMIIPSRGLNDRRGETRNTMNNREILRREAQLTAEEKRFKMMEDQLISGIAHMDLVAAALRSQKQEMKSEFEKEKRLHRQTESSKVKESMEKFEELQKKYTEEKKKIGIETSKFRVKEDKMKKEKEELSAKVKCLETECERVRKLLEKSQRDRAVVRGNTAIRNEMTWAEDAAASGQLKNGLMRRRSMNSIVDKENLRPSSSSSFPYEIIAPPQGILKKNQYETERGICDNGPYSIYANNVGGMITVTKPLCGFPCVFFKYANGDLRFFNSDTSVVLEIWRTRKEMTRCKTRGGNIDRTEVIKRSDGTYYAEIWNNNSMAIYDRTENGEMRKGKSKEQFNLEVPRNGSTYRESEFGKSDVVLHRSKNLEFFENNFYAVYYAATGNFKLVVNWKKSNEIKYELRPWGSCAIVHGKEGNEKSCISLAVGRVH >PPA09720 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig182:85759:86764:-1 gene:PPA09720 transcript:PPA09720 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKSEAKAQKAAAEKAVKEEQEKKVTSDDDDSSDDEDVPGLEEGEISEAQRKVAEAAGLAEQVDRTGKQSRSEKKARKLFSKLGLKPVHGVSRVCIRKSKNILFVINRPDVFKSPGSDTYIVFGEAKIEDLSQHAQMNAIDRVKNVLPSPSLLSSPSHLIHLSIKPSEDAPAPRLAPVAEDEDGTEEDATGIEEKDIELVMSQANVSRNKAIRALRAADNDIVNAIMGLTM >PPA09762 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1827:70:945:-1 gene:PPA09762 transcript:PPA09762 gene_biotype:protein_coding transcript_biotype:protein_coding SSRLVLRTSMQGGRTEAHARLAKSTNDKKLVYFDVNSLYPTVMSTCDLPVSPAEVKRDGFPPVPSREFNFTGLGHDYIKTFYTVKISSSGWPKWVKTPEDKKKCLQNYKDTMGIELTEDQIQDNPSLRSVSKMLLNTSWGKFAQNPNVNQTLFCDADEMGEILFTKMDQLSTFHKVTRGLYIVSLAMNDEE >PPA09801 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig183:313636:314642:-1 gene:PPA09801 transcript:PPA09801 gene_biotype:protein_coding transcript_biotype:protein_coding MNTARDYRSVLLHYFLLGRTATESHRKLVQTHGENAPSLHTCFNWFTRFKRGDYNLEHQPHPGRPSSRVRGRVLRELKANPKSSVRDIEKTIHIPKTTVARILHDAGKTPKLPQWVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVRVPPKLLSEGKILMLMDNARPHHAKITQKKMDELEMEWLPHPPYSPDLSPCDYHCFRSLSNFCRGKKFKNRDALVKEFEAWINSKPQAFWKRGIETLPDRWRQVVTTKGAYIDY >PPA09790 pep:known supercontig:P_pacificus-5.0:Ppa_Contig183:237581:242523:1 gene:PPA09790 transcript:PPA09790 gene_biotype:protein_coding transcript_biotype:protein_coding MACISTACIKVGAVAWIVVAWNAFLTLQVHTEPIILIMSGANSAVGTVNPTLKQDKMNRTYGPAPPDEDEKKYLNKYMVLLRAAQKFNGLSFRNALTPVYWDQQYDYINTPVSIVMGVVYGSYSDKHGRKLPMLIGLVSVMLSTSLKMLMYSEVTDWPLEWTYPMASFCGLFGDWSLTMTCVNSYLTDIFPDKKQLSFRMVVISIIFSLGNFGGAQFTDLLLNVVDMITILGISLGLTALSFLYGVFILRPTNPTHIVLKEEEAGVVESDEEQLIEPPKETILETTKNAFLSLWFALRIFIVKRRDYRRLFLYMCFIANFLDQFVFGEEKSE >PPA09800 pep:known supercontig:P_pacificus-5.0:Ppa_Contig183:305549:313320:1 gene:PPA09800 transcript:PPA09800 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSNEYMRKMSSGERIIYNTMRGVLLDGGVTFAGRSYVWLGNSNSQLRDHGIYMFHETKIQTARGIRKSLGNFDLLTSIPKWLARQGQCFTQARASKHELRMGDVGIAPDFIGGMTSNDEHGKPYCFSDGVGTISFILADELGREHGLPKTPSALQIRHKGQKGLLAVDPLIDGRNKLLKRMGKEETKKINIRPSMDKFDVEEAYVNNKSDAKLEIVKYSSASPLTLNRPMINILSQVSENQSSGCHKRMSNRIYQLLDTQLRNTIHALYIEEKAREAVKEMSFPFCMEVFTASNPLKFTSEPFFRSLLTAYLRYVLNRQLAKMQIRIPSDLGRSMFGIVDTTGILQYGQIFCQYSSSTSKHMATRSGKEDGSTGAPGAIILTGPVMMTKNPCIEKGDVRRFEAVDVPGLRHYVDVVVFPMHGPRPHPDEMAGSDLDGDDYCLIWDPQILFDHNEEASNFPSGENAEKWPIVKYDDGTVDIEAYRDSSFININHDASIRISETLFEEEMLANFYIEAVTQEQVGVLSHAHLATSDYHGLDNKAARSLARKIAQSLDFQKNGIQPEDRTLTHKEDPDDPTRVIPPEKAVRKPDYMEKFHDATYESRGIMGSIFKEIKRYQHAIDLSEDKVEMIKMDPDFNVQGWEKYESAVKREMATYCHGIRDLMERYGVVSEGELMSGHIVTIKNRISDKEVDDMNLYNTNQVIEDKVKREIAGAREAFFSPLINWQKSLEKVDTKRKDVDEESILCRVARSSTENMDRLRMKAAAAYNLCYEEANRLMANGEQTSIILSFPWIFYDVLSDIKIRPDPSIERVRPAEIVEHSNEPLAIELSTFIDKYCTNEANRGRYAEFKNEFREESIIRRSMDENEGLARASFVLVQWTGHIGLQKDAKFNQNHLVALFFQFGLGEVHPSGEVRVHLKKGEHLLKFIDYLASREFR >PPA09782 pep:known supercontig:P_pacificus-5.0:Ppa_Contig183:211872:212390:-1 gene:PPA09782 transcript:PPA09782 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPAELSYAKSAKSVGNKRLSISFAESPPRTHRQTSFDPEILRKPSVIIDDPLADKTGDLHNIRPFADKRFHSRTTTVGGWVASDRAITRLIAAKNHTKWLE >PPA09775 pep:known supercontig:P_pacificus-5.0:Ppa_Contig183:164147:170973:1 gene:PPA09775 transcript:PPA09775 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHPGGSAITTYRNKEATTVFHTFHGSSIKAGKWIAKLKEESKDKQPNISDVEEELVGDDKINMGTFDITQEKADDIAKKFDKLRFDLRKEGLYQSDLGWYARKCLEPIGLIALAVYLQLRHEQYVLAALIQGLAWQQLGWFMHECCHQQVFKNPYYNDLLSYFTGDFLQGFSSSGWKEQHNVHHAATNVLGRDGDLDLLPFYATVVQDLKLVDHWVLKLLPYQHIYWTFLLPLLRLSWLANSISFVVGMPANYYDVYRKKAPLLAAHWILVLYQLYLMPDWATRATFFLVSNLTGGFLLAHVVTYNHYSTVKFPYNARILSNYPCLQIYTTRNMQPSPFIDWLWGGLNYQIEHHLFPTMPRHSLPKVMPRVRDFCKENDIPYQVDDYITGWLLELEQFGNVAVVAKKRLSKIIHIKITMHSGKMPREEELLKYLMESNERGENKDIGYDVVNDIDYEDEGITYVQSPLPDFYE >PPA09773 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig183:160082:160478:-1 gene:PPA09773 transcript:PPA09773 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVLILILLIGAASAWNYRTGYDSHPGNIKGVWVKRSEKELPAPPVRSRAPGLSYIHKRSDDTSSESGEHTLYAVLPLPIVTGNDKMVIYPDLD >PPA09791 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig183:243175:244336:-1 gene:PPA09791 transcript:PPA09791 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSIDSRRLILTHRIRDRHRYGRLGFHRFTRNAVDVTPSSNVNERINFTRTREF >PPA09797 pep:known supercontig:P_pacificus-5.0:Ppa_Contig183:294296:296970:1 gene:PPA09797 transcript:PPA09797 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYANGPRQRGFLPRNRREEENHRVDDVRHLFRKVQIPICIAPYQCPRNSEGQPMAVPMRIEVIQRDGSNEHVTEELKEFINERLEISALSTPNVDVEWGEVLVVPIEGTGQSRLIFQFKATTKDWQYTLSRFARRIHGMIRVNERWQQYLRYVSDMPILYTHSTLIYPLRLMWKSAPRNTDKTSQVWLDHPRTVPKSRHAPGTNGHSLGSIVQEAHPDYKYSGEFEALYKITSPFPPLTL >PPA09787 pep:known supercontig:P_pacificus-5.0:Ppa_Contig183:229403:230969:-1 gene:PPA09787 transcript:PPA09787 gene_biotype:protein_coding transcript_biotype:protein_coding MWIEFIISCGYDEEARKKELLEEQLLYHATEKLSRIGSPEKESQIIRSLWKLKYQMWDLCQFYDILRFEYKRFDTYKVHVEEYVSKCIEDAMILGKRIRTFCADPNNNRDSAEIFQPELLDRLRDNLVAYYYQLKVMMCNKPTKEYKASYRELEESIWGLQKIEWNNKTKEWILDASKKAKMKKQSKLKKQPAVEIEEESNEGEMEPIIQGMEEETISDERNESTTNGSP >PPA09767 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig183:72932:74308:-1 gene:PPA09767 transcript:PPA09767 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSASFVFIYFVIAITNILSWLNSWNLRLINEPFFVFYYKILVDHSLVMQC >PPA09788 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig183:231996:232381:-1 gene:PPA09788 transcript:PPA09788 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTEVPHAAVAEEVKRFVTDIEVHTLFDFYIKKMHIFGNITNVMRESS >PPA09771 pep:known supercontig:P_pacificus-5.0:Ppa_Contig183:141045:149829:1 gene:PPA09771 transcript:PPA09771 gene_biotype:protein_coding transcript_biotype:protein_coding MTQERWPSQNGRPVDGDELLELTQEIVTTGRGTDFSADRLYCRLDGSVYYEPSTDAVHRITLFEDERLMHADLPAGHSILVKAGVLHTLPHLNNSTTEVKMFRRPTNGVDFVEPSFGIIRNPFENCHIMGFQTLSSHDYMSTGYPLKDTFTDSNLDGFIEKVDELTFKNQKGEVVPYVLHPIKLAQVKVSFGSERNATGLHNDVDRLWRDDGNSGLTGSLAGGEGRPGVVYDKEKKTIDVNLLLRRVDGTFFVQCKEGEHLCMLVSPPGMRGEPIEEALQCINFSRGIRLPASYWHSVPFPLPEGGAKIHLSEVVEFSSIPLTLSSFHRRKMTRAVTKKIEMDYTESEEEVLNENKDSTIVARFVCIPKANNGEFSGCNVCLHIFPTATAFNDHKRLEYCFRLVRRAYDPEPSNIIVPIKRSEESLPELTREEKKEAVKAEREKLKKQKRENRGIDNPFKAYLRALYGYPSLEQMKAEMEQRKIDEQEDEEVQRYNEQADARARRERRKKEKAEELEKKKVEDERKAALDSVKEELRMFGKIRKEAIRKKEKEMIKKNMERIERDMKSKKEEEKRKSEVKRKSEEKERIQDDKRNIIEEETRLREEEEEKVKTEDENRARIEDEKIREDVEMTNEEMKEEKTEEQKKEKIGDEMEEKKVEKMKRKRITADVQMSEETLANITENRRRKRRMDEDETEEESAIKKKKANEAAVDETTRNGEGPASEPSSIFPQAEQYKSANTDESKTVDPKKWKKKSEMRKIQIILTDKQSASLFSGLKTTTGDGTNEVYECAFCLDKMSTLDAGRRHMLSHNGDCSEMKKAFKQLHFDNLPEITKKHTDELTIIANPQSPGAPVFTNGKIVSITSAVPYLPDPTIEKEMLKPKPAEICKYLYDVSYECKRSTIE >PPA09768 pep:known supercontig:P_pacificus-5.0:Ppa_Contig183:75646:84362:1 gene:PPA09768 transcript:PPA09768 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLRLFILSLLYNAANSHPPHSCQSACRYCDLPYSAASNLPSKFKHWMPSSFIIEQGKCGSRCDRLVCKEAGAWMFVNGKRVTHLTCSLNQWLYGRYNEKTASSAYCAIRAKGPGNCNGQSCPAGPPGPPGPRGPRGHPGEDGESGEQGRPGRNGEMGPKGDKGSTGLQGKPGPQGESGLKGEPGTPALPAEKGEKGERGLPGQCSDCGNGCSTVVSISMTQECLNKEFDCNPLPGGSTSTSFQCPEGVASIEQGSTEWKKETFSKGECVPATKTWDINVDPKRYYACLSPSNGCEFNTLPCPPNFYCDGSTPTEIKEKPKTIECSDKSPYDLRTKEKKLDPTLTCDKGKWSGTGESHVDVFCGYPCDGSCEFINDPSHTATDYTNPPTPLSQPDAKDTCPWAQCADGSIHAWNEKTSRYERIPFKRAQCAKAGDPTKPWLIGTERFASIQCYSGQVFECEPVHPKIEACETGKTCNVASLFDNPVHVQCDQSTLYVSLTPGGPGVAMEDKLTCYDGKWHGEKASGGKPIADKTVYAACGEKICSKPVGNNDICEDSSKCNYAVGTRNGETFECPQNWKLVVSKNSDPKSGTILSSKLTCTNGEWTGTPESGIPPSFNSEYVVYSCMSTLCPTVTRSDDACLSTSCDSDRVIIDDNETKCKNGETLYVRKEGKANTEIKDKLTCENGKWIGKDKEGNEFSAETNIIATCNAPCKNPSAGDCRVPGDCKPPNPSDKEIKCEPSTDYVLLVDGEESSGLSCTKGSWTGAVGDNDHFTSENVASDTDGVHTGKKMQTTVKCEQGVWKGTPMGGGSPFVSLTAYYSCLPTECVLVTASPDACKSQSCDELALDAKPTVTTCTNGHNMFVMNEGDSSKIEITGELKCENGIWTGDKQGGGTFSGSDVIATCDAPCVTLQVADPCAKYTTCNKNDLVQTSYDVSCKSREYVLSINWLHSKPLKCVKGVWKGDVSWTDAGEFPIDNTVKVTCVKTTCDRPSKSKDICATSDVCTDTTPPLSSPPPGSTWTCISDQKLVASDSSAGQSGQKPTDNKIECVDGWWRGVVDGVETDPDNIYKSYNFHAKDAWVSCSSVPCIAAVKLDAICPRADFCDAAAAPLTNTQTITSCGNELTLFVSSTATEESGMVVEGSLTCSGGQWTGKVGSDPSWAQPSVFATCKAKMTSGCESPVKTDAICPASSTCDASQITRSNNDQFVMCKDGYTIYVSETATGTGREINGNDGIHCPNGKNWQGPYVGSGSFHATIAYVTCVLKAS >PPA09769 pep:known supercontig:P_pacificus-5.0:Ppa_Contig183:86529:91900:1 gene:PPA09769 transcript:PPA09769 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNEKPTNAVLPLAAVLCSKFASTALVPFRLVCKEAGAWMFVNGKRISHLTCSRNQWLYGRYNEGTAISAYCAIPPTEPGNGQPGPAGPPGPPGPRGPPGPPGEDGESGEQGRPGKNGTPGVKGDRGGTGSQGQPGNPGGPGLKGNDGTPGKDGLPGTKGDRGEPGAPGVCLECGKTCPMIVSISTSIEGCLNKEFDCNPLPGGSATSSFQCLDGNIASREQGSTEWKDEGIRSAECDEEKTWSFSNEKNRLYASPSNGCGFSKLACPKNFYCHGSAPTETTPKPKTIECSRQAPYEMHSEEKKLDPTQICEQGKWSGTGEWHLSVFCGYPCDDSCEFKNDPSHSAPYYENPAGFSLPEDKHTCPWAQCKDGSIHVWNGVQYERIPFKKAQCAKTGDPSKPWKIGSERFASIQCYNGPVVDCEPVKRNINACEEEKDCHVEYLLENAVHVECDQSTLYVSLTLDGSGVAMEHNKLTCYDGKWHGETALAGKHMSEKIVYAACGDKTCSAPTGNDLICSDPKLCSYLTGTRDGGTFYCPLKSKIVVSTSNQANSGIILSTNLICSNGFWTGTPELTSLPPFSAEDVIYSCMSVACPAVTKNDEACGSSSCDPDRVQIDDDETKCKNGETLYVKVEGQITEIKTILKCENGKWTGKDKEEHDFVAEKALLATCDAPCTPTTAASCPNPGDCKAASHPSETSIVCEPSEEYVLLVLRQKNTLSQNGEKSSALTCTAGSWTGTVNGNPEFNSKEPLAQPVPLRIQIILSVNPERIAITARVLEILKQLNALERQGS >PPA09785 pep:known supercontig:P_pacificus-5.0:Ppa_Contig183:220823:226491:-1 gene:PPA09785 transcript:PPA09785 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWALISLCLLAGIAHAQDEATTVASESEPAIVITDDAKAVVETEAPTATEISEVEDVAETPEAPVETEAPEATEAPEVPETPEVTEEPEATSTPETSVEPEATEAPAAPEDSEVPEPTEVPIEAEVPEVLATTEAPGTAVQPMAPYARAEGRPRRGADDEVVEEPELNDDDEDEETPAAAEEAEEQNTVEEAAPEAEAQDEIADPEDTNETTQEEPAAEETEEAQAPEASETEEPTEENEEEEEAETAPEATNEAADEDEDDGTTDENEVEETPAAPEGAAHDETEDPPLEEEEEEEEKAEVAAPEVPAPAPVVAPATSPEETEEESEEEPQTPVAPEATESKEKPAAHPEPSAPEPLTEEQLDRETAIVEAETTVDALNTEANVEGNPELEEAAEFEAEEKEEKEAEKEEQKEEAAPVEGEAQTEEDEEAAKETATEAPKEQEQVGEEEETEEGKEVPSEPSPLPSSVGEEVKEEAEELNAPAPIRRVYRAVRSSLVGEAGDETESAQSEKEPTELPTETSDTTGKTEALPSSIVKDSPKAEVAKDAQATEPWKDAFGWIHYPWKRFPIKKMRYSNKKDCGCQIIWIKRVYKRRHPKPRLLLTHDSYFNLHGRKRCHRHGLFPVIRRHYHVRQPCGYHTRRVHRHHHRPTKTVQHVVINHRQVKDKLRTRTLTFAFSDHKPCHKNPVVRHVTRVHHVKHHPHHHQHHPHFPRGRFPGKIRIPHFPRHHHHHHGYPLSGSHGSHELNHFPYGPHFHRHEPVVPATPEVVPAGGKQ >PPA09779 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig183:186201:187928:-1 gene:PPA09779 transcript:PPA09779 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKDFFGQSKRPNVLINNTGFVHFYSHRIADVVCQIKNEYFPFDQQVCPLTLFRRRVFELKEPRIKESKSEMLEIHNMDAMVSYDFGLKRKPEFYIVMVILPTFIMTTLTICGIFGRHTSIENEFITELSLGITSLTTIALMLDIVAEHMPKTDVFPLIGYSVPAKFLICHITLMAVSILTVIIHPRFLYPKYRRIRSALLSNTGK >PPA09770 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig183:127048:138849:1 gene:PPA09770 transcript:PPA09770 gene_biotype:protein_coding transcript_biotype:protein_coding MRLETMKWLLVALATLVVAARANRLSHTYDLPSLHIFANQEFLIRNTPTVLTCRVLPGWRFSQLSDVFWLRDGQRLETGDHTEYVTSADNTTLTITSIKHFVEGEFQCQGWIMEVVLSNAQTVDANLISPPLKLRKARITKFEKTKDITIFARPGSVARLPCLGLPDVVPGPPEIFFERENLNETLGSSAGNSRFLSSTSGMQIAMVQPSDAGRYLCIVRNEFTRQERKATTAVELKVVTNNDPENARMPPERMEVTYPEGRLSSMERPIQLEVVHNNQILIECVVKNAKITWSRVNRTLLVGTAEDSRIRQVFGNLKIKDLQPADAGIYVCKGQSLFNEKDLEMRNLYVLQDEVLVYYEVIVHQPSDVVLFLTQATVDRSWEISCYAQNLRYEIPMVFVNSTPLIDAVERMGIPTVTNFYTNPINVTMMSRNNFSGTVQCISRPAMEEAEIYGMGLERGRSKNYYVLPDDERNTGAIIRGPTNMTVVEGETVEMICRIKRVLLRHWKKESENIKLNNWRVKLLPSNSLQIKNKSQDVLLLAMTGITQLPLTMTDPIPSQATVDDEGWYTCVVEDENREKFSETAYLSVVSLAAAKPTAFDTLAPTDELKPKKKIPPAKISRVFGFVAGNDVRLRWEVEASEAESKIATFVVQSKMEGETKWSDASHALGHTRAALISDLKPHMKYKFRVTAVNEDKTASAGTESEWMETMEPQREIRPAPPLIISVEPVSSQSIRVNWRHEATHNLSQATLFVIAYGKEDKLDQSIKVDGAARSWTVTGLSENVTYSLSIHAENPAGESDKSNTVTAKTMHPDEERRGLLSLLQRGLMDMLPGKEEQRVHVLLLLCILPLILLILCCGCCCRYRSNRRKNGGANKFLDTSYHIYNQQKVHRSKLAEPYDPKEFFDDNIDEHLPLRGNGNNANTLIAKNDDRISLNSRSSRRFRLPAGSRPFPNLYGRGDEESDEDHQDVDHLAPLENHYGGLLGGGILSYQPVGSSSSARCYSTDTGVSREILVPHPPPLLSNGGMPLYHPTCSTPLPPIPPLISTTCSPLKSATSVSYKTTGDQAVIAYSPASLAESGGSGSAQTRTTNADSPTHPL >PPA09774 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig183:163219:164000:1 gene:PPA09774 transcript:PPA09774 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRDVDHSPFWLKVDGTWVYVDEEAVMLRTQRALRFNPSRIQSRSFVQSLTRLT >PPA09799 pep:known supercontig:P_pacificus-5.0:Ppa_Contig183:301558:304348:-1 gene:PPA09799 transcript:PPA09799 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRKSLFLVLLFNLITCHKHLLPKELMLVKEKGIDAILEEGEVITPVRFTLKFDGLNDIEDTMRSVYEQTCQTVSSPSSTVRGGRFERGIDWVETAGVAAIGIVSTIFYPVGAGIGAALGTMNAMKIADLDYKMRRIYALHMADKFAAELDRAFVSHELSGIVLAGEQKGTGPRITTTSRITVKDESEYFAHSPKKGEIRKKLNRKRKAILNRRRKTAKKDKKYVQIEESVPLRTPSWWKKSSQFNFLHSYSIYTPTFSNPLPPHPFIIYSDDNANYGVIVSIDDLDITIISSSPDAERLGYLAAFTSAGAREERVSVRVLPTQTTESQNEKLPLLLILLLAYKTDSSDLRTVKFNIPSHPIINLIVKASSKRIPCSPHNAISKKTYTLHCACARPSHKEEMGFMIPRLVKCQKCGWKYADCELGPIPESSKLKWTCTDCISFWNVPKWGGIETTPEGDKIIHNTCALDSFLATLISQHRLDPRLFEKIGTASLFEKYLRSMLMDGNIDQGRYDMWASECEILDRLFEYSSKLLFNLKYRTCSERKKLTRCHFETQKKGDSMKQVVYDSILGQSDCQSCQGSRQIPNVTSTAWFIPVDISLEKESPSRCDELPKEIKYKFELGGITLFGGGHYVALIPRDNKWILYDGIKTVKMRYINPRTIQDRTISVAFYYAS >PPA09783 pep:known supercontig:P_pacificus-5.0:Ppa_Contig183:215772:216178:1 gene:PPA09783 transcript:PPA09783 gene_biotype:protein_coding transcript_biotype:protein_coding MARFIIVLALLVGAAAAWNYRTGYDSHPWNIKNIWVKRSKQMDLPPPPVRARAPGMSYIHKRSEDTSSESGENTQYAVLPLPIVLDNANMVIYTSGGDLE >PPA09795 pep:known supercontig:P_pacificus-5.0:Ppa_Contig183:282036:289480:-1 gene:PPA09795 transcript:PPA09795 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNTMAWPHQLKKNIDILEDKVIALCTSRGTTFETREMRKQRCVRRQVQSAESAAGIANSSNRPLNDHETLQRVVDELMVEVDAPDVDIDFVKTKMSLIGELFDDLLVEGLRSIMIRNTGTAAEERSRVTRSSIVAAMDKALSLDFNLADYLKKPEQPKGLARSIHIENLKMGKKFADYFVDAIAAMNIADIKKINMLRADAAMVTIEKWADKYQKDGEFLDRNIHVTKIVPFEIIKRILEREFPYFYCPLCNALEFTVKQHLAHHSNGVHCKHMKRIAKISELPDQDGWMKLGYFFATKYFVHLSDVSEKSGSVVANPMSNTIPTVEWLALIENKYAMQINGEIDSTRLRDTSYMAEIIPGIIARHKSKIGKDLFAEIDAYFKKGKDIFCHRCHVTVSSSVFFYRHLRNPYHLGCNKGYDIADRKIQHCPQQMVVTFTSLRYPSIFTPRNP >PPA09781 pep:known supercontig:P_pacificus-5.0:Ppa_Contig183:205181:208004:-1 gene:PPA09781 transcript:PPA09781 gene_biotype:protein_coding transcript_biotype:protein_coding MYKEPIPLIIDTIDSIASQPHAKEKISVVIGMEEGTPDKEKAKADLYAKYKPIFERFIITFHPKGLQGDIPGKCSNFNYASRMAVKALRSDPEYPLDKPGKKVELLVTTGDCDSVFGERYFDALEEDYWKVSEEAQYRF >PPA09765 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig183:67743:69972:-1 gene:PPA09765 transcript:PPA09765 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARKEYPFYPDAHDYYTYPALFRGDYRPAAVRNAVIMYLSYLPVLFIAFCAKRDPRIHEYYKTTIVFSAYAQFFLTLPQTIFYTWISIAVMEDYETTIIWCSVAVGFCRLISLFFGRRLELAFVLAAFTFFSALLVAIVGYMFAVNSVYPHEVCQPVIFFLEDIPALIHNFYTFFIPLLAAIFNLLCVIYLIYMKSRRTTVSPTKKVDELVVAFSLLLQSIIPAVTITSKAFFVLTMVWLVEAPDWFIIFTNWAGFFTTGLNILASIVFIKSFQDQFKELFWFPIKYKVVRPDPTTTTSFVLVKI >PPA09780 pep:known supercontig:P_pacificus-5.0:Ppa_Contig183:198346:199328:-1 gene:PPA09780 transcript:PPA09780 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIIALIRWSLAVRRKCVIRAIPVATLSGPTSGKNYIDEWYEWARQIRRWTIGAAEVFHYFAIKFFRLPVSVSISFAAKFVFYYGFLLCIASPYGIIAPFVVSNQLF >PPA09789 pep:known supercontig:P_pacificus-5.0:Ppa_Contig183:233147:234429:-1 gene:PPA09789 transcript:PPA09789 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRNLLRVDPSSRQWLDHTDAYAEQAREKEYLSKYIEDALFIENLMRNFCAYSKNTRYSVAIFRPEHIECIKHRAIAYHLQLRLQEPKDKGMDAGANEESEKEQIGKETSRETYLNEESRAEIEKDNGVHERL >PPA09766 pep:known supercontig:P_pacificus-5.0:Ppa_Contig183:70473:70946:1 gene:PPA09766 transcript:PPA09766 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYHVHGGNDLTRAGGMQLMKNIAPSSSDELGNMYVSVSPSSIYLDKQHYCLF >PPA09772 pep:known supercontig:P_pacificus-5.0:Ppa_Contig183:155349:159741:1 gene:PPA09772 transcript:PPA09772 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEIECPKCLEESFEEPIKLAEHIFFCRGDMLGLRVQCPICCRKTFDRTQLRKLVEHMRRPCSRTRSPRRRKCKAAQPIPSAGEIVPLGQSTKDNVAAGELNNVAQTLPLSGQPTHGNYAVSRAQYAGDPAVAALPPTDVSMSDPTPAPVLNNINGEALPLSEQAIGAVSNEAGAAVHFCTLCYLKIFTSRIGLNVHMRDTHGQALTAGRLDPRTPRALVPPPLRTFEEIVGSPYLQYASTPFAPFDSRVLGIPSTPVSAPSVYQLTTNQAAERVRQDVNLLRGILKLSLSQHRQSVFNPTTSYICVICVRVVLLGEPIWMLTSSSIDPDTVAHESEKECTVCFTNEKKYIVLPCTHFYSCLYCLQRHATSKCAMCRGAITGYVLRRLYKGAVIDVENGDI >PPA09777 pep:known supercontig:P_pacificus-5.0:Ppa_Contig183:173044:174417:-1 gene:PPA09777 transcript:PPA09777 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRKSLLLVLLFNLITCHKRPSHKEEMGFMIPRLVKCQKCGWKYADCELGPIPESSKPKWTCTDCISFWNVPKWGGIETTPEGDKIIHNTCALDSFLATLISQHRLDPRLFEKIGTASLFEKYLRSMLMDGNIDQVKDELIKKIFSNKIDKKGRYDMWASECEILDRLFEYSSKLLFNLKYRTCSERKKLTRCHFETQKKGDSMKQVVYDSILGQSDCQSCQGSRQILNVTSTAWFIPVDISLQKESPSRCDEIGEYKFELGGITLFGGGHYVALIPQNFLTMGNTMDCT >PPA09793 pep:known supercontig:P_pacificus-5.0:Ppa_Contig183:245456:247322:1 gene:PPA09793 transcript:PPA09793 gene_biotype:protein_coding transcript_biotype:protein_coding MASCVLLIGLAQSSWMIYVSMIPEAFHGLLNPLTFTFMSCIVRPDEIGKAYAVSSIAQELAKLAQTAILQNIYTATVDWYQGFVWLLMAGVSGVAVGIYAFIHVLAKRRNVGS >PPA09778 pep:known supercontig:P_pacificus-5.0:Ppa_Contig183:175147:185598:1 gene:PPA09778 transcript:PPA09778 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAKGRGLGQDAEEMGRDGRGAKNSRVSHGLSVPGSEQAAMRTQSIVVLTFPEESIGQLRIEKIRVASLQKEIEQPKKIQPFALFEEIEMREEHLRHLLLPLRFHYENGIVSDIEFDREDAEWSKNIKRSYLNMLQVNLSQKDARDESPHLNSDKESVFTAPEITIEGECDVTYTVLPEASPDSSEEEPELRVTKSINFDKCMRRVGQKAVMPLEHKAEKRVPKKEVSREIESSTLIDYRVTGSASEFLIKEVELRSVYVYAPLSKDDSLFNTFVSGTMNLIEIAAENTRLEGPKSERKESLMYSMEWEMSWVKFMATGDERSLQKVVAPYTVLMNKHEIFARLVKTLVSQLQSDEKGVDIAATHEMGKIVNILRISSKEHIRIMHKELCESGDSQTKEVFFDALALAGTFSTVEYLVEKIRAREVSPLRASYLLKQLSSLLAPSKEIVDSLLFLCKDTRQPLIHQSCWLTVGAVMHGVCGGHIDPRACPREVKDEFVAELYQQFTKASTRYEKTLALKTLANSGMDLIVYPLENIINDKKEDRAVRVQAIEALRKLRAVLPRKITAVLLPVFKNKNEHPETRVAAFHQIMQTAPEKNVVDQIANHLEFEPSTQVYSFVYSSFTQLAQSDLPEHQSVAESAKAALRLLLPQPSRPLSSTYKQYSTYHDESQSGASLGLSALFSAEGLLPNELKGTYEAKIAGKMRKNLAHFGFKQHNMEKLLKKLRDAGVEDVLGREKRSLARKPSEILRELYSQLSITRREVNDEKGHALFYVRINDMDYALLPIDEEIIAEILQTFTRDGNLDLSDMESILAKGFDANTVVSTIVYDYSTMIVNSAGMPMAYSAHLPAIFKMNVKISADISKNGEKSIDLVVSARPSLAATHVVKVEILTPLINFGAKILHNAQLNLPVDAKTSVTWRNAIGGRMNLTVPKESIRLAQFSTRPVTFLRVWPKETRAYIQCEEKTIYVDQLENRVHRIEESFFEGAIGVKIKVDGHYHEHIFDKGDDGLPSALLIGENNFEVIFERTEQTPTEYFLFAFYERNVHSPTMTWRSNMTEFLAEDFFNTVKCDEEDFEEEAAEEERRADFRNYVESYNTDKDDIHLFIYSAIRSVGGGSPHSGTFRSKAECDKKMRHCRVKVESGLTPFFENKFDLWKFESEIEMFYPQMPKTLTHLAQMKHREVSVNAETRWGKELENQIAVAIQGEQDREQKKWMKHVTGQKDEKLTSMEEYYRLVEASMLNQYKTIVKYDIKSPVSHGIIDRFYSLFKACFAIAYAPFFSSTIEEFNSEKNVVRAQLTLSPMRGKYANITVHTPTEKVVVRDLRVGSTLPHLNIRRPFVNPLMPHSSQCTVGSHEVNTFDDVVYRTHLTTCYSVLARDCSSDDPEFAILLKNVHHHGEEKKMKIVTRDSIVELEMDKEIDKIRLSVNGNEVAQVDRFANTRINIDIEPYVFDNDDVTFEFDGYTSIVTLSEHYKNKQCGICGHFDIERKNEFRRADNEETEDIDEFHRSYLVKDGECTVEDEKLTEKRNYRIESDEEDEEESTVDKKSKKDGNDCDSEIELEKGTLVVARHEHDQVCFSMEPLPKCPEKTTKGGEKKMRAAFTCLDRSTRKASRLIHKSDHEILNVSGYSESFIEMVSVPTSCLAH >PPA09764 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig183:66013:67071:1 gene:PPA09764 transcript:PPA09764 gene_biotype:protein_coding transcript_biotype:protein_coding MREWWSTAASTEEWWSTAASAGWSTAASAEEWWSTAASTEEWWSTAASTEEWWSTAASAEEWWSTAASAEEWWSTAASTEEYWSTAASTEEWWSTAASTEEWWSTAASAEEWWSTAASAEEWWSTAASTEEYWSTAASTEEWWSTAASTEEWWSTAASAGWSTAASTEEWWSTAASAYTHVQGDFVVNFPSSYNLPPRTVRVPAHHRTLTTIHSEMKKARKEIAAAHNSSDQ >PPA09776 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig183:172276:172677:1 gene:PPA09776 transcript:PPA09776 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLIVPALVVAAFAARDSTRWNSEVAHMSLSRASSFKPGFEYRFHIDSQYI >PPA09786 pep:known supercontig:P_pacificus-5.0:Ppa_Contig183:227454:229178:1 gene:PPA09786 transcript:PPA09786 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLIFLCFLGLAALAYAAEDPEHFPEELVEVGASEAAKKGPWTWEEIDGKKFKVYTHEDRIPHGFSKKIFIKKTRDHIIKYIRLKKCGCRVQTTRKTWVGPHKKLWYDDKHHHHCHKHGHHGAKPCNICSGHHGHHHGHHGHGHHGHGK >PPA09792 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig183:245119:245409:1 gene:PPA09792 transcript:PPA09792 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGLALLKKVFKFRDTLCICLAIVSDLIDRFKLLDYRSYKLDRIVPDTDTCLN >PPA09763 pep:known supercontig:P_pacificus-5.0:Ppa_Contig183:32988:35368:-1 gene:PPA09763 transcript:PPA09763 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEDPTTTLQSTQPSTTQPTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTQPTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQLDAAVYHHSSVDAAVDHHSSVDAAVDHHSSVDAAVYHHSSVDAAVDHHSSVDAAVYHHSSVDAAVDHHTSVDAAVDHHTSVDAAVDHHSSADAAVDHHSSVDAAVYHHSSVDAAVDHPADAAVDHHFFRRRSLDAAVGPHPCRRKPSTTTLQPTQPSTTTLQPTQPSTTTLQSTQPSTTTLQPTQPSTTTLQSTQPSTTTLQPTQPSTTTLQSTQPSTTQPTQPSTTTLQSTQPSTTQPTQPSTTTLQSTQPSTTTLQPTQPSTTTLQPTQPSTTTLQSTQPSTTTLQPTQPSTTTLQPTQPSTTTLQPTQPSTTTLQSTQPSTTTLQSTQPSTTQPTQPSTTTLQPTQPSTTTLQPTQPSTTTLQSTQPSTTTLQPTQPSTTTLQPTQPSTTTLQSTQPSTTTLLSTQPSTTTLQSTQWSTL >PPA09794 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig183:259366:260222:-1 gene:PPA09794 transcript:PPA09794 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKKVESDPNRLIGKVEAFYWRINFNLDIFSLVPSYTITRPLPDGPSDSNGVQGRFTVRQQKLMTPLGQKFWTSDNVNSRLDYHLGVKRREQREKQLQIIAQTRTPRVAACTVLDGCCIMTTPKVLATVCRKGKLLFGDENEAEVKKKGDVSKSKKSRAGRK >PPA09784 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig183:218977:220314:1 gene:PPA09784 transcript:PPA09784 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLSSINKGEGVMRQSGSSAPRQGVMREVQETMNEMCDAVEEEKVAKEITSVTYSMMSSQTEDDFIEEIKTLKGQLDMMIDELMSVNISRELVKRKRHN >PPA09798 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig183:298585:300334:1 gene:PPA09798 transcript:PPA09798 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIQKLGLDQLDIDHDGRNVRRSLVLHLDYAPKIQVKLRNVTGDQQPNQSNGNGNQEGATNGNSPCRTGGQRNNNEPCRAKRHTDICLQYMRYGVYEHRLQICRGEYPGEMSNRTALHESKIIILEFDEEHINDKFESKESEIQQLIFDILSRLRHKTRKNVEFTSYDQLLKIEGRIWEYSKEIDKYELIKTDPYDVTEFDDYVLSQIKKASTKLVCGENMSLAYKVPIQIELKQFKLRAFLEGILQRGMEVRCQMLCNK >PPA09796 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig183:290823:291478:1 gene:PPA09796 transcript:PPA09796 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLHAERLGYLAAFTSTGAREERVSVRVLPTQTKESQNEKLPLLLILLLAYKTDSSDLRTVKFNIPSHPTINLIVKASEKRIPCSPHNAIRKKTYTLQCACARPSHKEEMVFMIPRLVKCQKCGWKYADCELGPIPESSKSKWTCTDCISFWNVPKWGGIETTPEGDMIIHNTCDFFLATLISQHRLDPRLFE >PPA09802 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig183:314962:316479:1 gene:PPA09802 transcript:PPA09802 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALMRGEWKTFAELSISAYLSLVTTHRLDLPITEDEEAQLVRKSAIIKEYEPRKMELPEGVIKTKLNDVRRDLMTITGCRNIQIRQMGSPTEVLVSAIGTSENFKVLDSFVISPAPSREQAASKEYFFGIPKLVHDRLTRAATDAVSLPCL >PPA09804 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1838:313:992:1 gene:PPA09804 transcript:PPA09804 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTVLSLINQDSSFRPDLITLIEKTLEARHSGITLFTLRAKGLDHLHCLEFLHLDIKPGNIMVTDDLRCKIGDFSVAMDITKDNPMDADFGDKKYAAPELFAHMFTPKADVFSLGITIAEISVPSSSPLSEDEWESIRKIGTLPDREYTI >PPA09816 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:123829:125530:1 gene:PPA09816 transcript:PPA09816 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYKLHYFDIRGLGELPRQTLTLAGVEFEDVRVPFEKWPEFKEKTPFGQMPLLEVDGKFIPQSFAITRFIASQHGLAGKTPFEAAWVDAIADQWKDFHADFKKYWYPVLGFGEGDLEALKAEHGIPARDKFFPLLEKQLKDNGSGFLVGDSPTWVDLLIAEVVQLVTSIEKGFLDAFPEVFKHEQKVHAIPQIAKWIESRPATKG >PPA09827 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:164111:165421:-1 gene:PPA09827 transcript:PPA09827 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVLITGANRGIGLGFVKEMLKEPSIDVVIATARNVEAAKDLAAIVNPKLHIVQMEVTDEQSVVAAVEKVSAIVDGKGLDLLINNAAIFQSLALDGDVNKATFLESFDVNCFGPLLISNIEKGAILMTFQKFHGLLKQAAQLNGSAQIANISSGFGALAQAMMDAIYPNNNKMFFPHSN >PPA09828 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig184:167162:167807:-1 gene:PPA09828 transcript:PPA09828 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFMSTAKSTKQLRGQACAQFSGVIQPVNCHIYYYTASWCSFLGDYTVNTCILPIVEYQLQQTEPFTTTTTLPTTATTTSTPALTPSTLPTTFTSTTTV >PPA09823 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:153980:155624:-1 gene:PPA09823 transcript:PPA09823 gene_biotype:protein_coding transcript_biotype:protein_coding MVCGVNGRIVACVRPLAVPTRTGASEDVGPCDIAMCKYPAPKTCSGSYEKSANYRIQPANPTIFGLTWNKIVYTGPGSAAMDLAFRAARSDQINKVYQNPMMPSQIPRAQQSGLHTQIEAVYVEANCVGISNAPGTANFDFVAVGKCVPKPLGKRVLYRVAEDKTPDAKSNKISAWKIRDVGLYEIVLG >PPA09806 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:30349:32043:1 gene:PPA09806 transcript:PPA09806 gene_biotype:protein_coding transcript_biotype:protein_coding MHERAKKNSVIGFLSLWSVKLDPISMITIIMSIGFSIEFSAHITHGFMAREDDCGVEERCIEAMEKLAWPVIHGSISTILGVTVLAFINSYMVLVFFKTIALVLIIGAIHALVLLPIVLATTIPLIEVRLVRIMV >PPA09818 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:128803:130210:1 gene:PPA09818 transcript:PPA09818 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYKLYYYFPIRGLGELVRQTLALAEVEFEDVRFENWKDFKGQTPFGQMPVLFVDDKPIPQSFAIVRYVASLHGLAGKTSFEAAWVDAIGDQWKDFQSEFKKFLFPKLGYGEGDLEALKTDHGVPARDKFFPLIEKQLKETDSGFLVGSSVTWADLLIAEQVPQIKKWIESRPETKA >PPA09835 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:180138:180856:1 gene:PPA09835 transcript:PPA09835 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAAFLLFFVIASVQCYKIILYNDQSTDLTIRYSRNDVEKTMILPAKTRNGPSTWTMSKLGVNATVVFVNVNLLADIRPSNPQSTPPSTVVSGGYLAIGPDFTKVTMKIFVPGFGFKILCNDSYMKQKCIKLPSGVQNWVQVSEKH >PPA09832 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig184:172442:172767:1 gene:PPA09832 transcript:PPA09832 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLPGFYPVPLVTCNFPTRFMCTDPNNGQSSDTMTYTISSTSFIDPEPFEIYYKDLF >PPA09826 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:161176:161929:-1 gene:PPA09826 transcript:PPA09826 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFKTLLLVCALLALALTHPDREDRESHRANKHAAQRRVTDDGKNNNKPYIERCNWLDKDLPTCAPGLFCLRDDWRCVTNINCRHKGGWCVPNFTANLKEEMEERRRLHNSDESEDHE >PPA09821 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:148403:151910:-1 gene:PPA09821 transcript:PPA09821 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFPNSNAFYADHYTAAQQLAYNMNQAAPAPLDEHFLDDMPPSPESYDLSVQPLQHIQQQQQSHDPTAYQIQPQPQLQQLQHDPHWQESMQHAQQYLLPVRQLQQQPHERLGISKDELDKFMCMRGNYMRVRRMRESQQRLVIGNISYSVDRPIVKFTPASASRDRRAAAYARQLMEQQPQEVDWPTMDHSDHMQYAQVEQEQHPLPAQQLMEWEKLMGAAEPLKDEPVAEPTTVETQVVEPIEDDYEALTRAAREFLSRPLLKGQCSQVPKEEPTCDSITVQPGAEAAATVNTVEPAITEPARMTDSLIAKDEESCMTGSPAISNTAEPSEHQKTEASTVTECAKDLVCAQGKKEREQTIAMLIDEIKKMEKVKKGKGSPSQKDPAEGSAPIEESPVEVDEQQIDNNDEAVLEESRAERMKLYETGSAFKKFPQLEKNTNMLLGDLAATLDKSLADLVSSNDKLWDSLGKIKDHLKKEDVKACEDAGNGDLDFLNLLYLIAFLNGSRRNAKIDRVSRLYTTMHYSSPALALAALGDSKLNQPLAFKCSNSSALYLSLDGRAIPVEKGGVRLAVLSVVAGTHLLNLAIDQQVGDVVRFFYSAAGLQLLRKPVAVAKARDIIGRTESAAV >PPA09814 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:118364:118753:1 gene:PPA09814 transcript:PPA09814 gene_biotype:protein_coding transcript_biotype:protein_coding MPINHSLAGRNFSSGDLRYRERRLDPDLMNRSSPADVLNGYVEQQRKQNELISNLIKGGGARIEIGGSHRDVPAPRCNYSNQHSG >PPA09805 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:20652:28928:1 gene:PPA09805 transcript:PPA09805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ptr-6 MRWHTPTLAKPLERLLAAYTKRLLVPYYPELTLLDARKLYTPVSAPSWEEEAVFGRLWPIKEYEFLPERTFEWKRYVYVVVHGQKFENGSYPNILEDEYLDEIDRIEEVIYQNVTFPMQEKWRGPKSKNFTGDVTFEELCLNWYGECYRQKPLIRLLKRRAELEAGGIAVTFPRANPGGTPIYLAFNVGGVTTYKNDTIKIAHGMRLFFFLRSDSKEMDELSTQWENTAAEFIKNEFAENPLIKVHIKYSRVIDQGLTNNANRLKPYFNVTVIVLIAFTAIYSMKLRVERGKQGEVKGVSVDWLRSKPWLALGGVTCAGLSIVSGIGLLLWCGCFFAEITLIAPFLVLSIGVDDMFIAVASWLNTEAQFPGTSSDVLRERMGLAMGEAAVAIFITSITDVFSFLIGAWTDIYAVRGFCLMTSACMLFTFLYQITFFAALMVITAKAEFAGLNSVIPCLKVRKELRVKETVSESPMKTIENGEKRQAENRERIKKKKEKRASPSIPSINSSSTLDSKAALKTSSKSSPADSSTSSQSSGRRKGSFFRKHYVPFLLDWRTKTTVAIVFVIYLVISIYGISVMEQGLDHEKLLIATDPLVDALKSEIELFHGGDLIEIAIVNAPDMTVKANRDRIEMIAREFETTSYGLGPKGTSIWTREYSKYANLTGSYLQDDRESWVVGVYEWANLFAFYKLWSQDFVWTNSEDIDTLNLTSFRFHVGVTDFNGPSDLVKVTDELRTIAARYPDLNIVTYQQSRSIADQLNVILPSTIQNDSVAIVVMVIIALAFIPNPLCTLWITTAIVTIDIVWKGISFYSNPNRMVIGAVYNDAFISLS >PPA09825 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:157593:158275:-1 gene:PPA09825 transcript:PPA09825 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQKFHGLLKQAAQLNGSAQIANISSGFGALAQAMMDAIYPPTLYAMSKAALNMLTRRLAFEWKKDNIRATAFTPGWVRTDMGSANATLSVEESTTALTKLIMGLTEEHNGEFFRYTGEKSPW >PPA09817 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:126408:128207:1 gene:PPA09817 transcript:PPA09817 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYKLYYFKMRGLGELARQTLTLAGVPFEDVRVHETWMEFKGKCPFGQMPVLEVDGKMIPQQFAIARYVATQHGLAGKTSFEAAWVDAIADQWKDFHSEFKKWFYPVIGFGGGDLEALKAEHGIPSRDKFFPLIEKQLKDNGSGFLVGASVTWVDLLIAEVTQGINANVNGFLDAFPEVLKHEKKVHAIPQIAKWIESRPETVG >PPA09838 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:189880:190643:1 gene:PPA09838 transcript:PPA09838 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAILLTFVVASTQCYKVILNNDQYTDLPIKYNRNGVENTMVLPAKTSSGPSTWTVMSKLGVNANVTIAMLNLFVDIRPTNPQGNPPTLDVTGGYLSFGTTETTVYMNVLTPTDGAIITCNLQGLGIPHLCIKSPGTGGTIAEPSKTLTIEYADAGQYNIWFKNE >PPA09822 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:152267:153022:-1 gene:PPA09822 transcript:PPA09822 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQVTRAQQKGLHIQLEAVYVEANCVGINTPGAQSLPTTFDFIVNTKCYQRPMGKRYIYRVSEDISPEAPSHEIVAWKVRDVRQYEIIH >PPA09820 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:133216:134060:1 gene:PPA09820 transcript:PPA09820 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDARRTFFELIRAIGEGGRTMELWDRIKDLHLSVFKMHKEQDTGVITAENMTDEEKNVYRQRSLPFLAMREELFRRKLGGTTYYSKCPESSRDDSHAPHKFPWWKEDFKTESTDYGFCTTDVVTNYFID >PPA09836 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:185336:185967:1 gene:PPA09836 transcript:PPA09836 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRTNVTIPASSQWTMADLGWGEHSSFEYKNVYMATYTVGAPTVTQIGVGYFTQPANPDVAMLVCLQESCPIPLSHFQCNYFANPLCYSTVTWSYVTSQVAERPYGTSFSIYFTNRQ >PPA09815 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:120755:122858:1 gene:PPA09815 transcript:PPA09815 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYKLTYFNVRALGEVIRQTFKLAEVEFEDVRVALESWPGEYKDKTPFGQMPVLEVDGKPIPQSFAIVRYVASQHGLAGKTPFEAAWVDAIADQWKDFQSEFRNYWYILMGYRQGDAEKAKTEHGETARDKFYPLIVKQLKDNGSGFLVGASATWVDLLLADQTAAIQKELPGFLEKYPEVIAHSDKVRAIPQIAKWIAERPESRG >PPA09831 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:171922:172240:1 gene:PPA09831 transcript:PPA09831 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIALLLLVVGSALCNSELYNIKLYNLKKTPITVQTYHNNALVNAYMPAAVNGIPSNWTMTGVSAYDVTTFKSGHFYAKIRPSSAFP >PPA09809 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig184:72852:74888:1 gene:PPA09809 transcript:PPA09809 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNATIEETLIEVLNNYIDEIVKKADAVDKNTTLDNIGFDLWGYKYSEVSGSLIRVTTPRAVYYDV >PPA09829 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:168136:169435:1 gene:PPA09829 transcript:PPA09829 gene_biotype:protein_coding transcript_biotype:protein_coding MSATNSLLLRSIVVVVKIHRESEAALQDRIRELEKQLKSSGGTAAAPSALAVKLAGTAPPIAAPTTAPNPAAAPSAVHSVVQEMKGFTQINGNIYVEIIYVGNPKVNYVPLASAPVS >PPA09813 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:116339:116699:1 gene:PPA09813 transcript:PPA09813 gene_biotype:protein_coding transcript_biotype:protein_coding MRILLFFTTALVLFCSRFITVEGRAYDKPSRPTTSLRPYSEGALLYRTEQLDPALMRRSPPEEVLSRYVKQ >PPA09833 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:174025:175080:1 gene:PPA09833 transcript:PPA09833 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMQYTIHYSRMRLAFLLFFVLASAHCYYTVTLYNNQSTPLEVDYQWNGRDELVRVDLPAMSNGVASQWTTPDQLNFGSAVSFRMLNLNAAIDHSQFTPPTQLVTGKFRPFATNTKIAMKVITPGSNAYIKCNGGALWMHCLSSSTISPNWKQVRDRVMRDHGSIRRQRFVSDLVFG >PPA09812 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:103740:108800:1 gene:PPA09812 transcript:PPA09812 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLPILFQLAEARKSALTREACTSLSCVTAAETLRRKSAASAAPKNHSISPCDDFYEYACAGWDESTRIRAARGSDWNVLLETSFNTHDKITSMFGRLMVNPLAMKDLTKYQLQALTTYATCMRESIEDATEALLQVYKEFGGWLSTAQDQTPIEESLLSAYRTNAVSLFNINAQIDAKDIRSHTVLTMEEAVPFIGSPSVYGAMPAFSVNDLLSGRLDKHWVKSVLFAAGHELGQQLGMHETTEFREALAQAVLLDYKITRCSPSLDLERSGPVVQWRTTVGELRTFDDLFDFRYFLKIFIGREVSARTEIVIGPGREYFMKLMTVLREYSTPEGQKIIRDYIKFKQLFLLSIHSGKLVQSKELGGLETLRILYTGDDDRSVQCINRVGMANQLGFASLLERYWAPHLQENMDNARKIGESMRREYIDALRKSTVIDEADRAAMIDKAERIRIRVAVPEAARDVDSLEEEYSMIPNGNDTTRPWAIFFTTVMGNRHWKRTADFGKPVNRNEWPVDTNPFNHNVHYNYETNSILFPIIWSLEQYLDSRLSSLFSFAGFGSIIGHEMTHAFDFKGRNFGSTGVDRNLTVGRTSRNFEAKQQCFLDQYTELGELRITEDVLAENLADNVAIRLAYKAWKAQDELAKKRLPGVDLTSDQAFFTAYAQNWCSVSKGASGTHSVGKLRVLGPLQNSRHFAEAFSCPIGSPMNPAKKCSLW >PPA09834 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:176062:177122:-1 gene:PPA09834 transcript:PPA09834 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIALLLFFVVASTHCYDIIITNYRFGPVRVEVTRNGQTETANLWLTWGGLTGLGVNDTVSINVDWCINMRLFPLTPQTNPPQMQLTLSYVGGLRESDVAMNVYKNDSDTWPMYTCNDASWIIINKHQCEGRDADPATYKKFIVPYENDGKYFVKLT >PPA09819 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:130998:132318:-1 gene:PPA09819 transcript:PPA09819 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYKLHYFGIRGLGEVPRQIFALAEVKFENVAVTREEWPEFKKKTPFGQMPLLEVDGKFIPQSFAIARYIATAHGLAGKTPFEAAWVDALADQWKDFQNDFKKYWYPKLGFGEGDLDALKIEHGIPARDKFFPLITKQLKSHSSGFLVGDGVTWVDVLVANATADLEEKDAGFLADYPEVIAHQKKIHALPALKKWIETRPY >PPA09807 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:61587:65917:1 gene:PPA09807 transcript:PPA09807 gene_biotype:protein_coding transcript_biotype:protein_coding MAEITIKLSGQPQFGCTSDDKNRVVVGDRTGSQATYKIETYSLIARKAGILCKFTIEGELGQILNRGDINFEPLYEFDNVKCDAGATLKILKDGKAFKATIECLNNNQFKVINVTENKQVTDLTTLNVACTTKTCTRCGSVDRLNDKGPMRYTVGNGCAELTCTNDLIEIEGAPATAAKPFCKEHKEGTGDFRWAIVHPVSGETLPFTVAACPKTVKCNNVRKLDIECESTACDMIDPTLSKITCEIGYRLAIKSAASPKWSIIENIGCESEKGLWMKASLLNFLLTSKKTEPLGEGEKIKCLTAKEADAAQRQHVEPTDGGSTTSTEKSNITAGSSMSIGTVIAIAIVGILVIMIIAGFGFTAVRKRRAKEEKELGEVDAKPPTPPATDKDSDKYNAAEVSFSGSNRPPTPSLKTSKDESDPLKFTNARVQPSPAPSGKQFEDAPVSVTAHIPCPPSGGSSDKPSDKTKVTSLVRKLAIPAPRPAPPPPTPDSVEMSKKKVAELLDQSVPSMSE >PPA09808 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig184:69543:69922:1 gene:PPA09808 transcript:PPA09808 gene_biotype:protein_coding transcript_biotype:protein_coding MTNASEFGFVFDTWTKHAKRGTNPTPSVTRGDSSKLYKSPPKYDDYSHREERPRPNEGYY >PPA09830 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:169673:170774:-1 gene:PPA09830 transcript:PPA09830 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAALLLLVFGSTQCYLINLYNERPTPLTITTTRNGVLSAVILPAAANGVPSLTEMGEYGNFESSRFEYLNVHTATNPILMPSGDTWIDVEAGYADQPVNTDVAMRLDMSEYVFTMQYLVGFQVRITFTNRNH >PPA09811 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:81935:83789:-1 gene:PPA09811 transcript:PPA09811 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYKLVYFAFRGLGEVPRQLFSLAGVKFENVRIEDADWDAGKRPETPFGQMPVLFVDGKPIPQSFAINRYIANQYGFAGKTPFEAALVDAFADQFKVDFNTEFKKYWYVKQGDEPGDVEEMKAKHGIPARDSFFPLVVKQLKKSGSGFIVGDAVTWVDVLVANATDYITVLEKDLLNDYPEILAHKEKIHSLPGLKEWLKIRE >PPA09839 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig184:191617:191923:-1 gene:PPA09839 transcript:PPA09839 gene_biotype:protein_coding transcript_biotype:protein_coding MSASISWTITDLLFFDNARFEYQNLYIATYAPVIPDGGWRNNVEVGWKNQPINKNVAMLVCISE >PPA09810 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:75608:80064:-1 gene:PPA09810 transcript:PPA09810 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLKIVDKDSLLRAIVTAKAKADVQFLQLKWKGFDIKSIDNEDAAILKANLDVYKRLQRNKNDCQRKDISTFATEMKINIKNSYDLEDVKAIQLFTSKQCFERHRKKATNGGSRCNIVQFCKLCARFYTKRNFGPGESHVCGASEFCPKCCRHVEPLKTHACYTRMPTESMKKKYKEKEKTVKLIAFDLECSQSIEKEDGTFEFLENHQVVCAVAKKACYECFEVRRFNKNVDYWLFRPEHNGSYLFAHYGGRFNLIPLALGNFKKAFGLKSCDDKGEFPFLLIEQRWFHQSIPHMPALKYYGIDGKSEEKREEFLKWYNSHDPDYVFDFDDELLTYCEKDVDLLLMGLIEYRHAMIKLTTWDPIPASHIAIKWIKWQMEKTGEHIQHVENGDDVVVIYEFLGSFWHGDQRFFSPHEINKISRLVLRTSMQGGRTEAYARGAQSTNEKKLVYFDVNSLYPTVMSTCDLPVGPAEIKRDGFPPVPSQEFNFTGLGHIRILPPRHLRYPLLGIKMNNTLLFCLCKLCGSKKSSATCDHTDDERSIVGTWSHREIQKALSLGYVILAYYEIWIFKKFSNTLFKDYIKTFYTVKISSSGWPKWVKTDEDKKKCLQNYKDTMGIELTEDQIQDNPSLRSVSKMLLNTSWGKFAQNPNVKKTIFCDADEMGDLLDTKLHQISTYYKLSNDLFIVSLAMNDEEYPLFGGAKQYGLQLEDEKTGKISYVLKVRGKKVYKAVNEKGFHIYGKVFPFGYNYAHEEEPLDYDDSEESEESESEETTTDMQVV >PPA09837 pep:known supercontig:P_pacificus-5.0:Ppa_Contig184:187980:188728:-1 gene:PPA09837 transcript:PPA09837 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAALLLIVFGSTECNYNIAWHNDLKKDIIIQVTRNSTTTTRTLFAYSSQTYANDFDFMETSRVEYQNLHVATYPRLIPDGGPWTNVEVRRYLFDYERTYNIWFTNSTNIV >PPA09824 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig184:156466:156876:-1 gene:PPA09824 transcript:PPA09824 gene_biotype:protein_coding transcript_biotype:protein_coding MNWYDPKSPPKGVWGEWAWAGECSRTCGSYTNVTRTRICTDKCGDCPCKGVAEDIGPCDHALCVFPEVTCNSPYMKSMNCILGMKVTSSFVGQQVFL >PPA09840 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1840:207:1004:1 gene:PPA09840 transcript:PPA09840 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFPVLEVAQQAKARMEMREENEQRVFAAGIDPEEAADCSCECCPPRTSQEPNDYCCRSLFTFDTVRNGTKLRDGLVANMEEFGQHSCIIKDPLFRNYILTQNAARSAAETFAMMSGEKITDKNKSYRYGAYRLFVATTMGHLGKGTRVRLPSCFVSAVRKLWPSPHYSGFSSSNITDM >PPA09843 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1846:627:1680:-1 gene:PPA09843 transcript:PPA09843 gene_biotype:protein_coding transcript_biotype:protein_coding RISPSYSTPTVSYSPPPTPRGNLLSSTPVARPLRPPPSFRLAEASIPPCCRCCCKKETAAEMKKDANWTPPSPKILNLPKAEYFLVSKASLLELLTGCNSCTSGKNDLSFSEDAHALTCARKCTSCGEASKWSNSPVLETSNASSWEKLRKVNVDMVTGSACTAVGTARLNNLLSAVGLNTVSKRTFHRHKNEYLLPAVKEVFTKAQDVIFSRIKEGISKGGKLRVAGDGSFDTRGYSAEWCRYFLVDADTGEALVHVLMNKKETGSSGKLE >PPA09873 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:143578:145734:1 gene:PPA09873 transcript:PPA09873 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAEAKSKMFERAVIRPFASLIYGPLRSFAIKFPSGMNKMNAGTIAKKGQFPWAVAIEGNQNNRFCSGTIISQRHVLTAAHCAVNLDYIDVDECKKYLGIVAPKELTKSQRDAAGGTSSMVQVDSGDSGGGIVMNCFYRFALIGIVTESLKYDYKSKGAQVFPHLDEICEITGVCPIERCEQDPSP >PPA09864 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:89932:90770:1 gene:PPA09864 transcript:PPA09864 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPLLSLALPLAFAQFGPPSQGQLPPLFPPHIEDLIPADVLAKMKAVHENPSLPPQMKHEQIDDLMISLPTEILDKIPPPPGFDQLPKDVQETIKKLSRNRSVKWSDRQASIRRFIDALPENVKALIPMPGAGGPPGFEAIPIQQRAEIQMIENDQNMSFRDRYFKIKQIMDSLPVEIRSQLPAVPPPPPY >PPA09877 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:156069:158304:-1 gene:PPA09877 transcript:PPA09877 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPSFSICILSLALCISGGFQQGYIASVLNPPYVYIEAFINVTVTERFGVVMSDDVLNTVWSFLNVIFPVASIVGQFVAAWMCKRFGRRTTAIITCLLYLPGTIMSSLAYPARSFEMIFIGRFLWSLGNGINAVNCTVWIIECAPTSIRGAMGAMQEFSMAIGSLVCQTLGLPFSNETLWPWIFAPNAPLVLLCIGLFMLIPDSPVSIMEREGDVTKARASLAKYYGVSPDAPEIDKEMNQDKKKNQANGSEDKQGILWIFNPCGKNEDRMRVIQQAAWLGVMVKIAYVFTGARALRAYSTFVLYDLSHFTLEGANVGSWIISILRIPFTLVPVLFVDRIGRRPLMNWSMVITVISLVVLMVSIIIGDVMQIPSAIAFAAILLINAGGLGSVSRFYAAELVPRALLLNAVSILAGIEAFTKIVVEFAFYPVANVIGQCVFNVDVPDSLNYLPHCHMGDVPRDE >PPA09894 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:245657:248451:-1 gene:PPA09894 transcript:PPA09894 gene_biotype:protein_coding transcript_biotype:protein_coding MANVRSLPSEKETDLAQNKSLAEWNLSQQPRLEQLKKQVKEKYDKVTELAQGLTSSQAEFAQISNGRSLEVTSNLMQVAMTEMDEEADELATQFKDKEIDYTAFSRPFLAKKNLVHKRKVKADRLSEMLQLLKEAVRCASLREKAGSIPASVYEPIFHDPREFPEHEQLNIRLQGYDYVPLENFQGLVHRLSRRFGFNVVDSYAVAAQTERVVSYKPNSTLIDQEIGLSLYDRVVRISNVPAPRLQLAHTPVGVKVTVKSHEKADEDYRYIPDVLLKQKQEELKMLDDPVIRKNLGWE >PPA09901 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig185:274349:276236:1 gene:PPA09901 transcript:PPA09901 gene_biotype:protein_coding transcript_biotype:protein_coding MLWGRPARAVTLLAVVPCCTPLAAHPINVVTPPISSGSKLLQLPRDNMARVAPN >PPA09881 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:168187:169527:1 gene:PPA09881 transcript:PPA09881 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGYKTIKEKKYPRPPKGNPPSLPCVDPSEYNVNKEIGRWQKRLNESKEQEKYDPPPPQPPIDPVVEPPLPTPPPPTLPPTSPHTTTTEVPLPLVTAPLVSYSLTPSPPPETTSAPTIATTIKMEADQPSSTLSWTIGTISFIFVGFGVIFSVVLLAVRRYRRGHPSVPPFPPPEPPRILVERVYAPYLRMNEDRSQRESE >PPA09879 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:161689:164556:1 gene:PPA09879 transcript:PPA09879 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKSPFPPVPVCTESLPSKLLRAIKKHGDLYPKKNALVSATDPTKCLTFHELHTQAHSVRAFLHDRGFKVGDVACLVLGNCLEWPVFQLGVMAAGGAVSGASAMFTGYELERQFLDSHCTVVLTDEDNVEKVMKAAKNCEKVTTIVCLRNSSSRPWGLPQRVVDWSSVVSCKPKYEIPNVDPKTMAVLPYSSGTTGAPKGVMLSHQSVGTVVDIFKDHFDREVFSVIGPKNHSWYNELFLLILPYYHIHGFVLLQVALFSGATSVVVGKFVPKQFLGAIEKFRPRVLFTVPPILVYLAKQPLVLDFDCSSVEFVLCGSAPVGKDICIEFLARHKNVKYLCQRYGMTECAMGSHLPVLNVKDPFMGVGKAVSNLEMKLVDVNNGKEVGVGERGEVWIRTPTLMMGYLNRPEATAETIDKEGWLHTGDIGYMEADGRTFIVDRLKEIIKVKGFQVAPAEIEDLLLSHPQIRDAAVIGIPDTRMGELVRVYVVRANETLTEDDVIKFVAQKVSSYKHITGGVKFVTEVSK >PPA09869 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig185:113256:114099:-1 gene:PPA09869 transcript:PPA09869 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMPTAPLSPTSIEATPGTPAAPQALYPFSLELLKQNPFLLGGSSTTAATSSTGAPSSPQSPTSSTPSSLNLSSALESVMSSNKPVTVGAGSPSTNSTTPSPSLGGSVSSPTALLPAPVPINPTALGTPTQQLGFGFPMIPPVTTIDPSFLQNLLASYRQIVEQNAAQTKLDESELDDSRATPSQIIDVCSV >PPA09868 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:103298:106207:-1 gene:PPA09868 transcript:PPA09868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-grl-17 MNNNQQQFNNGFHPQLWQNTVGQQGVYQGMTQQPTYAAPPIPAVPTEQTFTDSVVQSNGVSDPRRGRNTNEGVAGIDGSSNEIHSGNERQPRTSRSHKTRKTRAQVVTPPTAILETDPESRVYSLEDLKQICPPPTIKYIVLRTLKNGVEVAEEAEEVPVTTNAVAQKIAKEVQEIMGQQGGQPNGKREVIPSESSTTNKKHKHSHSTTTSSPAEDLDALPTQEILDTAEEVVEVDDKCNSDSLRRLIVNNIVPGDAGASKRNIHNAVPASAPSVDVICSTTGFTYLVTTTDHCEAQADGVICFAYRNDQ >PPA09856 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:56534:57561:-1 gene:PPA09856 transcript:PPA09856 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKNSHCQSVIRNLLWPKSVSEPPQPMLSVNNQNGEAPRKLSGRFTVSYFNLLISF >PPA09846 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:19021:19525:1 gene:PPA09846 transcript:PPA09846 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLSQIKMVEEEEEDVEEVGDEEKEGRMEDDSEGDSMVADELVELSVLSHSVCSLDRITLALSPPLYKPMTHRATTMRTRPTPVPFTTNRVRPGAQGLQIPIRPDPSFNFFS >PPA09891 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:238186:240874:-1 gene:PPA09891 transcript:PPA09891 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTLVEPAAAGLRNALRLMTEYGVFKLGEFTLKSGQRSPIYIDLRESFGHPDLMRVMCESMQELIEKVEKTKPYKGIVGVPYAALPYASIISQYTSQPLLIIRKEAKSYGTKKLIEGSYSEGQRVIVVEDVVTTGQSLKDVITTLRKDGLVVEDVFCILDRDQGGPKNLEELGVNLHSLLNMEKVLAFLSAVGRLSLDQFNDIVNALNLPYKELKKIDVKWEMEETHTNGNANGDNKENSKIRVRLSLQQREKLATCPVSKRLFSLMHTKATNLCLAIDYEEGERILELADKAGPFVCAVKVHADTIDEFNESWTSKLVALANKHDFLIFEDRKFADTGNTLSMQVKRNAASWADIVTVYGIAGEKSIRSAFQPIIADPSTRLKGILLIAQLSCEGNLCDTEYTNGTRRIAEANQDIVCGFITQEKISDKLGLIHWTPGVSLDESTDGKGQQWRSVEKAITTTPASELRRYRDAAWEALSAAMPKH >PPA09884 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:174364:175733:1 gene:PPA09884 transcript:PPA09884 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLVLPSNAMEKHIRCLRKTIDVFRILKLCRENEEENKYKIPKQTNRYMPDSTESALGSKEDTKRTEKNFEDETRKVEDLSTSSPSLKDSEEYYKRKFEIKHPSDSNSHESMETATRRVKFSPRHSETKEMAQVKVHSTTLPSIREESTASIEFAEMDRNIYLTEKNAAMTDKELKRQIDDLYSLTAQNRMNSIVIFIVFLFIILIVYRQSKKESIPLSFDQRPLVLDDYDVKTCKPSTV >PPA09895 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig185:248555:250038:1 gene:PPA09895 transcript:PPA09895 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDYEICRGVWESKIPVEIVLESDEVLEQPKPFYAMLPRVSYFPLILPKVINYFTSTCEELRLEQDKLWLEYSRQPIKMYYPIGVLFDMMKTDDFLPWTISLRTKDAPSNGANIGKEALESMFMQSLKEADYLRRKADVITSMKSEDHKQLWRGLAHDNFDEFWSVNKKLVDSSEKVAYLPIRLYKTGSPMSQIPLSPWKSPSSPNLLSDALTSLESSLDLSTHSIISHGIEVPLDTPLSCSSPN >PPA09880 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:164839:165338:-1 gene:PPA09880 transcript:PPA09880 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQGLIPLDAEAQLYKFWVLILILWSISSLIGSLIYFGTYALTVIEEGKSKN >PPA09866 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig185:97420:98444:1 gene:PPA09866 transcript:PPA09866 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCQSTEEKELAAKSKAIDKEMMQGHIAQQKVVKLLLLGAGECGKSTVLKQMRRNGYSVSNQQFDYEVL >PPA09874 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig185:146272:147267:1 gene:PPA09874 transcript:PPA09874 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIFILIWISLPITTSEFVSPADKFEGLSKISKDENSQVNELCGLQPGLNKINSGTIAKKGNCNYAVLDTKKFNVSGSPCKRDDMTRVLISAVTWEKRYRDRSEEKRCTNFDIAIIEVARDFKVRFPL >PPA09886 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:177234:177999:1 gene:PPA09886 transcript:PPA09886 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKKRDIDRLRFPLNVPIEQATKVLLNSQAFFDSLFLVTHSILTVVNARNKTVRSWQTDLQPGTKARVVAVQKDEEKSVIVNVVRIFITTRSRWTRFTRRVTLRVATLPMQEVLPEPLIKKEYKRIKNLFNTPRMSLSAPVAGESPLPLLRRGPSIIRALPSCITDLTSP >PPA09850 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:32777:33358:1 gene:PPA09850 transcript:PPA09850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3E930] MAQSVPPGDIATQPGTKIVFNAPYDDKHTYHIKVTNSSARRIGWAFKTTNMKRLGVDPAAGVLDPKENALIAVSCDAFAYGQEDTNNDRVTIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA09861 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig185:83415:83703:1 gene:PPA09861 transcript:PPA09861 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLFDCLSFEVEIPTIPVKEEKPMAVYKLRRESKDIGPAASLEKGSAAPTKPIPAWKTKA >PPA09870 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig185:122148:123655:-1 gene:PPA09870 transcript:PPA09870 gene_biotype:protein_coding transcript_biotype:protein_coding MLATPTLNLNSIPPPMDWNNLNNLNNLLNISTFNAVANISMDKLPGVNKRVYYCQRCLNHGKLEPRKNHKCECQFAQCTCSKCILVEKRRVLNTQLHELEENENKDKVLIKDEIMEDEIMEEDIRSPGRERIKGVVLVFELHRMTYCIKKS >PPA09897 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig185:255377:256642:-1 gene:PPA09897 transcript:PPA09897 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSSPPVFDISPERITELKKKEEMEKLMQDFREGRIPSVLGPPPIAPVNIPSYSVEAVERQSIVPPVISPIINPPSSSSIPIVDERTTAAPRVQFESSVKEEPKKAEEPKSEPPKSNYQQILSLLSNTAEDSEEEKKKKSGNTRSFDDIFGKPKPSSDSSKQISKQKPSMSMAMEADDSDDDFFQ >PPA09851 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig185:35200:35999:1 gene:PPA09851 transcript:PPA09851 gene_biotype:protein_coding transcript_biotype:protein_coding MQINHILKKRYDSNCKYGRNCAFLHSKCDDDGKCTNENCPREHYEQLHPFRLKNVTKEDAQTHKEYAKNRLEQICEDLPSFPSFPSNMDTSLSCVIHSSVNENKLYQ >PPA09855 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:47144:54310:-1 gene:PPA09855 transcript:PPA09855 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nkcc-1 MDYHPIQVHEIDHNGHSKSGDIGKDVHGFKGSSEEQSERLEKFEAPASQAGRVKFGWFKGVFVRCLLNIFGVMLYMRISWVTGQAGILFGCGIVLLASLTTIITTLSTCAICTNGDVKGGGAYFLISRSLGPEYGGSIGLIFCVANAVGAAMYVVGFSETVRDILKAYDLLPFDEMNTIRLIGIITCLIIMVVVFIGPEFESKMQMGLLVILTLSIINYFIGTFIPPNEANQNMGMTGLSFQTLKSNLLPRWRGGESFFSVFAVYFPAATGIMAGANISGDLADPQHAIPLGTLLAIGVTTVIYLLVVITTGSIAVADSDGLIPALFNETTGSFIPPSCAFETEEGKICEYGLINYFQIVETASLWGPLIIAGIFAATLSSALASLVSAPKVFQAMCKDKLFPRIDYFAKGYGPNEEPRRAYILAGGMALVMVMIGDLNAIAPIISNFFLASYCLINYACFDQSFSDSPGFRPSFKYYNMWVSAVGSILCFIVMFIISWETALLTFLFFAMIFVFLLYRKPDVNWGSSTQAHSYKNALASMVKLANTEEHVKTYRPQILVLSGNPAARPSLVDFVNNITKGTSLMICGYVIPYAPSDRVYSICRKLDRQLNEWLQRRKIKSFYASVANQSMRTGAQSLLQITGLGRLRPNIVIIGFKTNWCDGGPSEGRMKEINDYFGVIQDAFENGMGVGVLRNEFSGLDYSEAMKRFNVREGNKQLHIPEVRGVSSSLCAVDAKDVDEKKALIEKEEKDDSNNEAKEVLDASSASTSFADTFFADFELTDEEGEGENESEEEEEEGPEEAVTPASVDIERGRPSMMRNAPFSLSRRRRKRKQKNRRELDDNDSRRPTQEQKALLNSISKFQRKIKQGVIDVWWLFDDGGLTLLIPHLLTIPKSYLEGSKLRVFTISTSTRTMEQEQRGMVALLSKFRIDFSDVFVIADVTKKPTQRTLDSFHELIQPYKGEDGESNGLITDSELSAQKDKTYRQLRIGELLKEHSSDADLVVVTLPVPRKGLVSSCLYLSWLDMMTRGLPPTLLIRVSSSHLFIYLLESPLIIDRFVFDVDLLKGV >PPA09872 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:141379:141800:1 gene:PPA09872 transcript:PPA09872 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSSGEKKDKKDKNEKKEKKNDVDEDEKYKLEMERRLEKKTIIDKVTFFDKETKRDDFPETSQDEKIGQDRDSMKKKVLIILGILFILVIIALS >PPA09885 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig185:176562:176948:-1 gene:PPA09885 transcript:PPA09885 gene_biotype:protein_coding transcript_biotype:protein_coding MIQWMDIPFPPESGCNCVEMASIIRYIREIGKSSQFIDLPYERPFATSEMKDRSKRFIG >PPA09859 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:63264:63768:1 gene:PPA09859 transcript:PPA09859 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRILAVTFLAGFIAAQNFGGQSFGNNFIPCGFVCTRTAAFTTTIDGVNTRATCSDSNADVNVRCNSCCQSYALWGGLSTSNAAGFPASDGRTCVCCVNNNQCRGR >PPA09887 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:178056:178553:-1 gene:PPA09887 transcript:PPA09887 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMEMVIIMENIMVMDIMEIMDMLMDIIRSMMLESMESTGHKDGHHHDGHHDEGHHGGDYYGHGDHHDGHHDHHDHHHEPHHEYYGHH >PPA09899 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:267294:272200:1 gene:PPA09899 transcript:PPA09899 gene_biotype:protein_coding transcript_biotype:protein_coding MCNRKSDALAPLLPCVEKNVDGLLNVCDSSCLFTSSLSEVSRRESVQQMAENGGDNRFSLVTELSTLCPSASCMISCLSKSLNAACPPAGDDIIGALLRPFHVYAEMLETNEDMKNIVFKAAPPTCYPFLLEDGLEKMQAGEYAKEKKSSEEESVLSGHRSIGDDEDYFHDLPSAEETRGSISTLLSASGIPSCSDACLSDLLIAASKLFDFNNTIDNFQQLCSTYDNASLCVATQEIQCIHEASFSVGVSGLEEICNTKHEEFVRHTSCLRPHIDSSLSNCDSSCSLTSSFSSLISSDFIGDATHTEDRATLYQELSPVCPAMGCMISCIARSLNRDCAPAGTEIAEALLRPFVRASELLIDMGPESIDAIQSVVPSTCHMFVSLTDLADMMQGVESPLWGSPTTSTAVVDQNETPQVEDIAIVEDVQQTHAPDTVVEEIKMGDGNMQSMGEEDDDERRDENWGRPGQSSPLSDSPSPSSSDPSDRLLESDLVHLPECQRGCATDLAETYGVLLKMGGTHVERYQTVCTKYVSSRECVSHCPDPMKLFETLTSGIAYMCNEQNEAFNATIECVDASSSDVQRECDQTCNTQAVMFKWFMEGMHRMSEHGGAAGLGGHGGGGGLLNMFSGLGGMRADDGDEPTRSDLVAPSSVGRIRREVSPDGQSRGLFDKSGPLSFLNGADKSISATGTQANAVLDTVQRSINAFSQPKSEKLTFVLDLNHPLRFSPLPLFESTIREVRRRFSFFISFFFQWIRRALRDVLYS >PPA09853 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig185:39805:40924:1 gene:PPA09853 transcript:PPA09853 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDSQSNSSTREEDENHRFVSSGINDPVVRMRQSFEDQLNIAGRSTQQRREPSPLRKPKEFERPNIVPIHNERPFSNKELRCHYFPRCNKPAEICTYAHPREHCTKFLPVHRLITSDFCNKRAK >PPA09900 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig185:272788:273395:1 gene:PPA09900 transcript:PPA09900 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFLLPQQCRFLYDTNRMADYRMDSSLDEDLKRTYREKKEEQAKLDSESVMPTALAEHISPFDSDQNLDELSEEYVAHLYNGDDTEEKAADPPVDTVIFNGPIRKADRSMTDYDGSGEGSGEDGSGSRMEIEEGSGEEEIFSFEFQSPSNGELQCLRV >PPA09882 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:171079:171814:1 gene:PPA09882 transcript:PPA09882 gene_biotype:protein_coding transcript_biotype:protein_coding MRVYALVVFILLLAKGDGSRFTEWRDKVLDEVKEKAVDVAKKAAEALNKDEIKSSPQPQASIPSPSVTIPAVYVSPSPGTSASSSDPITSSSPSTATQNALLEPSPSSPLLILSIVFGCIGAACVILLMARKCCRRHPSIPPHDPPELSQTMRESSHYERMEEGSSINEQDYETINENTVDSSIRNNGGG >PPA09893 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig185:244856:245397:-1 gene:PPA09893 transcript:PPA09893 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVPAARLAVLSTRGVVVRMQSGLGTPGSGAGKVVRSSGGSGGSIRDAGGAFGKLEAAREEEYFYKLQKAQLKGLKDQIKREIEHHEGQMKNHQEVLERHKKRISELEKEENGIAK >PPA09890 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:233634:234870:-1 gene:PPA09890 transcript:PPA09890 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGNEAKEQELDCSLEPEHSRMELPKPCSLVSSLVTVDAGHRAIMSNRICGLSEELYKEGLHFRVPWFQYPIVYDIRARPNQIRSPTGSMDLQMFNIGLRVLSRPDPNHLTKIYRTRALDFNLIVDDFSLTELTFSPQYSVAVEAKQVAAQEAPRASFVVERAKGKHQNGGDWDLRTQ >PPA09848 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:23806:25507:-1 gene:PPA09848 transcript:PPA09848 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTKERRNDYSVLQTDSVDILLVEDISLHLLLPLVPATAADLHTPADPTDASEQEREVQRLLSLAGDRAHDVVDEHLERLSETAASLRRGREGRRVDPNRAFYECCLDRKLPDACLAKCHYGAFTKDALTRMYFKQDGCPLEAMVELQFCAAQGRDHRACCVRNGVSTTLAGEKCLLFCDQTPGRVTKLDLSYTPCFDRFESMKACFWHDITSFYHPHSFALLIHSNYSTQHKDLEPSF >PPA09867 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:99732:101845:1 gene:PPA09867 transcript:PPA09867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gpa-3 MSCILRALGQLQLQLENPSKESDVRTVLDVVKNGKESDKFTPELTKALKSLWADKTIYHDAIMRGSEYQLPESAMYFLSNIDRISQPDYAPTEQDILLSRIKTTGIVEVKFQMKNVDFRVFDVGGQRSERKKWIHCFEDVNAIIFIAAISEYDQVLFEDESTNRMVESMRLFESICNSRWFINTSMILFLNKKDLFAEKITKTPLSRYFPDYKGPQTYDDAVQYIETKFEGLNANPEKTIYVHQTCATDTNQVQMILDSVIDMIIQANLQGCGLY >PPA09857 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig185:60971:61405:-1 gene:PPA09857 transcript:PPA09857 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTKDVCINLLLCIFFVIPGVIHAWFVILFKDPINSYSPSTVVHVHHGAPLYNMSVN >PPA09871 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:139195:140388:-1 gene:PPA09871 transcript:PPA09871 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFRNLKLMTAIDSEGGIGNSLTGEEQSTNDVVFVRNWAEIERTIAKFSHELENVWVLGGSEVYSYALDKDLVEEVRITEIQRDFACDVFFPRLEWRHRFTIAQTKRMNENGVDYSMNILLRR >PPA09892 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:241576:244376:-1 gene:PPA09892 transcript:PPA09892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-crn-2 MITGTCEKISKEAAKMAEKHAGYLYFTAGVHPHDAKDWVIETEGIMRELLSSPQCVAVGECGLDYNRNFSPQDVQRDVFEKQLDIACELGRPLFIHEREAHEDMVRLLSERSEKLPSAVIHCFTGTVEEAEKYLSMGLYIGLTGFLWKDKSDNGVQAGLKSGKIPIERLVLETDAPFMYPKYLTLISRVIAHAPITAPELFPPNWFGGVVVNDKKLPVEVRESISEHAKTMHKFASFNRNEPCALPAICEMIAAFSGRKPEDVASITTANAKRIYGLS >PPA09865 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:92477:93184:1 gene:PPA09865 transcript:PPA09865 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ttr-40 MNVLLLLFVGLPLISSLRLQGVAVKGKLHCGGTILRNTKVKIYDLDRNPGDADDLLDEGYTDRDGLFSLDGTTRELTDIEPVLYIYHDCDDGIRPCQKRVTIDVPTKYIHHGKATVWYDIGEIDLKNTFPNQGRSCEH >PPA09858 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:61976:62541:1 gene:PPA09858 transcript:PPA09858 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRILIVSLVAGVIAAQNFGNNNFIPCGFVCTRNAAFTIIMDGVNTRATCSDSNADLNARCNSCCQSYAMWGGILASNAAGFPSSDGRTCVCCVNNNICGGRPIPAANAFNNGR >PPA09876 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig185:151360:152899:1 gene:PPA09876 transcript:PPA09876 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHDGYYGLAVSNIFFMISTIAIPIMMNYMRCKLSTSVSLDILQKSPLARLLREIRLYLGSFIAMISPCNDKILRAFTCCSVMEAGMVNMIITKVNLEEGIVNKYREYSDMEIRLLFGAFALLSFIGMIMFSFLPNRDLSVLFSFLKRVNKCLVHLDSIM >PPA09854 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig185:44451:46691:1 gene:PPA09854 transcript:PPA09854 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNKDPVLNWLRFHECRDDFVNSYYFALLLANLPKTGFKIPTHILIEELEKEETNEKHNRLSDYENQLNVFLNNDKIYPSGTSNPLLPNKSFKSLSTEVKLWIFCQLIQSGGVPRHTPEEIGMDSHRNRYFIVEGRLYINYGKILEEITREDKKYSTNLTPTKLVAFQLENYCRKQQGTWKELAETAKLWKNIALILENFDEVDIANKILMKMEIAMKNILTDEQKEEEGNAYLKSIGPQPAPRRGQYQTKNDPYSESPPTYEELMKGLNIKDNIQSDPNPQFGKTRCIEIMHCNRMERDRSIVIEANREGEWTILNQLHIIRENPNL >PPA09878 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:158994:160420:-1 gene:PPA09878 transcript:PPA09878 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSSGEKKDKKDKNEKKEKKNDVDEDEKYKLEMERRLEKKTIIDKVTFFDKETKRDDFPETSQDEKIGQDRDSMKKKAENELTFKDLYEKEKILTDHLIVEKELLKEQYIKISSDFHRILRKHDRFFSHIEGEIQQLKRNINGISIRHSQTTFFYSMAPLLILSLFIVVSLLCLICGRINQQESINGVHP >PPA09883 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig185:172944:173699:-1 gene:PPA09883 transcript:PPA09883 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSRLLDTNSTVTITADRETLRTEFIVFGSILTIVFIILLITIAAFTLYVRRRRPSLPSPPESIRASKATFVKMDEENDQQSAAI >PPA09852 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig185:36576:37679:1 gene:PPA09852 transcript:PPA09852 gene_biotype:protein_coding transcript_biotype:protein_coding MQILGIALKEEISQDCYDTKLILLLNRPGVLPVGEKFGNEEMEIDCRNRQEMEKHCFNYANMNFQSEGSSEFFEKLAQLRIKVTQERERKIDFSTI >PPA09875 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:148298:150956:-1 gene:PPA09875 transcript:PPA09875 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLIRRFQRKIKVHDVWASNVEDEFNKMPSLIKDDPYVAMDTEFPGVVATPLGQFKSKCKEEDYRKKDLVKLQHGEFVSLAKCETALLTCLIVENICVYGSGLKVGVSGSTEELCANAAAIRHSRRSSMSTRLNKLSRVEQPGAIHLCSEVWTPDSGLPTDARKLKRRPITQKYEDTIKDLYAKAGLNYENSLLPFLLS >PPA09898 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:264909:266117:1 gene:PPA09898 transcript:PPA09898 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIYEVRPDFEGRIAFIDNYGRQSLISTDSELREALAAAGGKLKVHTMLTDGSVVAAADLVKSRRSQSVPPPQDRAYHTYPPNSRSPSSLQSAPIQPYRRRTLTPPPVIESPTSASKGPVVPYHQMQVPPPGYTYKYTTYGPSYTQPILYGMPPTNGMLMRFLLNPYSMGYTRSWVGPYKYGHWGGWNGRYATSGWGPIW >PPA09863 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:85901:88825:-1 gene:PPA09863 transcript:PPA09863 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRHRSFVHKTVRDRWPQIIGKIVDHFHTKRREMMEEFGEEADADVKAVIHGLSEMRYRMSTDKTLTLLTDDYHDVQKWNDKLEMIQKEIGPDETTWFRTAWMFTECYLYRSLYGLVHSTKLLGTYDWFMDSKKEAFDTHLDQVKEAIYYVWTCTNDVELTPDHVKETLLVMLRMSLWANKGDLSLSGGNAVTSPPNPVFVATKLQDKILADDMEEAVDDYLTKLDQFRRDGKRRVDIVLDNAGLELLMDLLLVEVLFKARLVDKVVLHGKAIPWFVSDVTEADMRWQIDRLMQINDVTARTLSHKWKLRLQTGELSFRAHPFWTSWHNMEDMESAAPDLYDELDDNSSFMILKGDLNYRKLLRDRMWRMDTELSVAVGDVSLNPPILALRCNKSETIAGLDEKERHESRRYANLRDFILVCSQFEHVCTYR >PPA09889 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:228910:229582:-1 gene:PPA09889 transcript:PPA09889 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSTIALLLSLLVVSQAQYLYYYPYSYYYTIPTVQTTAQNTATNYNTNQQANPSSQQQYYNPSTSSNNYNNYNTNTAANPNAQQAYYNPSTTSNTASNTVNSAATAYYTPAYYYPYYYVYGK >PPA09845 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:7124:9950:-1 gene:PPA09845 transcript:PPA09845 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNAINYLQQRGDYCIKRSQFEKKMKKKAMKGDKKGKRKFSMSRVRRSMRARGLFSIGSRRDSRSGVIRREGAVGELSPCGSIDRPDIVPSGIHPRIRQISGSSLGVSRGRPHSSSSRCSDASASLNIVWENNQQQWDDEFAHGQNALAPSPSMGDLCSIFDDVMSVRSDVSAVPSRMGEERSRTDSGSIDLNGIACRFDRPSTSTASVSGPTFEDSEDLRINEIPCMRDSSYMYRSVVVGGSEFGDSDAMSTTSRMSSRFRRELGSNGLWNITSPSPIDDQQPSTSSGLPDRREGKNGEKGRTSRMEMSHDSGLAMMKSQSSKAASSIGKRSTTMFDSAIDDADLSISEDSENDVIVEE >PPA09862 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:84037:84684:-1 gene:PPA09862 transcript:PPA09862 gene_biotype:protein_coding transcript_biotype:protein_coding MVMNEIAIVLISAAVVWLLVYCILVIQRVKMDQDYTSLEQRAHSFNSVNSRRSTMSSSAPRSPNVPRGSKREEQTSLFAFVA >PPA09860 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:63891:65275:-1 gene:PPA09860 transcript:PPA09860 gene_biotype:protein_coding transcript_biotype:protein_coding MMFKTREGASFELEVTTFQESIMLAVLFCWNDRPDASLSLESLRVATELPDTELVKTLFSLCSMPKLKYQVLLVDERPPINPKKFTDSTEFRLNHGFKLNGREQERGKLSLVGRLQLSLESTAKAEHEEIIALRQIRVQEAVVKVMKTPNVRAIESYDEGTIGMALGEQFHYQERIEDGYIPVLRR >PPA09847 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:21014:21498:-1 gene:PPA09847 transcript:PPA09847 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNMFGLLLCVFTTFLLLINHPSSFSMYDNVLYNHLLISISWTFNVIWSTFHTEDTVSKLLIPGWGEATEEHEIEALEMHF >PPA09849 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:26682:28932:-1 gene:PPA09849 transcript:PPA09849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-klf-2 MYHPPATMIMPTVPHPGHYRPPLPPYSPQMRPMDRRTSSDDVEGYLYTLDSNPANHPNYNVHEHYHYYCPLPPPPSCSRDLPGPSSTPFNTTFPSIPPPFPYHPPPPPSYAELTNARHHQTHVSIPMVESPKMEAVSPVPSSCSSEAWTYDSSPSEGSPSVILSGELEKRVHHPLSASHKKPRQSRRSAEQLAARRTHRCEWPGDKPYQCVIDGCGWRFMRSDELTRHLRKHTNAKPFKCETCQRSFARSDHLHTTLDN >PPA09896 pep:known supercontig:P_pacificus-5.0:Ppa_Contig185:250669:255357:1 gene:PPA09896 transcript:PPA09896 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMLIDVDNSTSEDVEEMRIDSKRKKVECDSQCQFVDNSVLERCSQPYDAGHCHSDISRHYFDMAKGACICANYTGCGGNNNMFYSFDQCMSVCGQFAKPNHIQIHDNTAEYSHGGSAPLTPLQLLHKQNAQHSSQSHHHQQRVLQSPSHHQQQEHHQRYKELLRHHGEQQKRNHPILTRPQSSHSDHAEELYEDDIGHMKQKREDSSESKTVELSIGTTQIFRHTQDGDQFVTKINGHPLVITENLHLTATGNPAPNYVYLNEKLNPVYKNVPQQTLQQKSSSSSTYQNLPREAFIRPVDPEERRRWKEKMKKKINPVRRIEPSPSTFYDVFTTPEPVTTTTEPVTTTTTPTTTTTTTTTTTTIRSPVRVPTTSTIHRPVVSTQSSSFYQESFDLSKIRKHKEAVRNGKIPPQRPIDDYDDDRVPYETDTDSVLPTLPPTVSTTTQRPTPRPTVPSTVPPTTTRATVVLPVSREELAPAPHTEKVLPSLVYPKNNYFDLDEGKEKEEEEEYEEYEEDDDDGLDDIDHQLTITQRIEPPTTSVPSTTTTQRPTARPHRPTVPYHVPTVAPTFASRSKEAMEKPLQLIPPSFDQTTSSTTTYRPLVAVTTPRPRIAPTEPAEPTVAATRKSLWGSYESESSIFDLLREVPIDCIYGNSHTLFVIMHMNRYLFLS >PPA09888 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig185:227614:228392:1 gene:PPA09888 transcript:PPA09888 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLVLLLAAAVVCIQAKPNMTPAQQAQFDQQKTQLIQRMSPTAQQAAMRMDQMEQQIDTFYNQQTQATKNELDSFHRQMDSMMYSMNSNGMNGQMYNPSGYNTQGSQGFNTQGTQGYNNQGYNGQGFNSQGRK >PPA09902 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1850:391:1492:-1 gene:PPA09902 transcript:PPA09902 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAKEEEEAAFATRVASSPELSAKLRDSASRFLEAKISQLEAELKARAKVKEASSQEENETAEIAPPDIDESQHEVFETVHRNRVGKMGSGDLFAPPSSADDSIDFLESLQAVTMKWKESNPERLRRILRKKVIKAQYTSCNKNRMQGE >PPA09903 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1857:139:1744:1 gene:PPA09903 transcript:PPA09903 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSDHSVVISPFHMADDPSMGEGAEKARSQLIIIDRGFDAVTPLLHELTLQAMCYDLLGIENDVYRYETGGGESVDKEVLLDENDDLWVENRHKHIA >PPA09925 pep:known supercontig:P_pacificus-5.0:Ppa_Contig186:144866:145819:1 gene:PPA09925 transcript:PPA09925 gene_biotype:protein_coding transcript_biotype:protein_coding MMQWRTRLLHDSRNFTRRTDFHLENIAKWLQRTSEDLELAHAAVSPKNTSKTLSTSARNCSIGAKPSFGYRKKLQKRSNDFFTLTADRFRIAAKYLHVSTAGANVEEAAAAAAQVVVEGNVGAAAAAFDEVCDSEEERCGVCFEGIQRKRLEYGRWPALRTLTLVLSSY >PPA09918 pep:known supercontig:P_pacificus-5.0:Ppa_Contig186:99879:107482:1 gene:PPA09918 transcript:PPA09918 gene_biotype:protein_coding transcript_biotype:protein_coding MRESSAVRKGKKSKPARTPKKSSGSDSRSGEESEENTEEGDSTAEKVKKPREAQPPSNPPPAPPQPMENSRKGGGIGGFLKRKKDQFQQKSKSKAKNNKRSAHPEYKKLVDKCAARWNKPYKKLPINKQDTTMKSDEDFQQVIASSARKMGGALGEFLLIKPEKKAKAESSWEQRTLTNLKKFDKASYEACRVRCDDMDFVELNLRVQYGDAEFERLFPNRYINHEESTSEGTANHKRTQYCIRRRTIENRWNLSNKRARMPLLYIEDWTDGDEDDKTLSLLEFINYLVKTEYLEGVLTQFNKLGASVCRGEKCAGMNCAPSQCSCRESTGSNSGNMLAYGPDAEADLKFECISECECDEETCENRTLQRGRQIPLCVFRDPGKEWSVRAICEIGPKTFVTEYCGEASESGKVGDKARYDFSMRHPMRQCGVKENLMDEFVVSAGEKGNESRYFNHSCDPNMESSCVVIERYGVFFHHIAFFTGNEGIPAGAELTFDYFPDKLRGDRSTKHMFNPCKCGTAKCRFLKRANVTFFIREAVFLILPALIIPISGLMEAQVMTESAEATADEVEDGQFVRLHVREISEEAAAGGEGRVLPRIFRRDFTSSADDDESDEEESEEESDDEWDGQEIPRKSAPYREYPDTKYCKGGIWKTDDPRCPEMEVLRNWNNAENISLAPEQE >PPA09920 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig186:112028:114326:-1 gene:PPA09920 transcript:PPA09920 gene_biotype:protein_coding transcript_biotype:protein_coding MCVPRADQISTVINWLPHRAFCVLVAATRRLRCNPAGTFAYGKIAVDFMHQCNSVDKRMAPDAELQTFKALDSLCELQLLKAVGDTHAHSFRQIRLNCYLPDLLTAVRAGIQKNGLRDWFESQPVT >PPA09928 pep:known supercontig:P_pacificus-5.0:Ppa_Contig186:166847:173113:-1 gene:PPA09928 transcript:PPA09928 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNAASPPHEVRKLSQSSGPAPPISKFFFVDQTQLGDQPEEPASLMGDLGGTGDSRESPLQRAGSRAPGSDAHSSTRASSAAIGRLDSGASSPLLQTEMMPEEDGCVCPYCHRDYRKPRVLDCLHSMCEDCIIAQLDGRRDKARELEKRIAATDCVLQERASNDRPTPPGVIKCPTCAQESHVGNDIRFVHSMLIDFVRNAASGDTPAERNCRSCKSDLPAVAYCRQCGTDLCPNCLQAHKDMRMFEGHEYRSEEEGDVVRRAGEN >PPA09907 pep:known supercontig:P_pacificus-5.0:Ppa_Contig186:23821:28653:1 gene:PPA09907 transcript:PPA09907 gene_biotype:protein_coding transcript_biotype:protein_coding MSARDAMAAMLDELMGPRRNAADNKTSFSFRDESVCKFALADFCPHDLFVHTKGDLGPCPLIHDDKLRLEYQASSEYGRLGYERRFLQFLERLHDDMQFTIKKEKEKLAAQYGGQDKEKEQKEIDEEIAKQEKMAEAAGARGDVEECKKFMDKIESLRLEKGRRDRIGESIMHREMEVCETCARRLIINDAPQRVEEHLTGKMHVGYIRIAETITKLKETIGKYEQRPDARPDALSFSHRHDSHRGDRGEKDGDRRSSTSHRSSHRDSRGGERERGDRKRSRSRDRERKRDRSRERRRSRSRVWANRYL >PPA09926 pep:known supercontig:P_pacificus-5.0:Ppa_Contig186:155423:165236:-1 gene:PPA09926 transcript:PPA09926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nhl-2 MVKPKSARWSVALESWHSEADRASTLMMHFENAKATIDFAYEEAARVLEASRLRKHAELEEARDRQEETIEEVYRKVDVTQMRIDGAIKFSNRLLDSANGVELLASRKKVLHQLNSLEHTMPSLHTESELRFAPVMKKTLEATLRNAVGDIISQALPSSVSAMSLDGGGSTITRISASESEWAAVNGMKEARGDGGGLMATMNEQRGGGGRDDRSDVGLPPGGDRGGPGSVRGGGASSSLATSTITPIGGERAARAKAGVAGGVGMQAAAAAAAFAGGWPPSSNPPELPSPSPPKDQQQQLQTATSVAGGQVVALPQPNAATAAALQHLQQQAAAASAAAAAAGTPTPTRPACRPPGPPHSPANPAAAADPRLAAAVLQAAQAASAFQGQGGSAAAANAVAAAAAAKQQQHLMAMFLQQQQAQHGSLALRQFGAAAEAANAHILRQMIASNAAAAAAGSDQAILAAGLGKNVHEIKLAFAHGTGSAGNSIRELHSPGGFAVADNDEILIADTNNHRIVVITPSMPWKFGRPGQEDGQLCFPRRVVAMKGFETARYVVIDKAVDNKSRAQLFGATGEFIRRINLTAVVPKGGIELGRFNLTAVVPKGGIEVWAATSTPTGHLVMVDQSSVIYTLDIKEEGVEPLNLSVIYTLDIKEAQPRVVNWVDASNHLMEASDVAVHEKSIYVTDFRGHGVHVFSHEGKDSCAMRVR >PPA09915 pep:known supercontig:P_pacificus-5.0:Ppa_Contig186:70990:72734:1 gene:PPA09915 transcript:PPA09915 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGLQFSSYVVNYIDIASAHSGTVMGIGNTMSCLGGIISPMITSKIVVQKTQGEWQVVMMITAAILVAGSVLFCVLAKGEVEPWARNSEDAGEEMEEMQPLRSGKKEDEGKQ >PPA09927 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig186:165961:166733:-1 gene:PPA09927 transcript:PPA09927 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDELEKTGRAGEVRRVLCPAHGLPYLVLCAGCEALACRRSGNREVTQWGTVPTVLCAGCEALACR >PPA09931 pep:known supercontig:P_pacificus-5.0:Ppa_Contig186:183334:187470:1 gene:PPA09931 transcript:PPA09931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cut-6 MRICASETQIHLVACFYDKPVDIILLLDGSGSVGDDSFASQINFALLFARRLNISEDGARLGVVQYSDEVRIEIELGQFNDPRQLETAIERMSYAGGATLTGNALDHTLKHAFVGARGGNVPKIVVDSVLLPAQRLRDANITVYAIGVTNLVNAGELNEIAGHASRTFAVQSLETLDKPLVDSITLDMCATDFRPGEPEIICGPDHIGVRAATKQPFDGFVFAQDHFHDEECRAGAMDFDDSRLISLNIPFNKCNVHRYRSVEPRGIFVETTIVFMFHMTFMTQVDHMVKIQCFYMEADKNVSNDLEVSMIPTLFRDAMYEMPTCYYTLRKGSADGPELDYAQLGDEVYHRWECLERNAAYDVFGMLVHSCYVDNGLGDRVDILDAKGCGLDPVLLETPDYADNLRLAFKPYHVFKYADKPVLQFQCQITLCIKYDGGCTGITPPQCPPPSTSNQLRRRVKRQSPDYRQSLISSEEGIKRPSPDYRQSLISDEEGVRHATLDVFTRAITILEKTPRCSTDQSIIAAPTVALITVLNVAIALCTAAIWTLALRTKFRSTRRLQLVGA >PPA09911 pep:known supercontig:P_pacificus-5.0:Ppa_Contig186:43135:49939:-1 gene:PPA09911 transcript:PPA09911 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLAMTDYMSAMLDELMGSARNANAGEKIEIDFNSPEICKDFISAFCPHDIFRNTKNDIGYCSLKHDVNAKKLYEESPRRYKCGYEERFLNRMDRDVRRKIEKNEKRLVITQTSNEEETFGKLKEAHEEKMREITKYGLLKEAHEEKMKEITKKIEEKMDEAEKAGSEGNVNLAQSVVEEADKLREESALLEAESRNMMERFHHLEDVANSLNKPMKVCQICGCFMLVNDAQSRIDDHFSGKLHIAYATIRDILETLPKTLEEKKKEGRSEKDRADREREKERGGDGRDKERDQREKDRDRYSSSRGGSSSSHHRSSDRDRDRERKRSRSRDRRDRDRDSRGSSDRRDRDRGGDRRDRSRDRRDRGK >PPA09906 pep:known supercontig:P_pacificus-5.0:Ppa_Contig186:22973:23225:1 gene:PPA09906 transcript:PPA09906 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTILSTQGRDYEYFNGVERVRSKGVPDFLKQKTISKREADLESPDFDANVDILES >PPA09912 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig186:45544:45740:1 gene:PPA09912 transcript:PPA09912 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYAMCSWKRIRREWLSMEYTNSTPLLFTPLPNSTIYSTTEPRIQLALFN >PPA09908 pep:known supercontig:P_pacificus-5.0:Ppa_Contig186:31290:35112:-1 gene:PPA09908 transcript:PPA09908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nex-1 MGTDENTLIEIICSRTPDQLKAITAHYNKDPKHAKCPLEKAIAGDTSGEFKDLLVALVGGSKDGGHSTNDVQAKDDAIRLYADGKAKLKGGAGSHFLHILATQNMYQLRKVFAEFEKLSGTSIETAIKKEFSGDLEKAYLTIVGATVNKQKFFAEQLHASMKGFGTRENDLVRVIVARSEVDLNLIREEFLHADFNKGKKTLEDTIKLVIATDYYCIGTAVTDDFDCAPVVDVLW >PPA09929 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig186:175270:175511:1 gene:PPA09929 transcript:PPA09929 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHPMQPFDIASLIGSCTKGASSDESRMQLEQLLLNATTSSAAAAAAAAAAAAPQIQLLAEGDQQFF >PPA09934 pep:known supercontig:P_pacificus-5.0:Ppa_Contig186:199010:200267:-1 gene:PPA09934 transcript:PPA09934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter [Source:UniProtKB/TrEMBL;Acc:H3EJK7] MPSLAGDEAEDDSSRLNGVKQRLVIEADDVKKPTGATNIGEDGRETWSSKVDFLLSVVGFAVDLGNIWRFPYLCFKNGGGVFLIPYTIMVLFAGVPLFYMELSLGQYYRYDLLLF >PPA09905 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig186:15049:18273:1 gene:PPA09905 transcript:PPA09905 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIDACGNGKKREANAFALYVKENYGEEKRSGRAHKEGIPSNVTTGHEANGGNQVWSLNYWGSEIEPGGWGLLKDFNRPGDRVGAMSLTTKSQS >PPA09913 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig186:51124:51501:1 gene:PPA09913 transcript:PPA09913 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGPPAPVPNLEEIRQKLVAFQKLAESLEADLARMAVDNIDILCEEMGYDLPSDI >PPA09933 pep:known supercontig:P_pacificus-5.0:Ppa_Contig186:196168:196774:-1 gene:PPA09933 transcript:PPA09933 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFYTDFFYNVIIAWGFHFLYASFTTILPWASCNNSYNSPACYEPHYSGLDVSEKCAPPPTDNVTRISAAEEYF >PPA09914 pep:known supercontig:P_pacificus-5.0:Ppa_Contig186:64315:70530:1 gene:PPA09914 transcript:PPA09914 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVCMINKPPKNETANKCDPDPVGTSPAADLGDIINATTAAPPPQYEFDWSTEQEGMVMSSFFYGYLFSQVLGGMIAAKFGGKRVILGAMLLAAIFTLMSPVAARTHMFGLMGMRAAIGFTQGAVFPAMHSMWSQWAPPQESSVLTSISYAGTQFGNIIVLPLGGFLCTYGPDGGWPSIFYLLGLVGVLWCAVWMYMAADRPANHPRISAAEKNYIIASQEATMGKTSAHAVSTPWIELLTSRAVWACWFGHFAGDWGAYTMLVCMPSFLSDVLGLELQTLGLLSAVPYIAYFVMINVGGVVSDYLRAKNIMSTLNMRRTAILIALIGQAVFLVLSTFCGCGQDGLVIFFLTVRSAG >PPA09919 pep:known supercontig:P_pacificus-5.0:Ppa_Contig186:108575:110434:1 gene:PPA09919 transcript:PPA09919 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAVAYERASMGGKAPRKVRPATSSEDESEDYSSDEEHVVYGVKYPSLLAAQAARKSAPVQVSNRSTGGKAPRRVPPTPVMQKATKQVLATKTAAKTIPIFPKHFTEASGGIKRVARKGAAGTYDDYSDDETETDEETTDESEDDFPQYSLKAARKSAPVQWASKSARKSAPVQWATKAARKSAKRNRKTAN >PPA09923 pep:known supercontig:P_pacificus-5.0:Ppa_Contig186:128641:133116:-1 gene:PPA09923 transcript:PPA09923 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRTPSKGRAGVSASGAAAASPPPLSPRRGRTPTRAAAAAAATATPATMPKLQPYTPIAKVRIPPLVIPYAVPRVRLAKTGDGDWKSRTGKTPRTEGRPAPKLARVVPKKRDASYEPADVNVDESDEESEEEEETESEQSEEEEEEEERPRRGRSGARSGGRSCSRARAAAATPAAARPKQRGGYRLEMEDDDDDTLDLSGAPANLAAALDRLAVYRCPRGTLLCREGECAQIREFVRAAIAPSGISQACYISGVPGTGKTASVMAMVKELAGAPKCPRFVFIRVNAMYFSDPKQVFSEILYEYDKQTGADKRAMGIRRE >PPA09932 pep:known supercontig:P_pacificus-5.0:Ppa_Contig186:188176:192633:-1 gene:PPA09932 transcript:PPA09932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dat-1 description:Transporter [Source:UniProtKB/TrEMBL;Acc:H3EJK5] MGIAYYLQPNVTMLWEPSVWQDAATQVFFSLGPGFGVLLAYSSYNNFHNNVYYDALLTSSINCATSFLSGFVIFSVLGYMSCKSGKRIEDVAQEGPGLVFVVYPEALATMPWAPGWSVLFFLMLITLGLDSSFGGSEAIITGLSDEYPIIKRNRERFLALLFGFYMIIGIFMCTNGGILIMEWIIVYGTTWGLLIAVFCEVMVIAFLYGVGQFTADVKEMLGFKPGIYWRSAWTVAAPVVLLLMILSSFIHYKPLVYQDYAYPTAANVLGIFFALSAASCIPIVGCYKLYNAQGATFSQKLERAMTPYRARPTQCEYTPIGGRNASNDIIL >PPA09922 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig186:122351:127500:-1 gene:PPA09922 transcript:PPA09922 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRVSGPAARKRLNALFECVDRSRPPVLMLVDELDQLSTKKQELIYDVFNWSAVEQSRCSIISIANTLDFPERMLNQRICSRLGMNRIVFQPYTHEEIEAIVCAKLGGGEEAESIIDAKVIEIAARKVAAISGDLRKATDILRQAIEAAIRKGEKTLSFEQVKDTIREASETLLVKAVRTLARHQRIVFEAAVDIVLSRDVVDFSVDETMVKYEATARLAHGLEPISYWGVQRILLQLSSMGLIKFANPNEEFFHRRLRLVPAVVDATSALKIVGAHRAARLLAAAPSTTYQMVCSATTVPGIGMS >PPA09936 pep:known supercontig:P_pacificus-5.0:Ppa_Contig186:217705:219380:-1 gene:PPA09936 transcript:PPA09936 gene_biotype:protein_coding transcript_biotype:protein_coding MVECNENELMDSNGYEGYEWAPSVFHKRGAAFYPARGKKSAAPVSDMDRLADQYFDLPQF >PPA09917 pep:known supercontig:P_pacificus-5.0:Ppa_Contig186:75778:80845:1 gene:PPA09917 transcript:PPA09917 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPSIPMDQEEEDNDEYFSAKESPSRKLSQECLKSSTPRSERAGPESSPSSRKSTSSGKSDRPQFFSDEAAMQGSENSSTKKSEKVRRLKDKKCWDMREYKKEELDGPVKQKKKPKKKSKNKKKEEVVEKSKTDEESGEEKEDPSSPLPQSEGETPQPRPFFQSQKLILQVKSDKPIAPKCKFRVLRFAKTQEVVEYDSQGEQHLLDHYNERAISNPWRRWGAHRALCDQGGYLVDKNKKLVEIKRGSDPPKCPPNPRQVTLNKNCEAPDSQTMMGTLRVEVGPAEDEVHRIGKLMEDYVLKNGGFVPPPMTRKEMQARPTKGQPMVVVQTKGVRGWDEGIECCEKGKEIEASENTEEVVWVGQSIGRGIGKRTRDSTAEKVKKPREAQPPSNPPPAPPQPMENSRKGGGIGGFLKRKKDQFQQKSKSKAKNNKGSVLHQLNSLEHTMPSLHTESDLRFAPVMKKPLKARNAFGDIISWSNASPTAFCKNITTQGPSSGRRKTGPSGGGGGGDGSNTKKNKKRKRPHKCCFICGDFLSEKPVSRVNCDHLVHTECAEMHTLNRTIRSTCTRCKPSTSFINYIPTGQVPTAVADDFTI >PPA09930 pep:known supercontig:P_pacificus-5.0:Ppa_Contig186:180204:181298:1 gene:PPA09930 transcript:PPA09930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vab-7 MKDKRQKIGGFPFQLLAPPHLAAYMLAASSAAAGSLSNNINSRDMWNQAAAFAYAANPALAAMNSSFGGPGSTPPCSLAPLISFPTPDCSVVVKPTSREPSPRPEALSAANAGGQARAHDTLR >PPA09916 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig186:73524:74491:-1 gene:PPA09916 transcript:PPA09916 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVQLLPGGLVGQDDPKRGAGLGQFRSLLALPMRKKDAIMRCTFTIQEMMLRINFFSFCYALFSAVFAYCGCYYETRPLPKWIQVVFAGPIRWCKKMGKKKERDSQKE >PPA09921 pep:known supercontig:P_pacificus-5.0:Ppa_Contig186:115141:121736:-1 gene:PPA09921 transcript:PPA09921 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVIARTRARLHTTLLDAEDQMKSLGDLMGAVRGGKGGSAIVFGQEEDGRSAVVERALAVYGQGVSTQHLWLSRLGTESNALQTLLESEASREPRIIVVDSADDLVCKSKQALLYRLLDRAKTGTWLVLLIITNQENPVAPVKGPGRPKCAKKGKKIYANNEQTNEVKKEEAKEVKEMKDQLSEEERVWAEYVRRFLADADVCRGKQKLYNEVDVKRSKQKLYNYSGHIAVVKRALNGWLILMDAADVETAMRLIDVEHIRYISAATISDMLLGRA >PPA09924 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig186:137400:141437:-1 gene:PPA09924 transcript:PPA09924 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGGKASSTGLTPSLKNSRIKDPESPNEANKENIPPGSLRRSTRGDDAAKRALFSDKREATPRDEKRVTRSKSRQRGTTSSPQKTPRESVSPNKRSARKRAASPADKSGAGEASPAKKESPGRPRRTASTDANTKMQQCNKALLSPVAAPRAANRRMVLELDNADPDREVLQKTSTTTRGRPQKAEQKPARGNFSTAAQWRSRTPDEETDEEDREFRQTFSVDH >PPA09910 pep:known supercontig:P_pacificus-5.0:Ppa_Contig186:36349:38092:-1 gene:PPA09910 transcript:PPA09910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nex-1 MTSSYYPIHEKAGFDADAYAEKIERALRAGDKVKGPYLRRYGKELDAALDKKFSGDAELLILALMKTPLAYDLEQLENAMKEKERVFGFLLG >PPA09909 pep:known supercontig:P_pacificus-5.0:Ppa_Contig186:33007:33230:1 gene:PPA09909 transcript:PPA09909 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPYIPGTVNKPSSRSPNPNPILQKEDDLLFGRSQSKGAKASRTLLQSGER >PPA09935 pep:known supercontig:P_pacificus-5.0:Ppa_Contig186:206066:212119:-1 gene:PPA09935 transcript:PPA09935 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGATAKANVLRHTIRKTKLDLADTAEKSLNLLRRVFQSEINAEIKQIIDRHIRTTFSPAIENLKRGGHKVEDNDLNILANSILQHAMEAFPVQGEKMNRKRPCDTDAEDGCSQAKRRFEMSEDESDGSVFSFSTGTEKKRRRPRSKKDEESILNDPAPVTITDSLKWNPDRIQPTSKFLFASRVAATLNTPVPLFFGKHPRFFRYVCDEEDKMELYDAGRLSRLTGRAVLVLLEDTYDVLGHSPEIERYSFHITEGIYQRVKTRTQRIYEGLMVRMASNPAAALTSSLFPFGNSPVMNFQL >PPA09938 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1863:46:1239:1 gene:PPA09938 transcript:PPA09938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-odd-1 ASQHQSSGSSIFPSPDSLSSPLSASPVKSESPSTPKFDFAHLAETIEREEEAKSSKESSPVATANATPTFPGTPFFIRPGMLPPNLSFFPPPGQMIDPNNRPWFMLPGAKRGNARSNRPKKEFICKFCQRHFTKSYNLLIHERTHTNERPYSCEICQKAFRRQDHLRDHRFIHSKEKPFKCEVCAKGFCQARTLQVHRASHLQLPPQAHLVSNHSLDL >PPA09940 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1865:10:785:-1 gene:PPA09940 transcript:PPA09940 gene_biotype:protein_coding transcript_biotype:protein_coding STQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTQSTQPSTTTLQSTQPSTTTLQPTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQPTQPSTTTLQSTQPSTTQPTQPSTTTLQSTQPSTTQPTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQRYRRSRRPPLFSRRSRRPPLFSRRSRRPPLFSPLHHPSTQPSTTTLQSTQ >PPA09941 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1869:444:1880:1 gene:PPA09941 transcript:PPA09941 gene_biotype:protein_coding transcript_biotype:protein_coding VEYLQTTSEMAASRAVESRSRSSSFSSSTSPATSPAISPVTKIRDSPSMIHAKVQRGEDITNITSQYEKKNSNRLGPSSDRSISPILKKNMSLAVLHVGAPAAGMNAITHSFVRCALAAGYDVYGVHNSLTGLASGHIEVRNGEEAYDVALQMLDWSSVAGWVSRGGSMLGTKKEKVTEPFAVAATLSKYNIKGLLIVGGFE >PPA09945 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig187:17206:18049:1 gene:PPA09945 transcript:PPA09945 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQVGIKYSTPDPFPQLGIKQAYVPAASSTYIAYKPYSDCLRARVRLTICGPQLDRLLDVTFPTWSYHVSPRRFQTIPQVGIKHFPQVAIKLDSNLWELLDSKLIPDTGVVNSQVELASTPIRKLLELRSHGVKMADSAYVLVRIQLRAGRIVQAIFNKAAPMESVLARLSEIDPLVVSVRWNGGVTAKTGGALEQIGSFAVYGEAEYARKTN >PPA09951 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig187:52294:57369:1 gene:PPA09951 transcript:PPA09951 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLCCSKSMRMRPPHDTLTSDPGRIDTAIDEGVREQTRTLYPAPSARLGSLAIVHYLATSPSQIFSYLLSCRNEQGKGEGGAIADSLEPLSPPLVTLTHSTLTDRERSTTRGPSTTTRPPVEARNMAEAHSAAALSFSLTHDGVSVSYDQELLRDFWHGYVRGIKRRMGRFNNHFRAGMFPANPLTLAVVVGLISVFSAIGIDVSFGVVPFLQNYVLYYVFGSTDI >PPA09954 pep:known supercontig:P_pacificus-5.0:Ppa_Contig187:75011:77997:1 gene:PPA09954 transcript:PPA09954 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVSSKFLSCLIRFHNEKAWTPEMIKQITSECRVTPDFVSGTEEEAMLNEVEGRLQKLPYEESHWDESLQYHRPQYFRIATVTQPTVLQAIHLYREREQRVWKSENEEVLARMRECSFPKDTSHLTYVHILDLHADGHIKPHIDSVRYCGDVITGISLLSSCVMRLRHEKQKEELIVDLMLPRRSLYRLGGKYHNGGDWDLRTQ >PPA09948 pep:known supercontig:P_pacificus-5.0:Ppa_Contig187:27414:28403:-1 gene:PPA09948 transcript:PPA09948 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYSATQVFSSITTLGSASYPATPAGRVLSMLIAAIGIPFTIIVIKDLSFLLAKLFYFPCTWLEACWAAFRFCTLRGESEQNLEAFVVDNRPHRRYSIPMIDNCRPVSTRCTTTVVDNQ >PPA09971 pep:known supercontig:P_pacificus-5.0:Ppa_Contig187:184201:190508:1 gene:PPA09971 transcript:PPA09971 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVGTVWLSPPPSSSVNNNQSNGCSVSSGSGSVRSDDGSLTPRALSPCSVSSSTRRNGMRASMEDIVPLADSRPLLEQLFIGDAANSTSFGSLLSSALKAKLGSDGALNLMGNATLPRRGLTRRSQFAMGGGGGGGPNGLPPLPARDAFLSGGSLMDFLFIYAIVEVIKCSLIGLRLVLRSLSSLIPLVPQTSSIVAARVGRSGAARAIRRAEPEDAEGQKTTCEMRNAAL >PPA09942 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig187:6437:8752:1 gene:PPA09942 transcript:PPA09942 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEHEYLSGRVSWQAVLGCGLIAGVIGGARAGMSAKSANGSSAAVRIKKLFRDSQRATTQALSITNQRQSGSKSQRGHKKKDSENDGGKDDGDKPDPRPPHDDSKKHDDDDDKPDFKLPPRKEDSADENKEDEDLEETRRRDDVDFEKDDDTNADMRGPRGKDTKGNGEEEAHTDCPEQRGKDANDNENKDEDEPNRPRRRGENKEVKGDDERNPYVVASRRDDGHDDGKGGPEGSNSGLRQPSGNSGNRNEEFYGTELLNSIADEIRYERRLKKKLGEDEI >PPA09952 pep:known supercontig:P_pacificus-5.0:Ppa_Contig187:59451:64564:1 gene:PPA09952 transcript:PPA09952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cpt-1 MIRLSLKMLLCYKGWMYEAPGKPISSPTKLWLGILAVISKCGPALHSFQGALPHLPVPSLDETVRKHLRSMKPIMSEKDYAELEVLSERFRMGVGRRLQRYLTLKSFLSINYMTDWWEEFVYNRQRSPIMINSNYYGFDTLNDCPTRVQAARAANITWAALQFRRKVERQEISPFSIAPRTKVPFCTMQYERLFNSCRLPGEECDRFAQWDDARHIAVFHNGVWFKVTVHNGKRLLEACELQYQFDEILKGDHTPAEGEQHVAALTAGDRKPWALARKEFFSTGVNKTSLRAIERAAFCVILDDEEVFYDPEDHTKLDAWAHNLLHGKAYNRWFDKEMDPRMDYFLQHFHLEERPLRNKHLGNGSDVR >PPA09956 pep:known supercontig:P_pacificus-5.0:Ppa_Contig187:82178:83238:1 gene:PPA09956 transcript:PPA09956 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSLPRETPRFLASLEKREYPPSIPPSFYNSVFELTREAFHFDKPLFAEAEKARAFAALRRYLPVLKAGHLCKWIEVKERLDAQISRSGLQFIADEVTEEDDPELHAQLQALINSMRGIERFIKA >PPA09959 pep:known supercontig:P_pacificus-5.0:Ppa_Contig187:105250:112209:1 gene:PPA09959 transcript:PPA09959 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWELWGSKGIHLDTVGDFVGGPREEKRELDSTTLDESCVVAIDTTSCAVAACDNVNIYNMRTTEKVAHLENEKKTVTAIRMCAAKKYLAIGYNDGSLRLYDRTAEDQRSFISLIGHRSGVNCIAFSNDGLTVATGGKDSSIVIWDIVAETGIVRLHGHKDSITHLQFTQNDRFLVSSSKDTYVKLWHVESHSCFYTISDHRSEVYSFALLKHDSLLVTASAELELLVFDVHWIDGGAKQSADPSDPSSKKAKQEEEMVPEREDDLANRYVRVSLRGRLLRQSTGRALQLCVSADERLLLCLGLLNRAR >PPA09964 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig187:127924:128469:-1 gene:PPA09964 transcript:PPA09964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EJN5] MAQSVPPGDIATQPGTKIVFNAPYDDKHTYHIKVTNSSARRIGWAFKTTNMKRLGVDPGSGVLDPKENVLIAVSCDAFAYGQEDVNNDRVTIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA09967 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig187:165230:167373:-1 gene:PPA09967 transcript:PPA09967 gene_biotype:protein_coding transcript_biotype:protein_coding MIRARASTFTGHNEKGGMQDKFFDQEYTDPSLITDVPSKPQARILAPTNNKMDEFPVLEVAQQAKARMEMREENEQRVFAAGIDPEEAADCSCECCPPRTSQEPNDYCCRSLFTFDTVRNGTKLRDGLVANMEEFGQHSCIIKDPLFRNYILTQNAARSAAETFAMMSGEKITDKNKSYRYGAYRLFVATTMGHLGKGTRVRLPSCFVSAVRKLWPSPHYSGFSSSNITDM >PPA09946 pep:known supercontig:P_pacificus-5.0:Ppa_Contig187:24213:24487:-1 gene:PPA09946 transcript:PPA09946 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVPKRRFMTPDAVFIDNSQHRLSLDNVDDLIVETYSKRSPRLAGSRSTEKPTML >PPA09943 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig187:8942:11367:1 gene:PPA09943 transcript:PPA09943 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGATSSSKPEGKKNNAYNQSNDADSDAKMKPLTSDDAPSTSLNRREHNEYRIMRLQFWPEIVNKRSKLIAVAGMKQSGRTTLLHSLIYQYNYALTEDQAMVSRIGIGVTMYVLDEPGVIILDIADSMDCGGETSAPLGALLLELFGYLNCDHILYNMPILEADVDMNERYLTTWLEKRLRILFDAGQMLGIHGASKITIALRPECPSSERVEGVISNFIEKMAKEAVRVTAKNAEFVMADMQARIDATKDADEVYTTAIKSIHERLYKDTAHHATADIRAEDKYDGPRTIVDTMIDVWNTIHRSDGLQRICLAAATQSGASHDKTDAGADRIAKEMNGFFESVNELCKSVQSDNNDFEGLRAELAQLNEDIKSRFSRTLRTRNSYVKCVQSNVMR >PPA09953 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig187:73058:74119:1 gene:PPA09953 transcript:PPA09953 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLSSEKKLKLVSAGGGFGPVADAGYGVSYVISGENHITFHISSKRSASNTSSAQFKNDLIQSLRDMQGLFVESK >PPA09944 pep:known supercontig:P_pacificus-5.0:Ppa_Contig187:12117:15673:1 gene:PPA09944 transcript:PPA09944 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDEVDLSSFSQHRVNTEVDGQTVPNRIAKLLIQLRVGIRKPVESIVKDMQSKSLSSQTGTQRFIRLPKEWFDARASTDFRCTYEELDSRHRNDCAKVALEVASKEIATVTAVAMIDFVHDWLKFETPREFVLQKLRVLAKCINHASVDDETFNKLCTSDFGTSVLEKLIAHRQTGKDDDVNNCIERALLSLMNAESTRRKLSAPFASDLAAIAIKRLLAHETGQQVELKHQSTLFSFIDAFIITTVDDEERRAEFEPIIAQRGNILRVASTLASTAAESLNGVSDGTSSFDLTPLYVVKAFCSLYTELLHKFVWHDCADFYDTKKHEERTVPTIVQGVSPTASVSDSPSFVRVRNALGAIHRSVLTRRTILRSIAGDSYSDVVDATIAKGVTALINASSTSGISLGTVLNEMQKDDPGEPLVVTLKGIREPDITDIIHSKSGLAKLNPKDTILMFRLNTIGTTFYAAPISFTWPSESSHCSQLHEWKPWEELMAQEDVNGNREFHPMSALVKQLPPSTPRDTAAQVSPAPISMAVGSVNVMISPINRTYKKCEVPHQIDFDAYNESLGMGGVCSLRMLLMNAFSKREAIGRLRR >PPA09961 pep:known supercontig:P_pacificus-5.0:Ppa_Contig187:117826:121679:1 gene:PPA09961 transcript:PPA09961 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLGLLNHSTLSPAIHDKIDVDEATTGVERCKKEMNEEEKKRRQRSPSVEIVESPSKQSAAGGGAVSAPSTVKRPRISEGRRKSSDGQRKSTNGAEKTSQGPPKIQHLQQEERKRLSDLEQLHALLKSEASKKMVTSSEVSRSKEGTNQSINRSICAGRCVCLHAFSQWATLALESLLLHHGLLSAPLSLMEKGEKETEGGERVRDALRRAMKGRRHEDVREIVVCFGASPLLVHRVYRLPVNVCCEEEREKEMGEEDDEERTQCGSPCAALNAAEQRKINRHLFTMFPPEASTGKTQRVYIVVRGSERLMSEEMTEVEGVSRAKDGEITVEWSHSGCGRRMNEEEEVKRDEESIHMRLRQFM >PPA09958 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig187:98038:103678:1 gene:PPA09958 transcript:PPA09958 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKRKLIIDTDGVSDDIRAISLAMQHPDVEIVAFTTTHGCVSAIQASANVARAQRANGIEKKIPIYKGASTQLIKNPEVVHELASDESFFFGRDGLGDQPKNFPEVLESDFSCWESEHAAHALIRLTREHPDATLVAIGPLTNLALALKLDDDFKKQPARVVIMGGNYYGMGNVNSQTSAEFNFHGDPEAASIVLAEMESPVTMVPWEAFVLDGKKHEKEVDFHAHLSFGTPLADFLTTATSVGRKELAKANRQYAYCDEIAVSTAIIPEKVIKESMKLRVTVELAGKYTRGQVMVDWTDQLWEHEDNERGVDRTRRVITFVTAYDVHYVDGMVREAVMKTKKE >PPA09969 pep:known supercontig:P_pacificus-5.0:Ppa_Contig187:171867:176167:1 gene:PPA09969 transcript:PPA09969 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFAWSLLSTYAESVRKRRLHSALCAFFASPSAGESMIVCRPAAHRLTRLLGVGFSSWGAETANGSLARVASEPALDRPSSSSSSPTVSSIYRSELMEVVALPDEGQV >PPA09965 pep:known supercontig:P_pacificus-5.0:Ppa_Contig187:135186:137079:1 gene:PPA09965 transcript:PPA09965 gene_biotype:protein_coding transcript_biotype:protein_coding MHTVDKAADATVEADNAVFEGFKGAFERAGDALSSAAGAVAGAAKGVVGAGTESDTTPSAPEEIPREKSALEVAGSAISHAAHSAYDNTMGAVDKAADVAVEADNKVYEGFNSAFERGGEAVKSAAEAVKDTAKGIVGGSDSETIPSAPEEDKSELNRAGEAVTNAVYSAFDEAKGEENTPPADETAESDSSVLSGINGAFVRAGEAISYAAGTAADVVKGAVGMGDSDSGIDENPITM >PPA09947 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig187:25381:25807:-1 gene:PPA09947 transcript:PPA09947 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDPLPLLALISYTLIGLAVLSLFVNLLHSKFSQAYWLPGRMRMQQQQPHLKVRSPIIRATREIKGLYELK >PPA09962 pep:known supercontig:P_pacificus-5.0:Ppa_Contig187:122549:123806:1 gene:PPA09962 transcript:PPA09962 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQVDADAAKLMKSLVALGLQASNAPPTATGATAAAAATTARHKANIQQDEKSQPVYRSNEYPSTGELTESGSEPESSSDTSRRRPKAAIDGGKKQTAIRVSGTNGTAAPPKAAPKKAAKAVPVKKSTTAVPVKKKSTAVPVKVTKKTQKRR >PPA09963 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig187:126908:127524:-1 gene:PPA09963 transcript:PPA09963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EZK9] MAQSVPPGDIATQPGTKIVFNAPYDDKHTYHIKVTNSSARRIGWAFKTTNMKRLGVDPAAGVLDPKENALIAVSCDAFAYGQEDTNNDRITIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA09955 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig187:79252:80144:1 gene:PPA09955 transcript:PPA09955 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLNEKEQISAQLAFDPLAIINTRPAHAFCTHFAALLLKVCGSVFVSVIMGNGKSKSLSEPSGNEKIEATEETKSEVKTTSDSANQGCLFGIGIPEISEALRVSLEHVLLREGLPSSLRR >PPA09950 pep:known supercontig:P_pacificus-5.0:Ppa_Contig187:48815:49829:1 gene:PPA09950 transcript:PPA09950 gene_biotype:protein_coding transcript_biotype:protein_coding MHWGDTVDKEGSEHVLNGRRSTAEIHFVHRNVRYATMKEALGKPAGLVVLGVLVDAVGPGEKISEKIDNQRVDNQFWVLADLMRESELCDRDH >PPA09957 pep:known supercontig:P_pacificus-5.0:Ppa_Contig187:89121:96230:-1 gene:PPA09957 transcript:PPA09957 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vps-2 MEFLFGRRKTPAEMLRQNQRALNKAMRELDREKQRMEMQEKKVIAEIKKMAKMNQMDSVKVMAKDLVRTRRYIKKFIVMKANIQAVSLKVQTLKSQEAMASAMKGVTQAMKTMNRQMNLPQIQQIMMEFEKQSEIMDMKEEIIGDAIDDALGDEADDAESEQIVNQVLDELGIQMGEEMGGLVVPTGQIGAAERTGPAKVPIGAGGVPAGGSSASASNDLDDDLQARLDALRRDNNITLSSEL >PPA09970 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig187:181566:184154:1 gene:PPA09970 transcript:PPA09970 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTNGDCPSSSSSPLPHSSLPSPTSPSGGENKEMIELQEGEVVLESTCVRVGWNRTRPHETLYACDMDTFTSVVFAWTCGLLRATLKGREEIGRMRNAVENVTTSSFGMLSLPVYPENYL >PPA09968 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig187:167868:169669:1 gene:PPA09968 transcript:PPA09968 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVYGVNLAALKMLQREYEEQQEREYEEHQEERLFDESAILNPKLAKKKAKKTRKAIVKAVKN >PPA09966 pep:known supercontig:P_pacificus-5.0:Ppa_Contig187:141193:152880:1 gene:PPA09966 transcript:PPA09966 gene_biotype:protein_coding transcript_biotype:protein_coding MITPTVPFKLPHPVLPYSIDVADHDPHGSGQLVDIFRVYTEEETAKRVTKKLRKAKRKAAESKDSTAPTVDDVAQDVTTKIGRIGEYRAMRDKVKWADFAPLATEGTVTVRKDVEDEEGEEEEGKGEGERREEGRAEEGKKKEQSKYTYTAFILCKSNTVQSVAITVDLETNETRAESVANLDKLGHREDVRSLAVSSNNAAYASGGGSQSGSLFLFVLGSAECIEVKRSAHEAAIWQIVPTPDKKGFVTCSADKSVKFWSYQLIDEGPKKRLSIRETRVLTVPDEALCVAVSPDGRFLLVGLLNSTVSVYFMDTLKFFLSLYGHSLPVTSVTVSPDSKLAVSGSADKTIKIWGLDFGDCHKSFHAHDEPVSCVLFSPSNEEEMLVWSAGRDGKIKQWDAVKRERVQVLHNHTAEVRALAQNGEGSVMLSASHDRSIRCWELTEEIIVLEEEEAIEREEQFDAKFLDEDDVVAGETRVQTGEDPEFHEYDKRRAIAAPAISLGGPMGIIKIS >PPA09960 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig187:116044:117736:1 gene:PPA09960 transcript:PPA09960 gene_biotype:protein_coding transcript_biotype:protein_coding MDALAGPPSMPDYIVESSSYSSWVDKEFPLTLNRHAEESVGAAARGSNSLLKEVHSLEQSYTAKRKRKEAQARAMVTQGITAMRSGERDNAWAMLKEATDLYPPCADAYVALGALLVNDGRSSESEKYFQRALSYEPAHKNALLYYKQVLFGAAALHEKEGRWMDAMGYYEKASLCPIIQ >PPA09949 pep:known supercontig:P_pacificus-5.0:Ppa_Contig187:33325:44598:1 gene:PPA09949 transcript:PPA09949 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRDSDLPDHPEDPYPPADQQVPPPADEDAEDFVEDEHEEDDEATLDEEEAMLEDDDDELDDLEAEADMDIEELRKKYGYGAPAPSEDEPGISSVADEAEEEEEEELEVPFNNEVIMQQQHQFTSKIAIESAANNYFGVAENNEAEEEDVDYEPPEKKEFQIRVDPLLYQAAVPESIEGVTPSAEAAEHPAGTLLWAPREDLPDESLNRFVHEWKARRHGVETDETKIDSRDDEDALYALLSSAYSTDAAAAHFPFEKANEFKRTCDGESKPFTTEEMAAFETGFKDNYKNFRVIREHFVPSRTHGELINYYYHWKMSSRFNELFRQRQMRKDFMTEVMDQMESTKRRRVEPSSTTTSSSSTVVERGHSPDGAPSTVIHSENYDDEVEYEDPLAGAGDEPPVDVWAAPPPPQIEQPTESA >PPA09973 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1873:658:1140:1 gene:PPA09973 transcript:PPA09973 gene_biotype:protein_coding transcript_biotype:protein_coding DLIVHSLDGEWVDIAPLRTLSFDIECLGRRGVFPDPTHDSVIQISNMVKL >PPA09974 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1878:29:926:-1 gene:PPA09974 transcript:PPA09974 gene_biotype:protein_coding transcript_biotype:protein_coding DYKTPLMDIIETLGILAAQLDIHVERDAGGWESLAGWLFSSQALPLLRIEGGYEIDVNMTGDEDEERPPLHQFINRSVMSILSAIHPLSPTQAIPKILATQDKNDTMK >PPA09983 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig188:25221:25608:-1 gene:PPA09983 transcript:PPA09983 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAGGLTVGLTNLVCGLAVGIIGSGAALADAANPTLFVKILIVEIFASAIGLFGMIIGIVQTSKVNMGMR >PPA10000 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig188:80890:81765:1 gene:PPA10000 transcript:PPA10000 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCSNRKDFQWSANKKEILARLHDYDRKTRTNSSVFTVPARHAGFLLHVF >PPA09976 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:7749:9581:-1 gene:PPA09976 transcript:PPA09976 gene_biotype:protein_coding transcript_biotype:protein_coding MPRALYCPCEDNMRGDHTPTSTHCRFYSSNFNFFNYGFTRIIVDHVSDYNDDHNWCSLNHNARGDHNYDSDSNINNGHYNDYRKKAASVRVKGPIDDYYTVGECSRESDIVGTPTEGATPPCGTPPLSQRRIVIDAIKADGTHMVIENNLYSSIKWDTSKKSWYFEIESDSGMNAVYFYTAKCVLPPVTALAPNCECAALNTESAYPGNYNPVPVKIDTDPCCTKDYVQAPDFRMNCVRAYSTAKTYSSGDRLISCSG >PPA09990 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig188:40683:41395:-1 gene:PPA09990 transcript:PPA09990 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVVLGLVRFDSEPAANRNLFGDLLIPLTMESPEIYKNDCLMMRLTSLLTGVLHSAVPCLLLIILTFILLRFLRKARKDRETMILNKSTAQ >PPA10008 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:111771:113172:1 gene:PPA10008 transcript:PPA10008 gene_biotype:protein_coding transcript_biotype:protein_coding MCNTKQKCVRALHNCATWQRNGQFCTNPARPLAVRQQYCPITCGNLGCPGGATTTAKPGSGVENTNCAKWSSDSTKPFCVNSMTVAQKAQYCPATCAFEIKPTADCALYTVTDKKFVRGTPSNKTSPEKAIASEAVASKTTVSRAYAGTGCTVKLFADAAPADLTKPTVSFVGKADAHFFTVPDANNAALSYSCTCA >PPA10014 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:130978:131427:-1 gene:PPA10014 transcript:PPA10014 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDVNVERRVATSLMSPSLLCLLLLTITIDAAPAPDVVSDLAGTVRGLSRTAGGMVKSLPIVGGLASPFVDGAQGFVDSASKGFGK >PPA09986 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig188:31801:32286:-1 gene:PPA09986 transcript:PPA09986 gene_biotype:protein_coding transcript_biotype:protein_coding METEHDVQLSAVFESIIITQRPHKTPTIMSPFENLPPELMRNIFQYAPEAMFELKLTSLALNARVYQYVHERTTYQLVDNISMLGRFNVYDKKKQCNSLFLLPPPFPPNFTA >PPA10004 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:96093:96861:-1 gene:PPA10004 transcript:PPA10004 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIAVIALLAACAFASERHQKRQFVYGYPAAAVGYTGLASYGYGYNAATLGYGYPAYHVIGKRSVDEHARFKRQVLVGAAYPSYGLATGYGYGIASYGYGYPAYGYPAYSGLVYGRKKSSTDEHSNAKRQVLVGAAYPSYGYGLGVASYGYGYPAATLGYGYPTYSGIVYGKK >PPA09997 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig188:65727:66501:-1 gene:PPA09997 transcript:PPA09997 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKNRKAVISPMGVILFYSLFAGPTSFVGMFVTPSYDVISILPIWIILACSKSVRQATPIFKNFSARKSPTQEPENVGISVINTTHHNQPNCTLYCPT >PPA10013 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:125136:126931:-1 gene:PPA10013 transcript:PPA10013 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFIVLAVAVLAVCAATDAQKNAAYNKMLQSCEDLLANKITKDEAVKQVAASSDGLSVEDKKGLAEASGFISGLVSKLGDIPAEHRVALKGACGKSKPTA >PPA09982 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:22835:24517:-1 gene:PPA09982 transcript:PPA09982 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTADANCQATVLNASGTACVHLGAPVADPATNVCPATATVYVKTETNCGAIPQGRIGDGYTPGECSRPTDVIGDLATDQSSPPCGTPPASQQRIVIDAIQHDGTHITLENYNIGVAVWDAQVGSWYIDLVDTPTRYYFKWAKCVLAPVTPLTPNCACAPLPTEPATAGYSNPSAPVMNTDSCCTSAYTQMSYLRVGDPSTFQSPNRIISCTMGVWMMIRTNAYNAFALSAATCVD >PPA09989 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:38387:39465:-1 gene:PPA09989 transcript:PPA09989 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTRECILQFHSGVLGHSRIIANLLTSARTLATAEFLLELSTHLRTLYIRGNYAVDNIEWVPLIIGMLTRKLDTLDINHWQRELLSREDADRLIVHLPFIGKKLWFKIGFAMNVVGRSTKLNGHIIHGIEKLKEGVSQGTAALSQ >PPA10009 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig188:113929:115221:1 gene:PPA10009 transcript:PPA10009 gene_biotype:protein_coding transcript_biotype:protein_coding MTADCPPSPIVETSYGKVQGRRLIHAGDRQVDAFQGIPFAAPPVRELRFKKPQPPASWEGVKETKKFSARNIQVPFPGLPEDELHGVMSEDCLYLNVFTPCWEAPEKGFPVMVFIHGGAFVFGEASSYGDIRICENIVSRGVVFVTIQYRLGYLGFLSTGDAVCPGNIGLWDQTEALKWVQANIGAFGGNKNNVTVLGQSAGSASVDLLHLSPHSTNLFHKAICMAGSRSTDGRHDRK >PPA09996 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:62029:64735:-1 gene:PPA09996 transcript:PPA09996 gene_biotype:protein_coding transcript_biotype:protein_coding MYVNVAGKSHLQFAEKLIALLNERGHNVDVVLGMLNSYVSLKGTYGARQLVTVNFPGESPWGQVAYHLNNPFVEIPDWQRLSMESNKFIDTNQLLCDLLLDSTAVADLMSSNKYDIALMSGYDFCPFALAHHHKISPVVSYVPTPIYYYTQSYYAGLPELPLYENVVFDVRHTSDRSSFVTRVYETLRTFKERLLHYNDLIIINSKLRARFGDSFPDVREIAMNTSLDFTNSHPLLEEPRPTSLRLKYIGGIGLPTPKPLKKELDNMLNLAAKGNVIFSFGTQIGPEKITPELQRVFVNTFKRFPEYNFLWKFDGKTVMNASNVFNLDWMPQTDLLYDDRVHAFISHFGLNSFTETACAGVPAVAIPLFGDQHHNARRAVALGAAVMVRKTEITEANLYEALAKVLRDERYRKRAKEIASMISALPDTPQRIFLEGIEFAAKFNNLPFHYRLAGAKHNFFVQLGWDVAAFLTVVVFLVVVVAMRLSFFVLNRIRAKFQVKSKKE >PPA09978 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:16086:17516:1 gene:PPA09978 transcript:PPA09978 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPGKTVLLGNIRKHPLAVYAKIKGYKLVILMSSIASVERRALIVALGAEVDIVCFGVGSGGTVTGVGKFLREHKNEVEIYGVEPFESSVLSGLPAGLHSIQGIGAGFVPNILDTAQLTGIIRSTILYSGIKETADAMPFQSLEESIQTAQKLVPNH >PPA10007 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:107162:107408:-1 gene:PPA10007 transcript:PPA10007 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQMPLHLNCHAKSEPCSPSSLSVSPRCFISESIQCIDKIEQIGAGDQVPRVAQCRK >PPA09980 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:19212:20239:-1 gene:PPA09980 transcript:PPA09980 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGVLWAAGCSIMAAFFANLVTMIFAMFVFWPQSFVSNKFDALGLLGGVFSLGCARLFSDYLAATGGIATIVKPSCRTVAFRFLLATAICVLTIGYCLAIVYYWIVLPGVKAPWLLAFNMWILSLFPMLFLLHITFRGVYYVGDKKRDELLRKAQLIKDRNSEGHSDGCLSMDDADDKKPLISEA >PPA09987 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig188:32761:34492:-1 gene:PPA09987 transcript:PPA09987 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPQHGKLTSLFASVSITNTPDTNMGIPPNFESLPPELILNIFEFVPEAICEFRRTSQALKALADEFVQKRPTICIVNEININDHYYRYDDKEGVSLKMPVANDPNTEWERLAESIGFMIEEVALSIHETDLATIFSLLTKFQIEKLNLDIDKFSEIGTSALLETVKHHKLEWLALRMTETATAPYLAEFLLELSTHVRSLHIRGYFAINAQWAPLIIEMFSKKLDTLYMNHWRDQILSREDADRLIAHLPFIGKKVWFKVGFALNTIGRSNKINGHIIHETCPARKTNKPAKDRALSIIHKSRLKEVSPQ >PPA09999 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:75599:80056:1 gene:PPA09999 transcript:PPA09999 gene_biotype:protein_coding transcript_biotype:protein_coding MGENSHWLRILNPRNRKRSVVALIAVILSLSLSDRFRAQRVLQIGMGGGSISNYLATIPANLSIDVVELQSTVYEVAKRFFDLTENERVRATIDDGVKFVDRAARDGIVYDAVLMDACDNSAIDNIPCPTAVFRDPQVIEHMSKVIVGPSGMLAVNILAIRDREVLEKQIEDLYQKSFAACFRLHFTADQSMLFCSNRKDFQWSANKKEILTRLHDYDGKARGVLKLDGDAPVDEDFGEIYGLSIWKSSKIPSNITLDEAHDPNFHFKSCCAGRGLSGPCTVRDRLMQLDEYRDFVARCNYDTYIQDLLQKMLIGADECPLDSLPEMHFCAAQGRDHSSCCRVKGVDSTYAGDKCLVFCDQVPDKFTPIDYTYAACFGKFDEMKQCFRSTVSSKAKSYFHN >PPA10010 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:115349:116954:1 gene:PPA10010 transcript:PPA10010 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQSIRKATSLGVTDFANSQELLDKLRLIPADKFAVSLFVAPHTEDHTDFETGPCLDGDFLPEPIDVLRAKATPKPLLNGVTKEEGLFLMPGRRPTAEGLDESLHHTTLDIKNSEAMKKELCSRFVGDLKPEDPMIADAMFVAGHVELCRKAVALQADRIYLYVFEHYSGPSLLGALAQALPRVLVMSSYPVELPLDFNHNVTHTNELFYLFKKGFFGDPEITETDKRVIDEFTTAFTNFAKYGNPNGCEDLDLPLLWKPITKKNPAINYVFTSDKPKMSNDLVALYHS >PPA10005 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:98484:102745:1 gene:PPA10005 transcript:PPA10005 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNCFRGDPCSAGKSEFEFAPLLPRECAPVYAVVLFGNAHGSDCIASVQLRENGEAKILSICDLTGSHGGFVHKMEWATTSHLNKDFSRYISVASTRDSAVGLVELRSVTEIRMAYVRQSFDSSEMRCKSSISHPFSVHTHGGRTYIGGLGELESANPFSCEDVKLEVRTTSWSIASIRRPSAFQIRARLDTQVRDPKGESNGETAEPRKQGQPEEQGELLSTPNLPIFWNEDASSTITESNRFVHKLLLMSSSLSGQFELTGKTLQASCSTDDEMTSSDSSLDDDEQKEFFQAGPKKYTQRFNRSNFLGPRSPIRVVKNLPDLDPKDGDRFTFNIVGGFAYTGWGGEFAVHHSGQFLLATEFGHPSCLTEEISRDRIERFFETKRMYSGKERRFHLQLWEMLEKEPSPCASTKLTHHSGITSVKFCNTEFNKNIAYALCGTTGELLRINVYDALPTSLKYSMEYDMQNHKRKSEPEDVVGFDRPVFPTDIVITPDDSFAFVALYNAQLTRPFQKVIGMRKDDAKIPHHLKYWASAKVGTNHSVDERRSSSPYAGRMRGGAGYLTLQFNPDSQFHRLFVSNSYSPNFDGYFHQSNRHPQIWEIIVNTNAKSENSRMIMARVFDLQLTHKFGGMSVSTLKNDSTQGPQAPPTLRGHDFA >PPA09985 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:29065:30730:-1 gene:PPA09985 transcript:PPA09985 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQVNQLPTNFESIFISDDHDTSAEKPNIFEILPNELVLTIFEYVPEAVCHLRLTSRLLRRLVDEFLRQHITIPLVRHIDISDSFNSTDYSENESGTLRLRLDVSVRMASLFELRIKFRSPSLRSRMTRWVRVSQINNYHLEVPVTNTANTADWDCLIASMGGSSVKKVLITNARDETDFATLCKRIGQIRFDELFVDIGDFTEAKSNYGVEWAPLIMEMFSRRLDMLYLADHDLLPADAHILVARLPFIGKKIWFKVTFTQNSIGRSNKINGHVIHVTCPIWRPNKPRKERALSIIHKSRLKEVLPRGY >PPA10006 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig188:104439:105956:-1 gene:PPA10006 transcript:PPA10006 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTVSVKRSGRVPPERAPSSCLLGLVEFECLLLDSPSGSDSRKCSFSVTSPEEDNMSELLGDTVNGVHVKYDEDDDTETDLRRAKKKGTNLYGRPYCPGRPLSMKERLQIIEYHSSGMKVNAISKSLCISHGCVSKIITRYRLTGVLTPVSSPEHRKQRRRKGDSLSLAPGAPMAAPASAGPSTTLLSPLTPPAAGPASVPTATALPSPQPQLPLAAHPLLLQQQPPATVPAQLHFIDHSGAAAAAAAAAALPPSMMYTDPTGTTYSFLQPVASSQLHALPHNYPYYLYPTQ >PPA09991 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig188:46263:47765:1 gene:PPA09991 transcript:PPA09991 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSLWATTAKIILPVEGMSGITLNIILIVALWRTDIAVSWIFNCSMDEPCQMLLPIVLTAVPICIALIAALGGLNLANVSNSPWLMPTATATLFLIFVAKSSAKSTVRTPNVSTTKVEHLKPEDMAKIAMRLRVG >PPA10011 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:118652:120581:1 gene:PPA10011 transcript:PPA10011 gene_biotype:protein_coding transcript_biotype:protein_coding MQHECVICAGPVTYAHLGVNSCRRCAVFFKRATEAKTELKCVTGARDCIKLDVKSTCRLCRYTKITEILKNAAGDTESATSEDTPDLESPDLEPTIFINHESYYDCEPSTSSKTPLLERLRKGYSLMCLIRYGGELGIRTKVDKQLEIRVRDMVLVPATYTTFPMHGKACMEAMKAFANEAFKDFRGLDKDCKDFIVASGYSAMNSIDSIYRSMHHFPNNDELRTPGYTTYVRPHELETFFADCTDDVDTAMIASAIRTSLETTVHVARKLYRRLQPTDYEFLTILGLALWNDEISILNENMLKIVMRNRKLLMRELHTYYTQKGIANYARNSQKLTEDFELYKLMNLFKEYCDKNVSD >PPA09995 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig188:59003:61752:1 gene:PPA09995 transcript:PPA09995 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFFILLLGLPFTASLNILMYVNVIGKSHLQFAEKLIAQLNERGHQVDVILGMINQIVTLKGTYGARKLVSVHFPGEIRFHNIDTLPTSGATHLLDPFTEPSDWERLKIEHHKFTDTALLLCDLILDSPEVAILLSSNQYDVALLNAYDFCPFSLAHYHKISPVVSYVPTASYYHQHYYAGLPELPLYENTLFDARHEDRSYFATRVYETLRTFKERWIHYTSYAPFNEKLRVRFGDNFPDVREIAMNTSLDFSNSHPLLEEPKPISLRLRYIGGIALPTPKPLTKELDTLLNVSAKGTVVFSFGTQIQPDKITEDLRAVFINTFKRFPEYTFLWKLDGKTQFNTSNVINMDWLPQTDLLYDSRVVAFISHMGLNSFTETSFAGVPVVAVPVFTDQVHNAKRAKALGTAVIVRKTEITEESLSRALEKVLFDDRYRKRAREVASMIVAMPDTPQRIFFEGIEYAAKFKNLPVHYRLVGAKHNFLVQIGWDVAAFLALSSLFISHLALKVVVFAVGNTIAIVRRKQKLD >PPA09984 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig188:25679:26250:-1 gene:PPA09984 transcript:PPA09984 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGQGHRFDIAWLLTETSPNMWAGFGIAASLSLSVIGAGWGILTVGASILGGAVKVPRIRTKNLVSIIFCEAVAIFGIIMAFIFVAKMEPFSREDLDLSDPDQVNILARNLADTVEFPIVSSFRALSSKAGQIL >PPA10012 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:122075:122721:1 gene:PPA10012 transcript:PPA10012 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIRHVAELGTRTKVDEKLEIRVGKMVLVPATYTTFPMHDKTMYEAMKAFANEAFDDFRELDEECKDFIVGRGYSAMNSIDGIYRTTHHFPNDDELN >PPA09977 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:12217:14372:1 gene:PPA09977 transcript:PPA09977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:H3EJP7] MDEIHSTAAGAIGNTPLVMLNHISKDLPARIAVKLEYMNPTCSVKDRAAKAMIDDAEAKGLITPGKTVLLDSTSGNMGISLAFYAKIKGYKVVIIMPSVASIERRALIVALGAELIIVDPTVRGKAMLERARLVAESHPDIHWLNQFDNSANVAAHHRTTGPEIWRQSKGKVDIVCFGVGSGGTVTGVGKYLREQKKEVEVYAMEPYESSVISGLPAGQHYIQGIGAGIVPNILDQAQLNGIIRVKSDEALEMARRLATEESILGGITSGANVVAAVELAKKPENAGKLIVTTVNSSGERYLSTILYSGIKETADAMPFQSLEESIQTAQKLVLNH >PPA09998 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:71209:72387:1 gene:PPA09998 transcript:PPA09998 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLILLLALVGVAAVFGCSSQKSGGCGCGGGGGGGGGCGCKYAARARGVLKLDGDAPVDEDFGEIYGLSIWKSSKIPSNITLDEAHDPNFHFKSCCAGRGLSGPCTARCNYDTYNQDLLQKMLIGADECPLDSLPEMHFCAAQGRDHSSCCRVKGVDSTYAGDKCLVFCDQVPDKFTPIDYTYAACFGKFDEMKQCFRSTVSSKAKSYFHN >PPA09981 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:20885:21982:1 gene:PPA09981 transcript:PPA09981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:H3EJQ1] MDGIHANAAGAIGNTPLVMLNRISKDLPAKIAVKVEYMNPTCSVKDRAASAMIDDAEEKGLITPGKTVLVEATSGNMGIALASYAQIKGYKIVLIMPSAASIERRALMLAFGAELILTDPTVKGEAMVERAKLVAESHPDFYWLNQDIRQQGRCRRALSHDRAGDLEADAGKGELYSHYISKVHSLSQSVDIVCLGVGSGGTVTGVGKYLKKQKPEVP >PPA10002 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:86912:88310:1 gene:PPA10002 transcript:PPA10002 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRALQLSIAFGLVATVYSNCCRQPIPPVPCPCKPTCGGPPCINYDCCPGSDRGKGGCGGGCGRKKRSAETPEVRQLREVATIDFGTFIDKVATVPVQDPNCNSERLRAVLEQSITDNTTLSVERILDRINDGHFIAKCTFNGKPDMERRVSYCQVTKGNTTCALSTGQMLQPPPAAIKLNVSEFFRPDMQSIGDEPANGPTPPPLITIALPDIIPTLASSGPVPPAPFIRKIRGEGFVKH >PPA09975 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:39:858:1 gene:PPA09975 transcript:PPA09975 gene_biotype:protein_coding transcript_biotype:protein_coding VRRSDRLINIRHMRCHTSDTSLQDRARRESRSRRSTHLQGNYVEHSRWNATTSQLGHFPGRMRHAREWQV >PPA10001 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:83317:84780:-1 gene:PPA10001 transcript:PPA10001 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETRIKAYRFVAYSAVTFSVVAVLSVCVTLPMVYNYVHHVKRSMHNEIQFCKGSAKDIWSEVHHLKSIPAAAKNRTARQAYGDAGVTGGQQAQAGGCDACCLPGPPGPSGTPGKPGKPGKPGAPGLPGNPGRPPSQPCEPITPPPCKPCPQGPPGPPGPPGPPGDAGAPGSPGNAGQDAAPGEPGPKGPPGPPGNPGAPGAPGEPGAPAVSEPLVPGEPGPAGAPGPVGPPGPPGQPGADGQPGAPGPKGPPGPDGQPGADGNPGAPGPAGPPGSAGERGICPKYCAIDGGVFFEDGTRR >PPA09994 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:54988:57898:-1 gene:PPA09994 transcript:PPA09994 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVAIFSALFSISCAFDARSALRAAVKRQRIVNGILESDWETLMAVEEQEFSAHHLFSVLSTIQPEELAGVSLECKEDLEMIIASMVMPDANSTFYRSALLPMLDSAGKKAPAILKGHFYFSGHFSECNAIDYAVSGRDRPFRGQYFRLDLDVQLRPNSRNDSCQPNIPFLEGAAVYWEVGVCMPASCSSKELMELFRPEFGEAVFDNPVCRFTKPGDLTPDINAGFYVTLSIMGVIVAICIISGLISRGVCLWRAPSTQMSPRYLMLLRRIRPVKSAPFTAFASSRWCG >PPA10015 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:131825:132861:-1 gene:PPA10015 transcript:PPA10015 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTDIVTDKRVICAYTSFYTLTFVMIDYNFLYRMWANTAARFASIYYLYVPTDSGRARLRASALAKYGIDTTIRVMVMGDYYLTLIPFIFLYAPCGVNILLPLARIDAQSIARVTPILISCFLPCDSLATILSMTEYRRELVNMLKFSFRTIYPDDSLETETRNSKTENRSFQWERRSRHLDQ >PPA09988 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig188:34942:36947:-1 gene:PPA09988 transcript:PPA09988 gene_biotype:protein_coding transcript_biotype:protein_coding MESFEDGELSTKLESVSITTSPGLMSSFEELPPELVFAIFDHVPEAIRELRLTSRALQVCVDEFVRQRATTPLVLKISLRDHYDRYDEEEDNSNWFGQRQVVGKCERTIKDNEPGTMRIWIYVPVHAAAFFELRLKCLGVVNRSENMWRRGGLILKAVKHHKLECLSLRATSITISTLVEFLLELSTHLRKLYLGGNYEREVKWVELIIGMLSRKLDTLHVNHWWREILTREDADRLIVQLPFVGKKVWFKVDFALNTVGRSTKLNGHVIHVITFNVILVC >PPA09992 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:48729:50541:-1 gene:PPA09992 transcript:PPA09992 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTTDTVHAVALCSLDVTAIALNALLVYAIATRTPPPMRSYSILLLNNAFVDILSASASVTGIARLVNFNDGHVRQLYVFVGPCSYLGRGFCHLCQTIHLFFVCHSTVVLLHSFCFRLYILRDNIVDVKVPSSKITFIICALLYGPTVLMMHFFYSAFEYTPPELMAEMHFEEYPTTWYPNILDYRFVIALSFVVILSPSAMVIIFFVRRRLIGEIRKMKDDVKEHHSHIAKALTYQMLLPAGVALASAAWLSNVAGIWTDEISERLVMTASKNKLSKNAMLSSLFALGSPLINLTFLPPYRRMFGKQKKVGGAGISFTASTTSQLV >PPA10003 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:93262:94660:-1 gene:PPA10003 transcript:PPA10003 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLTVVALLSATALAAETHQKRQVFVGAAYPSYGLSTYGYGLGLSSYSYGYPAATLAYGYPSYPAYSGIVYGRKKRSTDEASRAKRQVFVGAAYPSYGLSTYGYGLGVSTYGYGYPAATLAYGYPSVGYPTYGYSGLVYGKKAAPNGKEYVQSVGYPLESVHGHFQHRSSPINKPPWTRMSTVAPQLPSTMRIFLLVTLLFAVALADETTRQKRQFIYTTGGFGYPSTSLNYGYTGYSGLSYPSYSFASYPSYGLTYGGYPSTYAYGGFPTYGGLVFGK >PPA09993 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:50932:54518:-1 gene:PPA09993 transcript:PPA09993 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLAGNYVWIVVNPVDVLGLVPDLTTEALTNGFFSVDSFFFISGVLLSFMWLKTYCRQHKETMSPYGWAMFYVHRILRLSPAFYILVIFYSFVLRQLYKDTPLSMNAIVTTDYCSTSWWVELLYLQNWVDLQNPCLGYSWYLATDLQMYIFTPLLLIPLAIKPIIGFIVAVIVLVVSTATNIFLVYHYHWPAAQNGFHPQDPEQTNLENYNMLMYGSPLIRCQIYIMGIIVGWLLHTKKQLRIHPLIYLPCWILGLSIMLTALFGLHDQTNGLELSLFWRAMYSSLSRPAWGVGLATIVIMCHYGYGGPINSFMSCPVWIPLSRLSYCAYLIHVPLITRVLSITVCTFLFSVFWSSCFELTFANIEKLLLGGARRTMAVEKEQTHKDEYLPWDSDGKATLRL >PPA09979 pep:known supercontig:P_pacificus-5.0:Ppa_Contig188:17622:18835:-1 gene:PPA09979 transcript:PPA09979 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGIIWAVAGLFGVAFALNFVTMLISAIVFWPQAFTRGEYEELGLIGSVIFLCCARYYSQHLAATSGIATFVKPSRRTVVLRMLLAAAVCALTNIYCLAAVYCWIVLPGNTTPWYLVYNLWILTMYPMLFLMSVALRGVYYIGEVSRDNNVGHPTGSEADSLTDSSINVEDKKPLISEA >PPA10017 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1888:822:2128:1 gene:PPA10017 transcript:PPA10017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cpg-4 MVDPEIEDHPSSKDQRSNSLREYDAALACVSSRAECRESAGFARLTSGLRYMCSEQRDEGTAVFNTMKVSAIVTELFVMDASFDAIIECADQHSQSVSEECQRSCRAETLLTGFALKDTLLKTLAPEVDAALTPNMMQFAINEGC >PPA10037 pep:known supercontig:P_pacificus-5.0:Ppa_Contig189:87362:95433:-1 gene:PPA10037 transcript:PPA10037 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPLVALLTVCVLVTAAERVIDDTDENSEDIFERPPRLESIDEATVDEFDGKLNKEKIRVGEVGVSITEATADEFGVSPLPGEIQFHRRGHEGRHSGDFGVANDDMEENQGSDGFPRMTAMNNEEPPAGNNETAAPPMLSLPTGDDRPLDGIRTDTRTGAKKVVEPFPTLLAAGSDAEKETIVELTMAPNTSVGSFGSALAEGGHAPVIEYDIEESAGKSDEEMTPVERNLTAAVVFHSKDSSAIPPTTLTTTAPAASEMRMTVTPHASHFPTRDRAGIFPSESTEQLTSHGRPPTENPSPAHATTKTAAESVEATDPPFAMVDPTIFNPDHLFAVPGAPGIEEIPEEAKEEIDDEDFELKSEFQKALSSEAHLDTSTVESIMSSIASTLRPTETEVDKEFRIATSKAIEFSEHRHLDHSPSLLNHALLNDFFIDPILNDDHVHSCFHRPNHISDGGTGAEGDDHAVPARPFQLPPLIVTTSTTPSPTTETTTTPTPSTTTEFISTSNFPEEPITGDLANFSHHHETPASAEEVHFEDRPFETTEQMPFDPTAAHFGDSQERLWESTHGQHEMEETTTTEPLIAHKTPFHIMVKGIDYLPDFADPDSGKFRKLKDQLLPDFRRHFERSLPDFIAVDIISIEKERGVVVHGVVYTRASIVDLYETANKFEAELEANKMRMGGNEVEGGTFTINGINARAPVERVQQQAASADGSIAFIIAGIITIGVIVILVVVFVIILL >PPA10021 pep:known supercontig:P_pacificus-5.0:Ppa_Contig189:9502:14940:1 gene:PPA10021 transcript:PPA10021 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRNFGGNLASIHSLKITSPGFPFDASTPCEFILTVSPGKNIEVEIHVLEANSCCDRVILTDDFVGGNIIANLTGEISDKTYTTSTSNLMRVSWQPNGGVNVRGMMMTFRGTTDAQIAEIAHCACPDGFDLVSNGECRGKLKTMDIFWDTWMATTITECAAIQAQPIIIHNEEQQSYWASVAGQSSTKHNNVWFATRLLSSGHGQTDHDLDKNCCDGCTWVVEPGNFWGYACGHSGTMNRDIFCTKQLQQVDPDANEGCLYFDSDSDDGVCYQVGENADTWQDAQLNCKKLGANLASIHNTQITSPGFPFSASMPCDFYLTVDSGKRVEVEIILLEANSCCDNLFLFDGYLGGSLLANVTGQVSNVTYTTTSSNIMRVVWEPNGGVNVLGLVMTFRVLPEQTKNLYNGQSQISETITVEEVDLEGCGEHDYLTVNLYCTAQLTPPPVPSGDGCEDFEVDNDDGVCYQKDLYRRRHAALNPMRELEW >PPA10018 pep:known supercontig:P_pacificus-5.0:Ppa_Contig189:446:2132:-1 gene:PPA10018 transcript:PPA10018 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLLLASLACVMLPTALASYASFGSDSAVVVSANVWNAPGRSLAVDSLMPGLPFRVYSSQSNDIEFRDYTGNIVIRGSDGAQFTLLTLSKKTRNHVLSDENILYSPITITDLTKPNEGDRLIPFVIYIVNTKFPASPVISVQANQSEIYQFDSSIAKVPNITFQIFQLSAPSCTVLNSAIRMELSELGMTPTGTIEVRSAGYDAIENLYVLLAVKWADYIVILETSASGVQKE >PPA10022 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig189:16635:18113:-1 gene:PPA10022 transcript:PPA10022 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLLLIAIVLQKSCYPSGVGKLVATSVAKGNATRESTGQPEVVNGSDAVSVGPVMMSTMSNPGGSGNGGVVTVGPVMMSTMKQGGRNINGQNDAVTVGPVIISPGFPYRTRGPCDFKFSAALGKRVEAQIVWLDANACCDTLTLLDGSGPKDNVVATLTGRLQNATVQLWKTNVMGVQWRPNAGVNVQGVMVTFREVE >PPA10045 pep:known supercontig:P_pacificus-5.0:Ppa_Contig189:155346:156083:1 gene:PPA10045 transcript:PPA10045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rps-25 description:Small subunit ribosomal protein 25 [Source:UniProtKB/TrEMBL;Acc:A6YNF7] MPPKTAKKADPKGGKNPPKKKEGGGGGKAKKKKWSKGKVRDKLNNAVLFDAATYDKLYKEVITYKLITPSVVSERLKVRASLAKAGLRELQAKGLVKCIVKHSGQLVYTRATKADDVIVE >PPA10036 pep:known supercontig:P_pacificus-5.0:Ppa_Contig189:86611:87129:-1 gene:PPA10036 transcript:PPA10036 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHRRGVGSIKLKEDAEAEAGRRAQMHYGNVNLMSYNSPPPPQPPSTQLLQSLPHVVINTKSGSRPSSTMTSPTTPRANMMH >PPA10030 pep:known supercontig:P_pacificus-5.0:Ppa_Contig189:48843:51448:1 gene:PPA10030 transcript:PPA10030 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLLVVFSILHRTLAVQSCPEGFDLVANGSVAVRYNHRPFRYVTSRDLYWYERAVNTAISTCGAMGDDVDAVTIHNAEQQQYWTERGWLVIALICANGETKWRWTDGTPLDYKPPKGMYHSALDQQCKGGCSWDIKEDGYWNFICNGQETFTTKIYCTMQLEQPKPNPDGCDAFQDDRDDGICFEVAKAPSAFNEAQRICRSFGGFVASIHNDKENSFVRRIAVSKGATSGVYLGASVAANAQSVKWLDGSVWNYGNFYSGFPLPGLGECVAMDTQGTSGQWVNVDCKATQAVACERRQNYSDLSCPTGPFTEGDIIYSPGFPMTSNIPCDYLLSVEAGKRIAVEVLFLEANSCCDRLVLTENYIGGQVLATLTGEVSNQIYTTSSSNFMRVSWQPQGGWNVMGMMVTFRAV >PPA10044 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig189:144327:145785:1 gene:PPA10044 transcript:PPA10044 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALTKLEASIKAKKCLPTDIRYKKTRAMRRAHQARGLHQVGQAARQRARKMITQVLKQSPRLFSMFHRETFCWMGDWFGLMETTTKSELQLIKNSARSQIETT >PPA10046 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig189:159661:164469:-1 gene:PPA10046 transcript:PPA10046 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVLSYLEITMGFRMLCLSFVAEFLKMEILMTEVINLSAQDDTSGRTETKMKWKICKSLKKADRIGDDHLPDDVGISQLRLDRHKTGALNLDPKMLKSSFHRKKFELKKKLIEEGIEKNARAEILNVEAEGFLETEDGECSYNIRQEEIVQNVDVASAAKSFGISLPQFGPYRIDYSTNGRFLAMGGRKGHVAALDWQTKQLLFETNVLEKVNDVQFLHTESMIAVAQKNYSYIYDNTGTELHCLKNLFGVERLAFLPHHFLLVGSTKKSYLHWLDVSVGKIITSTITRSGPLEVMTTNPANAIIHTGHHNVYGEYAYCYF >PPA10024 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig189:21282:22236:1 gene:PPA10024 transcript:PPA10024 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVLHQRLRNLLKLIRNRTDNDKFPSLIHQFLLLLFSSLPEISSQLSITRDAPSPSLLMCIRILHVAQYDPLDALLPTAREECNRDDAHLPIIRSDEENEMINHIANSMDELKERDMNLVLDLNCKNSTSRLEWADGSSLSYLPDSRLERDGQWYHVLTDYNMGWTFTVICIADTINDHTFF >PPA10035 pep:known supercontig:P_pacificus-5.0:Ppa_Contig189:65753:67323:-1 gene:PPA10035 transcript:PPA10035 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAVMVDANARKPDELICKQIGELTPPESQRNSTEDSDPSSIENSEDAHVEVELDEEEMVIVDENRVPPMAGEEEIVVDDGKHCDEPPMTPSSISDIMSLTGHLSPSILATASPSLTVASAVATDKAKLQAKQRAIATAIEKYVEKKGGNLYPTREDKEKMAVDLAVNYNQVQSCMAQWLLCPSPVYVLYPWFDFPPAHPSFAASPWVTVPLQFRDLPPTPP >PPA10028 pep:known supercontig:P_pacificus-5.0:Ppa_Contig189:42520:45336:1 gene:PPA10028 transcript:PPA10028 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKKLVLLLFSILQIIHASCPGGFALVRDGQCRGQYSTITKPWDDILTTSISKCSEIQGQPVIIRNEEEQSYWSAQKSENQYFVLALTCNSKSKQWEWTDGSALGYKPPKGQYHRALDDRCSTGCSWLLTTDGSGYWMQGCGRFSTLTVDVFCTTQLQQPTPADDGCESFEDDKEDGVCYQMIRKTGCAIRSARSRKTGETLRESVGISELISQQFTANSFVRRLAVSRGQVSGLFLGAMSGSDNKFGWIDGSDMDYNNFYPGFPIAGLGTCLAMDTQGTSGQWVNTDCSTKQSVACIRPQNFPTPACTSGPWNEGDIIFSPGFPFDASTPCDFLLMVDEGKRVEILLLEANSCCDNLVLFDNYISGKVVANVTGEINDKTYTTDSSNFVRVSWQPNGGVNVRGMLMTFRGV >PPA10038 pep:known supercontig:P_pacificus-5.0:Ppa_Contig189:103163:107422:1 gene:PPA10038 transcript:PPA10038 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASLPVSNVFNLVKGGESVMRADPPHAGGPTGVARAYGGQLVSQAANSFIILNPHIVPHTFSKSADFLDSSPITSPEYGPHWDFPPVLEVVEKMDGATRFVLENMANLPLEIRPVESPMSLLTDKDRTSFWAKLKPVLGDAKPSDGLVAALFISDFAILLVAGEIYRRAEIMITGASSLHHSVWIHEANLDPLSWYLTVTECDVMSYGRVRLESRILNENRKSDPPHSAAYTADRAFGGLIVSQAVNSFLSIYPARSPHTINYKFIAAVNPSIPLHFKLNPFEDCSVLRVFVYQGDKHVGSCHIQFTNKPDLLDSAQLTCPEYGTPADNSARQQSRITRNVMTFGTYIVKPTDGLVVLHFISDIAILQVAEEIYLKARIKMEMAASLHHSVWIHEENLDPFCWYLTICECEVIFHGRARLETRIFNESRKCVMTVLQEGYIQRQAVDERPKL >PPA10025 pep:known supercontig:P_pacificus-5.0:Ppa_Contig189:22308:23143:-1 gene:PPA10025 transcript:PPA10025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ptps-1 MVFTMERRETFSSAHRLHSPAMSDEENKQIYGKCNNPNGHGHNYVWRVILKGEVDPRTGMLYDLAALKKQMAEVLDIVDHKHLDKDVEYFQTVPSTSENLVRFLYDSIKSRLGRPELLKECVLHETEKNVFTYSE >PPA10039 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig189:107543:109009:-1 gene:PPA10039 transcript:PPA10039 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLTFIVIIIGNQCKTLGATVSGKGSQFGWIDGSDWDYDHFYPGFPITGLGNCVAMNTTGTTGEWVNTDCTSKQAVACERTQHYSPPGCSAGPWNEGDIIYSPGIPTSASTPRDFILSVDTGKLLQVELFILEANTCCDRLVISENYICGTVLANLTGEVSDKTYTTSSSNFMRGSWQPNGGVNVRGFMMTFRGV >PPA10032 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig189:56339:57045:-1 gene:PPA10032 transcript:PPA10032 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSYRDDNCVDGEASETDDEEESGRGAGHNASTSRPNHLDVSGIPIIEKIEDLPPSHPFLTTTWRAMTVAADNQRVKNRRKHLVEARKVEKEVEKRFAALQHSGRAIRPATDALLNIEVMFEKLAQNCDEILKNRRNEIS >PPA10020 pep:known supercontig:P_pacificus-5.0:Ppa_Contig189:5447:7616:1 gene:PPA10020 transcript:PPA10020 gene_biotype:protein_coding transcript_biotype:protein_coding MPSREWFVTIVLSLLLNIVGLMDQNWIMTHHTIQPTSPDFGCYDFEEDNEDGICYQVDANIESFKDAQKLCGQYGANLASIHNLQENSFIRRLAVSAGAVNGVFLGATKSGKGDSFGWTDGTTWDYSNFYPENPKPVCTSGPWAEGQTIFSPGYPYDASVPCDYFLTVADGKRVQVEILLLEANTCCDRLILTDDVLGGKIVANLTGEISDKTYTTSSSNLMRVSWQPNGGVNITFRGVDMKT >PPA10027 pep:known supercontig:P_pacificus-5.0:Ppa_Contig189:30775:35816:-1 gene:PPA10027 transcript:PPA10027 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSMSPINASTSSPPSQQSSLDAPPSTPISQQPIARRTRSKIRKTSAAERKRAARENESQEDRAARLAAQREMTKRRRATMTDEQKEEERMANQERVRRIRENETEEEREKRNLENRERRRQREEEEGIEERAARTEANTTSHRINYSAGCSGHSITGHDPRGGGLRQIRDIDKICDPFTYPIFFPTGKDGWHPDLRKKPSGRKRTRISQKEYYCYMFMARDNIFNPLHAGRALFQQYAVDSWVKIEQNRLNYCRTHQTELRSDSYRAVQFLDANMLLFGHENCALRSFQVDLLSFTRRYTEVLEGGGQTEEELDTGDSWKVHGVR >PPA10043 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig189:141635:143862:-1 gene:PPA10043 transcript:PPA10043 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSCAEIPALPQNQYWAAQIDPPGPNGTTIPIVLGLMCNTNTSKFERLDGSATDFHAENFHFDTGNCNPACSMIIDENAYWFGWCGSDKAEADVYCTYQLPEPAPPPSGCANFDDDTEDGTCYEVINTVEDWQDAQLTCRSIGADLASIHNERENSFIRRLAVSQGAINGVFIGATVTGKGKQFGWIDGSDWDYENFYPGFPVDGIGECLAMDTLSKSGEWMNMDCSTNLAVVCARKEDPKPICPPGPWTEGQVVQISSPGYPYDASVPCDYFLSVDAGKRVQVEIQVLEANSCCDYVLLTDNLLGGNIVVNLTGALSNQTYTTHSSNFMRVSWQPDGGLNVRGMMITFKGV >PPA10033 pep:known supercontig:P_pacificus-5.0:Ppa_Contig189:59114:60436:-1 gene:PPA10033 transcript:PPA10033 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHNVESLLPVEDGAYTQEQDSMAPPPGAAATAALLAAAVSQGNMTQLNPYLLATLAASPQLTMHLLQQVLQQQAYHAQLAAQQQLYASQAAAMASPVHHHQQQLTLNAHGQPTWMSPPISESPMEELSDQSSSSDFSPRPSSPRFKDPHNIADPQMAKLLAATDLSEKESIAIAVLTEMANMGY >PPA10026 pep:known supercontig:P_pacificus-5.0:Ppa_Contig189:24816:28515:1 gene:PPA10026 transcript:PPA10026 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYIALGLLALAVFAYYQWTARFPVGPRPLPFIGNLLQINLKSMYKDLDRLGKRYHGMYTVFTPMPFVQITDFDLLKETFVDQGSAIQLFVSVRDAGNTGEDFAGRPEQEALQELLGFGPNAGVINSTGENWREQRRAAISIMRDFGMGKGLMEAQVRSSIADYIAHIESIENKERTSLRWPIQVMVANVINEIIFAYRYKYESCKPLMDYVHGFEKMIEEMMKHPGFLLAMFFPKLLELPLLGELAAGKVIRAQQKLNAYVIENVDAVLAKYNVEDEPTCFVHAYKQRMEQGGNAFLEYVFEFEIQGSPFLHFTHTLTSLSR >PPA10042 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig189:137205:141174:-1 gene:PPA10042 transcript:PPA10042 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSFSSRDNRAHSFLVAHATCPSGFELPHAGECRGKYVTMNQWWDEAATMADAKCKEIGGKPMIIHSEEENSYWTKWVAGAGGPQMVLPLVCNENTKKWEWTDGSPVDYKPAVYYKELDADCPTGYTWDFHADGSWGVGHAHVEIVPDICCKTQLPPLPVQQDDECDGFDDDSDDAVCYKIAAPAENFKEAQKICRSFGAGLASVHSLQENSFIRRLAVSNGHVKGMFLGATISGKGDKFGWMDGHDLYFSADYEKCFPIKGLAMDTEGTSGYWVNTDCSSSLSVACMRQQNYVAPACTSGIYSEGEIVLLLEANSCCDHLILFDNYVAGNVIANLTGEISVSIYHTSPSNMMRVSWQPNGGVNVRGMMMTFRGLKRTKEMKKQSNEKDFKKCFSNNKNNAIRLEIGTLEKEVVYEQFDELLAARKARFPRFRVDDVFIEYVDFNKVAPERVEELIEGCEMRTVKMQIAREHYNHEVFSFIQRLQKRCRLYLTMHDFILSKEELRALPPGANLRSIGTVSANKTGQVVIFTISDNLFKQFITLIGARMVDGKLVMEDYSEGVRD >PPA10019 pep:known supercontig:P_pacificus-5.0:Ppa_Contig189:3138:5001:1 gene:PPA10019 transcript:PPA10019 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSKIGFLVEGRRSDCLAMDTLSTSGQWMNMNCSASLAAACAGQENPKPVCSPGPWVEGQTIFSPGYPYDASVPCDYFLTSSLLMKGREWRWSLTGEISDKTYTTSTSNLMRVSWQPNGGVNVRGMMMTLF >PPA10023 pep:known supercontig:P_pacificus-5.0:Ppa_Contig189:18987:21091:1 gene:PPA10023 transcript:PPA10023 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAEASKYGFLESYWDEQEKVAMMKCMELGAMPVIIHNDEQQKYWQLHVDRKGDEFVLGIVCNTATMRWEWADGSQIDYRPPQHDPNLERNCITDASWRQQLNEAGHIDDGYTDIFCIKNLMQPIPSAEGCDSFADDSTDGIGGIAMSWPDAQKICRGVGANVASIHTVSSFRGGDFASAFLELDQSVDHENSFVRRLAVSKGAVNGVFLGATTVGKGNDFGWIDGTAWDYENFYPGFPVAGAGDCIAMDTSSTTGQWQNFDCSSTSLPVACIREQKPVVEPMCAGPWNEGDIIASPGFPFTSSTPCDFFLTVKAGNRVEMEIILLEANTCCDSLVIYNGNLSGNVIANLTGEKMNATYTSSSNSMKVSWQPMGGVNVRGVMLTFRGV >PPA10041 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig189:129221:129951:-1 gene:PPA10041 transcript:PPA10041 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDDVFKQFLELIGVTASTVGLRTVMEGGRGLRVDWTIWPIQFYCPMGQVYPRNVFFFKNVSAFVWRRGNEWRLLVRQDNQEGTIPTF >PPA10031 pep:known supercontig:P_pacificus-5.0:Ppa_Contig189:53396:56214:1 gene:PPA10031 transcript:PPA10031 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLLALASVLNFALVDANLCPDGFDLVANGQCRGLYKTLSLYWDERAVNTAIHTCEEIDADPIMIHNEEQQQYWTSLSQKGLELVIAIVCAEGETKWRWTDGTKLDYKPPKGMYHSVCNGSQKFTTKIYCTTQVEQPKPSPDGCDAFQDDKDDGICYEVAKVPTDFQEAQRICRSFGGFVASIHNDKENSFIRRVAVSKGATNGVYIGASVAPNGQSVKWLDGSIWNYGNFYSGFPLPGAGECVAMDTQGTSGQWVNVDCNATQAVACERRQNYTDLSCPTGTFTEGDIIYSPGFPFSSNIPCDYLLSVAAGKRISVEVIYLEANSCCDKLVLTENYIGGQVLATLTGEVSNKVYTTSSSNFMRVSWQPQGGWNVMGMMVTFRAV >PPA10040 pep:known supercontig:P_pacificus-5.0:Ppa_Contig189:109559:111789:1 gene:PPA10040 transcript:PPA10040 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAMHYKVDRLEGCNIATVSGYQGDKLVGKGHIQFTTAPDFLDSSSIICPDYGPPSDYPELHEIAKVLTGPRGIATKVMADLPLDIRFVDSPLFQRSNTDRASYWLKLKDVVDGYLTLAILVMIPAPDSGGAKPIDGRPALLFMSDFSILQVAGDIYEKSKLKFSSISSLHHSVWIHEANLDPLAWYLTVTECTTISHGRPRVESHIFNESRKCVMTVIQEGYLQRALGNDIPAKCRILQHKSITATVRLEKHYL >PPA10034 pep:known supercontig:P_pacificus-5.0:Ppa_Contig189:63831:64646:-1 gene:PPA10034 transcript:PPA10034 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNGEKDRGVNRWFANRRRKVTKHRKILGCASPSQRDRKEENVGTEEKIRDNVDECIEAIIEETRRKRKAEDDIVPPPSPGERKGQLLSASTPGGLSLA >PPA10029 pep:known supercontig:P_pacificus-5.0:Ppa_Contig189:45707:48283:1 gene:PPA10029 transcript:PPA10029 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLLVFLSVLQLDLADVRACPQGFDVVANGQCRGYYKTLNLYWDERAVNTAISSCGEIDALPVTIHNAEQNQYWAARGWLVIGLVCAKGETKWRWTDGTPLDYRPPKYHAALDKQCKGGCSWDILEDGSWDFICNGQQTYKTNIYCTTQLDQPFPSPDGCDAFLDDRDDGICFEVAKTPTNFQEAQKICRSFGGFVASIHNDRENSFIRRVAVSKGATNGVYLGATVAPNAQSVKWLDGSVWNYKNFYSGFPLANAGQCVVMDTQGTSGQWVNTDCNAKQAVACERRQYYNDTTCNAGPFKEGDIIYSPGFPMTSNIPCDFLLSVDAGRRIAVEVLFLEANSCCDKLVLTENYIGGPVLATLTGEVSNKVFTTSSSNFMRVSWQPRGGYNVMGMMITFKAV >PPA10047 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1890:95:1386:-1 gene:PPA10047 transcript:PPA10047 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAFVLCCLALATAYSMPKKEPKMPEIDDSADYGSPVANSWPLGRDPVPAELAQDDAIQKYPSVPYGNTLQQRETAQAKIEVILKAKMAAAAARTTASHTVPLAIVAQSDEPELRPLGFDLVLKAGDMVRRVQRFLNNYESEHQFASEEDWMIAFLDEMQAIDQDDSHILTDRLFTILKEKRTHH >PPA10048 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1891:484:1713:1 gene:PPA10048 transcript:PPA10048 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLLHDIADENECGLNSDELAYRKATFGPNLIDVKLRPIWLLLFKEAISPFYIFQVFSVTVWFIDGYVYYAGVIVAISVISIVIDVCQIRSQ >PPA10050 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1895:143:687:-1 gene:PPA10050 transcript:PPA10050 gene_biotype:protein_coding transcript_biotype:protein_coding DSSYRPQLSSQSSQIEEDEEEIEEEEEKTAADFFLVAKANLEPLFRRCQDCGGMIDPISIEWMQIASALSVKFQCTECKVHFRWDSQSKKGTGKSQELPIAAFVTGTPFPRLLECCEVIGIATPKERTMRNAIRFYGSPAIDRVYEEWETDARVTSKAFAP >PPA10051 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1898:394:925:-1 gene:PPA10051 transcript:PPA10051 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFETQPAQASAAAAAASSSSTNTSSASARRGQKTLGWFLSEELIEKTGNAAASVAASPSPPAQHRTMAGGGLVSAEEKKR >PPA10125 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:513408:514423:-1 gene:PPA10125 transcript:PPA10125 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQHPACTVPWDIGGIRTGNRYECMKGAFLHSVYYFDASGIARQFIADSTDTKKQYTCYEGETIGYTLNGVKTLGSDKKLFCGINATGASEWQWSAKDGTQIEKIPVGAMMSCY >PPA10176 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:962018:971418:1 gene:PPA10176 transcript:PPA10176 gene_biotype:protein_coding transcript_biotype:protein_coding MVYESPFGKHFPPCSVPVHEIVLAKIDEMIKTEPDRPVFISASDPKYVLTYNQLREQVFSVAQFLHQRGFVKQIACAVTSNCLQYLPFFLGVSLQGGALSGASAIFTEYELRRQFEDSGCTVVFTDANNLEKTKTAANGLAAIKTIIVIGDAAPGLFSWNEVVATKADPARALPTIDVDNDIVILPYSRFKRDIQLHILPFYHVYGFMVMVFGVIVKATAVVLSKFEPDLFCRSIQDYKIPQITIVPPILVFLAKDPRCDKYDLTSVKVIGCGAAAVGKSLIDDVRKRYPSLETIKQGYGMTEVSTASHIADAGSLNKFGCSGKLAPGMQMKIIDSSGKELPQGEAGEICVRGPTVMAGYLGKEKETRETLRDGWLHTGDIGYTDAEGDLFIVDRLKELIKVNGLQVPPAELESILLTHPSIADVAVVGIPDEKAGELPKAYVVRRAKSLTEDQVADFVKDKVSSYKHLKGGVEFIDAIPKSEAGKILRRQLRDRQKSKM >PPA10228 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1254760:1255744:1 gene:PPA10228 transcript:PPA10228 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDELAKIFDVGDHEEGATADPLGDQFPCSSSKCADDDDDLMAGDATYPADPVASATGDGAAMKRKPGEATGATGWRNPMRNWLCSNTLE >PPA10104 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:377326:377616:1 gene:PPA10104 transcript:PPA10104 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSTAILVVLAQIATAQLDASACGRTKGCLFAPQGCNTGGSCQLTMSYVVR >PPA10190 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1030819:1031579:-1 gene:PPA10190 transcript:PPA10190 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIVPAETLNLANLPADVIRKIFPMELESFNYLRLIAPRWNSLVLEHIAHSKNLPVIDRLHFFIKASGVRTLAIELPTVYVYSKGLTEHAFTVKCSKIMRQLVTRSKNIIRDLICRCRKPVKKLIVSFKNDTLESDALG >PPA10081 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:180796:184076:-1 gene:PPA10081 transcript:PPA10081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lin-31 MMRWAFPFYRANTQRWQNSLRHNLSFNDCFIKIPRRADRPGKGSYWAVHPNALSMFENGSCLRRRKRFKVNETDPIPSRFSASTRELLKSLPPPAFPGPDFSPFSLLFPSLLSAPFPPAGFALPPPQELSGADLRFVRIPRRQEACVPEAIRRRITGTGRMRHLKKIQHRFKNGFREGTVAVSQKKRTQSAASN >PPA10101 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:359369:360684:-1 gene:PPA10101 transcript:PPA10101 gene_biotype:protein_coding transcript_biotype:protein_coding MLALRGLAPRVSIARTLSSKVPAVSSTTSPDKITHTGQGWDDKDWRLQRFDTAPKIVNPNMVNPNVAATLIAEKPPKDCGHERVVHCDGGHAALGHPRVFINLDKPGLHACGYCGNRFYNSHITKSPEDMAINHLNC >PPA10200 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1097741:1099367:1 gene:PPA10200 transcript:PPA10200 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLYVLLKPSSKKDLDSSFHTLMVNSTIANLLFALDCCFILEPSASGVFFEFYQLMGPFFAKVELIKTTVLVLLQSVFHLVLAVNRFSAISFPLKHQKWWRGGGLFWFCLIVWVAGFIVSIPLMLPGSTAHTLGVNLYGARSVEFTFLGNYYFLYSLR >PPA10131 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:549154:550575:1 gene:PPA10131 transcript:PPA10131 gene_biotype:protein_coding transcript_biotype:protein_coding MHDTSPLRRRRSTGARDDVNQLAVPSTSTPSIPCPDCERFFDSSKAMKVHVFRSHTVTSTSVSCPHCERTFDSSPAMRVHVFRSHKSLSVVKESDGIAPNSEPTPISKRERSISAQNEHVSVIYGNIGNDCKWLYSFANNPKRATLEIKEFFAQLADQTEGKL >PPA10183 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1005772:1009591:1 gene:PPA10183 transcript:PPA10183 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDNSVAIAFVITSEVLMYGTLPLHARLLYVLLRPSSKKDLDKSFHTLMINTTIANLLFSLDVCLILEPSASGIFFDFYNMMGPYFAKVELIKTTVLLFIGDIIHLLLAINRFSAIAIPLRHQKWWQGNTLRWFCVAMWTGAALFCIPLLLPGSTAHTIEVNLYSKPSVEYTFLGNYYTIYSMGCSFGGAIIEIAAILFYIAMLFKFSKFSKYTQSGAAEVRRMTRGVLRTTLAACCISMGKDYDYVLNGKSDFTSQERILPSMTRSL >PPA10060 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:63981:67609:1 gene:PPA10060 transcript:PPA10060 gene_biotype:protein_coding transcript_biotype:protein_coding MSARFLLCLLLSTLALSTVAANEAARQKREIFDLYGALLNSLGKLLDELTGTVGGVMSVPPITKNLVGANGKPVNLELQPALGGLGTGVDGIVGKVLGTVINEPSATGATGAPVVYVTGGAASKLSKIRVVRKNIARSDRDQTDGQRAVLCVALSPSTRLPSRVVRKNIARSDRDQTDGQRAVLCVALSPSTRLPSRLTPSFPAKKCLPTDIRYKKTRAMRRALTKHEASIKSAKQQGNVKLIRYKQTSEGAAATAEAFNDMRNSSLFKNFESKLGIAYTSTSDWKVNNNNNNSCEEGEVEKEGERNALIDDDDDDEVYDLEEMSEMSVEF >PPA10170 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:882762:883223:1 gene:PPA10170 transcript:PPA10170 gene_biotype:protein_coding transcript_biotype:protein_coding MNATLSGLTWYGSTIHPPLVLVLCIAGACGHLFTITTLQTMLNPTNALLISMSWRRETQLVTVLIR >PPA10055 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:12406:13455:1 gene:PPA10055 transcript:PPA10055 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGEDERVGFEIVELAFKISLSIAEIGARITAITDRFVTTANNVRKQLVDWFELPPKLTAHFDKALRSMFKVEQWVEIFISFLCVTVIIVIAKFVLEVIFESLDVCVFCSKRERRLIPIGSANRTASVPLILRTEKKIRFKTKKIENKSESNVVIV >PPA10093 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:292581:301389:1 gene:PPA10093 transcript:PPA10093 gene_biotype:protein_coding transcript_biotype:protein_coding MHIQHTMSSTKPLPMHGDGGSQFEIFTVVLIPAVGILLRIKFLVDFIFIVAPTLLVQTVLADSVGFVHLIMFSVIALLLVFMLVEQAMVVKDGATARHILNKIIEEHHAPTTFLTYFRSAIFILVAIAVLGVDFAIFPRRFAKTETKYISGAASDHIVKEFLIHKEHLLQFNPKGGANGSAVGHSLMDVGVAAFIAANGLVPPLKTSSRKEEGERKRASRRFSLIYSSTLLLVGLGIVRTIVLSILGYPYQVSEYGIHWNFFYTLAVVNLVTYLLPSQFTLITATIFAAVHQTALSNGVQAWVLDETAPRDSFLEANREGICSLAGYIAIYYYSRAMGRFIAKTALAGLRVRSWSAAVLHMLLLCGIFAAAQLAAEAALGPPSRRLVNLPYILAMISMECYLLAGCIGVQTISYIIWAARVPHFGSEENPFATSEPCLMTALNKHPLLFFLVSNVTTGVVNISIRALDLESWSLGPPVALCLLFAHMLAATAVPIFLCAKKKQ >PPA10174 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:942835:947584:1 gene:PPA10174 transcript:PPA10174 gene_biotype:protein_coding transcript_biotype:protein_coding MESCGGGPVQECCSVKPGYRKRSEIRVGMAKKLKEDVDRLDELVKVFCEWKGTAFTKWTDVGERKNKGKESIFDLIEDPASSSNRPLSKEEIIRTYMEELEAELHEEDADAATEKTGIDVQAKDEITLQSVIASMDAAMSRTFPMEDWLKKPEQPKGFSRSAWMEHVKISKKFAECFAHMCEVERTGNLEDLNLAMAFGAVLGVDDWEDKYAKALNIEEQRNEHISKLFAFEILRRLDEHEYFFCTSCASVMFNVPQYIAHFSTGHHCKRARQAINEDGPGQLIAHATKHLLKLSSISAESGNRVPRLGKKCIPSEIFLNKIEKKYAKKINGDVDQTRISDSTYLASILPDIIKNHKGDVGKELFKEIDDYFAKGKKLFCIRCRVMVSTRALFYKHVANPYHLNADYLDDGKQLNLLTMSINIHTREIMI >PPA10217 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1190282:1193740:1 gene:PPA10217 transcript:PPA10217 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNHTIKLYYDIISPYSFIGFEALLRYRVVWPIDVVLKPICLGGLFRDVGNTPPAVYMAKELQMISRHFGIPMNVPKNFEDFVMKANTVDACRLAIAAQKLRPEKAEEVSRQLFDRFWIDNKPVKSEEDLRECLKRAMVDAPGVEELMGLIKKPEIKEALKKNTKEAQDIGAFGLPWIEVNNAGGGRETYFGSDRLPIIGEFIGQTYHGPLRHLSLF >PPA10231 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:1312250:1315678:1 gene:PPA10231 transcript:PPA10231 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVWAVGGLRHRGVDEAFTALNLEIHGNTVGESLDHFMDGALLEGASAAMCEQCGEKRTTLRIGALRSAPTTLCIQLKRFSTFDSNGGKLNIKVEVPRELDLTRFSEAVRTATDEQVDKMFGWFDDSQDEPVVFAAPPDCAVRWRYRLVGVIVHSGQLGNGHYTSYVKERRNIDDSRLADMPMVV >PPA10229 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:1257002:1264075:1 gene:PPA10229 transcript:PPA10229 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKRVRIETPPADDGMEVVDQLVAMGYDRNKVMYLRMTLGLPDLNQFQELLTIAYRCYFCSLEQLEIAKMLDALMQQEENGQSMMLERGQNTSPCPFGESIEPCDDDNLLEHIESIDTEQLLQSSSSQKPSPSFVDLCDEMTLRRYLHGKYPPTEDSREFVVRTAAMMAQRLKQVNYVSRVARLAKDMFIPTCELYSHYLSRLPCEPESGIIDRAGIRRENDAPYGLLIDVAEAFVNNNGLDNLAYRIRDETMDIKDIGHLCSILTNLSWMTFPGRLDELMGEAADRMREMMADYSDKEIRDEVRWGWKKGSNEGDDGGVQGKGD >PPA10057 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:15900:24140:-1 gene:PPA10057 transcript:PPA10057 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGDRKKVFLYSGVRTPIGSFRGSLSTASSVELGATAAREAIKRSGGSDLTVLKHWKHEEVWREAIQRSGLDASAISEVVVGSVLTAGVGQSVGRQVAIAAGVPKTVSAFTINKKAAEPAGKSKFLCGKSLLSVDVCSSSMKALQLAYSSILLGDSAHSLVVGTESMSRVPFYMQRGEIPYGGTAMVDGVVRDGLEDAMLHEPMGVCAEKSAKDYGISRQESDAYAIGSYKKAAEAWSAGKFKDEVIPMVIRGRRGEEVKIEEDEEYKKLIESKLVFTLYYEMTMRRKRARVEEYGQGETGKLLATSAVNVPSLSPVFIKDGTGTITAANASSLNDGAVAAVISASLPLPPLAEIVCFAEVAGEPVDFTVIPVVAVKKLLEKTGLDVGAISLWEVNEAFSVTVLAFIKALGVDATRVNVKGGAVSLGHPLGMSGLRVVVSLAHSLERDQLGVAAICNGGGEAN >PPA10158 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:778157:779066:1 gene:PPA10158 transcript:PPA10158 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLFAPQYERIHALHSQIRQSASDFHSLISSCSEFKDHADHESKDNMLRTAFSGLRTTVQSFDDAMDRLQHKVNRMATETNDQKTSKTKEDGLLEQLVEGEEEDLYYDQVYLKSRFLTSMRDQMTNALDDALCRVTSRIQRESILTSTTHSTHSTVTQSNQFTQSAVIQPDHSIANFISNDLPTILTRLSGPQPPVITLTPFDGESTQWESFYSQFTNIIESKSHISDHEKLVHLRNALTGSALRAVQGIPTEAKNLKPTIDRLKSVFGKSKRSNTILINQLFAIRPKI >PPA10072 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:145588:147348:1 gene:PPA10072 transcript:PPA10072 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDHRPKIKDQRSTSIQEHHPAAVPAGDARAAALRAHDDTGAVQGVVDRELAPKITHQLLGGHRSKINYRCYRCHNAFCRKHCEDCGLEWGTRTVRCDQYGYHLSDVRHTCLLLESKATERMPGGEKGATWESMAFECRKCEGRLLDYSHEANPELVNAKPDPIPKFSNAETTRQHIMY >PPA10091 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:274311:286770:-1 gene:PPA10091 transcript:PPA10091 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLFDAFEGDDNVELEEVENLEEEGQPDKKLSRVRAAANDLLESMARGDESAKRPRLDDDVIEVVDGAGEGEEEEEDECDQQNRVTVHEIQTGENCTHEVALPPGVDFAPLNQLSTTPAKQYPFQLDAFQREAILCIENNQSVLVSAHTSAGKTVVALYAIAQALRDKQRVIYTSPIKALSNQKYRELEEEFGDVGLMTGDVTINADASCLVMTTEILRSMLYRGSQMMREVGWVVFDEIHYMRDKERGVVWEETIILLPSSVHHVFLSATIPNAKQFAEWVCWLHSQPCHVVYTDYRPTPLQHFIFPAGGSGLYEEQPYGIDFGVRVVNQQGVFREDKFAEAMSGLAGTGDAAKGGIMRGRRGGSKGQSEANVVKIIRTVKERDMIPCIIFSFSRKECEAYAMSLKDMDFNNDIEKGLVKEIFKNAVDLLSDEDRKLPQIGQVLPLLQRGIGIHHSGLLPILKETVEILFGEGLLKGPAAHPERDGGDTPWRGIAQGQYGSLFRACYKRPVGGSI >PPA10226 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1240316:1243571:-1 gene:PPA10226 transcript:PPA10226 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKFAVDLYFDVISPYSFILFEAAGNKPPALAVAAKGPYMMKDVQRLARYFDLPLQFREDFITIIQTKSSINADRLICAVQMTQPEKVRSRWRCAHLTKLEGALLIADRVLIQTEAVARAHFRRFWCERKDIFETADFVEVLTSCGVANPATLVASITSEPVKERIRQYTEEAIDGGCFGAPWTVLTLEDGSQEHFFGSDRLHMIGHLMGEKFTGPLF >PPA10083 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:202014:214567:-1 gene:PPA10083 transcript:PPA10083 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVLDASNAASEPIKNEGSDRSRRYSCPLCPYATMTAKTIMKHIQMGHTKLKEFKCPNCSWSTAHEPHLIRHLKLHDNAGAQAPVAAVAPVSVEPEREMPRPQRRPLSSIGNERAVAVVPARSASFHHAPPRRLSAAVAEAPVTADKGRSRRSQFEAEKKEKEEKDSRKRKEPVPVVREAKQEEGNEEQNSEKSLAERVKRRKSGKEMKEEKEEEGKEVKKAEKETAVKVEQKGVETPSPVPRARRSFNVEKAEGREEERGLGERMDGKRRSRKSVEEKREEVVDEEPPQISDVLLEAKEVKIEEEEEEPVVVRKEVKRHGRKSAEKKKKEQKANVKEEEKEEEKRPIRHARLSVEKSAEGEKEGRGEDSSIRSSDARKRSRNSSASANEEKAGETGRKKRGRPSFAEVEERKSREEAEQRRSTATVEVKREAMEQTVDGERSGVKEEEDREDEMLDVSALDAAIAEAEANAARERVRPAMPAIERKREEGLSTRKRVHFAALPEKHHARHMPAKRRKRGEGEREGDAMRSSRAVRDLSSFFSSFDAPSSARSITSPDRSGRRATIIEAMKARASQLEQQDDEMAGEAAASEIKLPFSVIPFESPQRPQPIDEFESSDDEDTVAVPPPPVKPVRKTPAKARKRAGATVSPRSTVSSVEAGRKKVGKGVPAKSARETAARARAAATAARKREQARAARIRRLKREVARRLAKSADRCNVAARAVVEEEDRYWRTTTEVTPLEQTEWSGEQRLFSWPEIHNARRKLAIDVEPVRRRAEGGERRVETLHAISTSTYLARSVELKQRCEIDGIVKIVKSFDRARSPPLDWSYEEKETEDALHPTVPSEFVSGGRLRCLRNSESLRCPPLI >PPA10086 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:232508:233055:1 gene:PPA10086 transcript:PPA10086 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNIKDYTPCGWCKIIVRESALTLTNDGLTNDASFFNMSLPESFGVATYLDFEITRNPTTYQYGLITLAMLIKGAVSAFSAFFSVPFLAVYCIHYF >PPA10140 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:610279:617989:1 gene:PPA10140 transcript:PPA10140 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGKIFEVNVHGTMIALQWCAKLNVKKFVLASSVGVVFTNKELVDSPEDSPKPDRYYSHYSESKADAEEFVLRANGAIVQTCALRFRGIFGPGEPRSTTRAAEAIAQGLYFAKFEKSHNALTQFSGVDNSAKAMALAVKGLFMQPEVVGGQAYTIVDGGDPVSSWGFWKPLIETLGQKPPTLRIPYFIVIWGVYLNELLYFFTKLLVPPLNQLEVNLMAITNTYSIEKARRDLGYDPQNNHDLTEVRRVYDSTTSFRCL >PPA10062 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:80205:81092:-1 gene:PPA10062 transcript:PPA10062 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRFVLFLLISTLAVSTVSADEIFDLFGALLNSIGGLLGGVTDTTGRVLGTLPITKPLVGANGKPVNLDLQPALGGLGTGVDSIVGKVLGTVINAPSVTGATGAPVAATTRASV >PPA10068 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:106647:107215:1 gene:PPA10068 transcript:PPA10068 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDQRSKIGAVAIIDANGKVTMRSTWNDDEEGGDDAAHVVNDPGKGPIYGQYTVKYSFE >PPA10188 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:1020711:1021952:1 gene:PPA10188 transcript:PPA10188 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSSSLDFNISPISNLPSDVIRKIIGIGLESVEAMRLISCRWNTLALEHLTHRERLPVIKSICWHSDKDGFLNPIVQVDNKYRNYFGLQNWRATSTAADNDLEINHVRLANNPAEKVWFGQLLARLVKRSSLEQHAEKVIVRLILTKIEQ >PPA10113 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:448114:458546:1 gene:PPA10113 transcript:PPA10113 gene_biotype:protein_coding transcript_biotype:protein_coding MHEIKKKGFAHNRTPRSQRQSIVSGPPNSATPKASSICLSESSIATGSVAAGRQPGGHKASFSAREIREQHLNIQQKYRQAAPQRQRHFTDPVDFEGILRIIGGCSWWQMWVYMMIALQQIPHAMFNLNVVYMMYQPDHWCQVPGFTNETSAEYKWGLEDALNTTIVFPRVLTNRKDSDTFHDQCYFYDRGTDFYKELRMMSIEEAQVKVNEMDEKHVSQRKCSAWHYKKDVMETTIVTDWDLVCDDNMQRGHAHLFYCFGYLIGCVVGGMSSDRFGRKPTVIGFGILSSAFGFLLPYTQYFPMFLFIRFCSAICNEAADLAAYVLCMEITGIKYRSMVGSLLQAPWAVGYTLLALVAYLCKNWKVIQIVCAIAHFISVLCLCWLPESPRWLLVSDRLPEAEKVIRTACREPPFPFSLIKRQKCSLPSDLELVQHRETSKWANRKASLGTVFKSKVACIFEISLPDRKHSKEMRQRTFIICTIFVATALVYYGVVIALSDQSAPGRVLFSGNFFFNNALAGAIELPTLLGCVYLMKFGRRRSQMFTLHLAAISIICAVVAVIYKRYMIALVCLLLGKIFVQGAFNILYIFCSELYPTVIRNTAVGLNSMIARFGSGVSSYIAILSDPPSEARPCGWHAACGCSEARYARLVTLPIVPMIIFAIFSFCAGIMVRLLPETQDKPLPETFEDAIADLKPEEDEITCCGYSLRRAFGRGPARTSDAAVAEWSALADASEPPDEHDENGNGDELDDDSIGELRDLCSERPSIAESEPIGDRKQSNDSKTVRDPSSSLAQDISDIVIPEKLTEPFDD >PPA10095 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:318226:319395:-1 gene:PPA10095 transcript:PPA10095 gene_biotype:protein_coding transcript_biotype:protein_coding MHKQNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNKNNKYEEATHLLSSLTDRATLQVENILPINYSPDNAGT >PPA10215 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1185519:1186334:1 gene:PPA10215 transcript:PPA10215 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMFLAVFDMHQERSYGVISAENLTDEEKERDENSHALHKHVWWKERIFDEEYCSLHMAEIKNNYCIND >PPA10205 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1117141:1121042:1 gene:PPA10205 transcript:PPA10205 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDDSFSIALIIANEVIMYVTLPLHIRLLYVLMKPSSKKDLDASFHTLMMNTTIANLLFSLDCCIILEPSASGIFFDFYNIVGPIFAKVELIKVTILVLLGSVLHLVLAMNRFSAIAFPIKHQKLWSGRILFWFCVGMWTVGFIVSIPLILPGSTAHTIGVNLYGVRSVEFTFLGNYYLIYSMGSSFATVFVEVLTLLFYIGMLFKFNDFRKISKSGAADVKRMTRGVLRTALAACCISSPGPWFLVIFGMMVYVSYWTTGMPLIMGNYFSAVLRFLNAVNNVLTPWVMLIAFIRRQSAF >PPA10237 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:1400467:1403562:-1 gene:PPA10237 transcript:PPA10237 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWRLESGRFLVLVTFPVAAFWLFNQPNLFKHFMKSYKLPETTAGDEAMAKFKEEITVQKRKEEYERFLKEQMAFEEARKMREKMGLV >PPA10080 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:178561:180234:-1 gene:PPA10080 transcript:PPA10080 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDTPTLPASSSHSSRSCSPSPSPTTDAVNGLQQQQPVTPPTTLQDLLSTYNLMLLPQMLAAASAAAAAAATPLDFSTKTDDLSCSLPLPSSSKDSSEASDAETSSTPPKSVSRASNNPSGFSIEAILGL >PPA10212 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1166194:1166786:-1 gene:PPA10212 transcript:PPA10212 gene_biotype:protein_coding transcript_biotype:protein_coding MRILLALLVIVAAVATAIYVPVGDRRVRAVNFESGIYVPVGDRRVRSVDFNSGIYVPGPGDRQ >PPA10115 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:467482:469473:-1 gene:PPA10115 transcript:PPA10115 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGPEWAERYGVKQIPFGIYSIVFGLITEILYIPCTLGLRKDMKTSCFKIMFWLSILDIIAIMANCVLFGVLLLEVIVNSTHQSMFFSPMIPEHSMEEYVNWPHAVHNIVVACSSCLLYVSLSVVLAVKSRSVLADGTRTRVINNTPVFIQVMLICGANLVGTSIYVYMNFMPAPPAVIIAGQVAWQYIHGLPPFIYLALNKSIRQFALKSIGLGSMYETQRVTNISLTQGTR >PPA10142 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:623230:626902:1 gene:PPA10142 transcript:PPA10142 gene_biotype:protein_coding transcript_biotype:protein_coding MARPECYRCFTLLLRTPNVIQAAHQIEDLCFSTIEEARRTCFDAGRITPSEGDFWFKAEARTITACPVEGKFDVGYTLKGSELKCDIGQGTTVETCERASQANFKFRNCSFPAFEMSLSCLGSWRALTDDDEYVVFENLESQEFRCGLLTRHKNSSVSIGFSIDSSCALLGTGAPPPEVYTFRPVRRWRTPVSCLSRLKMEEATERMAEVLVAMQQMMAAQQAELKALRGTDGDDSTKSRGPSVDSLEKQIRLFNYNADEGWTYEAWWTRHEGLFNSVKVDDKENNLMLLRHVDDSVDRQFRDHIRPKKLEEMSFSEVQGVMTKLFGDKKTVFEKRLEMFNLKMSKVNIDDLREFVTRVNRAPDKIKTMIFLAGVDLPRHTDAMFHIINGMKREENPTLEKLIEIADSFKEAQLDSQTVTGQNRSQAALWEGEGEVPPRSKLFHRL >PPA10160 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:809267:815881:-1 gene:PPA10160 transcript:PPA10160 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLRLLPLLIVLPSLVISAPVKTSSYFNGNVFANVDFFKGVDVERAGKEVNGLSENAFHYVGLILVLIALATTLGTLFVGIVMSNILNGINKFFTRVDQEKVQDNPRLPQTLPRSVSRSGFAPTLNAVTYEHVLNRYGSDWGNVRSQVLVNFFLLVLGSFHKNHVDHVKAISSLHTVTEGRHQQCCCDIPARLQRSHEEQGLVAATSAYGLLPYILAVTVAFSAPLWERPLGRRLMTLILCGLGVLLLMIAISNIVELLPYNLRALAVVLYHLAAAFTKSIALLHFLHGFCFIQLGALSTTLFFIGFALVLRVSKDPLCHLIMRNRADELLERIEADEERRWRTNKDGSFEHHMAIAQKVFTDLTCAEQEELKFSEFLQHIRKSWITIEILIAFLQSISSGVFEFEYANIRKQLFVDPYFVQASTLVGYMVVLAVLYSLRKTHRSRALIFVMCAMICVASLRHLLIRFDLKNKCSDHAFLQRKLNFAAFVLDSCFYGVCSSLQMMITLNFLEAVPSTMRLCSVAWVYLPLKITQEFCKIMLRGDRLQNPFVFLPIYAAHLAIVANFERVPMVSESATDVTSPLLTPTNCP >PPA10132 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:551410:553126:1 gene:PPA10132 transcript:PPA10132 gene_biotype:protein_coding transcript_biotype:protein_coding MALSEKAAIDAKKTVRQKLIEISGQKIKQCFESTKSFAGFRNIFTEEEIESIKESIIDYRRSRFTRANNAWTWKTEEKTTIECDVKAQMSVCIKEQLKKRPRIWNDQIRRFAPHNCEAISQAAYLLDFAVSSDMKSFVYFIDIKEMLKCGRGWTDESNKEDKDARAIVEEKWTQGIGRKGRPNFIKIKTPAHPEYFVAMSILKGGLEQEDMDLWTSMIKKTGSNTLTLDEYIKPKDMDQWVLHRMRRKEMKEHPNLQIWESVLAEVHGGIENG >PPA10210 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1154128:1159550:1 gene:PPA10210 transcript:PPA10210 gene_biotype:protein_coding transcript_biotype:protein_coding MADILPVLLLALSDVMVYGTYPVHIRFFWVLLTKKNNELDESFQILLINLTVFNILFATSHVFILEPAAQGLFPDFYAVTAQYLGRVELIKATVLFIAMCFSLLIGFNRLTAFAFPIKQARIWTPLNTKVVAGIVWAIVIDVAIPIIIGPSTSFRFTTNFLGVRAVEFIFTGWFDKAYPLASLIYTMVFEITKILTYIGILLLKQRYKKIQQQLGSYKTFSGWFLCLFFSAYYIFQWTIGVRIVNFTYYSLILRTLTSFNNMLTPWVMLATLRSIRIIFLGTRRDVEVSIIGPNSASITERARWSDYGLMSVQHAQYYGRCHG >PPA10127 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:531106:535448:-1 gene:PPA10127 transcript:PPA10127 gene_biotype:protein_coding transcript_biotype:protein_coding MQWNSAYFYAYGKYVECNPDAVACRTVSSNGVTQTVGAIQDVSCRDYGAVDDWCSIPNGIGTWMVDGSIRCEKGRYPYSISYFDSADPNTVKTITETTPGMQLRSATCDPDGNWSILGTTITGVQLASFVCTSAPDHTATCEKVGKRMPSHAAFIAMNATYYRVASSDDSDFGVDPYFNPDFKKINSISCVDTGPRHPVCTVPWDIGGIMTGNKYECMKEGQGRVFRLSHFPMISNHERSLQGPGIEPPGIEAYQGFFLHDVYYVDPVSGAQTFTADSADAKSLVCNKDGWQIMGTALTGLRVTGVHCYDANQYSGLTPCAQLNVAFENYKLKKQFTCYPGEKIGYTLNGQRTLGEGRTLTCGTNVSGAREWQWSDFNGGPVDAIPSGATMSCFT >PPA10180 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:990709:994886:1 gene:PPA10180 transcript:PPA10180 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDFDNNAVPCEMMHTLDLSHWRDESNSLEIPVSMESVKKAFEIGQKEVEERRRRETHNIKRNQAAFKDGDPLLSYGKMMRAKREAIELSQISKILLASSQALLNDQQALIGKDGLPLKLDRRTLQTILPQIDVSEFIGNFTALLGDQGSVNKCLPRSLPCDHTSPYRTYSGWCNNLFNPQFGNAFGPLRHILKPSYEDGRMEMVEWE >PPA10106 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:384892:389977:1 gene:PPA10106 transcript:PPA10106 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLQPTANQLPTVRSNEDQLRVAHGICMSLGWMVFLSTGILFARFFRDHLPNTQPGGVKMWFWGHRIFQSIGVALTICGFVCIFVANDWRWSGPRAGATVEENQAWNSIHSILGLLACVIAWAQPLNAVFRCHPGERARFIFDWIHRFFGFGSWLLAAAAIMIACVHFDLMFSSRVSALGLFIAFIAAFGLCLIVLQLLQCVGWIKQRRGATGADMEMVQIGGQTRVVNSNSNGAIRKLQAILFIPYIVVAWGTLLLISRCQIPPLPHYRQLIGLELVF >PPA10238 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1404263:1409738:-1 gene:PPA10238 transcript:PPA10238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpb-4 MSNQPLTEEPVEEDASELKFPKEFESSACDALLTSEVFLLLEHRRAQSEQKEEIEDLSEWEEWVVWDRRGVPAARTLTGTVREQKEKEETPRMSEVDKKDDINNLTEVFVKTLNYARRLSRFKNRETIRAVRAIFAPKSSIHKFEVAQIANLCPETAEEAKALIPSLETKVEDDELEEILKDLHSKKTFQSQFCLQHTSV >PPA10162 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:825082:825688:1 gene:PPA10162 transcript:PPA10162 gene_biotype:protein_coding transcript_biotype:protein_coding MTKYIVDELRALGTQLMGIDNEIQVNRDAIQSLSQSIVAIQRQLSVVVTKLSNPVVPPTPRVAVAPPTPRVAIAPRSYHYSNRNSSIPRVHPSSSIHRSRSPIRRRDSPTTKATFPHKAPIRCTFCDSIVHLSRKLHCGQISHKKTRNHKCFRALNDSHSSKCEPDVCRRGCTDESGRPKRHMDWFCPLNPSLEE >PPA10155 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:750139:751542:-1 gene:PPA10155 transcript:PPA10155 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTLSRMSHPPANAAATATGSGPSINAGMSTGSRPLGTSPRDHHMGTLGRQHSAQSQLQAHQQHLQQQHSQLTQQLQNMQMRHAESPILSSPRGRISPAHRPTIVNGGIGISRHRGFF >PPA10178 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:985922:987347:1 gene:PPA10178 transcript:PPA10178 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYQEARRIVIAQLQHVTYNEWLPVLMSREIWAEYKLKGERSCYTSGYSTSVDPSVLNSYAAVVGQFFFTMFGAKIEHLDAIDRPLGATT >PPA10236 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:1399105:1399886:1 gene:PPA10236 transcript:PPA10236 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHAKEGENLSQELIFANLQRAGLFPASTEILIVVDAADHSGSAGSALNTLSIEKLAIWILSSYL >PPA10135 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:575595:577389:1 gene:PPA10135 transcript:PPA10135 gene_biotype:protein_coding transcript_biotype:protein_coding MHHNYISADVYTVSVVENQHQLPRKQVNKIKVVYIRIRSGALCRLITIFFAFEYGVDVDAVLIVRLLHSRHSSCFFLGFFGSRRRHLRHLAVMHAFFCLFKAMQ >PPA10234 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1383864:1384439:-1 gene:PPA10234 transcript:PPA10234 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKGLDLFRNWLCSRCNECLDEDSKSAEKVKNCSLQKCREGQGRHYII >PPA10097 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:329262:330111:-1 gene:PPA10097 transcript:PPA10097 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLTAALSIPPSYIEALCRSKGCDPTECRVWFANKKYNAQCIVMRQPEPEALDESGIRALCEKANCYPLECKIHYVSCWLAREHAKTRDGPGAPDDDFVASAKCLKRGKRC >PPA10058 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:24665:32131:-1 gene:PPA10058 transcript:PPA10058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-kat-1 MSNCSRLVASQTRAFSASPKAANKAVFIVGASRTPIGSFRSSLATVPAPQLAAEAMKATLQRSGVPAGAVQEVFLGQVIQANVGQAPARQATLAAGCDVSTAVTTINKVCSSGLKAVMLAAQQVQTGHQDVVFGGGMESMSQVPFYMPRGDSTYGGVTVFELFDGIVKDGLTDAYDNVHMGICGEKTASELGISREEQDAYAIQSYQRSAEAWKSGAIGPEIVPVNVKTRKGVTTVDKDEEFTKIDFDKMKKLKTVFKKDGTITAANASTLNDGAAAVLLASEDAIKKLNVKPLARILAFGDAATHPLDFAIAPPLLVPKMLKAAGLTIADIDHFECNEAFSVVAVAFQKKLNIDPAKLNPHGGAVSLGHPIGVSPRSATAVAAHRA >PPA10164 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:829776:830445:-1 gene:PPA10164 transcript:PPA10164 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQQPRFQQRLHYFKLKEIRPPSVECNDDLRICNCDPSGNKDMRITLADGVYFSAQSIEQSSDRRLWTLKGTVRNSEGNGYFEGRKVICPKGYAAVSAPNKAGFSFSKLKAELLPYGWYSWPYGPYQIKAVYCNK >PPA10071 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:142898:144691:-1 gene:PPA10071 transcript:PPA10071 gene_biotype:protein_coding transcript_biotype:protein_coding MLATLVTACALLFLLEAAPISEFADDDDFSFYGKGIDFTDYVDHQPSSANVKESLFEIHPRGEHGPPHIREHREHVAAVEEKRRQLRERHHGADFLFLAPTTNGGIHSPSHILDPSSPIIQQLQDKTWQRANAHNPWFRIAWPQGAHGGKEPTRLGYDYKKGGYSWGGYGTFGAIDIWEEQDDSEDEEKDDEDDPFESHGDQRPKIIDHSPSSIVPPLLPILDHESKVSCSNRIVNL >PPA10221 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:1222093:1223673:1 gene:PPA10221 transcript:PPA10221 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTAQARAAARSARLAAAREYASEVVQNVELQDNLGLEDKILGSLSGIVMEAIDSIPLIQPLATFTGTVELPATTEQERMDSAIEAETASRLHQSLTSFISYSHEHCSRLPLRFASFDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVRVPPKLLSEGKILMLMDNARPHHAKITQKKMDELEMEWLPHPPYSPDLSPCDYHCFRSLSNFCRGKKFKNRDALVKEFEAWINSKPQAFWKRGIETLPDRWRQVVTTKGAYIDY >PPA10117 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:477464:480219:1 gene:PPA10117 transcript:PPA10117 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCGAHFSYLLVLLICASIAAANIPWGYTPPVCKRANEVPRTDKGCWRTCADRNAVPDKNCEFHRYFPPLCQCTKGFYRADNGECVTYDQCASSKSVIGPFTFKPLICTGANEYASNADKSCERTCEGRDGPGICYAKLVFPGNCLCNGGFYRAENGECVTYEQCGQQTPATTVSPPSACPPNEGLSQSHKLCEPTCEHKNGPRTCPLIMIRHGHCLCKKDYYRAYTGECASWGRCVALGYRN >PPA10153 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:734578:736100:-1 gene:PPA10153 transcript:PPA10153 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAIDWALKTRKEKRKKKPDESPLPAVTVEHPPTAAAPTTLSPVVETPVGTKSTSRSNSSGDLGFCRASAVPKAVSTPIFEPPRASPSLQQPAALPSPLLPASTQPIEKPAPAAENKPATAPSKESTLVTARQKASVKLIDKATGKVAPGVSAAELHIDGNYC >PPA10119 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:483616:485723:1 gene:PPA10119 transcript:PPA10119 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGSLTDMLHVPLLITVCFFIEIFGCGLYALFLLLIASSKQSSINPFFKLFISTGVAGILVVTTFWLINFARYIPARTENSAFCYFALISVGASLFWYTIGKFFIMVHRFFVLAKPNRSSSEWSSTTTTIMILCQFVLPTIANLGYLFGPINWTNGIFDGLNNSTGSIVRATTGIFYVMFAIISVPLNIFIYQRLRKLSSVAFYKEQRSLVIYTITSTSTHLLIALHQFVWAYVFFTDQREWLASIRDVALSYVKLIRQQHAQLNQRAIKLLNKTSVCVYPGDNIHRLVVYDVAIFLDPIILLIMSKQATGSSDHIALSEGPPPRAVS >PPA10173 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:939700:941425:1 gene:PPA10173 transcript:PPA10173 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLTEKNHQQHITTTRTSRTDENTKKIQTKRNDNYDLASALCFVNETVTSKKALLSQPPVIDEYHCKILCAEMDTCLSYIHRFDHCALLGIMNSAPQCTLPITEMVKKTKDADYSIPIPAPPIAAEYIRNPASATGTIIAIDTTRAQPCGAAGIAVIDVTLPDNSHALFGNTAKTSLKWDAKLGSWILTEDTDIYQGVPHIFGICLPIITA >PPA10148 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:672657:674352:-1 gene:PPA10148 transcript:PPA10148 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNKSSNKQPVAHHPSITEVQRLIDRQNAINNEKVIEALEKLPKFNLKTQDFGSFVDEFNNAQAVLNAKLEVKTAKRVFKSLIENEERRLYGQIPEELKRNDDWDGYIKALFDVFHTQAEIRIARRVLTRMEQGSEESVRSFRNRIDNAAGFAYPQSKEERITPSMDAFIFGLRRSLRMKILDRVPENLNKAFELAEVFEYNMQYEERFPRRSNLDDDDICLVNSITITDESKRTCYFAVLRKQPEETLPREPHSAVTTNCGGILTSMVDLAKNVTNQFGIVNELELVLARGAGLFIDVTPQFACSNFTGQFICQEHLGELSRRWNSKQWGRHIRIRTGTNGKVQICGVTTHDREIRKGSQDLSKEQAHKFSSSQTSTPPSICPTHAEEINKLPEVDSDEINPIEEPMDEDFDTPLGKLLRPKMEGISGFGHFVFDKNTIGVWKMNGIGDGRLYTDLSGFTRVLKIEEEGGFIASFESSKADEA >PPA10122 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:493284:495648:1 gene:PPA10122 transcript:PPA10122 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPSIYDSVVNVEAPQISLLGLPAEILTKVFENLEKKDRINLAKIDHCFVEIGKKAGYRRYESICYGPKRKTRRPEDPIPFENHDQYCTYYPEDLKNSEIYYLSLAKQFHRAIVKELRIWGKMDEDTSRKLLAVLKTVNFKSLDFTFNNECGGRFICELLKNRQFVDEEVQIKCTNAETYLETIQIQPHCFENGKEALMSLPFANKYTIDNFANRNAVYIDDDILLHLVNNSNSTQFKGSTIVTVHGLTEAFKMVCCSKKAKEVFVPVAKAFASYLTAKLERGMPIDVLNG >PPA10141 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:622597:622965:1 gene:PPA10141 transcript:PPA10141 gene_biotype:protein_coding transcript_biotype:protein_coding MPDEAVCLSGLVHLTRHLDLFHLLLVISATSISEHGECYEQAGDSYVFGLKWVASSSSG >PPA10195 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1053844:1055741:-1 gene:PPA10195 transcript:PPA10195 gene_biotype:protein_coding transcript_biotype:protein_coding MTATVSMADLPPDVILKILEAGQESPDVILKILEAGQESPDVILKILEAGQEAIGSVKLLSHEWLLSSEEHLNDRKKLPALDSFRWHISDKGTTTLSMRYPAKYHHYFGINNWQFGPIPYGVDPKQIVKVDSRPLAAKVVAREVFAPRLNKLFGRCSRVGLLELCFDVFEQEAEVDIVQIALRNVPVRELALMRQRELDDQAMDAINDLVDALCPTVGIVISAMDYEVDGLRSRSFYLFLRKLFKRVSTVKFIFGTDGSRPQPFWQDVINSLMNALKVRVTLELEKFDNGKGRVALKFEERQED >PPA10120 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:487188:488420:-1 gene:PPA10120 transcript:PPA10120 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLVCKALRLGLIDKKGVAGMEQSNYNNHDNVSICAANGPQSTLHYISPSQLDEWSL >PPA10079 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:173455:176911:-1 gene:PPA10079 transcript:PPA10079 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLLILSLSIGVAMATGGGSNGHGNNGNHSPPGPKCDKAKPRVRTVNGLIEGFCITDAPRRINAFFGVPFAEPPKPVAKQNWTGVLQTKNHPKMCDWSPVYDGDFFPSTGPVMRAATQPKPSIFGISFLEGAGTAGALNITAQTVEKIVDYMVPARSFVPANCLATVHDVIAVSTQYALFAYFSPFYQNELLINPLSFQTVGNRSLFQSYLIESYRNQATILEPTAVDKHAAQAALGERNRAAPMDAALRRNFELFGSNQTAYRYVFKHFNPATIGTRYPFISFASHAFDQYYSLGRPGFNFTGDDQVVVDLYTTALYNFAKTGNPNGSGSSALPIEWKPSTPSNPSLNYVIETTPWMDPQFFYGRPHLNNILNKIGGTFRPV >PPA10202 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:1103840:1105145:-1 gene:PPA10202 transcript:PPA10202 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGHLDGLQWDEETATRPWFLVIFGLGTYVSYWTRNAPLIDGNYFSATLSLLSPNKQVHNISMSIVQLNKNTFTIS >PPA10198 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1062421:1063571:-1 gene:PPA10198 transcript:PPA10198 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRNSSLGRGTASALCFVNETVTSKKALLSQPPVIDEYHCKILCAEMDTCLSYIHRFDHCALLGIMNSAPQCTLPITEMVKKTKDADYSIPIPAPPIAAEYIRNPASATGTIIAIDTTRAQPCGAAGIAVIDVTLPDNSHALFGNTAKTSLKWDAKLGSWILTEDTDIYLRAAQCIQQNPSESGTDNDS >PPA10169 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:864687:867913:1 gene:PPA10169 transcript:PPA10169 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFLTQTSVKVRPREFPRDSTVEIPDQNWRVASRGAPQCSLNLVHEVVVLLVIVGRRGSVCADQEESLVGEEEGCQTRGEATKHSSACQVWPEQEGHAMGRSGPARGELQFGLLVPGGERPGVDGADVEMATHRGGDATPAGQRFWERDAGQKIKDDSTQAERHNRMMERQSELKMDKFRFALMKENTILAWIFRHFKIKSSERRFEMRTKMERIMRPQKGKILVTFGPHLKTCRNMMYSDSGSGSEDESAKLKEMKRKEEETKWSQSKSQKMDSDALENLERFRSAGPNKEDLAAKRPVECLEELKLTRLSRFKLARFVHAPFFNKTVIDCYVRIGVVKLLGNPNKDNYKIAQVIDVVETDKVYNVESAKTNKGLTLRIGTEERNRSLPTMGDIHKKMKDIASPVEHNYTNDEVNQLAESLRASPSASWSSANDSDD >PPA10175 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:953026:955394:1 gene:PPA10175 transcript:PPA10175 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPFSTIIVDNGSGMCKTGFSGDAAPRDVFPTIIGRPRYPAALLALGMKDSYVGDEAQTKRGILSLKYPIERGCIVNWDDMQQIWHHVFYNSLRIEPEDHAILLTEQPLNIRSNRERMAEIMLEHFGFHSLHISLSSVLSLYAAGRTTGIVLDSGHGVTHTVPVVEGYAIRHAIRRLDLAGGDLTEHLRRLLMERGYSLNTSAEREIVRAIKEKHCFIADDFDRTHASTSSPFPSPASAYALEEYVHMMPDGQV >PPA10111 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:420321:420940:-1 gene:PPA10111 transcript:PPA10111 gene_biotype:protein_coding transcript_biotype:protein_coding MYAFNMVGHIVTPAALCFMSARIDVDRGIPVKCEEMDLVKYQIHNGRNIRTLYIIWPC >PPA10193 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1046009:1046666:1 gene:PPA10193 transcript:PPA10193 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEREGTPMVRDGEEQEMHVEVEREVFWDWPLQANDGVVMVHNDQDKFEVHLDAQYFTPKEIQVRVIGRLIDIKAEHEKRPGDLGDVSRSFARSYKDSIYWV >PPA10136 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:583809:586338:-1 gene:PPA10136 transcript:PPA10136 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTRPVAQADGRGFSKLEMEESGGESRPPVVSARMESAWSLLTMLFVEHGPNAGVQYFRSGDFKLYYEVLDLHKNPNATKLSLANNFTAIVEKHLHEGVAEGHYLRMDIIVTALNNMHKYDKASWLSRFQYSMADAFESRKPKEEPKKENRVRTKEEILNDEAPLIIGIIVVGLILIFVVKFFWHAKIKAYCKRCMYIEEDPEDGHYEMAMVELGQIQNQDPNQDSNQDPEAPTGSQLDDSGFRSTPKRNSATESESEPAESLDQYQGPLCTVGTSGFQAIPMKVQAVTPSGSGTAPDLEPVNRGRVMSLPSAF >PPA10214 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1174513:1178844:1 gene:PPA10214 transcript:PPA10214 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFDAKWVCSPPSSASPSRSVSPLKLERPRLDCCSELSSHPSSSSSSGAATPAGYRTPKDLIPGRLKFTNDDFEYHIKAADLVEESEIGRGFFGTVFRMVHKESARLMAVKKVRACSMDERDQKRLLIELDTVMNSHTCPHIIRFYGALFAEGDCWICMELMDISLEKLYRKAIDMGTPLNEELVGHITTVCALNFLKDRLKIMHRDVKPSNIVLSSDGAVKLVYFGVSGKLVNSVTTSEGGCSPYMAPERLSDMDRKYDVRSDVWSLGITLVEISTGSFPYSEWESPFHQMAEIIDGPAPLLSRNKLPSDFTDSFIRFVNHSARLSMMR >PPA10085 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:229657:232470:-1 gene:PPA10085 transcript:PPA10085 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLTNKKSNRIKISNVPVSSDDRVIRAIVEDEQRTGKIVLIMKKGTEAKNDVIEGVTYKYARYKGIAFDEASDLNLDIAPDAASFPIPIRDVKGYALEKWCTHHKDDPVEIEVDEDREGPLSQWDEAFFEALKESSTTAYIDTLQAAFSLEIPCFLAHSHKYIRQYNQESVPIKAAVVFLLMMKQTMK >PPA10150 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:693458:694300:1 gene:PPA10150 transcript:PPA10150 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSARPLMDAASRSALTLGDGSHGKGGGSNGDVERTSESTVQEGHKLGWIVTALFIVADMVGGGVVAMPVAFVNSAIDARRH >PPA10064 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:88911:89548:1 gene:PPA10064 transcript:PPA10064 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRFLLCLMLCSLVTMTESAVGEAPTDGPFIARIHVLDDLARQYLNQTFTTVHALANSVAQVPLIGSVAHMLAQVFQNVITRLEDGKEAVEISIGYTLDGIMNNNNNPIARLLGGIVKVVSR >PPA10076 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:155822:157453:-1 gene:PPA10076 transcript:PPA10076 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLHQKLDVIWRWLGLLKTWGSRRRVTRCEDRHAWPGKCGKNFHTQSTLARHTLIFVVDSTDPSMFEQACSELLMVLEDALLNVVPLLVLSTKCDVEGASSLMEVSNALKLSTIEARDHSILSTSALTGEGLNEALEWIEKRLET >PPA10185 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:1013155:1014045:-1 gene:PPA10185 transcript:PPA10185 gene_biotype:protein_coding transcript_biotype:protein_coding MVETETMEGMRLISHRWNDTLPENLKKRQLNSTLPRLISFDWTVFPQRNAELHIQLQQKDVTFFGVDWPFSREKVQRPSRDVVRLFRCARKIEGRVARLLSRCSRIEYLTLKMDIVNGNALKIVRDAMKHLQVDDLTMSGLQRMDKESAVLEGADDDGDTHFSYRRSVGSRRRDV >PPA10233 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1348075:1360936:1 gene:PPA10233 transcript:PPA10233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gcs-1 MGLLTKGTPLSWEETVQYLDYIKYLDYIKKHGIAQFINLYHRLKGREGDQLRWGDEIEYTIVKFDHEGKKVRASLRAEELLDRLIAGEEVNNLVGTANKFLWRPEFAAYMVEGTPGVPYGGLLACFNVVEANMMERRVEVQKLLKKDESILSISFPSIGSRDFTFPAHKTTPHDETSVGRSIFFPDDVIFGGHPRFKNLVKNIRGRRGEKVAINVPIFKDKNTPSPFVEDLSQYGEKADFSAALPDHIYMDHMGFGMGCCCLQVTFQATNVNEARWLYDQLTPITPVLLALSAATPIFRSYLADVDSRWDIISASVDDRTAGERGIAPLTTPGKWNIAKSRYDTTDCYLYPCSAKYNDVPLQMDDGIYNQLREGGIDEHLSKHIAHMFIRDPLQVFKERIEQDDTKSSEHFETIQSSNWMNMRFKPPPPDAPEIGWRVEFRPTEVQLTDFENAAYCCFVVLLTRVIISFRMTYLLPITMVNENMKRAQKRDAVLNQKFHFRKSTELSPACSALQNGLSTCKSSPEGGIGQCDGESNGDIAEMSIKEIICGNGSDFPGLTSLIRQYLDSADVDVDTRCTISQYLSFIEKRASGQISTLAHWVREFVDKHPAYKHDSAVPDETIYDLLKKMNDISNGRDHCPNLLGSYRSRTDNLIPSAVRRAEEALVMMQKKRPH >PPA10074 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:150282:152974:-1 gene:PPA10074 transcript:PPA10074 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIAFRKAEHIHFGIAMCLNVLALTLIFTKSRKEINQYRKLLVIFLISGIFFAVLHLILSPTCIMRENAFITYGSGWITDMRFISVYYGVASMSFLILAMHFIYRAVVLSSKTYAIVEISNRRIVEIIVVLIIELIIWTILCAVFLCNRKEYAPTIARLTADLEHFPETNHDGRLLMFLGTVDGRLNLPPFLAIVSMILLCGVSLTMIIWSSVRIVSVLNDEQHRSSTWRKYNYQLFVALYLQFLGPLILLYLPCITVLLLPFVPNHGIDWPPWLLSLFYSIYPIVDPLVMIIFIRDYRRGLYNLLRKAVFLRSLDDSTTVVTGNSRNEERNSNK >PPA10066 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:95946:100915:-1 gene:PPA10066 transcript:PPA10066 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPLGMDTNEPPECVREPLYLSAIASESSNTIRARSDKEALRPIYLNGVEVGFAPKIKDQANPACSYAQNDVDKRLSVRGVTIALFHFLAAGHQVLALLPHCFKLYREKSTDHEELLALHRMNLVEFTPGYGSDKYVEVNRLAAGAAFESGGCIVARSQMQTVVSERPCLEEIVEKRLLMPSFMGEDIIFPIDGPLGRIGASLAETLICRPTDADYAACARSQLLFADQRAWLQRLSNLLPEKRSDSNGLSPFKISEKEELSVWYSNLRLENPGLIGALVRHSQIWIRRLLASGGEKRYEITARDFGYDSLKVGSGPRTPIPGFLIPGPLCSCLVLARVPLRHVAADAEGGSAGAGGYKRSKIAHTGLCITLAGPIRPPESNPAHGRGFSAMRRRTNNFARDHYNCPERLRDTRDPAAEENDDEDFLPSYAVGDRYLPPESSSTPRDPLDDGQELHIGRPGSLYRIVSADEGPQDNLMSLR >PPA10167 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:842259:849481:-1 gene:PPA10167 transcript:PPA10167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-aqp-10 MSLAQFEPLICAASTYFGVFALAHVAKIGIDRTLKRHTRPHTFALEFITALQMCVCVYENGIIIGNYGLPGFFIAVTLLLIAAGFTNRGHFGNILSHVESLLKGNVAIIDFAVVLAGQFLGSALALKAATGLWYYTAGLSASHSRAVGANLCGFQLLFPLNMVMLLEAGACFVLRILIGAFAAKTTFRRRYIIPVLVAAHLAAAIKYIGVAGLNPMTAYARLAMCPGMNDAHFFFTYWVGAAVGWLMGAHVQQGVEGWLQGRSKARRAAAEEKQREAKREAKKNEKKRNFTVAIFHAWARL >PPA10220 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1215843:1220054:-1 gene:PPA10220 transcript:PPA10220 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRDVTAGRLETARHCLETLCNDCYRKGGEGGLDNDDIMLINLSDIVFEALNCVAGNTPFSLDRLYEICDTSLQETPMDKFAYAFSILLQLLFRGREEESPPENQLSGSAEDGNGPMPATLFADANVKEEPIDDVVDPAGVDVKEELEDDYEDMEQDLAGPSQRAEYLYGYTAALSYDDDDADGNGRRQPTKKQIWTKPAGSSRSDPPVKCNHCVEYAVPGHEMVSHMAECHPEVLPKLCKKYGELSRQKQPSAKQMKMSAELQEQYSNYSNRYLPKFTKMKTKDGKKIVKKAPPQTTTGTSQERGRRERKDASGEESPHSSYSLTSQSDERAREMERRIIHPATTPTQHKKTSRPCSHCRCHIADSTRRDEHTRKEHPMEWLRVPKCSEPACDYRSLEPILREKHEEAFYKQHAVMSSFLFYPGTKCPHCPASLLTLADYNSHMEQKHPLQHISSLNILRCAACAGKFSRITALANHWVISSCPPVIEFDEFAIER >PPA10144 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:646988:650214:1 gene:PPA10144 transcript:PPA10144 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHVVWTSTITCNGAAAGVSKRRPRGIAREEKKAPKREAAMDAELKEIEGLLENVEAPISSRGRMAKVKKYAKLIAPHVALVLVVCLYACVGAYIFYKLESPHEDASKKTGTADIKQRRQELINSIRDRPFDDHFAWRRELERQLNEYNQRIYTAYKSKYVRYNDVKNATRDSSSSHEDSSSNPRKKRRTYGNLRIYTCDTRKGHEAVDRIVCAVLRSDYHGDYW >PPA10056 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:14166:14680:-1 gene:PPA10056 transcript:PPA10056 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKRTQIKLPTLAPTTKTTTPDPTTTTPIPTTTTTTTPATTTTTVPTTTTTTTAPAPAFINATAAADPASGENGSPAALLPSLALAAVAGMIAARV >PPA10087 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:233250:236967:1 gene:PPA10087 transcript:PPA10087 gene_biotype:protein_coding transcript_biotype:protein_coding MHVKRLPTTIQQSGATGKNLHYGLNDLGRSHGVESDQADLTPPNCTRANEELAMVHPDCGRKCGKTGYTPLWSHVEAKADRFIRLDDAWSEGEA >PPA10189 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:1023203:1024653:-1 gene:PPA10189 transcript:PPA10189 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSARNETDNDRVNFEMLGKFKPGVFLTLTNFVRRLAGRYPEPTENLSNLLAKLAGRCSRIVEMRATMDIIEHKDEFNAIKRALDGATVIELRLERLVNFNQKSAYVVCTVDQQFYMHRKVRVSSDFDHLTLLDFRIIYIIKLADQDDFDRGNQLSSLGEELDST >PPA10218 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1198724:1199100:1 gene:PPA10218 transcript:PPA10218 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQESELAALEGPSKGAGPTQTPPTQTPPTPAASTIDWLVANRVIAAKLALASVLLFFCVEWQAGFVAPAFEKVSKVFK >PPA10201 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1099473:1100369:1 gene:PPA10201 transcript:PPA10201 gene_biotype:protein_coding transcript_biotype:protein_coding MPCAFGMMALEIISLLFYVGMLFKFNDFRKYTKSGASDVKRMTRGVLKTTLAACCISVGPWFLVIFGLGTYVSYWTRNAPLIDGNYFSATLSSSKND >PPA10216 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:1186720:1187283:1 gene:PPA10216 transcript:PPA10216 gene_biotype:protein_coding transcript_biotype:protein_coding MCDEARLIFFQMIKEIGEELGRTAMRSCRPELQCACISINGMGTISDENRLDVLPGNLFKTGACYCNVATR >PPA10114 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:463440:467340:1 gene:PPA10114 transcript:PPA10114 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPIEKISISDVDSSVDQKTFLSLPDDILTKIAEKELAMADRANLSSINERLEAIERKAGYRQIDRVDFSNGKTGCRFDAENERRLRAVLKTATFKSLQFEVTDDNSCRFLKDLLENCTRLEELEISFDGAENLFTPIGDAILLHLVANSSRVEITCSNCAITRKGALDAFATAFLSLPDDVLTRIIEKELSAADRKNLGCL >PPA10177 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:977045:980545:1 gene:PPA10177 transcript:PPA10177 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFPAPLLFLPFLLLQNAPTDAATCNPLIVRCRTNEALSTQFVIDALPPHLDPPNSAKERAVMESLLQIDLFFTRTQAELLRKSNVVDPLRVPYRLYSTQNGWDDEARMRFFVALVAEEATEKLPRNTTRDTRGTVLEKICPLHENEECASTK >PPA10073 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:147927:149466:-1 gene:PPA10073 transcript:PPA10073 gene_biotype:protein_coding transcript_biotype:protein_coding MCLILLALYLILTKSRTAIGQYRKLLAIFLVSGMFFAILHIVLEPTAIMRGNLFLTYGTGLITDMRFIAVYYGVVSLSFIILASQFLYRAVVLSSKTNSLVEISTKNILQLLAILIVELIIWILLCMLYCYREEYAPTIELLTKDLDDFPRSDLDGRLMIDNKLNIFIIISALRKTQNKSSSWRKHNIQLFIALYLQFLGPLILLYIPCISYLLLPFVPVDPLVMIIFIRDYRRGLYNLLRKAIFLRPLDDSTVVLSGGNNSRHDH >PPA10133 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:561717:563408:1 gene:PPA10133 transcript:PPA10133 gene_biotype:protein_coding transcript_biotype:protein_coding MCVASGMDEILWEANMNEVDVQIERGLTVYGPPQIKGKVHSRERVHWRRRKGTNDELEVDMIEMADDSRADLDHDYHMDREENGQHEMSGMNQSNHDVDKRNGNIESIETKPERNDFIELASIVHDAGFDADAMEFYKPPSSKPPLNLDDISTL >PPA10092 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:288130:292301:-1 gene:PPA10092 transcript:PPA10092 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMVGSFLSFFRDYQQRFDLADFEREVSRDFDSQEITKVTEEAEKRAKELVTSKEELLKVIGYIDLTTLAGDDTKDRVTALLDRAVAPYAKDAAVKCGAVCVYPARVADVKAHMKKKGYKLNIASVAAGFPSGQYRLESRLLEIELAVADGATEIDIVINRAAALDDDWTTVYNEVRAMKSACGSAHLKTILATGELKSLNNVYKASWASILAGSDFIKTSTGKETVNATIEVSFVMLSAIKRWHQLTGKKVGFKPAGGIKTATEALTYVALVEKTRTDKKPSEDSFMVVKVVLQRELSY >PPA10168 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:860764:861807:1 gene:PPA10168 transcript:PPA10168 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGIDRGLWKFYTEDSTGLKIGPVPAPVMSLLLLKGKARDKIRWYEGETKKMGLVLGIVISTLHR >PPA10184 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:1011805:1012195:-1 gene:PPA10184 transcript:PPA10184 gene_biotype:protein_coding transcript_biotype:protein_coding MILEIVRNNKNTLKWLTLEAEGCSLIEENFYIVKPILAEASTLIPRVSFVVRDPNPRYDLADWTRILGNLEMRNSEMEFFDGHTFFDGYNCAI >PPA10230 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1279340:1296098:1 gene:PPA10230 transcript:PPA10230 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWHQWRSLLSLHAALHAGAAAREHDEAGDKQFEGKEFAELQQRVTETAPNVCLRLITFSHLMMEWHNTTLIEDPIPGCELLTLPDAVIGDMFCVIVDAAKGGYHKRLITASPFWGMAPYANNVSTVIRSMLRAVERKQPVFRAFLTKLCTMPPDFVSVTYVETVRAVVDTCCKSPHFDRTTTWLEEILRFMWRLAVCRTSDVRDRAVEVLKMILCERYRTFKLVTVFAVARFNEALDNLNRFSGRAPIPHWVVKDDDDEEDGEVELILEDKDGKDDDKEDEDEIDVDKENEEERDEKMEEEKAVDLSLAPREKRARSSDSISEDVVRAMLGEMRMQAEVKSREEAEFQLSRTISFVRRIVAYGYLEGDCDFSLVVRERAIPGEVCDLTMRVFGPVSANTIQISFPTNEPLCAFADRVKKLLPIAPPVFGMHWPAGFKVRHYTNAIHWEKHWCSVGDQLGLNSRGGPEDCQVVMHASPFNCDFETEGSIRREQGLEPHRPTILEEPLGHVITRTNLVPLLHQLVAGVTSTSVQDTILHLTQQLPLAEVRSDAEIAEICCGALQLRKPHQALYHLISLLGLLLPPNMTVDNGRRARRVFEYVRKTGFVAKFMAMMREIDYISECLSNENLTLFHEVCLTLIRLFYLRQTAKHRAYVRNFKRNEKRKEGARAQAEVEPIDTQCIGESLSEDEVPQLLRTMFQFIWAAVAPRRIDVERELSIIVPSATVRIGLAKEKRVDNSLTYRRSMLARQAIIVMLDIAQTHGDKDKNWNALFNPDSIDGITVAFWRDMLMNLGAIEVYVSETLVRLAKTAKLMQQLSSM >PPA10128 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:536792:537864:-1 gene:PPA10128 transcript:PPA10128 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSVILYLALAIALAKGDCPLCLFNSAAGTYKSVCQTTHTVTCNSGQIGDGSTKKADRASCVNGNWFGISCSGDAFDYGFAPNLDCGKLPDPEFPASCACPYVDAGKAMNHVSSRAQNTGLYF >PPA10157 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:773627:775635:-1 gene:PPA10157 transcript:PPA10157 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLRNDLTEQMKLISESSSEQQAIIESIKHCAVYSGERDNNRFIIHYGVDILDGVIEEIPFKFESRRQCRINNFLDFIHPPFLPTMWVKNNYDVEYCQYYGYDMDKYQQILSEDPDKIITTRPHQSVKN >PPA10166 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:839563:842083:1 gene:PPA10166 transcript:PPA10166 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPNALSDEDIREEVDTFMFEGHDTTSSSMGFTTWFLGQYPADQAKVQAELDEIFGDSDRQPDEADVKRMVYLERCIKETLRLAPSVPFVGRELQHDVKIAGIDLPENLTLVLSPFGPHRAPEHWEHPNEFYPDYFANHHWDHPNEFYPDHFTTEAMSARHPYAYFPFSAGPRNCIGQKFAMAEEKIVLSWLFRKFRVETVEPYPGNDTVPEIVLKPVYGFKVRLFRRHHE >PPA10194 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:1048630:1049330:-1 gene:PPA10194 transcript:PPA10194 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTNNFPMSSPDDLTLGHLPADIIRMIIPMQQVSVHTVRRISPHWDSVALAWHDDPTIWEAKWQIDKNGDKNLQILMNPKDYCCFGVEQCWIKSAEYSSGYFNI >PPA10159 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:804515:808693:-1 gene:PPA10159 transcript:PPA10159 gene_biotype:protein_coding transcript_biotype:protein_coding MLDISKLVDRVSELAKRLTGDKEHRLVWNDDEDDIFVTLDTAKRMKAATDFAMWQRIIEKVPTRVADRSMLDISKLVDRVSELAKRLTGDKEHRLVWNDDEDDIFVTLDTAKRMKAAIDFAMWQSPEKPIVRILAKPVVPSPPSSSSSSFSSPSWSSSSPSEQSVSAMEDEAAAAAADAAEDDDTVIASVVAFCVAATAAVAAAVSALLLQMPAEKRTPGRPKKRPSDASVIQEKRKPGRPRKSTIFDSPVPNAPTEVKPPKTITDYFGSKSIEESGEAPQEKKRSGRSRKSIIVQSPGENDHTDKAISEADDVTMLQKKSKTGNKRRGRPPRKSIVVNSPVSNSPIVPPVVYAADNNAATDAAPAIADQLAAQFEEWRGMRAAATATAAPAAASFNPDAAAATEDNSYAARAMKLLSDAVRRHENRRKELELIHGNINSKLAH >PPA10146 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:654824:669457:1 gene:PPA10146 transcript:PPA10146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-twk-2 MLVYLGVGLAVTTMCIDLVGIQYIQKIHYFGRKYIQKIHYFGRKFRGTDLLHLLKRKRMIERRLAMGQGEEILQMYIQHIQQQMPETVVEDVKYEESGKQSRCSNANWLVDDELIRQIFKIDKADIQRVATPSIRYLRMQSRGGSFAESGPPLSEHCSLSTEPSVHLREAYYDQWRSPDPSIQSFLFEWPPDYAAIAAAAKASRRLHSCPATIFCPSLPPSVRASPRSPPLTASSRLSASHRHLPSVSPRSPPPEPLSLPPATSFTISSPFMLSKLAAQCDLIEAAEDAPRAFAASPPPMRLAAAREPRVHRAPREERRAAAVEQPAVHEPRVPRLRLSPLIKRRALRAIAAESRAPLMKRKRAKRDARAADPLAEVAWLNVSPRAQILNEVRKDLPRLFQHVRAKKRRKEDGTESVVLPVGLACMEDWDSYIRMLDEYSKPYVRRMATPPDVIVSPEEEIISEEEFVEPEPEPEPEPAPIREPTPEPEPEPEPDHEPEPEPEPEIEPEPETIIEMESDPEPEPEPEPEPYEPEPEPEPEPEPEEQEFEVPVFADDDEEPAPLSPLVESSSEDSDNGNGFDIDLGAFELVDSGLSAETLLSTEDPVIFHAELPVHSVHHDTVQTEAALENLMAAPQSMLSDSVPPAMVDDNYCFVVDGDKIRMGDIMGDDQWWRHTSRPTKYFYSEDLRKFHRVNCITAKGKVISAKLASPSFPPISPSAHASSSTGELSKSPPRSISTVEPPRPITRPVWRRAAASVSSTPRSSVSGASSTRASVTSGGEQRLKINDQRPLFLQVIRFYSFWKTCTSFHRIVTMIDKVTDEPFRSGGSAGSSASTSSTAPVAPEFKKRLFVQYLWRNAKAIEKARVQKEFDPRRQRLLRFVNDPKSKRKAFTQQPSTSRPGRRCHFALWRWLLGTAASDNLALPVFSVDRPPMDPTSTLTNTLQCPVKFISKVSSEEILSDTRWWKQTSASTRFYQSDTLRTFHPVTMLHCRGEMRTAYRARKRGGGLQSVPYWQTCHAFHRIVSVVAPVTAEGQAACGFKQRIFVQYFWRHQKV >PPA10171 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:889304:892216:1 gene:PPA10171 transcript:PPA10171 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMHISVTLAVLLHMAGVFHVVALSIVRYYSLKKLAAANSSIPWFTYPICKRIIIMIYISVFIIAVPLFSASEIGMREEKEDCVDRYRNLANVPAYELQMADNESLVTFNFWLVNLCDKLIPSCKSFFLCVMTWLILQKLNEVKRMSERFTNSQRDKQHHRTTMMILTIMFVFIIVEMPQGLLALNPYTSDLSRAMGDLNEMITLLTSCIIFALFCSTSGKVRQALFDTPCIRFMRKADANISARLCRRSSKTKDRTLLGEKMLEVVTSDMDRSQRFDSV >PPA10124 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:499640:502763:1 gene:PPA10124 transcript:PPA10124 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYIRNYQSHDYSTPTVALHIQGKRCDDDYKEHKLPNPRYFYITERLPITLEDIRYASRNRFLDPQLSIYLTIGMLKGVRLLHEMGWMMREVAPSRFSIRLPPSMMLFRYVSEAITNLSWASRYRGDKKTRLSENWCYNQRYGSPDVVEGISQGPKDDVYSVFFILLEFLLGFIPWEDSPKAGATQAKRVAMVTKTITDKGGITSLPFQTIYNDWCDLFSIVAESDTDLGLLPYDKLYEAMTRQTNSTKADISIIGFLYNLRRQYYEASSEDKDKPKKTSSKRADRGKTKE >PPA10100 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:356687:358804:1 gene:PPA10100 transcript:PPA10100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpt-4 MKGNIENAVLLNEQHADWKVLKIHANKITKYGEIDFEAVVKLSDGFSCADLRNVCTEAGLFAIRAEREYVIEEDFMKAVRKVGDAKRLETKLDYKPSEWN >PPA10089 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:261167:269883:-1 gene:PPA10089 transcript:PPA10089 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVRKGASRTLFATETFSMGLNMPARTVLFTSARKFDGKDNRWITSGEYIQMAGRAGRRGKDDRGLVILMVDQQISSDEAKQIVKGATDPLNSQFRLTYNMVLNLMRVEDITPEFMLERSFHQFQNYAAIPGIKEKIRAKEAELDAMKIEGETELAGFFDMERQMEMLKASIRKTVLSIKYVVPFLHPGRLFKIKTGSRDFGWGVLIKHHRKVNPEDAHEMVYVLDMLIALDPSSAADVSNPGSLKPPQQGGKDLSFNAGSLKPPQPGGKAVWELVPMTIECVDDISAVRLKLPTELTSREARDQVARMVKEVFARHPVLPGLDPVKDQKITDAAFKAQVDKLADIEKRHASHPLRQRDDFEKIAKEFRDKEASARELKELRDELKRVKSLLHLNELSNRKRVLRRLGYAGDGDAIQQKGRVACEVSAADELLLTEMIFGNVFEELDVPQVAALLSCFVFQENASPAKMAEELAGCLRQIQVYARRIAKISHECRLDIIEDE >PPA10213 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1168269:1171687:-1 gene:PPA10213 transcript:PPA10213 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAADNKLIEKAVEWAKQRPTSNTIDIHQTMLRVAVDALRALEEKKPLKLDQLDNLKDEIQHCIEEDRNSSTTKFARVFSLLMKKLQGSVISVVPQPAMIPNDSSSTGAINAVNIKQEAADMVAQQPPAVQVHIAADELDIKPVVARVMTIPPAIPRKPQSTAPTDQASTDNNNNNNADKPSPQPAESSSQQQAQNTAAASTTGDNAKKSPKAISSNAKMNAIFALTRATSPLICHPLTQVALATVPEHQQLNVVQQLQQQQQRNLQQVQQQQPMPKQQEPAQVPKKVSGEQQLQQPQQPTDVLQAPAQQPAKRKRTADSAETPGKSAAQAPPEPRPKRASAQRACKMMEDLGAEDAQELKNEPKSVKRITAEDAQERQGRSSRRSTAAKRTDSVAMISPLVASQMNKCAVSYCDFSATDAEKLYNHLKEVHKGRAVIRGFEKGTKCPYCPIPLDDLSHYVAHRAKDHAEMEDPMILSCANCPKKTAQVHTMLFHWNWKNCERTLKFDYSLAKFALAKKRTVEEKEAKSRQ >PPA10063 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:85190:86979:-1 gene:PPA10063 transcript:PPA10063 gene_biotype:protein_coding transcript_biotype:protein_coding MYDCSSIPTWFESLPSTSKAQLGDEWAVNEAVDFAPAFELESDLPEVKLFGKWNLQEVNVADISLVDYITVKEKYAKYLPHSAGRYQAMWLLGTGAHEAAFRNIKTIAECLADELINAAKESPNNYTIKKKDEPECVAQFNV >PPA10208 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1147591:1149041:1 gene:PPA10208 transcript:PPA10208 gene_biotype:protein_coding transcript_biotype:protein_coding MRSELSSNFKTISKGIYRMTAAAAVSSLGSWMIFLFFTAYYVYNWATGGKLVDFPNYAVILRLTTAFNNVLPTWVMLICFKSISHLFFGKRIVKKLQMFSTRGSSSILTAIPQTRVTMP >PPA10161 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:820088:821394:1 gene:PPA10161 transcript:PPA10161 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSPSASPTEKKCLARRASWDWPLQTSLDGEAEVHSDDEKFEVHLDASHFCPSEIKVKVIGRLVDIHAEHKALAGPIGDVERSYNRTYKLPDDVNEASVKSWLSPRGYLVITAHKKTHVSKPPRLIL >PPA10204 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1111451:1112410:1 gene:PPA10204 transcript:PPA10204 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFATVFVEVLTLLFYIGMLFKFNDFRKMSKSGAADVKRMTRGVLRTTLAACCISVPGFSSFSLCWYIYISYWTTGLPLIMGNYFEAVLRFLNAVNNVLTPWVMLIAFSNRPQAPPTWEG >PPA10103 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:366435:367279:1 gene:PPA10103 transcript:PPA10103 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDRDDQRAAKEFVRKQGIRNVKFLSAVVISRAEQLVVVDALKEQEQVRLGDIDLVGYRFIDGLVIEKDAD >PPA10152 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:710953:713056:-1 gene:PPA10152 transcript:PPA10152 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASAAAPPPMSYAQQLQQMQQQQQTAATLLQLQPYIAMLLAAANKDREQLQTRLEEMPSPPEGDIIKPIPVMPSFGFAAAHQVAAAAPLVTSPTAAATFPTTMMDTSAPPSSPSKGNNTASRNKLGRSYNPGRPLAMEDRRRILDLYEQGHKISHIARQIGVTHSCVSKIMSRFRRTGSMQPRSFAAAQQPHHGATAAPAAGGALGAPLGAPGAASSIATLLALQSAVYPMELARMRDDDSNSDSEHISVTDDGETTSKCDSPMSRLSISPSSAFSPPVRKNSPTYSIER >PPA10054 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:11168:12063:1 gene:PPA10054 transcript:PPA10054 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLAHLVLGAVLDDEKRAQAMKDIATNSAYAINELSHRLNHNTNRAVDFAMAFLNDLFGLTKRSVKALEVHHV >PPA10069 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:134708:135922:-1 gene:PPA10069 transcript:PPA10069 gene_biotype:protein_coding transcript_biotype:protein_coding MPDEEDVSPLVPENVVEEGYCDGRLSRATAHLFCSFTLVLDCVASVVLTVINSDTAEMVVIGPIWAVFSLAAAACALWAHRRPGTQWMYCTMLYTISLQCFALYYILPPLLGCFDLTSDYAVFLRHRLEHLFVPVDGIVVRLASLGVTALTLLLNVFNVLRIAIFYRQFPIADC >PPA10059 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:45110:60607:1 gene:PPA10059 transcript:PPA10059 gene_biotype:protein_coding transcript_biotype:protein_coding MSADAFLSVFAECSPVVSSDDEEEVVPVKKENGLEKFAREQGMPKFNPSEMQRRNLNILNSEFSSVERSVSELPTLAPDVRSVDEALNERMSGLDNGYCDIDKKGEMDARRVQSDDVNKKGDFLRVVLKEIEDEFTQRGITKGQKIEKFNDIYLEIAIKQMRPALNDGEDLPLKKFEVDLYVMTGMMYGLFRGDEDYRYGSLLGRVSKQYAKRGFHTDAEICLLNEQTQKTNDQQALKRKLADEDEQERKEREREEELRMEQELEEQNDRELCEMLDAIQFDTDEYVRQMRFGVPEEEARRICKAEATKRRIEMEEARFRLPESSPPRSVADPSEWRDMELVEDDLAKYAAPDDCEPVDMDISFDERDDMASDQQEVYEPPEPTDEEIAARERAINSMRNHARAQEQPDTAREIERYQRMRAERRAPSPVFGQQPDESTARGWTTESREEEQERAHYPISQSAHPAAHRREPRREEDQEPAHYPISQPVQQPREESQLHHAHYRRPQYGHAPEVRSAYFKLAGDGDDEHQPWVYRGDEQPQPWVYRGDEPVDYQPQQVQQRHADDFQRRLQLVQQQQQLECAQLQDARIRDSHLQLRDPRDPQQPHRNELSAREKRERLRYLAEQQKRAVYEAQQQATAAQRAMDKEEEDVEVVGVRRADRFAHLQPYKERLTLAQMKQMTRSRAEMERRKWEAPTQFAKITSCGQTDLCDDFLVHLDDNFEGAVREQDRPKVKFDLGVRKPKDKKSILRVKVLGNARPPVLLTDLHADKMPFPPTAEGAAMRKQLLRVQRANAAKPPKTVMFADERDPRNEIRVYMMQASQVPQEHEFDPTDDGYTRFHVTKQLCWQQDVLLLQSKKQNQGDNRYTPACSTLAYRKLADSCSTRGWFKHERRRNNHFLWDPLAVRVTPAEDPIFSRMNAKMLPGQERDPNYIELKVSHRDYKIIRAIKPMEDSIIDSQWLPQVRLFTTHAFECELNRKIGTYSPANSGLSYLVLADSLLDRVNTYRFPQTHFVIHQKPLNLSTSFRVLCDDIPFEKLLASFNIEYFVFAYGFDEILFGGQDPCEVANRVMDHCISIVNLVHEARAVLRHSHRYTIVPKYRIMNLPMHLMDDRLTQKLKTFNDYLLTIIGEFKIDIARTGKAYMEDFFPVQVIDWETKDIIVRSYIFITNRRR >PPA10112 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:443823:444633:1 gene:PPA10112 transcript:PPA10112 gene_biotype:protein_coding transcript_biotype:protein_coding MKADEEGGTQAGGEPERESTVGGETIDGLGSEVVSTVGDEVSVVGGSRPTSSNLEIIYSEPFLNQPGAFPPFAISDTFLPNVSCLVFDL >PPA10149 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:675178:686550:-1 gene:PPA10149 transcript:PPA10149 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPHGASINAINQLRRKSGDLALRSDDPLDRSLAVAIDAIGAVFQPAYDGHAERAMQKLSWERGIAAHSEMAGGVSTRSISFGLVESLYFVLDRVIAMGQERAEQEVAREPEVCKVQISAQPRPSIGLPPSGERTSSMGNDSQPSAQPRSPMVILPLTGQRTADSNQASAQPRSPMVTLPLTGQRTSSTADKNEISVVTLSDDEEETRAGNETVVIELSDDEEIPMLPRRRATGGVDERREISTFADDIVDVVAGEIDGVQAPRTTTDDIEGHGEISDHIVDVVGGEIEGVQAPRTTTDDIGGRDEMSTSFDEDVKKKLVPQAAAALKADSIGGGEISPLKEVKCDEQILNAHTANLLEEAKFLWVIPPRDDDVVVVDPAEPNQVPKSSPVASPRDDDDDAVVVDPPTESGGNQAPSRTLADSLEALSRGPERSTTVVKQEMVEWEDEKAIGGERNAESRVKNMKSLLQIPLDALQAVLFGAGWREGEEEAPPVLQPEVDNWQRTPGANDASMQFDWYSNAPMTGGGAESPAVKPTRRRKAARPRKCKVEVASRDGSQERAKACSSREAVPTYEPVKKRKRRSRAGRGGLGPVKQELQPDGTADVAGMGTWLAELSAMGGWNAAAAADGAVGGPMIGGGVKREPKVKKNATDLACPECELVTRSLSTFLLHIKRVHGTSPTVFSHILRCDCGQECASTSHAEKKQYHAMTCPIAHFTLMRREDSKYASVEDPRVYPQCVLCEAHPTTMLGHVAHLLNQHSTTLREAQSHRLINYMNGIYLSCACGVQVYSRHSNAKWSHTAKCGGLFTVQALVGDEEEELPVLEPALSDYTVAKYDLDEVPPTYDATPSDDVKPTHEALATHDAPLTEEATPFSYFCT >PPA10108 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:393277:397254:1 gene:PPA10108 transcript:PPA10108 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDHVPAPLYRVTSEGWVSACRELSIRVKCKISYARFPLDSHSCRMCLATTSETGRIKLHLLDVEDTFLMTSAYYPVLLVRRSYRFWQRFYIGFSVLHRFVSFLPDMANKCVVCEGPGAFRSFPANSLPLKQVHWVQCLNLLPEESERLLNEWRDRLGRKQRVYWCSKHIEEGQPDPIDRRSPKPSASVDTMPLLSRQLSEVRVDDGTAQSVPTPTASRMHSFPSISRGNSFPSPLPSRDVSEEELLYSSQETIHSDREDVVPPPLPPYLLISSVQLMVLFKRCPSCGLELNSSITRVVNGSALVLKWNCERCDGPQTWSSQPKLKGRFFEGNIKLVCSAHTTALPISRLLDFGHELGLALPAERTMRDYLSKLVIPAVNTIYCNHMRMVQSTVRNTMSGGGLDISMDGRYDSPGFSATNCTVSAVDLSSNLVIMIVNKNKEEKGIENVSGRMEKEGVKEGVRRIHAMQLKLSKKKSCPNIHHWRNKIINHAYYCHYKYGRDRTLCMNYWIAALPHVTGKHRGFRKIPFLKGIRKCKHAALGKDNMHLIKRDSEEYQELKAVIMKPTFLSGLLRASPKTNTSPNESYNSIINMYAPKSRAWAQQNTVIIC >PPA10102 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:361824:364690:1 gene:PPA10102 transcript:PPA10102 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRKPKAAAVELPQTRSKSRSGTAKLSSGLEQKSTAATPSPKRGRKPTATPAATALPTSSKRGRKQQAPTTPAAASTSPSPKRGRKSKHSATPTATGAVAATTPAAAAAATFPSPKRGRKQTPAAPALSSASTAPVPATSSKRGRKPKAPAATEEGVVAPTPDGSLPSLSKRQRKLTEKAAAEAAASSPTVPPKRQRKQAPAQSEAAATTPAAALPTNTPTRERKPKATAAEAPAPATAVGPSPKRGRKPKAPAPSAAASAASSAADAATAAAAAAAAARAAVAAAAAALAAAPPTTHSTRPGEARRVSPATAASRALTLAMFEESQALNRAAIAAYNAAHPAPPPAPPAPVTLRPSPLIPQPRPPFSPLTLKNGRRQDVPATISTRNVAPPIPRPSTHAAASAPPSTAPHAVILNPRPLSLFPPRSQTSAAVVGATSTSDVRASATAFNPTPTVAPAASAPTIRPYRYIPFSSTTSASSAGAVGSPGTVDASDPARSPTAPPSAPTAAAVVPLSATSDRAPVPAPVVAPPPPPPVAVVPPLAAAAAAAAAAPLLAAAPPAARRPLPNDPRDPEGRVVNFPAGWRQAEPDLLQWEHRPINPPQRGDYRLIPIDEDSLGLKPVQRLAAFKRATTHCHEREKRDGGTEDRNDRLCRGFGCLDHGINPEMVDLVYSARCGYIL >PPA10222 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1223795:1226793:1 gene:PPA10222 transcript:PPA10222 gene_biotype:protein_coding transcript_biotype:protein_coding MIVNFACAFTMLMSELEGADLTERGGAEGTGGPRSYSNSIPDVPPEAATVERAVPATTFSTPSTSRQHRAHAPPHQPAETIFPRETMSADPLKDVPLLKEEDVIPEQERLSVEPIDYDDHDNHFGQHSLDGEGFMDEGRSESSYPDDASGQNELLQRMIANFSTPSTSSGHTHRFDRSDSAKNQHKSKSGRFESRDDQLAATYALPVSAKEIETLTEASMAELMRSGELSEMQRSIIRQIRKRARSKISSREYRKRKEARRLELENSLLICTSNRLLGRDLKCDII >PPA10053 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:3148:8007:-1 gene:PPA10053 transcript:PPA10053 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTRTLVTHRKVSAESIEKKNVEDMYLVPDLDEMEMMEEEVREARPPLKIVWRNVAIFSLLHLGALVGLHQFIFEAKWMTCFFALLLDVGSKMGITAGAHRMWAHKAFKAALPVRIYFMLWGTVAFQNDVIEWARDHRCHHKWTDTEADPHNSRRGFWYSHVGWLCARKSEKLKEMGRKIDLSDLYADPVLTFQRKWVVCHALQSSPVVPPSVVLRLEKLKEEGRLRGETAFNAFYVAAILRYAFTLNCTWLINSAAHMFGYKPYDATISPVESAWANIQAVGEGGHNFHHSFPQDYRASELSLMTNWTRAVIDFFALFGLVYDRKTASEASIKSLSQRKGDSSGHWHH >PPA10082 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:195481:199895:-1 gene:PPA10082 transcript:PPA10082 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLLAFIQLSIGAAPEKAGPAPNPFKNDDSDAADPTLPYALIEGIDLFTVPLEELAKNERPATTLSEQCKQFLPHFHYYCRSSNIAKYNEEIRIICERYEAYCSDRVLPSINHIRRQIIGWKAAGIPVRGVRALEKCYTRCKWVMDWQCSPGKVSAANVPNCQRWQAKCLLIWQPLLDLTPAAHRDYAPPPLVRGVFYGYDPIGTHTTFDRPRDHGVSFWRGTKTSLVNWPEGKLSHASTFEVPFLGLEGTWDQVNVGFPNLRTGMAQFTRDNADPLNAGTGRRSALAFLRRDS >PPA10186 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1015164:1015757:1 gene:PPA10186 transcript:PPA10186 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNVLPTDVIRIIMRMEHPEAAESMRLISPRWNNFFPESIQERQLNSSLPELRLFNWSVYPHGNAELHIQLQQKDVAFLGVDWTSSRKRVQRPMRDVAKLFRYGRKIMRIASLN >PPA10191 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:1032091:1033799:-1 gene:PPA10191 transcript:PPA10191 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRVEHEGLWDWPLQAHEGVVKVHNDGDKFEVHLDVPYFTPKEIEVNVIDRRIDIHAEHTSRAGPLGDVSRSVNRCYKSGERVCEMKRLILHPDGPILNTSLQLPDDVNEALIKSTLTPRGILVITAAKVPKISEHPKVVIFDSAWIGAHA >PPA10227 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1245954:1247975:1 gene:PPA10227 transcript:PPA10227 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKTGGDQRSTSKITFQTDVSVSSECLAEVMKDLNLDSVGNCGPVDNEADKKKEEEEEPIPEGDPGMVDLEWDFFIKMKDQPNTVVASYLPELRRRYKVTERRSKATEKLLIDLHPANRSNAEDRQEILGELLDKIEQALDIIDEHENRTIPFGHRAHLEARLLQSMNTMLDGIHRIVAKFDVIGEDRDAANNEREGLRYEIRFLDMMYTEIHECFLKSFLEMEW >PPA10099 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:350445:356649:1 gene:PPA10099 transcript:PPA10099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpt-4 MVDTSSMPEEKTRALANYRKKLADYREVEQRLKELRKKEQEMQKDHDKSENDIKSLQSVGQIVGEVLKQLSEDKFIVKAMNGPRYVVGCRRSIDKEQLKQGTRVALDMTTLTIMRQLPREVDPLVYKMSHEDPGNISYADVGGLAEQIRELREVVELPLLNPELFKRVGISPPKGCLLYGPPGTGKTLLARAVASQLDCNFLKVVSSAIVDKYIGESARMIREMFNYARDHQPCIVFMDEIDAIVFFSVEDNASGRRFSEGTSADREIQRTLMELLNQLDGFDSLGKVKVIMATNRPDTLDPALLRPGRLDRKIEIALPNEQSRLEELSGFKCWVYLGREEADAAM >PPA10182 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:998708:1003233:1 gene:PPA10182 transcript:PPA10182 gene_biotype:protein_coding transcript_biotype:protein_coding MALPQGKQEQDCRSSPKFMCFVAGDERNSHQPALTVMHNIFLREHNRIATELERLNPQWDDEKIYQETRRIVAAEFQHITFSEYLPKLIGTRLMAKYDLQPKIDGFFKGYNRSCDASISHPFATAAFRFGHTLIRRMFPRMDSAFQNMSAPVDLADHFNNVEPIYDNTAGGMDALLMGLLGTPSMAFDRHITDAVRNHLFARRGQATSGMDLITINILRARDHGVQPYNDLREFCGLKRARSWGDLSPSMDQTSIDALRKRSKTTTSHQVYEHVDDIDIFPGLLSETPIKGALMPPTMACIIAEQFERLKKCDRFYYENDLPYTKFSKEQLNEIRKVTLGSVLCANARSMIKVQPDVFIMPDELTNAQVPCRDFLKLDLKPWTDRPTCFIDDRHVVRGESMQKSPCLSCTCTADGPRCRSLIVANCKQLLKDFTIEEVKKDMSCVIQCATLIKERTNDF >PPA10094 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:303277:305675:1 gene:PPA10094 transcript:PPA10094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rbx-1 MAEIKQEQMDVDEAAAPGGGGQVVAKEKKRFEVKKWSAVALWAWDIVVDNCAICRNHIMDLCIECQANQASDATGECSVAWGNCNHAFHFHCISRWLKTRQVCPLDNREWEFQNAVNET >PPA10084 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:220817:224153:-1 gene:PPA10084 transcript:PPA10084 gene_biotype:protein_coding transcript_biotype:protein_coding MSLITLVSSDGKTFQVEIAAITHSGVIHRLIEDLNLDITTDAATYPIPTRDVTGRVLEKVIEWCTHHKNEPVKGADIFEGEIEVSQWDHEFLRIIDRVSHQAVLEIWKAAYYLDIQYLIYLCCRDCLTGIRSYLRGAQRFEMITSRIAMEFFLQDLNLDIIPDAAAFPIPIRDVTGEVLEKVIEWCTHHKDDPVEMEKEEDSDCVLYPLSPWDEAFFEALRASTTIATMDTIEAASSLEIICFIEHAQKFIANRMMRKHLEWMKQTMK >PPA10224 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1232884:1235890:-1 gene:PPA10224 transcript:PPA10224 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDLPPPLPRRLADRCRAQVIIHDIIADSRQRANPICLGGLFRDVGNKPPVKSCEAKATYMAKEIEMIARHFGVPMIVPKNFSEHVMDSSTIDACRLIIATQQLQPEKAEAVSRCLFSRFWIENAPVLKKAQVENTKELLEEIKKDQIKEQLKLNTKEAQEIGAFGMPWITVTRADNAATENYFGSDRLPIIGEFIGESYHGPLRHLSLF >PPA10090 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:270521:273457:1 gene:PPA10090 transcript:PPA10090 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSGGHHFVLATASAGIAVVLFLSLISLLSVVGDVNNMRTETMDEMADFKHMAEATWKSLTQDSMFILGVRASRGAGYASAGGGGGGGGYAGGAAAGGGGGGGGGGYASGGGGGGGGCNCAAKASGCPAGPPGPPGEAGEAGSPGMPGAPGSAGMSGMAAAYPMMMECVKCPPGPAGPPGPDGAPGPAGPAGNPGQDGQGGGAPQAGPPGPPGDAGPDGNPGAPGAPGGPGAGGMMMMANPGPAGPPGPAGGPGPAGASASGGGPGPAGPPGPPGPPGNNGQPGAPGAPGDAGAAGSPGSDGAYCPCPPRSGAMAGGGGGYSSGGGGGAGAAAAGGGGGGYSGGGGGAAAAAPAPSGYSSSSGGGGGGGYASGGGAAAPAPAAAGGGGGYAKGGGGGGGYRKRTIGNAAIVA >PPA10105 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:381221:382615:1 gene:PPA10105 transcript:PPA10105 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLIERSSGNDSVSLCHANQQMLAYNQGRSTIPVNGTKHQLEAFTATNGETYCRIRRGISGNGNPQIFNLDQPYTIFLAYGPMNGNSVGYHQMNKWHQFVRRTINCIARCLSTAR >PPA10061 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:74639:79446:1 gene:PPA10061 transcript:PPA10061 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRFALLLLLSTLAFSAVSANDETGIFTVLEALLNSLGFTLGLGTKTVGGVLNVIPITKPLVVLGTVINAPSVTGATGFIEHVLPSICNEALKSVVSKFNASQLITQRQQASSLNLFPSSVPNESTRLIGSRRPLVVAPVLSVDIEEEENVLVLTNDNFESALEVHPQYQASGVLKDEGSEVKLDKVDATVHGVLASKFESFRAGKPTEYTGGRDADAIVKKKTGPAAVTIESSDDLKAFAEGNDVYTVAYFEVRSHRRELFAPDFTDLMTENIVSFNERFLAGELKQDLMSADVPEGWDTKPVMVLVGKNFNEVGKNSGKGLLVKFYARVY >PPA10139 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:606791:608047:1 gene:PPA10139 transcript:PPA10139 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRCCIIGGGGYFGQHVAREMQREGFHTVLLDLAFVDVDRDGFILDERKLTRIRGSLLDQDLLEKALKKSSVCIHIAAYGMSGAPAAVRLPLR >PPA10187 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:1015841:1016545:1 gene:PPA10187 transcript:PPA10187 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLRVANLTLSGLKRIDSDSASIILDIIRNTKNTMEWLSLQDEGSSILMNNFRVVQPLLAEASALIPRVDFVLRDKKLRYERLHWEQIHNSLKMRNSKILIFEALGSRTVRFESVN >PPA10196 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1059401:1061050:1 gene:PPA10196 transcript:PPA10196 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRSVVDIDDDAPDSSVVHFDPNDRQYRVCCCCHAQSVARFLSVLFIIGLLAVLPYTLDNVYLPFSPLSVVHIVILGLAAFRRNRFCLGFLVGVLALLLTALMIALFLLTATMLWTYNGLTKESITEKWKEEILLFFGLVVLTAAAALIVFWIYLVNTSSVGCTASIQ >PPA10147 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:669648:669903:1 gene:PPA10147 transcript:PPA10147 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEFRDPESDRARVQREYNESKNRLSSAPAPSSLATKSTWNNANANNTRCNSLHSTCFI >PPA10078 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:167346:171073:-1 gene:PPA10078 transcript:PPA10078 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIAPPLCSGSVFAVFFLLAYKMMMPKYKRQIFLSSHLFCIVSMISLSVYAVIILMDVLKFPHGSIGILDGILDKEVTVLNNRSGYFHEIVPLRPWLVSTPERRKNLAYSCCGAYSTLINTIMYREESAFLRYVHNDSQCKSDPELSKFESPDCIHSESCFYKDAAVYGNRVVLFLIFTMASTISAAVTVWRVIKRDKEEPETDPARDESVRRSEVVASPAVPPPMTAVTHWRSASLMILLKKFMKPLE >PPA10154 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:738507:749085:-1 gene:PPA10154 transcript:PPA10154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-syd-1 MFAQKTEPWLLHPPIFNRLPSAGPPTKSIIVLASAAPASEANLERAALQFTAEEDFMLPYQILSRRAVSGPRNVKWRNDVVGKEAFDLLAGEESDSAISNPEYGRMQPLRLSNGGPVRTINDIFSAAEYRHWAGDPRVPPLGTAYTNLTTAGYASPMSAVPPLGQPYSASTLGRQSRWSHTYGDTPGSRGPRSSSLTGRSIMAQSLVGSPLVDRRVMPHQQSVHVDRPSAVLDQYHVSPLMNRRAPLRTAGPGINVDRLNVNALSGMLFVQIYEGRGLRIPEKHRVVTEEMYCVLEVDEAHRARTGVSTPEQKYRWRETFHIDIFNATQLQFFVYSWHPQHRHSLCHKGQLKLLEAFVVDQLNGEKMLALNLEPSSFGVPLARLLQREHRETPLVLFRLMAEIEKRGVDYGGLYILCGAVEKKRLVRDELESNAVEADITVDGVPDTNVLACLIKDFLRELPDPLIPPHIHNMLLDAASMANPNDVEGNRQMVFRIIDCLSLANKNTLLLVMDHLSLALSSFIPPAGVPRSTIVQTFAPLILCTADNAVGGVKEPLVRPLDVTQAAQTLQLLLDVWPSRVSYQSIIDTLPTVTLPIPEGSGQF >PPA10096 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:323458:325622:1 gene:PPA10096 transcript:PPA10096 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPLPEKGYDNDTERGNRTFDVFCANCVANYDKRVNNGKSEKIACIRAATVAFLGVLTAAVPPARDAPGRGVVSWAEIAESGGAQL >PPA10126 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:517852:519046:-1 gene:PPA10126 transcript:PPA10126 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSALKGTLSQRSIALPAWAEIGTAVHAEVPCTIWVPIRFSIAANRPIRNYRKAAHAATQMREQHAITYSTCKRAFFFAT >PPA10223 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:1228794:1231964:-1 gene:PPA10223 transcript:PPA10223 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRRDYDKYKQPIEQKKMKFQEAQAYSKGPLGVVGAVGVGKGNAISGRVSSGTGAQILAVVNVSFAIQTVTLLTFGMPEDGGQITCMGVKVHLGLAPVSTFLERINCSQENMCRALVETL >PPA10145 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:651525:653214:1 gene:PPA10145 transcript:PPA10145 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNNVRYWTNISYGNIVPATAQGRIACVIFALFGAPLAIITIGDLGKFLSECTIWLYKRMKKAKRKVRQKLCAMTKGDFVDVEADDSDASSRSTLDWDGFVMDKSQVPVLLVFAILLMYIAFGGVLFAFLENWTYMDAFYYFTKHDIYIEDDF >PPA10235 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:1391448:1391739:-1 gene:PPA10235 transcript:PPA10235 gene_biotype:protein_coding transcript_biotype:protein_coding MDADVEKDTNNIFVFGMAILFGWAVVICVCAAPHGRNNRDGARGNGLAITFSL >PPA10192 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:1036627:1036898:-1 gene:PPA10192 transcript:PPA10192 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAQSVTTLEIEAPDLRISELQKLLTDWEWTEKSRDIQMRVDGTFSTRIDTGKLVTVVVKCGRM >PPA10138 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:593480:594067:-1 gene:PPA10138 transcript:PPA10138 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPPWCILDTDRRRHPNHRQLHARGAPLPSFAPPLPSNAIRLTQADPMRNRAMSLTTNTKFGPTVPRLSIDVNSLGRQRRKFTN >PPA10118 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:480288:481596:1 gene:PPA10118 transcript:PPA10118 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVTDVVLASAVVSTAAAAEYEANSRPRLDPIIYQLLYNSTPPVPTQSSTRSAQLVCSGTNEAISVAHPGCHRPCEKRTRSTTCVKSQHPPRCECKFGYFRAKNGQCVTQSFRCERARRLSVSVRTKACLPR >PPA10172 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:900876:902456:1 gene:PPA10172 transcript:PPA10172 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPRQKKCFLFLESAPQTRRFPQSSKPDEQLEWLLRQNRDEEGFQQLLNRHRTMRPDAITPLPLLTVLLRTSRPADLIHQSQFSFTTGSQSGNDNSSMPPSPSFSALDTMVLSMR >PPA10197 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1061379:1062147:1 gene:PPA10197 transcript:PPA10197 gene_biotype:protein_coding transcript_biotype:protein_coding MLRACILLGLLALVSLVHSQCSGGIPSAEVKGFLDAHNKLRQSISAGTYVAKGKKMPAAKTTIPNLTWDCDIEKSAQAVASTCVFAHSKNRNNLGENLYMYMSSAGVSFNGQGKAASDSWESEFKDYGWSDIKLTNAVFSSGVGHATQMAWAKSTKMGCGMATCQGGKQVIVACQYRDAGNMLDQNVYEPK >PPA10109 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:406027:406262:-1 gene:PPA10109 transcript:PPA10109 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTNQPTVHILELTDEIVKFSLEDTDLSVANSLRRVFIAEVCSCDDHRLDPN >PPA10070 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:138585:142722:1 gene:PPA10070 transcript:PPA10070 gene_biotype:protein_coding transcript_biotype:protein_coding MADPEEQHLVVEEAEKGCVCGRFSNYNGARIFTGLLLLEFSLFVGLFVYSLVSKFEYANYLYFVWPWELFALIVAIFVICAYQFNQANFMVAAVSFSILGQIALIGYFFAAAWHCIHSGGIGVFSLIDHVFGDTPRLRRYATSSAIRHVFGDTPRLRRYTTSSEIHHVFGDTPRLRRYATSSAIHHVFGDAPRLQRLTLLGAVTAFFNALNMWRVTVLNREFNRMKRKAIGEEPSDDCG >PPA10088 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:240104:258677:-1 gene:PPA10088 transcript:PPA10088 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGFFDDDVQFIPPQPVEIEDGEIENIEGGGNPMEKLESQSIRKQVDNLLWRLFAEAPVDGRENEWDGQAEEMEVEMDERGGADSEKLLNASFVHVVKKIECDKGGFTHEVVVPTGRPVPELRARNAVPSHQFEFVPDPFQDAAFQVVENEQTLLVAAHTSAGKTAIAQYAIAEALRNQKCVIYTSPIKALSNQKYRELEEHFDAAHIGLMTGDVTLNPSASIQVMTTEILRSMLYRKSEFFSQVAWVIFDEVHYMNNEDRGVVWEESIILLPPHIRQLFLSATIPNASQFAGWICSLKKAPIHVITTDRRPVPIVHYVLPVGSERMFEIITKTGYYRLQEHEKAIRAMEDGLKSERERRERKKTIKEQWIVHTTRTLRERLLMPCIAFTFSRTECEHYAAAIREMDMTDDTEKQTVRGIVENAMDRLTDEDRKLSQFQNLLPLLVRGIAIHHSGLLPVVKETVELLFAHSLVKMLFATETFAMGLNIPARSVLFTSARKFDGKRNRWLSASEYAQMSGRAGRRDSTFPVGVSVLMLDEQIKGNELRGIVQGSLDPLTSRFHLSYNMLLNLLATGEITPEQMMELSFRKYQNTMEVPELSKVIAAKESALSTMTSAANSQMTARIESYLELENGIKRIHKTTKKMYMTVTHMAMFFNTGRLLKIKFGELDFGWGVLMRFERKTIPNTNGDMTYILEVLINVDAASAREFNSTGLLRPATRDGKSSWEVVPMTVNCVDEISTVRFRVYKEMKRSDMRDKLGRLVEGFVHNRMAGAPTLLDPIRDMRVNNPALENRGLLSLFIALDGDALLKLRKFEKTLAEHPLDTMRRGTREEKEHLDEMRKEFEERTNAELELKQMRKELRKKKEDIRTGTELFRRKELLLKLGYIDDNNELKAKGRIAACISTGDELLLTELLVSGELEKVSNGEIAAILSCFVCDEASGTRVSRDLDQHHKMIQFFGLKHNSQRFARKIAGMINKTGQELVEGEYVDGFKSSMMEVTRQWVKSVGFGTLMKSTDLYEGTIIRCLRRLEELLKEMSAAADVIDNKKLKGTFDDIRSAIRRDIVFASSLYL >PPA10239 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:1410082:1412783:-1 gene:PPA10239 transcript:PPA10239 gene_biotype:protein_coding transcript_biotype:protein_coding GHHLLVLATSSEPSFMREFGFNNLFSHKIDVPLLTRSAHVMAVAEDCQLLSITGQESQELPARLDRLFARGGMENGGVQLRGVGIKRLLACLTAASNCAEGRQAVHLVGQLEKANNPPV >PPA10116 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:470913:475441:-1 gene:PPA10116 transcript:PPA10116 gene_biotype:protein_coding transcript_biotype:protein_coding MKCTQQMATFSICSGYRMGGGRELTLNMELPSSENEISPDSSCRRPAILLVHGMAGGASEFLLNPPPSCPAFLLADAGFDVFLMNHRGTTYSKRHESLKEWDNKYWQWTLDEMARYDSPAVIDRVLEISGEKGVYWVGHSQGTAMGYMTLADSPEYNSKVKVNMVQVKGLFQLAPQGSGGYTTGIIRAAFWLYETAKPVVDFYRIALGSHEVSFQFPLVYRPLVRLCNIIPGGDQICDYATHYLFGPSSRTLNILAVRRTVEHMDHNPAENVRRYGSETPPRYNYSRIDVPIYHFLGDADYLGTKAEMDNVLLKMLRKEVVKELIIIPGYNHIDYVVADDCAEMVFNPIATIVRNQESGMCEH >PPA10211 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1162464:1165002:1 gene:PPA10211 transcript:PPA10211 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTFLLFCQAVGTNAVCFIAQDETVPRQALAIYKSDSFASCKLDCIRNSQCTSIAYNATLKLCVYHGSPVDDICPAVPRYTRLVKTTSNCPQPAPAEIISNFVPDDPCLKSARFSPAYTVTDRDGICPINVVDGEATARNYFVSIIRHDGTYDLYDNNAANRLQWDATLNSWVVYLYWNARLAINDPVYAVTCAYYPTEVAPECPCADLPLEPPGTGKPTTKSELLGTCGIHPMKGKKAYASIQIPTNGPRRFSHSSWRSAMASAMHRSQMDHVLAPSCKWRPELVDCLFCVLPMRAIFPSDY >PPA10107 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:391781:392664:-1 gene:PPA10107 transcript:PPA10107 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARSWIKQRRGATGADMEMVQIGGQTRVVNSNSNGAVSDGALSLFSEK >PPA10130 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:543906:545960:1 gene:PPA10130 transcript:PPA10130 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDACRACGVFYRRSLKLDYSLQCTCGGRDFSNGGKAIISCRKCRFERFHDVLYMASLDNAADTRAVQLPAVSKASRKELSEPVDCIDRLCVQDPSPSFIDHTRTLCQERKSYETNVFYIPNHERLRTDQLILRPSRYGYMIPYSAVFFRGLIDVATYSTFVNDETLKDFFDDCPCDINQDEVVSLFRANMTRTIKKAKSEMRNAKPTVEEFVALLGLALWSDCE >PPA10098 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:335509:348587:1 gene:PPA10098 transcript:PPA10098 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRLDDADHALYQVGIREDRVRYAKSVELLIVCYFYLDVAVRMMQWNSAAGKSLTLGSLFLMFSFFTAFVVLGYLLTIEPWTDCHVLPTLDLWSLTPSSSYVSLECYSGPGAIGLSVNNGSHLCGYCARRQHLEGGDAILQPKPAYFSDASFPATAFAATVPLPSAAEHIHAALHTLLRAPRGTLCAVCASDKCNAPEWVSDLNQWLDPAPPLKRTTVAATTTATSSAPPINNATTVSAEQAGEPIGNKFAYVIIVTMAIVLVGFTCARQCCKYCGEKAWAEQQERLLAAATEDAADPEKTPGNKVGGGYCSCEVTVAELAPYWIWFSLGQLLTVQLMVSSFLMIIHRINRMSSAPSIRKNQRTQILCLLYVYEISAFADCAWHVSMFMLGDDKKGCSGIFKHNQLIYTGVKLPYDIASFLMPVWAILYTLREGVLDNGRGGAGERRGIHQWILHALDHEHHRGAQLAEAISTVEHVPERDPRHPMAYIDTQFHRIPGRLPYARGGPQLRGPVAASAKGAACRGERAGATNGPPGGLRSLDRASNASPLRVPLPHLVPALSHPRGTVHCPYLHDLFGPSSPTLLYPIPEEPFTAHTYMNRLSFEDDDDEGLPGSTVTADSSIDNVSTSGLVDGERLRVFVER >PPA10156 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:759053:761572:-1 gene:PPA10156 transcript:PPA10156 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCAREYEEAHAMNEQRRAGPGPGGDPRAKSHLESVHFFVPSSSRSEKPHPQTGEVYVVQLVEMIKKPGQSLGLYLREGNGYDRPTGVFASRFGPNSELERSEWAYKRKV >PPA10225 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:1237301:1237526:-1 gene:PPA10225 transcript:PPA10225 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHHIIKLYYDIISPYSFIGFESSRALEYYNIGVSTSSGKNNNIPLQTVFVQPIELRARVV >PPA10203 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:1109080:1110915:1 gene:PPA10203 transcript:PPA10203 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDDTFSIALTIANEVIMYVTLPLHIRLLYVLMKPSSKKDLDVSFHTLMMNTTLANLLFSLECCIILEPSASGIFFDFYNIMGPIFAKIDLIKVTILVMLGSVLHLVLAMNRFSAIAFPMKHQKLWSGRKLFWFCVCMWTVGFIVSIPLILPGSTAHTIGVNLYGARSVDFTFLGNYYLIYSVL >PPA10209 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1150286:1153544:1 gene:PPA10209 transcript:PPA10209 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALQIIFVILTDIIMYGTYPVHLRLMYVLVRRQSNELDPSFQILLANLTAYNILVASSHVFILEPAGQGLAPEFYEANAQWLGRIELTKIWAPKNTRILAAVVWCITFVVNIPIFVGQSSNYRYKNSTFEVNAVEFFFIGVYDQIYPQASLFVLGVIEATKVLIYAAIIVLMRRYKKIQIKLGSYKAKFSRSVYRMTAAAAVNSLGGWSIFIFFASYYICQWTTATRLVNFAYYALILRVTTTFNNILTPYVMLVSFKSLRQVFFGCRSSNDITPLRSGGSLVELKVIVSFNYMLTPWIRDLFPNRKHVKPIIGGANT >PPA10123 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:497707:498506:1 gene:PPA10123 transcript:PPA10123 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVRDEQTNHDYDVLRVWFKDDGRHPAYGNLGVLKIAHCDIDPEDFMQECRLLLALSHEFPNCSD >PPA10067 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:104457:105250:1 gene:PPA10067 transcript:PPA10067 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCSSPSTSMNCSTRVVPSTFSNSIHDVLPEPPRKITKEQAESTARSFYFATDSTMPAVTAGFNRLDLSGSIFSCSERLNGSGSGRGSENNSNTLLNAPKKEKCNNSNTAKPSSARRLFSSPKMTSNSKMDDDDNMAIDDVDEGVEVDSAAQEKQSFH >PPA10199 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:1094602:1095492:1 gene:PPA10199 transcript:PPA10199 gene_biotype:protein_coding transcript_biotype:protein_coding MEPECSWFLVIFMLLIYISWWTRGMPLIIGNHFSATFRLINAFNNILTPWVMLVSFDNVRRLIFNSKARPKAIGATASSGLVTKY >PPA10110 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:413795:419179:-1 gene:PPA10110 transcript:PPA10110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mab-10 MSSSTTADQAQTPSPSSSSTTASTAAQNRSSQPAKPPSTVSEWQLLAILHRANLLQYYDVFIDKGRAGGDDVNQIMCSEESEFLEIMSIVGMLSKPLHVRRLQRALAQYSNDPTAFNIAAIPHIGAPPVSAYPIGLGATDPVQLFNPGTVIVWYRITGLPVRPHIRLGEPSLRRSLLLIILFRSSLFVLDEHSCAPLAADARVQHSCNHRRRRVRTGDHGAVAERPAHVQLLVTVSVQIPVNRTPQFAQLQLLSSIGGTANVLQGDYLQDTMITRSLQYLMENNQHTSTTSPSPLHDDFVALGDFDPNADTGETPVLSDAQIGRLNACSQAAVAKLAPRPPKLVQNKKKISQDIVDLMAMQPMHPRRHDEYRKYSAIYGRFDAKRKADKALTLHEVSINEAAAQICMLAPALLTRRDELFTLSRQVVKDAGYHYTKSTSTGMPRSASSTETATQGTSRKRVQSEAPTEGAASSPRSQTSASGERVAARSKGAYLIDVAIKKRSEL >PPA10121 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:489621:491267:-1 gene:PPA10121 transcript:PPA10121 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIMQCQGARLIKKQRESSPLQAKKALVTLPPITESCTIHSKNLIDDEILVHLVRKSKETSLCGTMTLTAQGLARAFEMVYASQGANKLGVCVASTF >PPA10075 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:154439:155093:1 gene:PPA10075 transcript:PPA10075 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRIYDEGKSVRNEVTPGFLSSFMKERSLRNPFECLVQTFTSIAHDQH >PPA10207 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1136563:1138072:1 gene:PPA10207 transcript:PPA10207 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTNNTLSIASMFLSDAMMYPTWPVHVRLFYVLVKKKSVKTELEPSYQILLIHMTLYNILFGLSYMLIQEPAAYGAIPGFYADTAQLLGRIELIKATVVTLGQAFSLMIAFNRLTAFAFPLQQQTIWTPLRAKILCGAIWIAVVVGALPVIIGDSTRFRYVNNSFGGNSVAYTFVPKEFFDKYYPPKSTL >PPA10219 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1201043:1213306:1 gene:PPA10219 transcript:PPA10219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lact-2 MGLRNFHDGWEREGAAVAVYHKGQLVVDLQGGFADRASGRLWTNQTRTVVFSTTKAVGALCVAMLVDRGHIRYEDRMADYWPEFAQNGKENVTIECIMSHQAGLAALDEPITRDIAADPERMAEAIAKQKPNWEPGTKSGYHAITYGWLVDQIVRRADPQHRSVGVFFREEVAEKYGIDFHIGLDPSEEHTVSRLSMPTKMHLLREIIHDPRVLIVLGIFNAPREIGRKVRTNPQWFKLEQDVNTFNDPDMHAMEQVAALGIGKARDLARLFSLMLQGELVSKELLERLREPQVGFTFDEIVLAPLPKGHGFLYERHPLGGNRWLFGHPGYGGSTVMMDLEEEVVIAYVSNGLKTGMGELTRTYRHLRNAVFDCIEEQKKDAGTSTCSMATGAALKLLKTASKCAQLVILNYECQATVEDDDVVIRDLSQAVATALDCLIENKTQIDRVAFAISVLLLEFLSEREKQSPTQSTSSTLAISDETPLPSAADEDEIEITKIVNLSKKEKEMTVKKEVIDEVEKGVHPESQVDNELEPGGSGNVAGELYPEDVDDTATAIKMEEVDDPNYPQGNIDEPMEQQEMIKDALAGPEARNENNLFALVREDPNASAEVKTEEVDQSVLLIEPPVRTAAGSSQDTSTTHSMMDEANGSIPHVDHQGNDALDARANQSTSNRYAFGGALSELPPPPKKRARSAGAASLFPSVAAVKAVSSPQPSIMTNHNCSSARCAQHPSARTEQPEISEQSNGRTDALETICKWSLRQGASDADRRVAVLATLALYTNLLKMKEIAELRKEKVRVIRRYTRESVPALIKLFREAGESYQIELSPTVGTDSPSVSRHLLKSSIRHHVELRRAFDGAGVKAIHEVCLVMFSPLVHSDTDMFTLLPPTWQTAPSPSSTENSSCEEFDSDQSNVNGKNLRNIH >PPA10165 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:833501:838072:1 gene:PPA10165 transcript:PPA10165 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIALILLFLPLLLILNARSVKNFLELAFRAYEPLKKIPGPKALPLVGSVYQFKLNSKEFFDQLERWYDYFCRVDPGRMGMVKMWLGPFPIVSVVWPQYIKEILESTTLLKKSNNYDIVRKWIGDGLLVSSGEKWQRRRKMLTPAFHFNVLRNYHEVFRRCGAILVEQLDAKADTNREVDVFPYLKRCAIDVISETAMGTRLDSQIGGSMEFPHLWVPPIWYASGLGFEFDRLVKLTNNFTRNPIGQTTNVISERRETLIDEGIITEGEEPHEMTEAEIKQYDFIAVLDDSDLV >PPA10206 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1124161:1128041:1 gene:PPA10206 transcript:PPA10206 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIIPAIIIIIADAAMVVTLPVHVRFFYVLKAKKTKFDIDPTYRLLSLHLTGFNILVALIYLLELEPASHGAIPEFFQAHFWWLARIEMIRVCVLMTLMMTFHLLIALSRLTAIIKPTTGQLYWTWTRTTILCCGIWALVVVFSQPIIFTFDCVGYQVSESVFGTTNVIYTFVGSYNTVYPISAIAFESVLELLKVVVYVLIVWKLRVLNRSNPLRPAILKLFRAGIPRHDIVLRLAIPKRTVYDAIARYLELGSEEDRKGRGRPATVSTPRNRERIRKRIGRNRKQSIRAMAKNLHISNTSVRRLIKSQLTLRPYKYLKLHGLNDRQIKLRRDRCRLLLMRCARAEHFSTVFSDEKIFTIEGKMNSQNDRILAHDPEEAYKSGGFIGQTSHPLYVMVWGGVCATGKTPLVFVTPGVKSAMISSKAINTGIYRMTLAAFINSIGGWLICIFLVTYYVYLFATGNKLMSFHYYSVVLRFLVALNNVLTPWVMLASFEKSRRREDMPIRYHGKHIDAPLEA >PPA10077 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:159722:163354:1 gene:PPA10077 transcript:PPA10077 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQGQLLFANACTWMCNGNHELWVNGKNYKMNKLKCDNGQFKPMQFTLNTHHQTAAMKGAGDRDDGLREVMCVIPKVSGYYDVLGNWTNKENARCGVNKIHFDIGCDRNEFFSPFVNCVEAAVTEDSIQCPEGYTMNTMLKTLPIVLETEKIQCHGEEWKVHLPDNKTLDHTVTRMDSVVTVRCTKYQRPPGNNFIMEFVWAGFLGVIFGVGIYRCLSVHGVQDIFAFLREAQG >PPA10143 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:636715:638012:1 gene:PPA10143 transcript:PPA10143 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLDSNKPSIQLPTENDDVGEACESNDVGEDATRQLQQIPLHRPLNDSHHYINDSDDESLHDDVYDDSVSELLSARTTPLLGTHWKWTDPGEEEDRPQPHGLIDTLNSARITYSASIAALIMTVFLC >PPA10163 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:827396:828431:1 gene:PPA10163 transcript:PPA10163 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVAFIVAALWTILHCINAQQSAAELAQEIQKEREIVNEQEVALSNEQNRERGIQNGQNRAITPVNGANGVQYPYGYQGQVGYPYQTGYQGQVQYPYQTAGYNGQQQVQYPYQTGYQGQVYLPNQNGYQGQVYPNQYGNTGQQQVQYPYQNGYQVTYPGQQTGYTYQNGQVRYTGLQYPGTVYQGSQSGWGGFPQGSGVGLNGQIVAQNG >PPA10065 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:91412:95328:-1 gene:PPA10065 transcript:PPA10065 gene_biotype:protein_coding transcript_biotype:protein_coding MASREREHSTAVAAAAKPAETRESAFRRGAGDFAERQLPGPRRFGFGSSPPRRHTTSRESSMIYSPPRASHHDTSRHSSTILPRASSSSSRLSMPIGLRGLSNDVRVGAGIADVIRSQQDVAVEEQQQLQLLQQQRLVQQLQETQPQQPQHPAFSQLALVLGFELAKKVTQKHPRETDMNRLANLALDITASSPSTPVVDAVTTPPSRSASTTSSNPSESRHRRPITAFSTPSASRNSSGGARTPSIRYGGSSSRNGGAPLARSRTNSTPSSTGAPAATDAFVTAVSAASAASSAVSPRSSSFASVAPAPPVFHDDEEVNVGHGKDREARVKVPTVFQDEEDDAGHGTDEEDDAGHDASDLRTDATAPDDTAAADDEDDLIDWEGEAAEQTVHSIAPLTVESAHLLD >PPA10129 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:538832:539242:-1 gene:PPA10129 transcript:PPA10129 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSLLSPPIENSSSPVEYMISYNITNKNNASTWNKSNATQTSSSRSEHL >PPA10181 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:996587:998426:1 gene:PPA10181 transcript:PPA10181 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPRARARNGRLLPNARLISNAVHLDLPYDHKKYSLMIMQFGQLLDHEVTHSPTERGPNDEILNCTRCDSPTTLSVHCMPLPVPEGDPHFPTHFNGERRCLPFARSLLGQLNLGYRNQLNQLTAFLDGSVIYGATKCEANHLRMFRGGLMNFTNLGHHNP >PPA10232 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:1315939:1328049:1 gene:PPA10232 transcript:PPA10232 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRPWFDHWLLANDDRVTVQDDKLQMEWQGSGEENTPSAYLLWYEMIADDEAAMIRELAGAGPANGTGAGGPQTPAAEENGIEDHDDFSYEDTAEVPEEDLLIPDECLAAMDEECAAAPDDDSMAARDRRAAASSGDCVAAPAAAEALVPLAQLAQQLQYQAQYRRQYELLQRAKDESEWMDVGLGSLGVLEGPRLDLYKCMPPGIRANVYRENLMFQLGRDVLTESYAKFLLHLLRVALEHTKYQEADERHKALSKILMVARVFLTDVLWRTREYHAHESDKVLSEYRFFVDSILKEHTDFCTEWLVAFYDPAAQAISHEWRAFLIQQDSLRNGIGQWFWLASAAIGSLRDAQPTMVEAYCCQMVECLRLALFRLDGFCYFFIQTVHNPHCVDYYVKHSLLQAVCSCMFYYQTKNMTWGLQAEFTLRKNLPICTHLVQLFQRAIGTNFMGEDEFKDFVETRLHLLMAPIVRNGEDYILKLMNLMLTTMRQWEDESWIGRFAQSILMCLKVDPYRANGIFYLITAVGKSLSIDRASDFYDEILGKRENDAAENGLIIPGVIIMLENMLAEHKEHKQIAQIENAVVDNRLAEHKEHKQIAQIENVLVFTMSKWEAFRECAAAYQDRINGIQIRSAEWKEQEAAETEAMSAVNAQRQGMLIDAEDMAMLPLDACGPIARPAGCSMNGRAEQEYEMMNVSDDEMDDEEDEMLSEDEEVKQKLAQLGLQ >PPA10134 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:571848:574532:1 gene:PPA10134 transcript:PPA10134 gene_biotype:protein_coding transcript_biotype:protein_coding MSLITLVSSDGKTFQYPLLTTHSLKDLNLDITTDAASYPIPTRDVTGRVLGKVIEWCTHHKNEPVKGADIFEGEIEVSQWDQEFLRIIDRVSHQAVLEIWKAAYYLDIQYLIYLCCRDCLTGIRSYLCGAQRFEMIPSRIAMEFFLQDLNLDIIPDAAAFPIPIRDVTGEVLEKVIEWCTHHKDDPVEMEKEEDSNCVLYPLSPWDEAFFEALRASTTISTMDTVEAASSLELICFIEHAQKFLANLMMRKHLEMMKQTMK >PPA10151 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:698016:704494:1 gene:PPA10151 transcript:PPA10151 gene_biotype:protein_coding transcript_biotype:protein_coding MGVILMISISLVFLYTGWQLGVVWNIMQERWPEYHDHCRKPFPEIAKRSMGPKAKKFTSLLVNVTLFGICVVYLLLSANIAHYFVDNFVPEPFRISYCWTIVVLAVLLAPFTYLKSPADFWPVIVLAMVTTTLAVVAIVSGVLRDAPVCHSAAHFPAVETNKVIISIGTFLFAFSGHYVFPTIQHDMREPKKFSWSVSLGFLMVVLLYMPLSTISFWVYGSSMKSSVIYSVQTYWLQCFANFMIAVHCILTLVIVVNPVNQELEHFFKVAHHFGKGRFALRTAVLTAVVFVGLTVPDFLPVMGVVGSSTIPLGCVVLPSLFYLWINAAEEYEWQKNKIPSVMDVIRRTKKTTLALNLFLLLVAVGGGTIATYINLTDLKDTNFKPPCYMSVFTGDNNVTFGGGDAGGHGFSSHCCGQFSNISSFLETCGGSDH >PPA10179 pep:known supercontig:P_pacificus-5.0:Ppa_Contig19:988151:990459:1 gene:PPA10179 transcript:PPA10179 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFDGPLLERPISEIYNDPASLFMSDNIGGLLRFLVRRKTSMPGVHMNDELRDRLYKSIGNLGMDMATLIIETGRDHGIPGYVAWRERCGFGKIDSFVQLRPELTDGVRMSAELQKLYRRVEDVDLFVGGLAEKPVKGGLVGKTFACILGHQFQKTKQGDRFWYENGMAPSQFTEKQLMEIRKTTMARIICENGRLETIQPHAFEMEDDFE >PPA10137 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig19:588104:590640:-1 gene:PPA10137 transcript:PPA10137 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWTSRKFVYSTSITVFWMGEYHGIHKFTNIAKDLRSPPDWSHLAENFTEGSDTVEGGNIEISPYTFRLKPGSENIEADEAIVHYHMNQTARGIMESKNHTSIALANYFAAIVNMTDKDVKKVVATSINSFLHTFNCPKWDLQFDESVEYVLCDCSHTTVKDWMRAVEEKQTTNDCTISSNHSDNLLMKAAFSQVHKCNAQL >PPA10246 pep:known supercontig:P_pacificus-5.0:Ppa_Contig190:30266:36575:-1 gene:PPA10246 transcript:PPA10246 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHGTWFRGTWYHGTPRKQCDVDAHVSLDHPEMPALARHNLGIHSDGPGTHIIEGGQYFNE >PPA10259 pep:known supercontig:P_pacificus-5.0:Ppa_Contig190:94158:96373:-1 gene:PPA10259 transcript:PPA10259 gene_biotype:protein_coding transcript_biotype:protein_coding MGRISRKTTSCADIITRTVHCEKRNWFEDWCSAAGAACEFQQDNFHLQYGVREGMVHFRLILTGITGRHTMWNAIGFGQSMYAGLDLIVFRINNGQVQVSDEYVKGFTSPWRDASNDITINSVNHLDNMVVISFRRPQFTRDHAFDAQLSGCQSWKFATGMNPMSPRGDIFHHRTTPTGRMVCIEQCRI >PPA10250 pep:known supercontig:P_pacificus-5.0:Ppa_Contig190:60794:61586:1 gene:PPA10250 transcript:PPA10250 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKIESEDRIEFAYVNGQSTHMQPGESVEIPDPYRTGGDEPPSGIRVSASDVLNIDMPHHRACGTADSAHSAYDIFQIDDVFAVTRDVKPEVTVIGVAPYQGKPPGPYVPNSEWRIWLKRFENFLKLRNVPDESKKFMFLDEIGDVNYGILEGLLPGKELAEHTYEKCCV >PPA10262 pep:known supercontig:P_pacificus-5.0:Ppa_Contig190:134586:144641:-1 gene:PPA10262 transcript:PPA10262 gene_biotype:protein_coding transcript_biotype:protein_coding MCMVSYVVYQVMPRTGSVERLWGGVVPSKKEKEKKKERDVTYWVNHATRDAAVQPRSEDVESELHVRFAADAKDDDDDHTTSGTSTDSTMSSEPVALDGGCRAWAMVGLSFMMHAVCDGISFSIGIIFHSMQEHFGVSKSQAGIINSFLLSLPLLLSPIAGTFTDIYDCKKTAIGGSVILVIGFVLASLTSNYFLFLFFFAGLGGIGMCFNYNSAVVIVTYYFSSRRSLATACAVSGTGIGTLFISQALNQSIKLGFQAAIWTCAGLAIFLLFLVSWMRDVDWKKDTIEYKKKRFDRQIKNREFEAPVKDMVEFAPARRAFSCPNLADTDGLRANSLTSATSTQVIPAVDKNFPRSASVSTFRKKPSSVALNSDYTMLHMSKFEHLDLELGKSPSNTITKSRKRVNSKTSQSIDALNLEANLDRTPSSSASSVTSSEESNHGDSSSSELSESEENEIELDEKTKFLTQLNGSVAPPNRLLSARETGRVVPRSSLKPNTATNTMAFTRVPAANAYRYRYDRTQLIRSKTGYGSAPNLYVRKAKKRSNGKIFREMFLVSFFDTINAMKKSLQDVYNFTYLISCFIFYFLYDIMYVNLPEYLEEQWAWTADDASYVIMAISVSTFVGMIIWGFVGDREIMVKHLFLILGLATSMAAVPIYMVTLSGEKWVIYTAASIFGVFISNSYVLSSVTIVEINGLSDFQAGYSLLGFVQGLGNLIGPTVIGFARDRLGTYILVFRLSSFGLGMSGLLCLWLYTKIVRAGFKWRDFDNDDEESEEGDDPKTVENGHAAPMSNTQRQYGSMGRI >PPA10247 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig190:41409:42303:1 gene:PPA10247 transcript:PPA10247 gene_biotype:protein_coding transcript_biotype:protein_coding MQWRGETDVVTEEIIDQMADMITDRVEEINHRNRTHAPPTHCPIPCEYKNTVNTISLGLSIALNAILIATLIVVLTKGCGKASRRSRQLVPTEEQ >PPA10251 pep:known supercontig:P_pacificus-5.0:Ppa_Contig190:62192:62789:1 gene:PPA10251 transcript:PPA10251 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIEQTGKDSSQLLALAEAHERAGRGAKELHRTGLDTIRNHREQQRARSQRQPFCHICKLNGHSTDDCRYNGLNGESANVVSEDEASLYEYDIDHIEVHAVKLIPEVAPPKCLIQAVIDTTPIEFYPYILKIKQNICTESELNLMTKKEW >PPA10240 pep:known supercontig:P_pacificus-5.0:Ppa_Contig190:4322:4697:-1 gene:PPA10240 transcript:PPA10240 gene_biotype:protein_coding transcript_biotype:protein_coding MWCRPLFILLVFSLTLAVHQYFVTPQRYYEVELAPQLEECYNVSIECLSISLHDKLVLAHRELRGLMQREFTSSIHL >PPA10254 pep:known supercontig:P_pacificus-5.0:Ppa_Contig190:74204:82114:-1 gene:PPA10254 transcript:PPA10254 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tbc-1 MDEEISEGTSTLDDEWVKAGDASLGSSSINTSKNESSSISDGGSPAGMESEGRGAKWGRLLGVLNRPDPNSYEWDHLFNLRSQSILRNDCRQLAKKINNTKSVPELESFFTLYCKMRSIDYQRNNGWMEIYERLVRVPMATDQLFHIFYAVTTKFVPRDTRKNSAIYDLFRLILQYHDPAVCSKLETLKCAPLNYAKEWFNTVFASHMDEGPCHVLWTHYFERGDPFLIFFMGLVLVVNSREEILNYPIDEKEKLGTFIEGLARNLTEEDVADFVDLAVFYSQRTPQCIKEARYLPSYDFHCMIFGANLLDEFNEVSLSKLICFPISNQELMRRERSGDSKLIYHLTKAIRYFIVDCRKTDLYRRGNVPGSFSLDCQLLNIETHLAIASSMYTHRH >PPA10253 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig190:73444:73671:-1 gene:PPA10253 transcript:PPA10253 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAPDQFAIGVNSLKKYKSDQRPDDHYCFIGYGNYGLVISVQGRASKPFVD >PPA10249 pep:known supercontig:P_pacificus-5.0:Ppa_Contig190:51061:57805:-1 gene:PPA10249 transcript:PPA10249 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLISSLCLLLLPILAIASISEHAESLPKFPSPTRPRVAIIGAGMAGLSTARRLNELNIGSVDIYEALDRIGGRINSVPYCECLELSLVEESFDGYIQHGAQFINGDKNPLYEIANELGVVSDVLGDLEHVEGGRFFYGDCKVTNDDLDLFTDFTAPLDPKYRAIAHEDDVVSRRDAIYDVYQRDYAEFLQTHNISGHRRHVFDALSLTFRSYWEFEWASDWKDQSLRNLVDWDDKGAVGVSFTTNKIGYKAIIEKVAEGRGSARLHLNSPIGNIKWDDLRGVILTFENGTTVDTIYDYVIVTTSLGHLKKYHTSLFTPNVHRYRKRAIEKIGFGGSAKVFFRWANKFWNDEDHSIVTLAVKGCNGKDEVDAFDSEITTLQQLGWESNTMVAWIAGRGPRLFDEMEDEEISYRITDLMRRLTNNATLEAPELIVRQKLTKNKYLLGSYSYVSVAQARDKILHSDLAIPLRFGNQIRVQFAGEATHHRLFETAIGAFLSGRREADRLAEDWSARKPASLAGVGGYRLE >PPA10264 pep:known supercontig:P_pacificus-5.0:Ppa_Contig190:151122:158052:-1 gene:PPA10264 transcript:PPA10264 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRSSLLVAFCFATTVIVIEAGPVSAEEESHVSTDSKGKKMIDSLATNLAGAFMNSLFPQVSKAKQAAKKTPKEEEEVVVEAAPVLPPSPSREIRRSPVAYPQGLSVPLGQYSARQVAENYNAIPQDVPIDPRDPLAFQKRPAPLYLGAQQHLPQEYQYSAQDATAAAGRFSAKDLAAARYGRGGAGLPTSLGESEQPRDPFQGLPSSFANRRRAPASDPIPMGSMAKESAFEALMPEPAALLAGTSSNPGGMNAVNTLRNRQYMAKLAQHQHELQEYSLKQMEYLDNQRRYQQAMVDHQAGAALLMQQQQQQLLEEQIERAKTLQENPFTALSQASASSASTDNTVGGRLLTADNSIDDGRRRSAGHKRTYSDDDMVVTDENLKRYFKEQYGIDIPDDGSQLTDDERDTLRLLRQELQVKKEEAIREGSFKTMTSLKGKVMRQMQEREYAPPSSRRSSSESTSSRKSSSCPPCIPVKLKEIQGSWTQIYGNAASLKKTFSTIMSLEDMASEGARTLSMTSKKPVCVGMEVNGKKKDKSSLNFFFRDDSEENSVHEMKGDLFLQDDNSLLMSTDMYNSQVCVIKAGPSEVPQLEYIVLAETGGSSPCSSVHVFTRNIDEFQNRFYDDFADFMKTKLRHNEILPISKLPQADLCQLA >PPA10244 pep:known supercontig:P_pacificus-5.0:Ppa_Contig190:28953:29814:-1 gene:PPA10244 transcript:PPA10244 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKRYESLLFSCIPFEKEDREGGQKEDIIPIYVINNKDYVKTPAATSVNLRAGKICWKNRLVVPEQFRKFYLTPRVLSSHVPNRDISTTPKVATSKIARVHLEKRMKKLRIK >PPA10257 pep:known supercontig:P_pacificus-5.0:Ppa_Contig190:87767:91384:1 gene:PPA10257 transcript:PPA10257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tbc-18 MGVVVSTLLLFLPEEETFWTMTALIEDILPANYYSTNLLGLQADERCLVDNDVESSLVLINWFLTLLSSVTKTKTLLRIWDLVFYQGSVVLFRTILSMMKMKEEELVELAESTKSSADIFNALCQIPSTLTDDDRLFEYVRSFEFSVTDHLINELRKKYQAILMADQGTIVNVATDTNLPKQKMQRRKVARSKSIITNMFSSSDKAENDPKTKNIRQTELLVDLRESILQVCRYFGECDQELALTIITQADYTPQSHEKDMSNFLSGRRQGKKRARALLDFARQDEDELGFRKNDIITIISEKDEHCWVGEVNGLRGWFPAKFVEETSSRRARQNVFVVWRRGGVARCKIAFMSLLFT >PPA10241 pep:known supercontig:P_pacificus-5.0:Ppa_Contig190:7308:11373:1 gene:PPA10241 transcript:PPA10241 gene_biotype:protein_coding transcript_biotype:protein_coding MMVRNWAPKGWWRLTLLGQPDSIRKLFQWPAKARENPRRTFLIVFSIVFALLAVALLLSRLAHPLPKVNQEPTKGQEDKDRRTTRKICGSEYEEKAKMIRTSCEEIKKMKHRLSSFLFELLHSQKKENGGLQQMCCKDGCNQIELEESLCWEAPPSPILPHDSPSPTITLALLLLGGISIAASAVLAALWAYRRWRSNSGPVAFVPLI >PPA10243 pep:known supercontig:P_pacificus-5.0:Ppa_Contig190:23369:25396:-1 gene:PPA10243 transcript:PPA10243 gene_biotype:protein_coding transcript_biotype:protein_coding MQPCVLCVEYVDLEYGCLTYGEGFEDRRFPLGAHRVIDVNLYLCAEKMCNQKVDPNALFGSKPGTNDTSCHCLPPAEAHPAASIDQSLILGISIPSAIIVVFACAVTVHRGPSVQMVLLHSIGLKLRMGRWITITPMMTVGRVSSRRDDARDGRD >PPA10263 pep:known supercontig:P_pacificus-5.0:Ppa_Contig190:146924:149620:-1 gene:PPA10263 transcript:PPA10263 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIAVGVLALVATVALAQDIEFDNPSSVGCHKRPIDCGTASSKWKPCIERKVADQVFGSCCARFVPPECRGLCIYETNAIEARVVLMNTIQPARCRLYKYLSAVVHCASQTHDNTECCRANGVGALGPQCLDMCHPQSNPRALWGIKSLRKDLVVCLAKWDQIMSCHQSGLRARKVPRPTA >PPA10265 pep:known supercontig:P_pacificus-5.0:Ppa_Contig190:159737:165137:1 gene:PPA10265 transcript:PPA10265 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAAAAPAEGEQQGIRNLISRNAQKTLKFCSGHYVGAWAAIKGMAMRLFTIYCISNVIKTFTGGFGPAGVANSTAGAPVKGAIPARNMFDLGTNFDLYVYLSPLEKRFDRFDEEQPFWLRKGLTYGDWDSEETHSRVIPTPKSLLLNNSLYLHVFVVREGASPDPKNRAHVKRETMHSAKAMNKYKKKFYKKTANLLTGKSEQSEEDLAKAEVMTHEILNFYHPNMTINLILDHTQWTKGSVPSPLDEAVHFDPAGVQYQPILFLNSYWNLGSDYMPINETVKELNITFTYQPLSMFKFQLYASQQMKNKWSSMMGGDLMQDDGDDDQDALKQALIETNPILLGITVVVSLLHTVFEFLAFKNDIQFWKNKKNLEGLSVRSVVWGVFQSLIVFLYICDNDTNFVIKVSVFIGLLIECWKLPKCMNIELDREDKILGIIPKVKISDKGSYVESDTKEYDKLAFKYLSWALYPLLVGYAIYSLMYVEQKGWYSWVLNMLYGFLLTFGFIMMTPQLFINYKLKSVAHLPWRMLTYKFINTFIDDLFAFVIRMPTMYRIGCFRDDIIFLIYLYQKWAYPVDLSRTNEYGTSGVDPDGSALIAAAQSEETAAIEDKKEEEGTSEEKKTEKKEDQPPAKKKSAKAKKTD >PPA10256 pep:known supercontig:P_pacificus-5.0:Ppa_Contig190:85682:86592:1 gene:PPA10256 transcript:PPA10256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tbc-18 MKWIAAVEFAHSDMKNELKWSDVKTERLTSDKFEALIREGGIPHAMRPFIWPRLSGGSEKKKEAPYTYEDVLRHSSSDNPSIGVQIEKDLLRTLPNNICFYRKISVGVESLRRVLKAVAYIYPDLAGYWWDLSWVAIRVLH >PPA10266 pep:known supercontig:P_pacificus-5.0:Ppa_Contig190:165933:168869:1 gene:PPA10266 transcript:PPA10266 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRTEIASSADLNCDFASSCRWRNGTNGQEDSADFAAAQNLQMDDFHRITAIRPDQDDYFVYSYALAGRQESMLVSDVVNCQLGGANLKYWYWKTGKDVALDVCIRQPPGNRDRAALKCYDGLSATFAQQWQFRAIELPPVSQPFELIFRALFTAPLDIIALDNIQYNAILCEGESRVISTRSRRSTSSLQVMGLNEWRALSLTQGIQVEAGHAPVMLIVGDNVPEAAETSTSTTTPAPFTFPTFPTLPPLTFPTLTTETVTLPPETTTETPTTTTTICPPESTTVEAKETSSTEVAASIDATPSVNHMDNAVKFIKNIQPVLPYIPALVRSIQAIDPRVAAATGTDPLAELNELSKIVGIDLVETASEIRRAAAHSAPVVKEEERVVALVTDLSSRMDHRYDGMLRDRNPIRTDPPAAPAPKANIFGLERASRAPTFTSPTVYPPKLVKSLKTNVQRQPSESGLGVAVEETMDLSHLSTEEIKQLEQFHRKIFHRRGESTPPPTSLPDDLPTYAPPLVVFKKNRPETQSPGPPAIAPPVYHPPREELPEVTLGGANSMSDLLMEHMGDLKGILPPDALDDLSKLREIPDLDELTKGMDVSLINKPGGFATLKKQFMQRMIRRSLGLPPTDDIPPRFGKKKALPPPIVPIKISRKAMMKAMRAMNRRA >PPA10252 pep:known supercontig:P_pacificus-5.0:Ppa_Contig190:69172:71826:-1 gene:PPA10252 transcript:PPA10252 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVVAKLLAEGTPHVCVLDGGFKSLHGYLSSIHQLGKLHAHDPTICELCGGHGQHGHQTTGVLSLVSRLSKVVVSKGSTMKGKMTEMVNSRSMPEGITMHVSAKDRHGKKYRKSSQQVFSIDGESSEDELPHSSSVYTLKGEEKEKLLLASFMSQAEVIDSFECRELIGDKQLPAHIALTRTHMHILRDCVDQPGYATTTARLLLSTVYNVTCRKKIPEVLTFKFGYETEIGEPTITSIHRFYIPMAGECAKARRDRPRPEPA >PPA10260 pep:known supercontig:P_pacificus-5.0:Ppa_Contig190:100928:106317:-1 gene:PPA10260 transcript:PPA10260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-wrk-1 MSLAHNLLGAACILALTSAALIPLKERKPEDSKIKLVTPSQVDVDNHGEVRMECIFYNLVWDDIIVWKKGNDEPIFMDHDSAIDDTRFAIDNEETENADGGRKSVMTLERIDSSDDNTYYCGSVETNTFIPIRMRVKIHPTARMTPDHWPYIVLNLQPVSLKCSVTGNPLPKINWFKEGGQIPPHISVQGDTLHIMSAQKSDAGIYVCHANNTAGTAIAKTDLRVHAPPWARMAVGYAPVAMNGRINISCSYDGNPSPTVEWLFNGAKLTHGRPTNVGMNNLKQYGIRHDNHSESILENFNRRVLIKTVTQFKIISASPDYFGDYSCKVTNEHGTAKDVTYLSAMPSPPDLSLDGTRLTVTAQAPPTGIEELALFFRKPNESGWINKKSIAVAKGDQTGEKTWSKQINLSDFLESGQFEIQESIFDLCRCRLATTTGYGNKANDMDPCDLPTASAPMAASSSVIAALLLLIGR >PPA10242 pep:known supercontig:P_pacificus-5.0:Ppa_Contig190:18049:19828:1 gene:PPA10242 transcript:PPA10242 gene_biotype:protein_coding transcript_biotype:protein_coding MVGANVHHFHYFLCWDAPDSVTHIGPFSDVANASIEMAAMFLRQLLFSDLPALVDKAKAHPRRTISFFILLTLFIGFIIIVCSPSPHQVEKTKEQRDKEEHENRAICGAEFDRLVEETRSNCDQIKARNDRLPSILFELLKTSIEQKNGLRALCCEIVASGENNSQFVGNNRKFRFHTILQPKECRDAK >PPA10245 pep:known supercontig:P_pacificus-5.0:Ppa_Contig190:30227:36698:1 gene:PPA10245 transcript:PPA10245 gene_biotype:protein_coding transcript_biotype:protein_coding MYCKYICSFTVNNLFVEVLTAFDYVCAGSIGVYAEIVSGEGGHLGVEIRRVETDDTHYWPGCGTKGYRNPMHDEGLRNKGIP >PPA10255 pep:known supercontig:P_pacificus-5.0:Ppa_Contig190:83869:84810:1 gene:PPA10255 transcript:PPA10255 gene_biotype:protein_coding transcript_biotype:protein_coding MSETKYKKGQKAKYAESLTEDKDESTTKQRKTCETSAEIDAVIHSSPFPQENEPCGSILPSVLPRIFDDPAEFFNEYGFRKSEQEEGRDRDMTAVKEDAMEQKAASEHRAYILKEE >PPA10248 pep:known supercontig:P_pacificus-5.0:Ppa_Contig190:43860:51142:1 gene:PPA10248 transcript:PPA10248 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQSEDITVAWGQQPHHLDQQYGRWRLAVFQDVQEAIDSSKLYFLYDPDADERSMTTGSRKGGASIVVFDLNLRCFVAELPITVFGRRGKPKFLFALKAPASSGGTSFVFVSESEGNGGMYQLHVSRIDLWQDGLSIADNRPLLSQPMNVAGDYICTMREDAPEMVVMANPGLQVWRVDALAAGPSQPNYFTVPGAELRHFYDGFLSMGSVYLLSAFADGNLDYTRVHVLSLENPGQINTHTCHADPARGMPPARQQAAIDSTSGYILVAGGEIDYGGNVQRLSDYWVLDLTSFQWQQVPSSMPIPLIEPRLTTTYSGNVYLWGDFDQPLPGMPHGTHLRILRIRGFGQKAAPPSYDAATSASPYPSVTPSPTYPSQPIAPYPQSAPAHPPAGQNAPAYPSYAPNPAAGYSAPGAMPQQGAYQAPGPSYGGDYPRQDGQGYHAPPGQQAYYPPQEKKKKDCSIILYPPTPAREAGLRALQSSAKRSA >PPA10267 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig190:169168:171471:1 gene:PPA10267 transcript:PPA10267 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSEGAGGHFDGIAMNSVGTLGSYANIDSSPSHYDSHSSPALAPPPPPAPKMPSSVVPQVAAQGGRNYQPVYTPRRGAQFDSLCPAVDCNFDDNSLCHYVTSTSDSDLIIALPADGKQREFGLSSSKVANTLTGIPSDLLHKGYFAYAAHAEKGSDQFVLSTTNPVAIPAAARLDFFVYLAGVHGRLRVCLDNLSNCPFELRGRDINMNSRQWKNYHVALPEGQHAIHFVADRLKKNYAIGIDNIQLLNRYGMAALACR >PPA10261 pep:known supercontig:P_pacificus-5.0:Ppa_Contig190:129738:130711:1 gene:PPA10261 transcript:PPA10261 gene_biotype:protein_coding transcript_biotype:protein_coding MNEYCGTFALFAIALFEVVLIGQLYGVKEYQKDLRWMMGEPTSIFGKLIGASGIAILFNWAFIAPIVLLILFSYALYSYFDFTVSYGKSPRTYYYPEWAAYLGWVMAIIPLVQIPLFALINVFLYNRRKGVGFEAVQSPTISIFLL >PPA10258 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig190:92575:93470:1 gene:PPA10258 transcript:PPA10258 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCAHFSCWASMNSVCICGSTSSVERMIKCELRLLAQFAFKLSPTFELDGLSKNGPRKPGMLSSAKKKMMAQVVGMDKGALQNGDEPLKEGVKDILIKHHLFSWDL >PPA10268 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1900:1100:1651:1 gene:PPA10268 transcript:PPA10268 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYAVVGLLLHDIYRLYQLPDASTSRFAVPPPAAAAAAGAAGVAGAAAHAGSAAKSPKREDKTREA >PPA10271 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1906:496:778:-1 gene:PPA10271 transcript:PPA10271 gene_biotype:protein_coding transcript_biotype:protein_coding MRALERGGRGRGRGRGRGGRGGRGRGGSVAVSVAAGKSTTVQGEGQPPEEEKGDRREKKERRSKTARKDMEEERESTDSDYDQPPVKKGRGAGR >PPA10290 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig191:78808:79955:1 gene:PPA10290 transcript:PPA10290 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLLFIGGSHLDHSFESILNLIDPSPRYSSLLHRNDNIRSSNYRTRYADVYPIRLPDSIALRVTINNGETQSWNGDNFRLSLKVSQYGSLDGCSWTDVSAFASLVDTPACENGAPCPFPLGDSQITVRTDLSSFELHPFTFQPVINLLKNDSPYQFVYVFSDQSTSRSFGFTLQARALTK >PPA10308 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig191:174676:175870:1 gene:PPA10308 transcript:PPA10308 gene_biotype:protein_coding transcript_biotype:protein_coding MSARVRIASKDDEKEKEKDKKSMQNKQRRMTTGGAKPNTTIDVEPKYTMETRSRRKTMMATKNDMNEEEEEEIVMKTKTNGRRKTITPKRKSTVVKTNTVEEKKKIVKTKNDTIVQKKDTMEQENEAMNEKWDTVEEENIDWQNEDHTQSIIEDDITMRAEVITIQLNDTTVVEDDIDRQTKNDNQSVLRTSPSLHSIDSPRQEKRRSARKLELKLDLVLEATPVHRFAAPIKEKMNETKRGEEWDTTDEVFT >PPA10315 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig191:205189:205380:-1 gene:PPA10315 transcript:PPA10315 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVKKGLMVTCDPAMKQLLIHLDETRALGSKFIVKSTFILNCLVFVNDR >PPA10309 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig191:176420:177143:-1 gene:PPA10309 transcript:PPA10309 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLFSALLLLSITSLAGGQDFGNLWNSITNGGSSVGNSAVDIAKSAWNLVRNGGSTVGTGASNLTQSVGNFVQGNGFQTNANYDQQRNGQSNQYSGQGYYGDSPYKYNGYDYGTQRPSYQNQNNYGQGGEQQFGNNPYYQYNGYESMYNDNNSPNRGRRYA >PPA10275 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:31939:32719:-1 gene:PPA10275 transcript:PPA10275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-polh-1 MSRVIVLVDMDCFYAQVEQRDKPSLWGKPVGVLQYSANGQSGLIAVSYEARPFGVKRGMTANQCKELCPDIEICLVPQGEYADKADIQKYRDASAEVFAVLGSIDSRIILEKASVDEAFLDCTAYVESRLLDPACLPTSFIVDGKEDEKDEDETEGEDNCVMIMLIRIIHVQMKRKLWMKRLD >PPA10303 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig191:151029:151377:-1 gene:PPA10303 transcript:PPA10303 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDRHETSDAFVPPFSSFSAAAAADRRVATISYYDNTHSYIDKPRRIEQQRQKVNGSIASSQLQRITDC >PPA10313 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:197877:198938:-1 gene:PPA10313 transcript:PPA10313 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKLAEEVEAISMYEDALDEQADDLGKLCEAARKRIRHHERSKPYLAPNPVAQAPYSPTPTSTSIGQKRYNQLIQQECHRFVVDKGRHRLQYSEEAEIAVKMRSVLSTMFRRMG >PPA10316 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:210060:212880:1 gene:PPA10316 transcript:PPA10316 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gly-5 MLPARRRSLVMKLVLLVPAVWLIALFFFASNGDDTHIPPVPPEEKFKVGEGDEGVAIEKKEIKVVQGFGEPLKPKEDTVEEEENGKDGHGGDNQKPPVLVKPVFTFDADSPIYKKGDPNQDGEAGKAVKVDKDKLSAEEKKKYDQGFTNNAFNQYASDLISIHRSLPANVDEECKTEKYLSDLPDTSVIVCFHNEAWSVLLRTVHSVIERTPDALLKEVILVDDFSDMEHTKKPLDEYMSQYPKVRILRMEKREGLIRARLRGAAIAKGKVLTYLDSHCECMEGWIEPLLDRIKRDPTTVVCPVIDVIDDNTFEYHYSKAYFTNVGGFDWSLQFNWHAIPERDRKNRKRAIDPVRSPTMAGGLFSIDRAYFEKLGTYDPGFDIWGGENLELSFKEHWRSSPVPITGVNVLKKNSVRLAEVWLDEYKEYYYERINNQIGDFGDISLRKALRDKLQCKSFKWYGS >PPA10306 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:168663:170117:1 gene:PPA10306 transcript:PPA10306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gpdh-2 MTSHQKVTIVGSGNWGSAIARIVGRTATENPGHFDKTIRMWVFEEMVNGRKLSEIINTDHENVKYLPGKKLPENVIAVPDLVESCKDASILIFVVPHQFVAGICKQLQGKLAPGTQAISLIKGLSVHKQGGIKLISEEITELLGIECNVLMGANLAHEVADDVFAEATIGELN >PPA10292 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:84578:86701:-1 gene:PPA10292 transcript:PPA10292 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFSLVRSFLIPSSRSTISLTLRMSHDQFQARIPLGTTVIDQFLGLKPHPTKCQATYIWIDGTGENLRCKTRTMDTPPTSIDQYPVWNYDGSSTGQAVGRDSDTYLKAVSDYPDPFLGGNNRLVMCETLDKDMKPTATNHRAKANAVMRQIAHHDPWFGMEQEYLLLDRDGYPLGWPKNGFPAPQGPYYCGVGANRVVGRELVETHYRACLHAGLKIFGTNAEVTPAQWEFQIGCCEGISMGDELWMARFLLHRVAEQFGVIVTFDPKPAITMGDWNGAGCHTNFSTAEMRAPGGLKHVEAAVKKLEPTHAEHMRMYDPNGGRDNLKRLTGRHETSSADKFSWGVANRGCSVRIPRSVAEEGKGYFEDRRPSSNCDPYAVTGMIAQSCFL >PPA10289 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:75651:77679:-1 gene:PPA10289 transcript:PPA10289 gene_biotype:protein_coding transcript_biotype:protein_coding MADANLAACQWYHGLLPREDIKQLLRNEGDFLVRMSEPKEPGKREFVLSVMFDPKADLIKHFVINRTITGKFSVDKDSFESVPDLVKHYVDHKTSLTSSYKCLINRPTTRRSWQLDHIDIESTKKLGEGAFGEVHRGTLKLKKGGKKVDVAIKLAKLDVMTKEQIKDIMREARLMRDFNHPNVTRLYGVAATQEPLMLVMELANNGALDSYLQKNECNLEKKMEMCTQSAWGIEYLHEKNCLHRDIASRNCLYGDGKVKIADFGLSRIGATYQMNPKCRVPIRWLAPETLRTAMYSQKTDVWSFGIMCWEILNNGQEPYPGMMVAEVHVKVKEGYRMPLEWPGIDSKFVNIVMSRCWAENPMDRFSMSDIAKGFEAFTKIPRPHFDEGKKSDASKDGSRQSDMTGKRKKSK >PPA10286 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig191:66823:68358:-1 gene:PPA10286 transcript:PPA10286 gene_biotype:protein_coding transcript_biotype:protein_coding MATPYSRGYDLTGERDVSRDGTFVHNSADYGREPETSYREHDRSIREIERSGDSRYAINNYGYDVHEVHTTEGGARIVETHGGGQLDTSTQSRLEMSGGSRPIEETPMRRGISSALRSSSASALAGGKQGGRPVYTVPVAPLAQRESWRSGNLDEWY >PPA10285 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:64776:66585:-1 gene:PPA10285 transcript:PPA10285 gene_biotype:protein_coding transcript_biotype:protein_coding MNEQTSFSRKDSYRAMQTSQDDKGAFVAIRNAELTPSLLLHLCCILFLLLLLLILVIVVLSALFNRYSVREFLLFPPVCEECRRKNPALISSALPSSVFVHYYSANQAHFELRGNQPFKSNSFTAVDFTSGYMAIADHALTDASGRHHTCFLLPLDRSALPSMESLMDALDQSSYEIQSQFGWQEFWQFEPEPMDSSLARAKFSDTIRDCAGAKWFLLRQTVSPRDGSCSDCYDFCLPDWAVVRKIKYETESTLGVRRLNCFRLYVPEWREFSIKKRFKWRMGQLVA >PPA10311 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:189117:191540:-1 gene:PPA10311 transcript:PPA10311 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-flh-3 MEFSPSPSADETGDTSSALLALLDEHNVRDNSNISLGELVTSAQSQPQGKSSKKKAPRFKLTDNGFVFRFDKNSVCGERQFWRCERKSECAARIHTDMKGTIVKRINYHTHEANPNPDQVYLPKKRARLSPSNNSMDHNEGNGLPFSPTLRDELSGTNNGTFTATAMVNGGINGILDVFVKEEETGEMILNKDEARAGTSQNINGLVESDEFWKIFHLTKKVYAIVKKEVEKNEYSKTELLRLSVEECKEILEDVAEGVRMHHALRSECEENSNGINGNHNESGTSRPPIKVYVSNSDEMNPIYKMITLSEGTRNAQSFKSELVRRGIFCEDANLTICVNEGMLTVELCDEFI >PPA10295 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig191:97096:97638:1 gene:PPA10295 transcript:PPA10295 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSIIAASTPSTIGVPMTTASSHSEADSLKLLDPKMMSSNLSKEASASRARQLPPPQDIIVFVVGGGNYVEYQNLVEYGKSKPGVSRVTYGTTELMNPKQFLDQMSRLGKLIR >PPA10284 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:63275:63851:-1 gene:PPA10284 transcript:PPA10284 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLILLCLLVISVYSIGIGRTQSSGVKGRLMCDDRPAVGVKVKLYDDDRGIDADDLMADGKTNSDGYFELKGYTSEFTPIDPKLNIYHDCNDGVKPCQRKFTILIPDSYITQGETPRKIYDAGQIQLAGAYPGESRDCIN >PPA10305 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:164990:166429:-1 gene:PPA10305 transcript:PPA10305 gene_biotype:protein_coding transcript_biotype:protein_coding MMWILFLLIHWIDIVQSGLPPLIFSINCEVFFERAGAKVFNVLLNGQPLISNLDVWEKAGGRGKPYDTLESFKIQDGNLVFSNVLLDFDGTLEITFSRGLADNPKCNAFALFRGSPSLVPRPLRLQPPPQQKIHHALLLLWKKTMNRKIIVHPISTVVDRE >PPA10310 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:178207:183093:1 gene:PPA10310 transcript:PPA10310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-abce-1 MNSRKGPMQNNDEQSSMTRIAIVEKDRCKPKNCGLLCKRHCPVNRMGKQCIMVEQTSTISVISEVLCIGCGICVKKCPYEAIKIINLPSNLGDQTTHRYSANSFKLHRLPTPRNGEVLGLVGTNGIGKSTALKILAGKLKPNLGKFDSPPDWPTILQHFRGSELQNYFTKILEDNLKAVVKPQYVDQIPRAAKGTVDFLLSKKCDKENKLAMMKDMELEGVQNRNIELLSGGELQRFAIAMCCVQQADVYMFDEPSSYLDVKQRLKAASVIRELISDSGYIIVVEHDLAVLDYLSDFICCLYGVPGVYGVVTLPSGVREGINMFLDGFIRSENMRFRDSVLSFKVTDQVEDIKRSGSVKYPAFSKQLGDFKLSVKAGDFSDSEIIVIILAGALKLDDENNELPQMSISYKPQKISPKSESTVRYMLHEKIPNMYQHPQFKTDVMTPLMMEQLLDREVQQLSGGELQRVALALCLGKVANVYLIDEPSAYLDSEQRLHAAKVIKRYILHAKKTAFIVEHDFIMATYLADRVIVFEGEPSRDAIANTPQPLLEGMNKFLKQLDITFRRDSETYRPRINKMNSVKDVDQKRSGNYFFLDN >PPA10319 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:230025:232273:1 gene:PPA10319 transcript:PPA10319 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-amph-1 MADLFNKHIKKATSRTKEKLLESVGKAQRTQDEAFDFHAGNLNKQAKACERLHKDLKVYAEALKKLTEAEKNLHESVRQAYETEWPDREHVVALSNTLDIQADELEKKVNDELMGSVTAYVGQFTDLKKKVDKRGRKLVDYDHAKNNFNSLKASSKKGDADPKVTKAFTELTQAEQLYKEMNKELLEVLPATYDSRITFLVDTLQTLFNSRSTHQTECAKLHKQLVTQLDALGVSMDSLRVARPEGLSTTPQREESPSRSTGSPAPTPSPLPQHSPAPSSGAPSETAVAPTPTKRTSIVEEKFENQLYPKLNSTPGAKESSRDVSPAAPRTPESRKDSKESSKDSSKEVPHRRSVGANPFGDDDEDEKTSTKENVDKKSTNPFDEEEDEEIDAEKIEHEIAGGRKV >PPA10304 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:157926:164238:1 gene:PPA10304 transcript:PPA10304 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLMNLPDVILDETEENREAAASIEEEMHAEEEEEREEQPSEDGEGREDAAAMPDREATDLSGMELRDEDMIDDEVPNSPRGDELSVNEDGNDDGEYHDNIIQSPSGGNEESSERNEIMEKDDEDKEDEEEEKGDEEEKKEEESEDKEEDGDGREKEIIEDEEEGREREEIRDEDEEIVEKEDDRREENEDKMRKDNYEEEKEDDQPESHSLPPEGPSSSDEIIEEKEENEIDEDPIESTSDNIEENTVEHAVEDTCENPLEDTMEDTIEDIVEDVGEDLGDDNVDNIIQDPIEDNEEGPLEVPVEETIEESIEDNADALETATIDHRDNNDEEMREEQLSSGKGGGRGEEEKGETVETIPILQPIEEKEEEKKEKEQEERREGEGTISDKKDDDDEDIQFLEMVLPAATLPLPLPLPLPLPLPLPLPLPLPLPLPLPLPLPLPLSTGEGTIQPIQKMLSPRKDGVPIVESSGGSQAVRQRQLQHQQERPKGYLLQQGGFQQIGVPVMDDSMVRRMKISGGIGSGGTVVINQSPMMATASSSSSSSSTRSNPSLPSRSAPIVPLPPSDRKEKERRKRKAVEEKEKEKSEEPEPEEFCVCYSSSFPSPIRVSQMGDQRRLRPPVYYDDAPSNWRTKEGIHTIRMDKIVIPSKLPSREKWVGMDLRTYEGSENVDDIYTVSRIISWRDEGSEYDLAQEAMKEQEAAKEKEGKGNSSRPPNQGNEQRMKSPRPTTTIQSTKSIPSGGGRPTGGMTGGQTSTQVRLAPPPPTQTKEVDRETHKAQVLQKIESARRGYAAAREASIMRMGMTYQIPQADGTIKEFVLAHLPPEQAQRPGFIQVLPQSIPSTSSVPPPNQSPVKVVINQRRAVVSPPNPLSATVNVTPPGADDLSTQCSNRRFRRILNADPVAAHDVIGQSAVAIVVEGPDRGVCSGTLISPRHVLTASHCFTQHECTKTAKPKTVVHVGAQCIDEPSCKGTVIGVKNYTSFPGYFPSECEGKTKVGLDITVVELEHDVRNGVILVMVHLRLEQDRTRLFMGIV >PPA10281 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:48972:51427:1 gene:PPA10281 transcript:PPA10281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-him-3 MPPAVPHGKTTSGSWSATFPVDQESFQDSTLFMKRLLYVAFSQIISSRDLLPSNCFKKRRCENLRLYVFNTVIPEAFECADQLRAVCETIEKGYFRELHLNIFDEKRKADEIIEVYKMGVTYGDDKVSPSVTLSSNEMGRVEIDYKGKEVLKDQTRELLIRLHQITEKLADLPDTAQWTFYILYNDEKTPKGFQARGFNRRPEPYSIAPDAQKLVIGDSSANHHACHFEVTSVFIEDPVEFEEMDSRDTTIDVTTPTKDSNLNDTFDAIPPPSEDADPPKELKRRAKAGGGGVVADLEKAAQKMQIEDKNGNLISASSPEERQPAPKSMSPKKARQTKEKTASPSKTIKASKAVKKTPGNVGVRSSKSN >PPA10288 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:74096:74564:-1 gene:PPA10288 transcript:PPA10288 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIVLFALLACTSAQFVAPGFGYSGFGGYAGWGYGLNGLNRWGSWGYPGWGYGGWAAPSVATTVTAAPVVAAAAPVVTSTVTAAPVVAPVAAPAVVRPTIIAPQPKDTKISIIQRA >PPA10277 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig191:37555:39774:-1 gene:PPA10277 transcript:PPA10277 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGSGMNGYGGEEMRGQDFGSIDSGIETSKREPWGGTEELIGRMKGIQGHRNSCYLDSMLYTIFVQCSAFDSILTRRKLDGDIKEYDDLVRILSTEIVWPLRRFHYVRADHVMKFRKELEKAMPNIKGLTSEEKDPEEILSSLFKDVFKTNPYLNLINSKTGKVESTWLYHVVVDAGEWQGNIASSQHLLERSLRKDLTKLSECPQVFIMQLPRYGVTKLFDKIVPLEKIDLTPFINNTVFACAQCQKNPAELYCHECFLTKEALYGEVTFCAECFRSVHSREGLIDHADRPIPPPSRPSKKMTRYTFTLSGVLAIETSHYVSFVRSSQGRWLFFDSMADREGLQNGFNIPQVRECERVGPWLSLNGYQRLRSNPSSLPHDDPLVNRLLSDPYICIYTADQPTVTSSNATTSLLSKLTKLKI >PPA10317 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:221705:224303:1 gene:PPA10317 transcript:PPA10317 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-bath-43 MWTINNFSFCREEMGEVLKSSTFCAGVNDKLKWCLRINPKGLDEESRDYLSLYLLLMQCNKNEVRAKFKFSILNAKREETKAMESQRAYRFVQGKDWGFKKFIRRDFLLDESNGLLPDDRLSIFCEVSVVADTVNYTGQSSLTQFKMPSCSLSADLSSMFDQPAFSDCTLVVVRPREHRHTAVESARSGTQANRSSEDDVDMKTSPSSSTSCYLSQMASCSSSTPSSQKRDETEVVCMGDHRTFYVHKAILAARSKVFRAMFEHKMSESSRNEVIIDDVEPEVMRELLLYMYTGSAPNLDSMSQVLIAAAEKYDLERLKVQCEQSLCHALTSDNACCTLVLADLYSAEQLRVHAINFINMHATEVMGSDGWEQLVKGHPHLLAEVFKALASPLSPPLVVCAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSLFYPN >PPA10312 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:195262:196984:-1 gene:PPA10312 transcript:PPA10312 gene_biotype:protein_coding transcript_biotype:protein_coding MWCSDLFNELLPLCSGCQSPFDVRKSGKRRCLTCDKRYTSFHLNTALGETIERIRVRREALESQSRRCDECEKRAYLSWMRRCITCEIQLKEAQLTSVICMECCVDRHNGHALRVVTSDSYVLKEDKISDHSSMNSPRLQSNTRLHSTSSASSGFSSGGMTSSPDNIIDTRNGISHKMSMFFSRVTTRSGSLPPHQSPPSRKPSMMPPPFPQTPDHKRSLSSHQPPPPTVTLPVVPSPCVARGRRVSSFRPAMGTLSPYMTPSRAGDKSVLWFVSIRGYD >PPA10296 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:126820:128060:1 gene:PPA10296 transcript:PPA10296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-49 MYTWCKKEENGKCGDAVKLEPVELPTYRIAQFCRFNKTAVTASGAYDRLGVTFVLDRESGFYMLQIFVPAGLVVAISWVSFWISRDSPPSRTVIGVMTVLTETHLMTGTNRRLPPVAYIKAVDVYLGFCYLLVVLALIEYACVAYSKKKNEDRKRRESKLKKGPPSPPQPDLLQDARLARCTCGDPHEPTVMAVFLLFNIVFWLVLLHKAQRLSFFSSTIIDRCPSRLN >PPA10307 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig191:171331:172874:1 gene:PPA10307 transcript:PPA10307 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIGESRSIIYGGRELNKYELASIKYLEEVMREDQYVRIPCDPAGDGEVCCCPKKAQHGQMLKQLFQTPNFRITVVEDAHTVELCGALKNIVACAAGFTDGLGYGDNTKAAVIRLGLMETTKFVEHYYPGSNLQTFFESCGVADLITTCYGGRNRKVCEAFVKQKKKSLWPMKRVLTRVVRMMTYN >PPA10321 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:235845:237087:1 gene:PPA10321 transcript:PPA10321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-col-14 MFPMILHYVQTLESSIQMDLDFCQSRARDLWREMLDIRTGGKKDSAKLARIVMAQRRLTKRDTLDEYWDRRLQDQALRDQPYDSPVLRPANVASDYGVYRPKEDHYVEGFSCTCHRGAPGPAGMPGRDGADGVDGEPGAIGPPGAPAPPGPDPSSLFPEQCPCEAPQGEAGPKGAPGPDGQPGPPGSPGDDGKPGDQGPRGVPGMPGQPGQPGRPGSPGEPGEYRTEVGPPGRPGAPGRPGAPGSTGVPGQPGPDGKPGPQGPNGQPGPPGQPGQNGAPGGAGRQGDSGRGGSCDHCPPARLAPGY >PPA10314 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig191:200315:202074:-1 gene:PPA10314 transcript:PPA10314 gene_biotype:protein_coding transcript_biotype:protein_coding MKLERKESRMGHLSVMLFESPNPLVARLATRFAKPDGVKWVQKREVEEFIANQKVSDLPGVGYSTLNKLGEFGGADMKCGDMRKFEEKELEGVLGKKTAHLDEELQQFLSSLASQLEKKLLQARMKAGAITLKVMVRAADAPVETAKYLGHGRCDTHTRCGRLDPVCGDAEKLVNEARKLMATIKAPVEELRGIGIQLGRLVPSNSAARPLASQLNRFFGRKRNGGIIEGIEKMEKEKENEKEKEEEKEEGMKRPRLEQNEDGIDDVSGRERL >PPA10293 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:88449:93357:1 gene:PPA10293 transcript:PPA10293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cul-2 MRGRGIVAESIRSFGALSHHHHSIVPQAQFPVRQQSLLIKKTMYSLRPKAIDFDAMWGSLEPSIIDIIMLKPITNKEWNYMFGDIYEICVAIPDPLTDKLYAAVKSALERHATTQYEKLSDVPSAELLNEYHRLWQVYHAGARYVHSLFRYLNRQYAKTRKTNEVNTMPDYQNFAMYIQEPETKEIGSLALEMWRSRVMCPLLQSLTSLLLAAIADDREGKSVGDPGIVSGVIQSFVQVEESFGSDFVAIIPQDKPKVNFEFYRQSFENKFLENTKVFYGQLADRLLEKMDCSQYMRTVIGKLEEEEKRTHRFCPTITLAPCTKICQEVMIERHKERLHAVAPEMIENEKTEDLRNMFILLKPLPSGLSLLVAEFEKYVKRKGHEAVGSLQGDTIPQQFVERVLAVHEKYAAMKDQVFMQNPEFSGALDKALQAVVNVREDNKKGPPKASERLARYTDLLLRKSVKGFTDPEMESSLSKAIIIFRYIEDKDVFQKYYQKMLSQRLILSLSVSMDAEELMITKLKNACGYEFTSKLNRMFTDIGLSKDLGTKFKDYLVEKNIKANPTMTPFVLQAGAWPIAATPTAPIPTNNDNEGNTPQLSFVIPSVLADSAQAFQAFYVGSHNGRKLTWLWHLSNVDVKLTYADKPYIAVMSVHQMALLYCFSEADSMTLESLEEQTKLTGELLQRNVKALTESGLLGMEKKLAVTEQLPSDSIFTLNKGITNKKIKFKVSVPQMQRQQEKESEHVNTTVHQDRKYYMECAIVRIMKTRKVLRHAALVQEVIEQTRTRFSPDIPFIKKSIEDLIEKMYLTRTDTHDEYQYLA >PPA10301 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig191:145174:146045:1 gene:PPA10301 transcript:PPA10301 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKKEKEDEKERKKNSSTNAWNQAFYQWYADDQQKEFREEYRAKYGKDARTVIRKEVYTKLDKEEKMIWLEKAKAKIEAMKKENDGISSPMKSPPDSTPITPSPSTSSTPISRPKRSKSNKIIPFDPSPSTSKSTFSMEDLSMEMDDEMNENPSFLSSISQLQKEEDRRRIN >PPA10291 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:80241:81090:-1 gene:PPA10291 transcript:PPA10291 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dlc-1 MTDRKAVIKNADMSEDMQQDAIDCATQALEKYNIEKDIAAYIKKEFDKKYNPTWHCIVGRNFGSYVTHETKHFIYFYLGQVAILLFKSG >PPA10298 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:131884:133592:-1 gene:PPA10298 transcript:PPA10298 gene_biotype:protein_coding transcript_biotype:protein_coding MKARDGGKDGIIYAFDKKTRAYTIKDLLQKHMCGLPIQNDKESIMLLNPIAKQAWELRREQLELVKKLGEGAFGEIKKTTNNEKATTELQKEGALMRKFSHQNVVRTYGMVIERDTIMVVMELINGGGLNDYVKNNKVSMEEKGSYAIDIANGLAYIHSLNCIHRDIACRNCLIDISKKQAKVSDFGLTRQTETYKIQPNERIPIRWIAPEVLTSYQYNRAADIYAYGILVWEIFADGAIPFGTMTNQQIKEGIQGTLRPEWPPNTPRDVIETISSCWSGDASSRPQLNEVKKSFSRFKKANIESETQDKTPQGGYPTDVIGGNGGKKKKEESVKRKAGASIKSKPKSKDKNHFTPYSDYRSNTLN >PPA10280 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:47211:48242:-1 gene:PPA10280 transcript:PPA10280 gene_biotype:protein_coding transcript_biotype:protein_coding MIWACQSTVLDHYEQHPRSNNIQENVFESSLGRNADGNRPLGLKENAWNSNGKSGRDVGKLIDVDTPTSSSEEDEEDELKYRLLLKKIDGKKILLKRLETDGTPTASRKIVIEDEDYVIEKGTIVRELDDSNDEKRIIDLLTKTRGWCTPIASIDIKNAYGIVSEGYLTKLTMEEVRILRPIPIEYRYDLHHDFARFSFIRHLKEGDEVEIKDN >PPA10297 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:129615:131683:1 gene:PPA10297 transcript:PPA10297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-arrd-24 MADISIEFDNTYGVFVPGYEITGSILFTVSESPLKARAVIISVHGQGRNHWTVSERHTNSLGVKGTQSQTTHRTVTYSATCPYAEGEAVVWAPPVGESSGFIPVGTHKFPFKFLLPVNCAPSFEGTHGYIRYFCKAKIDRPWKFDHTTKQVFTVVPTFDLNLIPSASNAIQSTQSKDTGFLFFKSGDIKLTTRLHKGGFVPGESISLQTYINNNSSKSINKIEVKLVEISHYTAYRNGQTVTEGCPVNRSSQQRVHKRTVTELQERLDIEKGSDLDFPLVIPIPPIVPTFNQCPIITVDYYLNVKATTSGAIGSKMLLLHMKNVYSEQGQSKMKTIIKVSLLDTSSIPI >PPA10300 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:138420:142573:1 gene:PPA10300 transcript:PPA10300 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKKPVAAGESCSKRRMNSESSSSEAGESIYDSDHQLAMDIAQRRSTRQSARTCMLKNRGDSLVYEEMKAQEDQKPKDVSYDYQELLNDPAYNKPELYKSMEPEEFNMDYYHRTGLTEVLKFSCPPEKLGMKMVDDDFTVDDVEKLVGGDRMITVVVVATQQSEDMPLKKFIEFYKNVDGRQSNSSKDDSKRTLYNVLSLEFSNTALTDLVQSPSLVREIDWASSWPDERKMRSISFEDDGTFTIQNRYPRVENYCLMSPNECYTDFHIDFHGTSVWYHVKKGKKVFWIIEPTEQNLKMYEEYLKNTESSAFFGTIVETCARVEVLPGNTLIIPSGWIHAVYTPVDSLVFGGNFLHSRSALMQLNVLQGENRIGINKKYRYPYSEEAVFFYLNKVVKEVTGRQYIRPMTRNQQNLYYEYVGEQFREKNMHHRVPLKADYDDEGIVWKQQWEETAEYIESKAKLAKWDGNGMEEIEKDEENHDKKVDGDYDGMPILSPKKEVNEDKKKIDAKVEEKDMPEFGGHDDMFIDEFTFYHPVSFNLDAGRGATAVHTLPLSTVEPRIKISKVTLKKAIHQLEVVQWEGLIVNLLKKSKVDVPDGLTRPNSLIQTFCTLLRCRRQLVEEEEGIKLGRCVLPDKEGSRRLHEEQKRAAAERKRKNEEKKMKIEDGDGEEDTDWTEDCEQPKKKKTRKSEEVGKMVMVTRSMTRNENEAIHTEIDPEKAK >PPA10273 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:18506:20200:1 gene:PPA10273 transcript:PPA10273 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPRKKPSKNKPKNEDEAPEADDDGTQVDTSAKRKKKPSSGGATKRKRSNATLNDGPQYKAAFKEFALNSCKIGIPGLLAEFDEIKKQTQAIGATPKVAFDTNPDKNRYKGTGPIASTIDDFWRMVWQEKCKSIVMLCNIVECGKKKCEQYWPEGAGQEITYGSLQVKATSKEEFEKLMTITKLTMSDGSDCHDVEHIIWNNWPDRGVPADVVTCFKLLDRLKKLSPTVIHCSAGIGRTGTIVGLDLILSRLKAGEVKTAKEMVIDLRSKRHGSVQMDIQYLYIHRVLIALGLSRKVLSTLLLSQSIFLVEGAEGIGIRYCCVFN >PPA10274 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:25323:26385:-1 gene:PPA10274 transcript:PPA10274 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEGEGEKREGIFMVDNLDDDDDDDCLIVEEDDEPGTSASRPTRRPSIVEINESTFELDDEGWQMWPTSGVPSSVPSEVDEISRGGTESRCSIDEKSRGSEGGKKREEKRMVGGGNRWEGVNNDVLMELPENIRKEVIHMEKLREAKEIKEKRAKKEEAITKHQPKKRKGGKEEKEISKKSKPISEFFGDKAKNYIPPQTV >PPA10320 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:233526:235123:1 gene:PPA10320 transcript:PPA10320 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNTSILTTSLLPLSTVDQSTNTSTIPSTTVSIHTTESAIEQLVAFTDSSSSNEQVLEILNNETISLDEFDYSDNSTTALPTTTVATPKGMIRQCKCSETLACKLEARNETENCFDHCDEQLGFLGNNTQLYIDCFEKNKDGITHAEDCLESSLSTTCNPSYIPQFLEARDHEEYVNTKFILNKEPKVSPPFLLSFPSPSHQKTRPLLQRTHHVLAGFHEFFHCTKNCIHKRMKGCLRQNNCSIRLPSVPSFGEMMNSCAKNNIKVSRSLRSTCQCLLHKKKLKHLAGSCAVITPFRVKTI >PPA10283 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:57385:60624:-1 gene:PPA10283 transcript:PPA10283 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cbn-1 MERIGGRSSVALESQVDLDGDGALSLAEVQYAAFVHHGLSGSVVKQLFGEGRNVVFVLIVYLEVDGDHDGFLTLKEFDDIRPLVLARAENAAGHYMKTIDADGDGKLSLDEAQKYILKEYGIGSRDVERVWKLVSPSTEQPMDAAQFSKLRRRIRGMTIRLARQIMKMADSNEDGHISLSEAQAIAFEQEGIGAEDVAVMLASVDDNEDGELNAPEFADFERIVRAKAVETSKRALKVVDANGDGALTLDEAKRIAFEHYGFDESILGPFFAQADENEDGQLDSVEFAGFRSVIRSKAVRNAVEAMPSVDTDGDGLISLNEAEEKAKKEDDMEGPETRALFNIADQNKSGKLDRVEFADFIRLVRLSAIKFANDHFREFDGNGDGKVTVDELSELITDKYGIAEEETIKMFQKVDVDQSGDLMPAEIVDFRHEIRSFVRAKAAAEGLTSPFV >PPA10278 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig191:40733:43675:-1 gene:PPA10278 transcript:PPA10278 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTPSPPREKKISVRVLDSPPAYELVNLIDIQENRDGLYHQDSDGEWYLQEEPQKRVSGRRGNKSPVPSRERNIPIRIIDSPTDAVVGGGNRTEVNNQGLYRNLNNESNWNTGGQGKPPPTKPRTKFDFDPRANPPALIPSLPPSYYQSNQSTGRDPSRGIGRTNGTENYVRHVQEEDWKMNPSHSRPNGGIMREEEREKKKDKEIATSTFQLDAFHGWAAGDRCQWSNDGKTNEATDEAVGQGTGMYQGKELFDTPPNHAGFVMISTISPLLIPIASNAHPSTFQTQNQTRDRQNRQRENGMRMEDGSHAFHKSYPVEDQVRNISPPRDVSISGQGAMVPPRYDIPPSTSSGSSSSARHRPLPPPPSYDADITMSHRPSPPSVPPRPAMLPEDYMIESFDVDSCVDVCYKGCVRSGVVRWKGDAFNNEEGRLETSVIVELP >PPA10287 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig191:72817:73222:1 gene:PPA10287 transcript:PPA10287 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDGRGVPGRNDDLDACTNGVKCRVKMREDDMIVTIHFSQYKISNTYVTLVTQARAFTK >PPA10279 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:45084:45507:-1 gene:PPA10279 transcript:PPA10279 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGFISSRDRDDRGDYSFSVTMQRGFSPPRERRIEVDSRNPYRFYDDYEGNEHEGSMVYRVSSDRLFAPIRRIDPPSRMNMERVRMR >PPA10322 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:237311:238959:-1 gene:PPA10322 transcript:PPA10322 gene_biotype:protein_coding transcript_biotype:protein_coding MKARDGGKDGIIYAFDKKTRAYTIKDLLQKHMCGLPIQNDKESIMLLNPIAKQAWELRREQLELVKKLGEGAFGEIKKTTNNEKATTELQKEGALMRKFSHQNVVRTYGMVIERDTIMVVMELINGGGLNDYVKNNKVSMEEKGSYAIDIANGLAYIHSLNCIHRDIACRNCLIDISKKQAKVSDFGLTRQTETYKIQPNERIPIRWIAPEVLTSYQYNRAADIYAYGILVWEIFADGAIPFGTMTNQQIKEGIQGTLRPEWPPNTPRDVIETISSCWSGDASSRPQLNELKKSFSRFKKANIESETQDKTPQGGYPTDVIGGNGGKKKKEESVKRKAGASIKSKPKSKDKVGKSTRK >PPA10294 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:94135:96059:1 gene:PPA10294 transcript:PPA10294 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVPTMETLRGRQIAALRAMINLNQPAVNTLVAEPVWKVLVMDKYGQEIISPLLPVKQLRELGVTLHLLLQRKREALPDVPAVYFVSPTDENVAVIEEDLKSALYGSFFINTISPLNRVRLEKLAAAAYQGSTIQRVEKVVDQFLNFISLEDDLFVLRPFNANTNTPYHIINDPSTSAEEMTSLIDSIADGLFSVCATLGVVPIIRCPTGNAAEGISMRVEAKIRDNLRDARNNLFVADSIRSGQLSSSRPLLLIADRSADLTTMLHHTWSYQALVHDVLELEQNRVRMNDGNGRMKEYDLSTGGRDELWSRHKGAAFPVVAEAIQLEVEEYKGKEEEIKRLKQNFGMGEGTEMDAAMGSFLTDATNKLGSTVTSLPMLLERKRLIDQVS >PPA10282 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:55876:57026:-1 gene:PPA10282 transcript:PPA10282 gene_biotype:protein_coding transcript_biotype:protein_coding MPEETVVKTNRSKEIPFETTTMESTRIGETIPESEQEESRMMVTLRSFKLTPVTTVSPFTSHQENTTPFEEEEKEEETTEQSEETETTPIFSSVIDEVNEHQVKSESPIPPYSKDDNDYVPDTPIHLDPQDEALEELEAEIDIENQQKKIIDLRRKEQLMKEMEKMNSSTEYPYDHEEGSSTPPDHTFPSENLMIDDKELKKAEQQMKEDRAPPSLRVSLTSQIPEGEIDEESTTTKKISSDGEKRAIDSMEDSLARMDSAELRLV >PPA10276 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:32860:36354:-1 gene:PPA10276 transcript:PPA10276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rps-22 description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EKJ1] MLRALKDVHDMGYLHRDVKPENMCIGVRDLTRIYLIDFGMARQFTTNSGRVRKPRNIVGFRGTPRYVAKQIELHTGTLPWTKIAATEHEELKKKKESITLEKLCEKMAKPLLDMATSIWNLDYDDFPDYEYLIEKAKQCLWPGFDPNELFDWEYREAEKLVHERNMKNPQDPCRTVRIPPLIVDPTKVHIQNKNVPVTNLLINNVDLKYAFRINTSHADYHCKPHYGFIGPREQVKLVITAQKSTSASRHDSTCDSTVAEGFHIYYCIVPSGKEHLDPRDVVRKDPRKAKAFLKTSVTMVRMSVLADALKTINNAEKRGKRQVLIRPCSKVIVRFLTVMMKHGYIGEFEIVDDHRAGKIVVNLTGRLNKCSVVSPRLDIQLRDLEKYTTHLLPSRQFGYIVLTTSGGIMDHEEARRRHLGGKILGFFF >PPA10299 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:135253:137081:-1 gene:PPA10299 transcript:PPA10299 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVHGGKFNFESPAVFPEDVIVDNPDILAGLKWIQREIHHFGGDKSRVTLFGHSSGASLVDTLSLSPRSIGLFSQLVIASAASASEINKNVNIAASWAIVKATGCAPSHYDYHSGSMEKTELALRCLRSIPYNKIIDAQRSLYNSTEDFYGPSIDGDILPSSNWNLIPIRPLYPTLIGTVNAESRIGRYMLTPDAKSVNSTLIDEYCRHVGYGIWFERREEVYKACFDKYSKDFPTNLISSASFPGPSTKFMSRANDFWIDVIEKK >PPA10318 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:224877:226417:-1 gene:PPA10318 transcript:PPA10318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] [Source:UniProtKB/TrEMBL;Acc:H3EKN3] MKTLFISALLSIAVAQKVQFLRGRAILFRSRTGDLPGEVVGVVDFYQENAGVRVNGSINGLTAGLHGFHVHDKGDLSNGCLAAGGHYNPFNKNHGAQNATIRHHGDLGNIETPNSGPTNIQCRDSYLTLNGPMSIVGRALVVHEKADDLGLGGTEASRTTGDAGARWACGIIAIMEEDPTARDNSALSFFSLSSSLLIILAYFNQ >PPA10272 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:14984:17698:-1 gene:PPA10272 transcript:PPA10272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nmt-1 description:Glycylpeptide N-tetradecanoyltransferase [Source:UniProtKB/TrEMBL;Acc:H3EKI7] MPKKQDKKAEAPAGNSENATDAGSSQQELKMSEMQLAEQLRLAAELEKLSLMQGTAGPIRDMGIAKQKTYAFWSTQPVPQLGEDVDANEPIEADIPIAKLRQEPYSLPDAFEWSDIDMTDEKELQEVYTLLCENYVEDDDNMFRFDYSKQFLQWALLIPGWLKTWHCGVRAKSNKKLFAFISAVPQTIRVYDKEKKMVEINFLCVHKKLRSKRVAPVLIREITRRVNLQGIFQAAYTAGVILPKPVAVCRYYHRTLNPKKLIECRFSHLGPKMTMARTVKLYKLPDVPATEGLRPLQKKDLTGAWQLLQQHLKQFKLAPIFSKKEFEHIFLPREDVVYSFVVEKAGKITDMVSFYCLPSTVVNHPVHNQIRAAYGYYCVPGSVPLKQLVNDMLINAHQLGFDVFNALDLMDNKEILDDLKFGVGDGNLQYYLYNWRCPEMQPNDVGLILQALSSEVVF >PPA10302 pep:known supercontig:P_pacificus-5.0:Ppa_Contig191:147597:157565:1 gene:PPA10302 transcript:PPA10302 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTGMRVIHANNDALRQAMQQKLDASSPCVISLKPVDASLKAEQTSKTPCLVQKKIETIGVIGLKPLMNTTSTVEKEKDEKGDNENGGTKIIGTQSDFHLATLEAKPPEEEDRGESFPSEESFSSSHSIPNSPNLRMNDIVLGTSIKKDEKCVKNGGQKEEFNANGEGMDKIKGNANLELPTEERKDMNMITVPPVTLVKISPVKDMKKEDDIDDGFGSNEECSSNCGSHKKSMRWCKLYNEEPNNELVLTIKRRHWNCIANQDGEIRKWEEDANRFRAEGRRRIYWKSETVIEQDDRIVEDRGLKVIPLGGEEKTRGRGGLESEGEIKNEDEYLADDDETVDEKEDEMIDVEGMEDEIPINTVEMIENEEMIGEEGVGEIEEPPKKKGKGGRPKKEPVSEKKKKEKRGDNEDFMTTGLTPRGKGKKAKPDPNKPLIIDGVPVNPLAEPAVANAYGYDPAKDIVPLGQGQLKSAYRRSKAQLDTPQIDAKRYKLEVKHDHLLDDDDHDDTHRDEIDVVDGGITHSMITRGGDMMEGINVHTGGAPPLIPHAPSPLAARRISGVSTDGWGGMNGGRRRSDGERRDRQSSGRSPTRKPSSQFSSSDLPPSLTPSHTPHTPVAPTTSSTPIHSTTLSTSKQTRLSQAEQFTMAAPKVIDAPRLATPLIPTQSSNSDLSVLSQSNSISGIDPLPSDIWCAQMERFTAEIERANAIMGMNEWNHMNCQWMPQYKSTLGNEEELKRLQSTLQRSKRNWKDTRRSDQSLISSLSMPTAAVIVVGDIGRSPRTANHALSLAEEKDYDVCLIGYSESALNERIVNHPKISVIPLLSPPSLPSFVPQLLCLLWRFGWTFITLFITLLFRIGWSVNIILVQNPPALPALIVAWMISRVRNARFVIDWHNYTWSMLGERWRIREEELGLVMNEDSNEVKKEKEERRVKGGKATYIRLTHYLEGSLGRSSDSSLCVSSAMADDLRKRWNITARVFYDRPPNWKFGTVSLSTKHSLFRSLGEKSVKEGNEEIANMLMGGGGEDNQDTFFSRENKSGDVSLREDRPLIVISSTSWTPDEDFSILLDAVAKYDERVKIEKNKLPHLFLIITGKGPEKAYYMDKINQLDLSHVSFYSPWLEAADYPTAVATADIGVCLHTSTSGVDLPMKVVDMFGCGVPVLAKRFPAIGELVKEKENGYLFDTHDDLVDLLVKMARGHPEENKELHKLQAHVKSSKGRLRSWEETWGEATKEAFRDEKNKEKRLE >PPA10323 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1916:114:468:-1 gene:PPA10323 transcript:PPA10323 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGLGTPKERTVSADLSMRKESRVSRLSAPRRQISEDSRSIRFHPDILNSAIAQQSLANATTNHHHHGIDWRNRARRISRIPTA >PPA10324 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1919:76:1141:-1 gene:PPA10324 transcript:PPA10324 gene_biotype:protein_coding transcript_biotype:protein_coding VIKLCDLANDSDSVTSVQWTDKGDFLAVGTNKGITQIWAVHANKMVHEMPGHSSRIGCLAWNGYIICSGSRDRVIIQRDVRAPPGYSERRLTSHRQEVCGLKWSPDKQYLASGGNDNQLLVWSLRRPEPCQTYTEHNAAVKALAWSPHHHGLLVSGGGTADRCL >PPA10340 pep:known supercontig:P_pacificus-5.0:Ppa_Contig192:69285:70165:1 gene:PPA10340 transcript:PPA10340 gene_biotype:protein_coding transcript_biotype:protein_coding MDELKTQAPKAHAAFKMVFKETIGAPWRGGSDILCEDVYLLQLKNNMNTIVYNSIFADYDALSVKAKADFEKHTCFRTYIRIIVYDELEDELLIPMALLLNQRDLLNHLVSYKLYTI >PPA10343 pep:known supercontig:P_pacificus-5.0:Ppa_Contig192:78139:82649:1 gene:PPA10343 transcript:PPA10343 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNDYRFDDVLKTKDQRSKTNHGLITNDRDRQQRKKDMKSKASAEKKPDADELEAQRREKSAAERRKTANALLELQRTRLTSGSRSKSKTPAGGRSAAATPRTPAPKPAPRRPPPPSAGHIRRLERPSSAEHVGSGRARSNSSSVRRRSIKSIEERDQMLEKEKQAAKSKKASDEEAETQAHIRRLERPSSAEHVGSGRARSNSSSVRRRRIKSIEERDQMLEKEKQAAKSKKASDEEAETQATPQEDKSSEDEKKDDDSEKKGRKKTQSTQSTGSSKSKKSTKSTESSRKTVTGQKSEPKPPPKKSTTWAHSRMSHDADKSAKSQTTTGPASKKSVRSKSSKGSTKPTQEDSPRNPNRSSEEDVSKKSAKSVKSSKSTKAAAASTSSQKLKKKGGLFGKSKPQPAKASSRSAKSSKKSSKKSGGLFGSKKKGRSVD >PPA10334 pep:known supercontig:P_pacificus-5.0:Ppa_Contig192:53631:54987:1 gene:PPA10334 transcript:PPA10334 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLFHEGSGIFVHTSHHTRVYGRDIRRKQVREQVNMLKAVYGAPASELGIDRKELRALCERFAPVAQQQCASKTIAPEYVDKCHDFQYDCQEFLFIELLFGQWSSRSKVGEKPDHNEPVHLQFAVFRSTDDKWAQASPRYACYLARLPE >PPA10326 pep:known supercontig:P_pacificus-5.0:Ppa_Contig192:15800:19192:1 gene:PPA10326 transcript:PPA10326 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGMYGKGGLGYLVKRDYDERHFAARQGCLERSYHGPIEMFKLANKTAKKQWQVPQKAALVNVEDLDASEKDLGMFTRFRLEKNRRIVGLMRKGRMPVYDKKPNRMARILLQEDLYVHAVKAAASTGHTLNGSDLENTLDQDEQLLLLAHCHAEIRFTALSEAVKNLLSVDKEISLEERSKMTGELMSALADSMTRRVLGTALLMSFKKTTSMIFHPEQRKFERPNSNQLMQAIDASADAVEALMHKISEGLEMLPYLQAQLDRLFTKRVTAIREGRLDALNEEDNNDFSTSTEDTRHMFASLSCMSNCEILFNAIAEMPASSKSEHHCVRRTLRITSEDQHRKAPTDHLPMKRKEMHSLRSEINRRLAKSIQEKNLREWKKLETDLSYLKLQIREFAAHVGPVTKDSSTKKTPAHARLRIRPTVGLYLRATPSTVLSGTKGAAIFIQPSRL >PPA10345 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig192:86918:87906:-1 gene:PPA10345 transcript:PPA10345 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLFYGLLLILAIAMLAAADEGDAASTGDAAGTSADGGSTGFFGKIKDAISSILSKIAGAPMGLFNTIKSILPFGKSQSADAAAADPAPSQ >PPA10333 pep:known supercontig:P_pacificus-5.0:Ppa_Contig192:51641:52638:1 gene:PPA10333 transcript:PPA10333 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLIFVVVSALLLGVACQTPSETDVKGHVKWYQDSKGYGFLARDDGGAWHSNLSEVAPKLTHKEDVFVHLSAIVCNVPDCYRTLDENEAVIFDVYLSSKGPQAANVRRSVLNEILDDLTRPVGNLISSTLGKR >PPA10336 pep:known supercontig:P_pacificus-5.0:Ppa_Contig192:58123:62881:1 gene:PPA10336 transcript:PPA10336 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLLIAALLALVTYGAAPHLPKEEFARLEAKYNLTGIFGPNYNATAPSETNVKGHVKWYLDDKGYGFLARDDGGAWHSNLSEVAPKLTHKEDVFVHYTAIVCNVPDCYRTLDENEAVTFDVYLSSKGPQAANVRRSVLNEISDDLTRPVGNLISSTLGKQRRVINRCINSSVYSSVSILSEDCDEGNEEETVDEGRGHLSGDRMKLTSN >PPA10328 pep:known supercontig:P_pacificus-5.0:Ppa_Contig192:25717:28280:-1 gene:PPA10328 transcript:PPA10328 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQITKASIDPDIREIIDYGNEQIAGFMLTAQIEFLVVVIENGINASIVSEEIEELREKIDCAKEDMNKTSDIDVSAIVVTQGLLVTVQKMREELLSEPRDNNNNESDENTMEDGSSVEPDNAVTRVAMNLPKDIIIVRSSASLVIPSKEISDKTNDPKGNQAIDWVADSYDNAVMKMNEARHIAKLSKVTNNATDKNINQIGGVNAVSTGSVAPLDNPVSKTNTMNVPKARPNEVTVAISTDLGPSASFCNQTKRTGDGTEAYDATDQGENKTYDEVVANMAPSATLGDVVIRMNGMERKGKLVIRSKVTSDAKNKKNKEASDECSHQPEQS >PPA10331 pep:known supercontig:P_pacificus-5.0:Ppa_Contig192:45266:48402:-1 gene:PPA10331 transcript:PPA10331 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRPLTPMKSHHDNSKTTYHYQGRRVVPLHPVPLEDQCCHILTNTTVLGPFRIALNDYLYRIVELFAMEDSPVGPSDCGLIIDEDPPDEETVEPAQLIQPSGAPSFRPMETSEGDAKEPSPEDDSPLDPGGLIIVEDAEEDVEQQQEKEESIDQALPSMDQPEESAAHPVSPLYQSGEDMEPLESQQQPMDVPQDQLVGPMDKPGLLADVMAARREEAPMDISETPLEHPAEMPSEGFPGQPTNQFILFHHEGPLAGQYALVPDHVLQQIALLQQSAASSCNDPSTSSAAQPIVLIYQPISSSSHPHVTAQAVGLYQPTPSNNPQATGRPPLRPLPQPEDQSTGLIEYYYDFFDERKLVRVKTPKRRSKAPKKESGESTDQESSSSSRMRRVKSAEDLAPIKEELLRHVRSSGKPFSASDESPPAESHPPDLHNRPKKYAKRRAKIVKDRIVKGDRPMAACNPMQVPLPDGSVHHFTLGQGCDPTMAAKNQRQVEMGHGHDRQPVDQPTMLEGKTDTRSLDAQPVLTDRPKKYAKRRVTMAPALEERILKEPMFNMDLGAGYPLDVDEVDVKPGRVLFVQEAVVVDDVDEYPRVTKPMKGRLRSILFLWPALSSI >PPA10337 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig192:63412:63641:1 gene:PPA10337 transcript:PPA10337 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQKKQIEEGMEEFMQQVGTMRFTTVESVWIARGFLMHIGRMTRVARVPDVKALLPLRREQ >PPA10341 pep:known supercontig:P_pacificus-5.0:Ppa_Contig192:71309:74437:-1 gene:PPA10341 transcript:PPA10341 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQMGGDMKSNLPGMGLLGYGDVVKSITRFTPDNNRYNIYGERTYDAEDKPNLGYMDRETTGARSTGSKSLMNLPGVGKFEYAEEREQGSGILDYSSSLFPFLRLPDLKEQHAQQPKAIFSMDQIRREKYGNEPPSTTTTRPFSPFAPSLITEDVLPESERPPAPFQGWWHRVLGNVPIRGRRFMKLNKIAEIPNIVDENAPVPTRTPSPQAFQPQPDFVAQAASDFIQANTISDNPFEDIALRERGVRRHGPVCVEEDDRNEQDEKEIITTFRRTPAADAAWIRAVQANDSKSRDIRRKQVREQVNMLKAVYGAPASELGLDRKELRALCERFAPVAQQQCASKTIAPEYVDKCHGFQYDCQEFLVESKPLGAIANIFSSGVGMTAESWSINGIPYYALNEEGSIGGGDHGKLDFGSYGGGYSYNRGMRDLFTQSAEGGANW >PPA10346 pep:known supercontig:P_pacificus-5.0:Ppa_Contig192:88379:90060:-1 gene:PPA10346 transcript:PPA10346 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLGSSATSSERGRAERTVSPNSHPPVLFSLHSIDRVDTVAMEAPTLAILPQGPTSIVVLRRAPNRKSCELFDTWSRHGRAGEQLRVAWDRVGIADNEFDDATALLLSITSFRSVQEGSPSLSVSLRLSPSLLRLRAVAN >PPA10344 pep:known supercontig:P_pacificus-5.0:Ppa_Contig192:83700:84262:1 gene:PPA10344 transcript:PPA10344 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLFFGLLFVSVIAVFVAADTPAGDAAAQGGNQAASTDGQSGGLMGAVTNAVKAFVQVPMSLIQQYMTAFNNIVKTFTDALTKMMPPPSSGAPSNGAPAQ >PPA10342 pep:known supercontig:P_pacificus-5.0:Ppa_Contig192:76188:76428:1 gene:PPA10342 transcript:PPA10342 gene_biotype:protein_coding transcript_biotype:protein_coding MLALLSLASFVLSSLAQSTISNAALDTQNCLDRCLYAPYAGPNFNLTAVFSDPNFNFSSSFQIME >PPA10325 pep:known supercontig:P_pacificus-5.0:Ppa_Contig192:3577:14944:1 gene:PPA10325 transcript:PPA10325 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLSINGTPRRFQRSRSGNCRASPSSASNDEFPMRRLSKSADPNIVRQHHSQQAQQPIRMPSSAVAHISVQRTVSGQRGGQSHRQPVRSLNDSASKSLGGASSLENPPLRSAIKRYKKPENDPTEARQDYERDELERQREAAKQLEKKHREEHEMLQRVRVERNQKKKERERKRAAEAKERKNLENLKNAELYSETMRRHSRSPVDTSTDYTQMRQSESTLTDGTDASAILIAPLLARQEQTPVETSVSFPMPARRAMASSDSVSSPLQRELREQQQKSRQEQQTKQVPHANHAPVLTKLLTPAEQRQRDQPPQEECEPQEVNDPVVPMEPIEPSAAAPQRRPRTQWRKMTAREKAEALQMEIRDDPAPRTGRRKSKVAFVRAEGIPSLALPADEQMTLGEYVKNHMGTIDQIKLAQFTAAVQVKEAATRAAARGTAPAQIPAATPPLAAAQPPPAPDRQTSPVLLSVQQQQQPVPSQSPQTPSRDVSEERSSSSLSAEEWDQEQPVYDSSTRYVRQHHANRALPGTGRLEPLEKAPEDCTFQEEEEDRPARVPPVQPTPADPVDSARVSYLPKKHPNLYGGDVTAHEVKERRSLKRQFEAKEKHKEARLAREEEEVEDDLTRNRARPPIQPINIDAPATNENVAAALAAIYTSAGHTPTQPIERIVQVLSSKEVAALQPIEFIGKDGSQRYIVPRKRTHDQVIYDPEDIAFNDVPENAEEASVDREKELAHYKRIMNKKERKHMFKMLKKPVPKGRPRKDERVRLREEMWDYLKSQGMAQLDIDQIMDQIFELRRPAPAQDHDEDRVAPSAWNLHIDLSSSNHSDDDIPSDGYEEAHDEPRSARCEEYESDEEEEESDHSTASEDDEPYVTIEDYEEAERSEEEAGMSGLLSEGKDVGDENEGAMMKMIKMESRRNDSHEHSFNAGKGIQEDVEDLTAAPHASNERRERTIRTFARVEGLRIHEASLSPVPTPSASREPLSDRRSTIDDHADARIFDPAFEDDVDRFLEKALIEDRLSIVGLYDKGTKNIMGLLDVMMYSESEEDEHTVYDGEKDNKKAEYDEESVEEDRHDVEEWEDLDQEVPQEKKEQPKKMGRPRIHPKEDEAEIRKIQWALEAHRILHPEHYESANATNIEKTVALQVARRRKGHNRYPGGVGWLKRIDTYHTIKDNPARLDDFLSLPAEELQEWEEGKQRKRMEARRTGDDGHENGDGFVVAEDVLDDAAFLGEEVEADDTELEDMEAPRQHRAMTQEEKEEFLRKRAEEEESFFDLKDLMEREEQEEQEKEIRIRNFLPIDGAHRSDATDVNPELDLDSIIARRHIPTGDLTIPHHRITTREEVFRRVYQNNGSTLPAFKYEKVTAEKHQALINSKSMSLARMQTRMLIVDWLVSFRDNHCADIRETLEKYKKNTGRILKKELYKRLPLLLQSLDTFQKTYLEDLLKRNERHWDEFFSLVAAGHEHRVKHQLEMEASTMRREIQFLLGTCPFPPGATAAEITALLEKAKKDQIHAIRVRKEVRRARKTAPKRSDVIRIEEGEDGPATRRMMEMEETVDEAPSISELCYPSRPISYLRDSDFGQTVAKWKDPKKSLTILLDPRPWPIEDARLNDGGPLKVNQFWNIPPSFGPHPKGIEWIDFDLAVRFLANIMRKEKYYKDEHRFQFEHFLSL >PPA10330 pep:known supercontig:P_pacificus-5.0:Ppa_Contig192:37889:44881:-1 gene:PPA10330 transcript:PPA10330 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAHIRLAHRHHYELAESQGKYDISTRETFDFDGHRVLHVYHCELTINGFENEDEGDYTCRATTTSTENGELTTDISGTLIMGLDRVVRLTEESIEPAHCAPMKHRRYRKETQLKGDRIFANTRALVPEGERFFRRTVGLNKGGKTMEAMIEVISRKSRKMIPEHVFMEKQYKNMKKYLIEHYGENELEEQEDEQRYVDDVIDDELEDKERNLFWLAWNMPDEFPLDHPHKVEIVHLMNAFQQNDHTGFSSVIRNIQCRLSNREFYSDPTTYERHPAWPFPRFDKKATAGHDSVTTNNSARAGKRATSVKTFEEVYPHALLLTEEQREQRRIERELRGEKIVRFEPIPPKYSLVIPDSARAAAEELGPRPPVGIRPCKQADSQIFSVKNGGIEVTMFVYGAPLPQVQWFLNSKIMHKRDDDVAKTHERKIGDKIVLYQRIVIPKKLQSDRFSLLARVQNPCGILEETFNMDLRKPQGITLASDPVVKMFLKQTHVELYCRVNLAPGVNRLKLRWEREGVRMDVSKLPGVQKRMERNGDNSVTVALMIEDPTMYRGEWRCIMSIDESQRYGLLREGMEYKPLLASWTLRFPLPQDYPVIRDTKALMRVVVKPQWVEKNAVFQVFYSGRKSWIEWINPRGRVMTPNRKGGRLTMDGGLMPDKQLVKVEMEIAPVFPVDADHQISGTYICRILTDLGCIEAAFDLMIEPEDEKLYPKHMHGSKECTYYIKNAAVVAPNRKPTPKKDGLIETDQVNESCYLAELKNQRVEEMARETTMLSTSPRRSLRLS >PPA10332 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig192:49398:51352:-1 gene:PPA10332 transcript:PPA10332 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSSTRIVLDKWNREKLRIVEYIVLTTFSNITAHLHEITKESTDTNDILERKLDNILATIMETYPVEALKVYQLFPNT >PPA10347 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig192:90342:91135:1 gene:PPA10347 transcript:PPA10347 gene_biotype:protein_coding transcript_biotype:protein_coding MILTRLGMRGGRGEPRGGGRGGPLDDCFSSLYFPLLARLHPLLIVTRYLMNSAVAFR >PPA10339 pep:known supercontig:P_pacificus-5.0:Ppa_Contig192:65758:66835:1 gene:PPA10339 transcript:PPA10339 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLFILASIALASSKSIPNSEHQKYLEIFFGLPLDMKIVNGIVAEEAKELGIPAQQHFQYCLLEDDGSMVKRMNEFEEGVEQFQAEVGKMRFESVDDVMDELKKKAPKAHSSFTWIFKETIAPLGKEAAVFAVHLKEALLKMLYNHVTMSARRRAPMYGKALKKVSNRTKEAEGRGKR >PPA10327 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig192:19309:24657:1 gene:PPA10327 transcript:PPA10327 gene_biotype:protein_coding transcript_biotype:protein_coding MKDCAVHNACTWPVVTMEPLFRINHTPQSTHFSFSLRNRLFDEHDPLTGRELDEQWELKRLVYATQFEAKKKTSVDAAAIILESESRVVNVDNGDYSMTRDQPIQQQKRGKKSHLSAEEEAELSKLLEQAQRLRMKIKETSIAEEVMCDSEEDDEEVERTSEESEMPRWQRNELIRYEIGYVDELTTRSEIRKVVPGNLRHYIIHEDMQLPMGAAIKEIFGNEITGQQSRTGIGKLPKLPRDLQMESGRVVIDRWWADLDVSTFVDFAEEEGDENENLVLSRTHRVTNSVKTGLDRVDFTEYGLIRPRLSSPPPDFTENSPYIVELPVDNVPLTAINTDMLFESRVPERQVVVEFSRALHNSYYCKELFSDEEANAHLAEEHYHYVHLGQEFARADLTWEKDTEHADKLRKLKRYALAIGRPKRLISLSSSDPSTKEETKMDTLSTKRWRARFAGDVFDEEDGLEIPLDSRQTGFSSLTERRNGAQPDAVAVQTSILKVKEGDSIDVTKRTSLNAERRRIFVDEVAFGKGPEWGDNQKKKMGCSPTSTSEAFNRFAQKSVASAHRKMHNPILSPRLKLYLKLLLKTYIYSLLL >PPA10329 pep:known supercontig:P_pacificus-5.0:Ppa_Contig192:28852:32592:1 gene:PPA10329 transcript:PPA10329 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRPYENTNSLHPDWLQFLVDEQQQMWLQHWHCNPPVEAVAPLSGQELYELEMYRQYLDNLFTMDEMANNVIRTTVPRFTPPPSSRVVNASEDADLAANVVLAAIDPQNPTARLPSPKEDNDDEIEFIFEKKGVPGTEARKWLPEMMKKKKRKRTKTKFENRPPNQLPLDTGANDKGDSEAVPPTRDLLPAPDASSAAVIVDALSTSVNSDALDTLTDSQMDHSLIKETEDREELESPPSISQLQLFAGASDEVAKEDHILNRQRDSHEVQPAEVAEPPVDDILPFPSAPTTLNNVASTTIHDEAAINSQMDENSEKGAETGEDTGQGSSSSLSGLIDHLTDTGVHHANADAKMDDVGEADGDDQMDDQEDNEDVTEDEEEGQTMTGPVQRVLRSATRAQQPSPSVSSSDTDSESEYGGEEEEKKESEEDDGQYPSGSADGNPLKNDDRRWEKKVAASEKYSFTSRNVWMCPKPKKEFLPDPSSDTCESLMEARIACPVICPAKKCTNNFLRRPNMKMFIGSTEYGNGLFAAEPFRMKDGLRKILEYTGEIRDNDQWRDHIRKMKKKRPNYGRYVVQMSGFTSTLTVCLGSSSYHYET >PPA10335 pep:known supercontig:P_pacificus-5.0:Ppa_Contig192:56997:57843:-1 gene:PPA10335 transcript:PPA10335 gene_biotype:protein_coding transcript_biotype:protein_coding MYITSNAKTIRPLEFVVTPFITIRHGVRHDPSRNSSDERTAADIVKRKEKEWKQNKKDKEIEAKKKLMVKKEGESDNWYDDFFNHEEATNGYRFGVMHKIYFFFFTAPVTKNHM >PPA10338 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig192:63718:64376:1 gene:PPA10338 transcript:PPA10338 gene_biotype:protein_coding transcript_biotype:protein_coding MDELTAKAPKAHAAFILIFKETIGLLGKEAAVFAVNLTDALLNMLFNHVTMSPRSCLPMYGKAFKKIFAEYDSLSASAKVDFEKNTCYRTSMRIVDATGEERGKITDIKNWKI >PPA10349 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1922:363:1078:-1 gene:PPA10349 transcript:PPA10349 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVLLIICTLSTIRRTHIAGRKRRSLNLLHKNESRRIDACRMTDGFGPWSVGCFVACNGTEVLQQGCYSNQKISLRTQCKRQSCSVGLHQNGIAFCCCHGALLAHT >PPA10376 pep:known supercontig:P_pacificus-5.0:Ppa_Contig193:179711:184775:-1 gene:PPA10376 transcript:PPA10376 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDAVKTIMSFSLDVTSVKVSKDWVIAGSSDFSVKRAERADDDMSAGYNRYELNAQVLDIQIHPSEKMFAVSSCDGFVSLFDGDDTEPVYSHKLFSPIRDLDDSFPRVLMSWSVDGKLLFVPCKGMVKVVSKKGDKWNVERDFKHDDEKEDDFSVVTTSPCGKYVVASTMASLVHIWEIESGLLVSTADYGNERAGRITGLTYLGEKSKKLIVADSHGNIATLEELGPSKEKKQEKKSNVFIDDEAMQEDSFDANDKDDEEEEEEEEIVVSKNKKFTVEDDEMDEDTRMSSSIAAIKKKYGYDDDGERMNEGEGNSFDEDPFSNIDAHPGSSMKRMDKNDKVAPVRVVEKYSPPTPPPYFSSASSPRNITQRYIVRLFFNIKKWNGFGTIRVLESEDGESSLEIRFHDSSVHSEMLLSNTDINYSMGDVNDTVVALATKLKGASKHLYVKLLNSWDSNDDHWEIQLTKAPILTLSSFSDRLAVVSIGGGPIFFSHKDETNYEFPLVLNEYRLSALSQWWSCSAMSGPSSSIRDQPLALSKGTELTWIGYTNRGRIVAQGSDCKYVYCRGVKYPMATLKLNPIIANWSIPLCNKTSDKSCLEEELFLNELAMSAANETEIKDLSSNHLKTIIKLFSLAIKGDRESRAVEMANLTSSSKGVQLLVNWAAKQRRNALVEKVSEVGRRKAEEEEETLNRQLGYGGWEEKEKMEDRGNRVVRKKVPLRRSIAASSANKYKIEEEKDDSAQSVDEPPSEIGSQGVFNLDTSTSIPARNPFKRSLDESVADTSSTSVFDDLDSPAPMRKKLKETQNTVPAPITQKQAKLSFGSNKKSSGYDLWLAENEERLKGDFIGDEEDFIKYCTQAYRMLTGAERKEWRMKAEC >PPA10353 pep:known supercontig:P_pacificus-5.0:Ppa_Contig193:9699:10667:-1 gene:PPA10353 transcript:PPA10353 gene_biotype:protein_coding transcript_biotype:protein_coding MHELSFAVQSICVSEMLPRTGDLLFVNVTTTEGQPYCLELTLKGWRVTSLRSDCMIGDFTKLDMFIKYYDSLYSLLDDISPGYRQRFGERVAKKLMMLQNEDSCDIIAPSSSYCSPPFSMSPSDSTESLPIVTPVPSPVPEREERHRDTFKFMN >PPA10371 pep:known supercontig:P_pacificus-5.0:Ppa_Contig193:126723:128551:1 gene:PPA10371 transcript:PPA10371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acl-9 MLDGAILQFVWGVKMLLFPEGTDKCPLATGRSEKHAKEKGLTHYDYVLHARTTGFVHIVQRMRKRGYIKWLYDVTIGFGDAIVQSEVDLITHGLCPKDIQYQIVKIPIDSLPIDDNGLAKWLHEHWEKKEEKLRL >PPA10367 pep:known supercontig:P_pacificus-5.0:Ppa_Contig193:108742:111241:1 gene:PPA10367 transcript:PPA10367 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mlk-1 MKNGETEAGFEDYVNMDHMGQPANVSKGRASRHSGIDDPMPFQPDAVRMRNNNGEDEQIYEICYDYKARQHDELDLRRGSVVKVVKDAETGWYQGLVDGKVGVFPKNYIRAIGRCNFKNIRASEIELSFLIGEGAAGQVYHANYHGKSVAFKKYKFIGREREREEDVIEKITYSVKREAAYFSNLRHENIVELYGVCLDSPTIGLVLELCQAVPKRILVDWAQQMCAAMEAISSKFVHRDLKMDNVLVLQKVCFCALPNGKSTPDRPNSDLYSHDKIQANGVCSECGGTAFDRLKLKLTDFGLTRENSSERHEF >PPA10373 pep:known supercontig:P_pacificus-5.0:Ppa_Contig193:157033:159765:1 gene:PPA10373 transcript:PPA10373 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-83 MDASFCSTRSENPIRSSRMRGMRKKIRPRRLPRSMSDGEHLGLTNTNYDGMDTPIVHISPPSTPLASSLSLLRRLDDDIIGDEQIAGIRRRYESGGEESANYEWDDYHPPSKDENWLPEELIRSINPMEKSSELLSVDEDFSKEFERKSELHRLVEESRANLHVVQSHLSTCNIDADVMRTVTLIADTNIRHLQGALNNGKNNEYACEDLESVIDEWRRVVSPLPRLVNQVRRFASSLRSLSSSSALPSGGIQTKEEAVKALNELETIRGKFESERDELRTLLSTSSSDVELVGMKGELSTLTQGYEEAVDKMSVLVHSVQRLNSDWEKWSSEQKSMRDAMLTLERRMKEEEGGREDNKEIVQQMELCQERMNSLETMCNYLSSHLISLQQEDDSSHSLIPPPDFSAELLLYSSALDQLKKRVHDHLLVPPVPSAPIVHHKKESIKRRPKRTNSTQTPMETQMEPVIEEEIGLRGSIVNTLRSSRFLQLLLLLSALCALAALVSSGVFKTTFGPHIEYVRGPPPV >PPA10359 pep:known supercontig:P_pacificus-5.0:Ppa_Contig193:50209:57621:1 gene:PPA10359 transcript:PPA10359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gld-2 MHGYGLFPRGFVYLSINLLGSNRTKIRKAIEPLKRLNHTMKCHLTYISKCSEKRVSKNKELHDKCVTMQETIDKHSLWQYQRMFEGINMEWPEFVKTAIRDESKEMGNIPKEEWEKMKEEKLLIERQEKLKGKMATVQLTPFWISNTKVWGLNTEVDGMKVIETAIKFINELNSKLYNVLSLAPQYSNGKTAEKDPQIAQTLNQLRSMAKDEIYPHLPSVFSHLKCTRVPIFVAEWMKKSPMTKSSISHWSSQMGMETDLNGFPLKMNEEFIAPQMTDEYLVDDEQKAKTIEKKDKKRRDRTKKGEMKEEKEEDKEKDEKIEKKNKKTDEIILPTVKIDIKGRRKGTAIAANIKPVSLLDQVISNPFGYPRMIPPPGIPPPFETMNGLPFPFHGIGGMGPSPPPFMACPPPSFPPHFSTSSRIPGLLDIPLQGIDNQMGVHSHSFMGMGQPPPTDFTDYSHIPPPSFISPIENEEKRKLEKMARLMMGSGEEKEEEEKKVIRDDEEMNERERRLQKWLEEKNGERRKDREERREERRDEKRKRSRSRSRERERDGRGDFERRVEELERRKKEEKEEKERNLKEYCVEHWRRVKGSFKPMDESKWEFRPKWGVTTVWRRVSGHSKWEDKVQDKLDILTVKMKEHFVNNVQTTEDEERKKIVMERLRDGIQMRLDGTGVFFLMAGSSPSSFASRNSNLDLVLISPVPSMTSDHIRDFFFPELPFVIMQRGGKITRQESLWMEFECTMGGSELGVRIAIDSGAYYFSKIMCIYDNIDKRVAALLHYIKHWTATHLRRADDISKYLLTNLVIHYLQSGVSPPILPCLHLLYPARLTPDVFSSFDYASPFDPPLPECSNTQSLASLFIGFLMYYASFDFSRYAISIRSGTVIRKTPSCKCKTISLLGDPSPREPRLPAPSSKAESAELRDLAGVVPEFAVEHPFDGSYSAVVNDPFTSSHFTAAMTAACQDAITTLHI >PPA10366 pep:known supercontig:P_pacificus-5.0:Ppa_Contig193:97079:103755:1 gene:PPA10366 transcript:PPA10366 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPLQERRGRDMTRRGTLHITSQLQNEQKRSRSLPPISHTTPVQTSSLTSSNAPIIAYTDASCVDGRASGIGIFFGDNHPLNTSKALAGPELNSGIGEIIAAQTALTNILQWKEFKGQPVIIRTDYMGVIDAMNNGNYGRFSGLYADLRRLAERFPSVTFEHVYGHDGERGNEMADELARDAIRLRRRSATPDGRKGERSRSRSREGRSRSATIKGRIGERKSPPKVPPPDKSRSRSRSRSRNRSRSEVIKGVTRDRNQPERSVRSLQRSERQNQMANAVELDFSDEQWAHEMLTKLVDQHVSLSNALEDLRPLLYGSSEDKERALERLVALVLKLPSDFLTEDQIHLLLSFFLDRLDTSGLAATRVIDAIRHLLIESKRTSKDAALLSWKSLYQEGNVQAWSVNQRLVLYEILEWLCTKQKSGPFIEEMFETIACYYPIQFKPKGDQSITKESLSIQCELCLLSHSGFAPFCFLMIEEKLTEDGMEQSMRGEVCAFLSRAVSAFPASSLPPHSESLLSAISTQCGNNRAVTFDPNDKYGDNAAIAAESMRRIMLKLNQGETTNTAANKGDVCKDGLEALVKWIEVTTKVGCLVSEEGSHILLEFVRSDRGKKLGVDRLAREYQEFVEKRLPKSALIGLSSCIDIWQEWGSIVSDECHKILVESILSLLEEEDEDKRWMRNSLAHFYVLLIGQAKDDNSLYRLISLVVSGRIDSTCELRSILYFIQVNRHGEESIAALSITHMIESDSEEVLRLGINAAKAHLLTDKNELNASPLWRQRISYQLVPLFEEAVRESKTREWKITLLSALRHIVKLSANDASFLAPLLDTKEKVAGIVHSLCLLVENRKTENKVLFTAIKCIRYCRVGNKKERDVDIQ >PPA10352 pep:known supercontig:P_pacificus-5.0:Ppa_Contig193:3643:7168:-1 gene:PPA10352 transcript:PPA10352 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVFSLRIGRVFAGTLVVLGTGSAAAVYLLDIPIGESIDSVLPKGWQLFHKAAPAIERKHVILPLEPRPLKPFPDSIPAVSKKDDDNNKTEKEKPKKESIPPTKKPIRPPTLEEREESIKSALISAEKRVKTATQAKALSMDAIDEHIKTLRNAIQGGLAGDWDSVRNANERVANCRSEDKAEENDARNYIDMLKGVLKELSPHLNGHPIIRSALSSAAKLEKELDEMAYSIKMVESEQIFSLEYADLVQSARGRFADELRAVLPSFDWDGESKMKKVQLNALLTHAHLRLDQLRRELIESKLREESRLENALKKEMAKREKVIEGEKKLSEDNEAELLRRLKIVEEEHATRLDQMVRAQRELSKIEKEQEIVEAVTIERQKHSHKLAVAQSKVEGIEEALKRRIVLDGENRRSKQTWLACHELMESIEYGTKGGKTMEKRKRPIGEQLKRLGEVSEGDNFVSAVISTVSEKVKKEGEFTREDLENRFEKVYTIARRVSFIPENGSTIWGYFTSWIRSTITFPFPIKENDRIDWLTTDNVLLLDTARSLVKKKKYLEASKLMELLKGEAKLIALSWSSDVRSLEESLFLIRLLTVHSALQSLQKPVQKPFTQFEN >PPA10372 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig193:156298:156742:1 gene:PPA10372 transcript:PPA10372 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLRRIGRATVILPNKSGRYGDELNSSPFKFSDGQNLSDSLIVNESMTTSKEYDEVLALLEDNESVHSGTNWRELRGRISPNLRKSKELIDGKV >PPA10362 pep:known supercontig:P_pacificus-5.0:Ppa_Contig193:80730:85088:1 gene:PPA10362 transcript:PPA10362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-slr-2 MVVVHQRPTALVMTGPSARIVTIKRESLISRPTPAPQRSRWQCSICGKLLSSKRSFDEHLNIHNQARPFTCDHCEYAAASQMTLRRHVLRNHTSRDDWHYKCPYCGETYMEPASYQQHVSSRHFGRSATFGCPFTACTFLTKCSKHFREHLVKHHSCPSLRRNGREGEEDTGSSSRVLPTNPSSLTHENLQHYLVDDDLGVGFGRRATSMSRPIIRSNGEIESVIAAAAEKAATVASGSDELNTKMVGHYGSSAVSNNVSTLVPQRRMIVLRKSERNNDQVLSLPSTSSSSSTSSSSSISSTISSTTHIPSSRDDLNHIPIIDDDFMKAPIALRPSIPAPSLNSRLTPRRVQLAPIGRRIPQCHSTSIMIERRGMNTEEEHASSPSSSSSITLGGSRHAPAMISADAHRLSEEGGEGEGAEGGGETIERKIVEPQGDLCGREERGDERTLNPLSSRSIEPPEEDKSTQFSRLNEDDYGELFI >PPA10358 pep:known supercontig:P_pacificus-5.0:Ppa_Contig193:38517:49287:1 gene:PPA10358 transcript:PPA10358 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYGGFRVYLRYDDIPICLLSSMGQSPSEVTARRSAVKTTVDKIIEVTKPVLSYLKFQLSDQRYQRMLRDDQKMSLKADCTKIQLELIGVLIEQIKFFTYRFYAEPTNNPWLHLAPVNSVAPSVYTSLNTGEGKGVSSSSLYPDINGAPLRINVPKHDVISEIVEKTIGALGWTERNDTIMVGLTRKRAYLWVDEVPIALLGSMGESEKLSEAVSSCTHELMEQLCAWSLFPLDIVSQICRNKDHLNALLFSMSDAFSFISSTVRETEYSCLVDSSRILAIHTEKELTCARAARKEIFNYARKIFNDYDKNVGGGGNTWNEDGDPSIQLLPPPPPPPNDKKSTSGKRTIDEASSSTSLPPFKKMREIVQVIEDDDDDCIVMGSSSESSDGIDDVTEVVPIIKMETVAPNSLEVDINMNNIAGVYNSHLMHYYSRVDDRFPALCLIIKHWAINAGVNDSLSGTFNSYSLILLVLHYLQCGVVPAILPNLQYLFPGRFAERPELGDLNLFGEFSPPLPQRILNEQSIGEILIGFFQYYSRFDFVKDAISIRKGMTFPRSQLGQDTMKFPLYIEEPFDGKNTARCVRREYMGPIRSAFKHGAEAFNERPPSLDDIHVNEEEEIVILENKKGEGKKIPPMLNGGINDGYWNKCRQLFHPTMGIDGMLSVDDTIKASIALLFEVDDFIYYGSLFLRLQSAVATGSSVLTREHLGYSGVRNQLGIK >PPA10365 pep:known supercontig:P_pacificus-5.0:Ppa_Contig193:95573:96186:1 gene:PPA10365 transcript:PPA10365 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lsm-5 MASTSINPSTLLPLELVDKCIGSRIWVIMKNDKEIVGTLSGFDDYVNMVLEDVVEFENTPDGKKVTKLDTILLNGNHITMLVPGGEGPEV >PPA10351 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig193:2453:3157:-1 gene:PPA10351 transcript:PPA10351 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGWFKFTGSSPYPTLTTNFDHSKFTYGNMQNRQFRMGWASLMIFLIFSRIEGKRDEGKERNILEWISRSNRISFVDRDDAAAKLSDGIILCEFVNNINSQALARNISHKSSRFAATENLENFQDGLIALGMNKSQLFPISDLIEKKDISSLVNTLDILKQMLGF >PPA10378 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig193:197170:197530:-1 gene:PPA10378 transcript:PPA10378 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSTYENCRIEDDITPFTIVNCPSKVILPSAAPLHNSPKLMVPKLSVTSPSFTNLVLLEGDGESEADERTPLVKEDTVGIE >PPA10368 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig193:112693:113891:1 gene:PPA10368 transcript:PPA10368 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKLETTKEFPTAIASIIKSCLQMDPENRPKFRDIRHMLREYMEDLLRVNDPSEYIEQHKLEVAIMNDIAALKNAGRVSDIWSHQPLKPTLSKSQPNLNQIHVSPQSKMSRDRLHGIRKKKEKVVDEVAGYDEYMRETPFVHAFT >PPA10369 pep:known supercontig:P_pacificus-5.0:Ppa_Contig193:116427:119915:1 gene:PPA10369 transcript:PPA10369 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTQLHPSSNIPHHRPPPELPPRRRHGEHFQPGLSTIVPVEQSSPTHMDMASLPSALRGFVKVLKYIEMPSTAAVVANGGHMRGGRRDSSPDYIVNSSYEPYRSMARRLDSPISPPISDPPPLPPALPPKTHHSTHPSATTAAAAAEYLTLSSK >PPA10355 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig193:22930:23254:-1 gene:PPA10355 transcript:PPA10355 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFRTDKLNEEVASTLDRWEKSMFNYASNFSLSHQRLNMTCEKEWNVMHSLFLNLSIYRILFHSPH >PPA10370 pep:known supercontig:P_pacificus-5.0:Ppa_Contig193:122611:125339:1 gene:PPA10370 transcript:PPA10370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acl-8 MWRQCADRMVGYWLTFPAEDLKKLPGGGWAMGCGAFIFLSRKFERDRHAMESIIRYYADAGRKYQLLLFAEGTDRGTHAIEASEKYAKEHGLPNYEQVVHPRTTGFNYLVDLMQGNNYLTKVYDVTVAYGDHIVQSEIDLFKHGIFPKDIHFDVKAYDISEIPNTEDTRGNWLKERWFEKEMRLRKFYDRTQEKKLTPSGKGYQWPSTMTGGGYIAAFAFWILSSIMWIYFIYYYTALKMYVIISIAFYMYAHIYHNGVEFLVIKWFYMRNSVEKTRAA >PPA10360 pep:known supercontig:P_pacificus-5.0:Ppa_Contig193:59421:62370:1 gene:PPA10360 transcript:PPA10360 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRPFVLDDLFRFNNVAVALYKSLGYVVYREIINYYGGSNEENAYVSHMGEESSARTVLTSEKEDNQLRRRDTHQAAIPSLQYATLGASTLLFLRAFNTLITHRQRGQTIVYSAED >PPA10357 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig193:34769:37328:1 gene:PPA10357 transcript:PPA10357 gene_biotype:protein_coding transcript_biotype:protein_coding MGMITRDLHKVIGSRPNLDMNRRLPDYPRAMEAINFLDSRISKASWREKVDQKKKISSYDLAQLDQFIAESRESVYGVNAPVYDDAFCGVCKRKTHTDTCPYGLEEKRVAKLKRDQEEREMERERRERRGRSRSRDRGRDIMRDEKDKERMDIERKIMEQREALAREDDDRKLKEATDRARAAALAFGGGGIPMVGGPGAAPLVTPGLSGTANPIEMMMNTSSIQSNIPYGNPFHPLNHIGPSPEMVSSMAQFTSMMDPIGGGYGNSPMRKDPVTIPTGYAAHVKALEEQRARAQTEEMARRGEEMARRGMFEREKDEMGMRDTTEATLRAEMEARLHEEIEQKRREMEMELREKLAPREVRKIGGDFGDFGAAMSAYGVNMPSTTSNGYGMNMPPTTSMNINTYGGTPNTHDPMNSYSQSTSYDHHSMSSNGLSSPPNQYACVTMQSMQPLQPLQPQQPWLDSPIFKEIQQLVNDNSDLFKNLAFAPDPTLATHPVLGKLEAVGRMGGHDMELIQVREELKVFISMLKSDSGRASAMEDRGMKMERGRDDRERRDEDRRERRSRSRSFDRRDYRRDDKRVRIRGGGRDSVEGRVGWGYRRREEKMNGDDDVEVIVQVGGSRFRQLRAKEALQLKKNDIVVAQDLKNGKWSTAKVVKVSEKRVQLTVGNALWKKEFNEVYTPI >PPA10363 pep:known supercontig:P_pacificus-5.0:Ppa_Contig193:89088:90727:1 gene:PPA10363 transcript:PPA10363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-par-4 MLDATETKRMDEPEVHVYFGQLIKGMEYLQSVGVVHKDIKPGNLLLTSSRILKISDFGVAEQLSPFKIGDRIDNAQGTPKFQSPEAVSGHCNHYGGFGADVWACGVTLYNMLSGEYPFEGAVIMKLFDAIATQPLIMPINVTLEKEVIDLLRGLLDKDPLTRWTIEYVREYGWMKKRYEQEWIMGMRRIEFIHLGVRNCYIKYTPFPPHKDDPVHRPLTVVTALESMYLPEEECDRRGLPIEKTVLVNTSAGDDNELTDRPPRRNRSFIPSCFRGQQ >PPA10361 pep:known supercontig:P_pacificus-5.0:Ppa_Contig193:72859:73547:-1 gene:PPA10361 transcript:PPA10361 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIDLCRSVMESNDETDLKAEQFCLAFEQFCLPLNKQSKHQTTKPSPVLQRCEDVVKEARKE >PPA10356 pep:known supercontig:P_pacificus-5.0:Ppa_Contig193:26912:30585:-1 gene:PPA10356 transcript:PPA10356 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAFATDMGQMISRKVAAVRKERQAGPEMHLTLNRLGGFMVSHQEIADLVHYSIIGPSVRKPRWCNVRPWKGASQTILLRVNCPSRFILTEGESFHTIDSFFERQWIKMDDDVHDREEFWKNILNVPVPLQQQIRERMMKLEMSHRPHGDLKLDMLLSYADMADIGFPFPDDCELIVATQDKYAPVSASSPMFALDCEMCITDSGMHELTRVSIDYLTKYSGITADLLESVTITLTHVQNAIRAALPPDAILVGHSLEFDMRALRMAHPYCLDIGSLFNISGNSKKRTGLKTLSSMFLDADIQSFLQADCYLEM >PPA10377 pep:known supercontig:P_pacificus-5.0:Ppa_Contig193:186058:191632:1 gene:PPA10377 transcript:PPA10377 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-eef-1G MLIMTVTIPNEKSFNDNEEELATLSGLIQSFVYVALDTSKLPEFGQSLSFQEFQRAVIYTGISKTTKRFVQHLSQPSRGVNAELQNMWDKGKSVIFVKGALKPLQTAMVEEGVVIRYYKQNNWPLINQIDFLPAEKLNILGRHVIKFSLWKTASVATFTWDKTITSKLYGSATNFRVQKVLIAAKLGKAEVELAGEHPPADKFPLGQVPAFDDGERQLFGSDAIAAFLGKLTVCPGVLQWLQWSESSLLPNVLGYVLPSVSAAALDGAVVAAYKAELYAQLALLDKYLVSRTYLAGERLSLADISVALNLLPAFQHVLDGAFRKANVNVTRWFQTVVNQPAAKEVLGEVKLAEKVAAFNQAEFNKVASKLAAAAPKDNKKKEEPKKKEEPKKKEAAPKEELDLADEAAAAEPKSKDPFADFPKSSFNLDAFKRSYSNEDTLTKAIPHFWENFDKEGWSIWYGEYKFPTELTLSFMSCNLISGMFQRLEKLKKSGFASVCLFGTDNNSTISGIWVWRGQELVFPLSDDWQVDYESYDWKKLNPDSEETKKMVQEYFMWEGDFGGKTFNQGKIFK >PPA10375 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig193:177376:177728:-1 gene:PPA10375 transcript:PPA10375 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSVLPLLLHISTVEGFGCYTCASPDSHLPAHQLLHLRSQIDIFFYSSGSMR >PPA10364 pep:known supercontig:P_pacificus-5.0:Ppa_Contig193:93197:94667:1 gene:PPA10364 transcript:PPA10364 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVNVYATSSTTENLSRNEMLMWVNDCLQAQFSKIEELHTGAGYCQLKKVKWNSRLELDWLSNWKLVQTCWKSLGIDKIVPVEKLIKGKFQDNFEFLQWFKKFFDANYDGHDYSPLEARFGENLPEGGKGVSMGGGTGASRMPMRSVNVPRPAAVPTRTSSNHSVSSNSAATPAVKKPVAPTPKTTPARPIGGGLMKPSASALKTAPPSISSNTADQEKIAALKGELEDTQRQLAESDQVVASLEKERDFYFSKLRQIEIVCQEVDETGQVPPIARFLEILYETEDGFAPPEEDEA >PPA10354 pep:known supercontig:P_pacificus-5.0:Ppa_Contig193:20353:21261:-1 gene:PPA10354 transcript:PPA10354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ptr-16 MKRKDKSREEWQTKRNLPLKGCKEMELRMDTTHLVMKSSPLNDVAYVYERFLWTEGQLVMVFVNNVPDLSNPDNQRAMLSLVDSFEKLPYSMGRNSTSFWLRILMEEELDGMIWSD >PPA10350 pep:known supercontig:P_pacificus-5.0:Ppa_Contig193:340:2405:1 gene:PPA10350 transcript:PPA10350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ztf-9 MDTLPSSSLLSFLPFASSLSTMDTTAAFLTCRECPPDSAKYVSIEELECHICSDHMQFFPYECEKCRFGRFPTLYAYTIHCRDEHKMNEFYVKYKYNEETERRMAEAKTRVCLSLTSPPLIKDEKNGNLDFDGEENEDTKSMVTCQMCGISVSNQRSSLVYHANTKHIKLELYSCKICGKNWNTIAKSDVLKHVKAVHSGDENMITDNRKALCNQLRHYTQQCFPPTACKPRGRPPLGTVKREEEREKTPSDSGMEMGDDLDMEQLFDAPTEQILQFANQQYQKSKFNQSDPCRYD >PPA10374 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig193:163415:164009:-1 gene:PPA10374 transcript:PPA10374 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRAGAIGLARGNRYLVFSTNTRKDWSEDGRNESGNESDLIVVVTEDGVYSFLQEHQFEKEKDMEYRLYLQRQLNQDTLKGRK >PPA10379 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1931:155:391:-1 gene:PPA10379 transcript:PPA10379 gene_biotype:protein_coding transcript_biotype:protein_coding ELSFVISFTRAYASSRYGRVKTKCSGSSAKIGSTGTIWLRGLVVMSSLCKLWKQWTESSEIAGCSILRSIIMMV >PPA10380 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1932:229:1414:1 gene:PPA10380 transcript:PPA10380 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLSKSLFDEMHMNLRDYASNSIPFIQSVLESDRAVGDQKLLGINWNPSKDVLSIRIPYLPRHSTETKRSMLSSNASIFDPMGWLQPLILQSKLLVQQLWCHELKWDQPVDTSIQSKFHELLNDIESFNLEIPRYNSMSSSNEIHLVAFADASKLAMGAVIYLWTSEKSTILMCRSRLAPAKSKATIPKLELNALVMAHTLLKYAVDSIRKEFPSSIIHTHSYSDSAITLFWCLNDPNKKNNGPFVANRVNSIREISSSLSSIPNVHYHQPKYVRTDANPADHITRGLSANEMNDPTHMWWNGAPWMKDPPEKWPNDPIPPTADPPYARVIELVPSPLIDLNRCSSLSKAINITGFMLRFIQRITSKSTNATLKGKFNQFAMSSTTSLSALERK >PPA10381 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1933:32:255:-1 gene:PPA10381 transcript:PPA10381 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYMKTEESAAWEAHKKEDDEEKHTRKKEKKDLNAPKRGLSAFMLFMGEARTSLKE >PPA10382 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1937:141:905:-1 gene:PPA10382 transcript:PPA10382 gene_biotype:protein_coding transcript_biotype:protein_coding ALLTVALAIPSMFSGRPKGGFTSHLFNNQYFFNYEFANEDSNVNILFIAGEQNAGLQFVKGDNSYVHYASQLNASLYALEHRYYGDSHPTEDLSVENLKYLTSRQAIEDIAEFIRQKNEEKKEEQKWIVVLFLHGLDLFILN >PPA10412 pep:known supercontig:P_pacificus-5.0:Ppa_Contig194:292916:302681:-1 gene:PPA10412 transcript:PPA10412 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLQQTNEIVLNSKDLSFTDDSFSITKKAKSGELTAWNFNGFKVEKELEKVTIHTDKLTEGDTIYLKIKYSARILDILGGLYISSYKDFSGKTKLMAMTQMEPTDARRMVPCFDEPAFKATWDVSVEHPLETIALSNGMETGTIPTSDGWQLTTFKQTPKMSSYLLAIVVGDLSKTETTNSNGVLVRVWARHETVEDTRYALEAGAKVLAQYDEYFGIKFPLEKMDMVACPDFSAGAMENWGLVTYRETDLLYNANTFGMSEKQRVATVVAHELAHQWFGNLVTMEWWDDLWLNEGFATLVEYDGTDIISDKNYHMEEEFVRDAMDVAFNADALPTSQPCSFKIDKSLEVSEAFNPISYDKGGSVLRMIRYVLTPTVFQEGLKIYLSKHAYGNAAASDLWAALQIAADNNKIMMGFPVVIAKRINGSFVEFSQKRYKSTYGTQERLKYRNPEFRFKWDIPLTITRGAGDVLEKFWVDRDNSLVLPIPDNEFFVMNIDSYGFYRTHYEDEGWKKIGVALAENPKRFSTRTRARMISDAFAMAHIGKLKYGDLFDLLKEYIKKEDDTLPLNMFNSEYNLIVSYQSSEPTGVDLNTFKRNLLRPQYEVVDKSQLITNFADDSLFWDNFKANTVIREMCLAKDPACVADEFKNYQDNFLLICNGTDVMSSDCSKVAAPLRMRTYCDGVKYSTPGAYEIIQDLMMEGRALLRALTCTTDIAQLKRTLLISLDPKISPVRSQDLGSLFGYVSSNQLARPFLFDFLFDQWDKIYERLKDDTSILASVVKGCVNINSMEQIEKLVNWRNKHAEAKNMHVFSEKIATAYKFVERFWSELPPSSSSHTHGAPIGLNDPSPTIHRRSMSSSLVPTVEDVSELGGCDIVDEEAMGNVLGISTGNAPSTSLYDFDSLLANVEESNLELRRLDLGPSWDLLPSKAPLPLRGSSPIKKTLKDVSERDERLPRSIGVRSRLITTCIASVPVTTAPMSLTKVEEKGEPVQVDSEIVSSPSEREGEMSVQLLMDIINSSGMDGLRYLLSESFWPKGCLTPTQISYIFTLVVEQAKDCEECREIMRDFACASPRHSLPSHIPILLVARSTKEEGIERAIEDMTFHHKEFILAPISLGIHSSLRFSAIRSMWKEVVKKGEKDDIIEIYECGLRMGLFEGAKEMVEEILKEMLIRGASFSSIFYEWKELGERYGNRKTGMSTVLNLLSKGEEKAAKYVFSLVSVHGKHWKEILSDMEREQCAENLVLIEKIANLITYGVIGEIRKKDKKKAQGNMGICEISSEEVKLEENKVVEVKDEVSEMMETIVWKANGGKNDRRKKGPKKPQFVNEHAQLMRKHGDTMDNSEIASLNDAIERINWYSSVSKATLEKVANMIQASNIDELNSISDRSMATLFSSFGEICREKRRDEKRKLLEETVKSITDKGYPLGALTRTSIFSAKVDMTERVEELPSFVEELSAWESARLTPTEDITVNGARVYAIQGDRKGVM >PPA10407 pep:known supercontig:P_pacificus-5.0:Ppa_Contig194:256187:258284:1 gene:PPA10407 transcript:PPA10407 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLHKTSESDHNKREAEKMKKEAELLKEDIRQMKKEKVEERKKGLGHFDNLRREGMNFDKKIIIGDTTIDVHKTNCQISEFDTSIFEILVNWAYSGNLSINLNNVQWLMKAASYLFLDTLVEECYIFLRKRIEYDGALPLLTFCHSIDFYTTGESLMKYIDVFDAVVKWVGKDENRKKFIPKILKAVECPRLSSSFINDIVEKNKWIMDIPETINSINEAQKLAKSRLIRWRITE >PPA10396 pep:known supercontig:P_pacificus-5.0:Ppa_Contig194:191370:192873:1 gene:PPA10396 transcript:PPA10396 gene_biotype:protein_coding transcript_biotype:protein_coding MVCATAILSSSLALSLLRHSSSPSQSFVLSPFSFDTALSIIHDGANGNTQKELTNLLLNGCTPSDVTNLYSSLSLSLPSTNESGVAFKSANRFYVDNSISLKNEYQKHVEDKYKVKVENLKMSNKADAAKEMNKFVEGATNGKIKDVIKPDGISNDAKAILINAIHFLGKWKLPFNPTSTYPRIFKGVNGEREVDFMNHHAKSFRANQNNDIGTVLSMPYKDEKYSFFYLMPKETSNLEKMRNELTGEKLVNVLKEAKDSYLDITVPKCKVESKLDGVEVLSKLGVENMFTDGADLSKISSTPLKVSKITHNAVIETDELGTEASASTMLEAVPMSLRPTIIIDRPFLFGIIRNDDIIFLGQFV >PPA10385 pep:known supercontig:P_pacificus-5.0:Ppa_Contig194:35990:42805:1 gene:PPA10385 transcript:PPA10385 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLRVIGELSDNYEREQEIYQAMLVIEGAMLKMASEWNHVEDSWVEEKKKRMEMNESGQGEQMDKEIEEIGMWLAHAGKRLKASTEEGMKIILAEAQEQRTRLIAIISQTEDDRKLAQAGELARGVEELLALTRAALNKGSRLRNFFVSATNMYHQLVGMERDMEKANESMAGELAPLARQKGTTMKEEADAILERERVTHDERRMIINKRNEIMEKIEQIERLAQEKSDSGKMQANDDAIQFALNESTRLNEEEQRSSREFEERYNRLKSQLEQRIHLGKTFLQVNEFARELEASFDSLEQLIDQKAEHSERGLQQMGKVFEMIEDTLGQERAQGERFISSATSEEKTDSFLKTGEAKETVREILVRHERRFTQMAENWNKWHQRKRSVVEASRLLEEVQMWQEESMEIIRLWDEKKNTIEKEEVGHRMEEVKRRMGGEREKVESVRSTVNDESTAHKLEQTLLRQREIEEKMQRVHNQMIEEEKIVLERQKELESSRQEVSRVIEEIQMWQEEKMDIIRLWENQKEEKKEEERKEIQKKSEEIKIDLIQQNDRIEKIKSAIQDEETSKKVFETVQRQREISERIDGVKRKIIDEERIVEERKMKESAKQEVSRAIDVVQMWQDETIEIIRLWENKKEEKKEEERKEIQKRSEEIKIDLIQQNDRIERIKPYIQDEETNRRVLETVNRQREISNRIEEVKRKIIEEQRIIEQKKKDSSRQEVNNVIEEVQMWQNETMEIIRLWENQKEEMKEEERKEIQKRIEEMKIDLIQQNNKMERIKSVVQDEETNGKVLETVLRQREISERIDGVKRRLNEEERFVEDKKKMEQDRAKQTVEEIEMWQEEIVTILRLWEDYRLERSMNEKEEINKSVEAIGMDAIVQAERLQTIKTIVQEQEWQTRISSIEERQRIIGERLEKIQKGMKEENEERARIQREQELTLKKTLEEIEMWQDETVEIIKLWSQKTIEEEKVQIEEVKGKMEEVNIRIQQTLPMVRDEVTAQRVERIAARQNAIIAQLKTVEQQLTKEEESLAVESVKEIIERPKITTQLNDISIEEGKRVEFVAKIQSKPEATVLWLKDGKELEQTMDYRKSYVNGVATLSIEETFIEDSALYTIKAKNEGGESESTARLTVTALRKESEPPRIIRGLKSTAIEEGERLFLDCVVVAKPEPQVVWFKEESTIKEDERTRLDFKGDHCSLTLNPSTVSDSGMYTTKAKNIHGEATSLCQVKVSPKKVPPPIPPKPDRPDGLLGVPSRPPAMQCTLTNETYQEGMKAVLQVLVSGSPRPSIQWYINDTPVTTTERVKVTIEEDGWTRLIIDEIREDDAGLYMVVAGNEMGEARTGATLHVQPKLTMTQVKKSFEEASGTTSNRLIEEKITVIENGMEKTKTEPTPTSTIVMETKTNEEYKQKDESQLEVHPVLREEINTTSTTIFLL >PPA10401 pep:known supercontig:P_pacificus-5.0:Ppa_Contig194:234938:235526:1 gene:PPA10401 transcript:PPA10401 gene_biotype:protein_coding transcript_biotype:protein_coding MCVWDAQVGSWYIDLVDTPTRYYFKWAKCVLAPVTPLKQQHTDGTSRASGSDDDDKKRKKTSEKIPLSSCRDHNDMGSEGTRVEINGGGLAV >PPA10399 pep:known supercontig:P_pacificus-5.0:Ppa_Contig194:200469:202660:-1 gene:PPA10399 transcript:PPA10399 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKNKNKGSKKGEKKDKKQKKKSKSSSNLLKKMDKKKPGGKKGHHGSHAGPPMLKAPGHHKPPKQGKGEMIARTPPLDPSAYYAQQQGRPIPPQRPIPQQGQPGPPQQQPRPHQPQQVSVQGKGQVRCSQSLSAESMTGQQQQQRPPPPLQPAAVAAQRSAAAPPPAARLPLQQRQLPPPQQTRPPQHLQQTPQQRSPQQISPDLEKTEKKSGKKGAAAGNKISKFLDIKFSSLVDQHRKFLGSLPNSVSRAAFDDNICLNRFEDVICIDQTRVLFSESSLYLNANWVTIEPGKKPEDPPKQMAIIAQLPLPECAEAFWSMIAENDIKGVLVFCDDNEFLTFEADKIFPMNKATVQISPRITVTHTHRLAVSAEWTMNVYLMCRGDSIVIIRYLHVHWYAWGGDEEIGLKNLWEIESVFRRYSSPHVYMSSAGVGRASTFAALRMAHIRMHDETCKEVNLNNCIVSLREQRLHSIQSLSQSITLHNALIQHIIDCPSFEKLENDPRVSAYKEQYKEHKVHSKE >PPA10409 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig194:267029:267272:1 gene:PPA10409 transcript:PPA10409 gene_biotype:protein_coding transcript_biotype:protein_coding MVILLFFISFFPVLPSLLFSSSSFSSMADDVPDTLEEINLKINTTADDLLTES >PPA10410 pep:known supercontig:P_pacificus-5.0:Ppa_Contig194:269991:270826:1 gene:PPA10410 transcript:PPA10410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ric-4 description:Synaptosomal-associated protein [Source:UniProtKB/TrEMBL;Acc:H3EKX3] MLALCEESKEAGIKTLVMLDDQGEQLERVEGGLDTINQDMKEAEEHLKGMEKCCGLCVLPWNKADDFEKNSEYAKAWKKDDDGGVISDQPRITVGDSAMGPQGGYVTRITNDAREDEMDENIQQVSTMVGNLRNMAIDMSTEVSNQNRQLDRIGAKAQSNEVRVESANKRATNLLK >PPA10384 pep:known supercontig:P_pacificus-5.0:Ppa_Contig194:32413:34113:1 gene:PPA10384 transcript:PPA10384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ril-1 MVATKILEAVKWSAETVKGTALVLAHPNAPVPASQLHPRMMARPWTAQEYIRMWSWRHCWKFLPVFRFYIYSGIIIYGVYKFVLPIKPRHRIMYTKGKEDGHHHEVEHWYGIRQKLADKEYFKKYNPLKKAGEAEVGGH >PPA10413 pep:known supercontig:P_pacificus-5.0:Ppa_Contig194:316255:317645:1 gene:PPA10413 transcript:PPA10413 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKVARLNSRWQRPEFAWKVTLSIYILVFIACAPNTLVHEIAISKLASNNNCRFFKLNIWMLGVVFKLIPCILLFFLSIGLMLRLREAESKRRKLTNCSANGNNTKKGKTTDRTTVMLIVILAVFLITELPQGIICIMTAIYTHDMHNYIYAHLGDMLDLMSLLNSSVNFVLYCVMSSRYRKTFWTVVLPASTYKKLKFRKGSLKRNQTSFHTNLPSINVYH >PPA10393 pep:known supercontig:P_pacificus-5.0:Ppa_Contig194:171635:173768:1 gene:PPA10393 transcript:PPA10393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-117 MEELANGGSHLQPTVHTSCNGSTNEEQTRIKRYDDDIRERKERQFQRQKENELLRSSLRASKKLKALTINENNNSTNNSGMTRGEDVIETSLDEVDALQGIKEDRSGYENESFVVSDSSCREVMDDSVETPDEQPNLDQIILSVERIAEHLNSSEGRPEEGRLIRDFFTSPPVKSAIQASSHHSPIECSSRIPHEEIGPSSNLRIVTLYKDNDNYLRSVETSERKRMKLQGATVRNEEHRMVVGRVVAGGIAERTGLLQEGDELLEVNGLELRGRNVGQRGLRGEIRLVINTDGRKARNRLENVKKLRSLFNYDPHDDVFVPCRELALSFSRGDVLHILDTSDSNWWQATLDGSNCRDQLAGIIPSPSFRQQFVILVVIAASSSLSTRRRK >PPA10416 pep:known supercontig:P_pacificus-5.0:Ppa_Contig194:323307:325339:1 gene:PPA10416 transcript:PPA10416 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRGGGGGGAGPPGTNGGWGGDGGGDGNRGGLGGNGGGSNGGWGGGNGNGGWGGNNGGNNGGWGGNDGGNEGGWGGNGGWGGNGGGGRGGGWGGEQQDVFEMEEEVAVDEWEATMAVDGEATVETEEEMEEKKDIIITITIIVVKREEEEEEEEEDRMEDGVKMEDGLVDNRDLDGVDSSVNSLDNSLSTHMLEAIRTAKNEQRNTRMISETD >PPA10415 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig194:321687:322225:1 gene:PPA10415 transcript:PPA10415 gene_biotype:protein_coding transcript_biotype:protein_coding MHFGEDISSDVEEQLLNWKRTKEGEKREEREGEGSQIIQTSECRTILGVERNELVLRSSAILSLSRKSSASSNFSMDIQENGVAHD >PPA10405 pep:known supercontig:P_pacificus-5.0:Ppa_Contig194:251833:253433:1 gene:PPA10405 transcript:PPA10405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-try-4 MDESQEMARICGTLQFPSSQSPPFITPNFIEHLRTRVAKYPWAVSISLNGKNKLGGALISPYHVLTAAHGFLLYTSYYTKCSARGYKGMSEVKELDVSYGGVCIRGVDRKLPNHPFCTQPDVMRNKIRSVRVDVDFGRQHCNKGHDWAIVELEERVNFTEKVRPICLPYAGMKIGELLQVAGWGRTYVMSDSSPLLHEATMVHAPHCPRPENDFFPSKAPDMLCAVSQNTTDYWAPRTCHGDSGSGMQQRDADGRARLIALTSFGTPGCPADELARNGNLLYSSRFNPV >PPA10400 pep:known supercontig:P_pacificus-5.0:Ppa_Contig194:229438:233158:-1 gene:PPA10400 transcript:PPA10400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-taf-10 MDGGGNSNWMNAPLGNQPNQMQQGMIAGRSDLQHPPKSQSPMELPSEPSVSNITRSISRPLNSAQQTLINSVVAARMKGIGQVKKATKETKFSLTHEVIDPVLAEFGIEVVKPPYFQ >PPA10403 pep:known supercontig:P_pacificus-5.0:Ppa_Contig194:246814:248429:1 gene:PPA10403 transcript:PPA10403 gene_biotype:protein_coding transcript_biotype:protein_coding MMNISLDYTLVEKIWTPNLHSSPIPNMFLMIFPNGTVWVFSIARNKLADFTLNALFWTNQTFEYAAGMWDQLSVKLTFTRSYGFYILQMYVPTYASVFLSFVSFWIDIKYGNVAKSLPKVGYVKSIDVYMVMTTGYIYLTMVEVAIICFLEMRNNRIRKKATVAKKKAKRMERFIDKNTKERKKNGEKNGYGAISHDGIPPLIDLRAIPQQEFIVS >PPA10388 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig194:48820:51960:-1 gene:PPA10388 transcript:PPA10388 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLKKSNEHERHDLEGANDLLSGAQQQNKLLNHITVNPLAINRATQQRVIPPIPVTNKQGMKNIQNAKQRVSFIMQSDPLSKMARRLSIKNAHHPSGILNMRQLARKPFTTIDHSAVKGHCQSIDQSSQLKQSRLSVYSQGRDKQDRMDLNWVLHQLRELLL >PPA10411 pep:known supercontig:P_pacificus-5.0:Ppa_Contig194:273014:276609:-1 gene:PPA10411 transcript:PPA10411 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLNRLIQFAKEGSTTTKAVEIASGVISAAFKNGGRNDVSAAILVQMIGNTATSEEKIEMLPNVGIGLKELEKAQKLLEDRGLKKRAELMGLLKKKSQASQRWMASSPEDLEKELDALEKAPNVSEAVLTTIRIYLMDKISSPEMEKKNAAFLWKYIPKRTMSTAYLIPLLEKMIPSLSKKIERKEGKEKDPWEKPRLHRLREMTEKVYENAMRKSVMDEDWNGAERLWNARGRILDAFNEMKENSENNKNSVGHLEVMRAAINLDQRAILESTVNLVSSLHSKATAILDLAFAFLMEKKVCLPFISRISNVNGELTQFKSTNPSLKLSSSKVKIFVKNAMKEQRIDVVHSLFSILVSCPISEEKVSNTDLNYLALTTLSSYVRGNNEKEAIGLVDEIEESTLVVERQLQGLIDRVKKTSSSSEVEFFLAKIF >PPA10404 pep:known supercontig:P_pacificus-5.0:Ppa_Contig194:249955:251151:1 gene:PPA10404 transcript:PPA10404 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEVARLCKASMESAKVGTEEDKLQNGRDFYKFFFTNFPDLRVYFKGAEKFTADDVQKSERFEKQGQRLLLAVHILAETYANQEVFKAYVRETINRHRVYKMDPSLWLAFFTVFTMYLETKTKLDEETKKAWAEMGKVFNEEAQTHLKNSNLPHIPIILN >PPA10398 pep:known supercontig:P_pacificus-5.0:Ppa_Contig194:199007:199534:1 gene:PPA10398 transcript:PPA10398 gene_biotype:protein_coding transcript_biotype:protein_coding MHAIQNSSRSWLKSAWSIITVAARLHRLNVIRGRKRTSMKYRQCFGIEWIEKKK >PPA10417 pep:known supercontig:P_pacificus-5.0:Ppa_Contig194:326800:332845:-1 gene:PPA10417 transcript:PPA10417 gene_biotype:protein_coding transcript_biotype:protein_coding MLVESMGINGIEIEDDEEERYVDLDDDGKPLERGIKGEKKEEDENEDEDCDDEVKELSFSVKSEKGGKKKEKEDDKKKVVKGRLQPLPTKGWVHRKNQAHHGGICVYSSSARNPLFISTDGTFDAELVLLTQHFHPSIVVFAQTLIQGKTINYGADPLEDFTTISFLDRFVYRNPKTTVSSKGVLGVQKKGAVGVKALAISSKEYLSKSLHEIPADERFLYRFATLNFAAKAIKPESFVRMDRKKEDDYDDDAASVNSDEFERVMERFEPGEANDNFDIDFGKEFSSEKQKKKSTKRKISDVDGDENDEENEDGEDDADGWSDEDLEDLDEDEEEEDDDDDNEDEEMEEDEDDEDIEIEGEESDDDYGMVNSKKGKRMDSDESDEDYGENDAFVSADRFAEEMEGFGDEETREQRKERLKKKVKRVKGRKHSSRKFRRRLRPSTSIEELNEKEERKTDEMMNEKIESEVSRSPASSNSFQTNGEDLIQQGRRSIQLLKLRRQTIGKAEDEDITTPKRKRKLEDEESIEKENGYEEEDFEENEEKKIGVTLLTPSLSRRSHSKRGRICEKWPLTPLSSSLPSVISCESISSNSLTPRRISGGRSSKRDELIDTPEGNEKDEEIMRMKEIGKEKKAIKLLESGLEDEKLVTRAFAHHNSMTYLEGVGWRVGYVMGEKVSRDVPRLATELELMKFICKELWNNCFGKQVDNLRTNHQGVYVMHDNYFITVAPFAGDSGYLDKSSSFLAFPCGLVRGALAALSINAIVTTTVETLPQVKFHIQIQGKTSNCNTFL >PPA10394 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig194:184801:186123:1 gene:PPA10394 transcript:PPA10394 gene_biotype:protein_coding transcript_biotype:protein_coding MFSALSFLHYRKDDDFVDRLSYFYTSSFLIMMAVLVSFKQFGGRPLECWVPAQFTASWEAYTEMYCWAQNTYWVPIDQDIPPDFADREYRQISYYQWVPFFLLLQAFLYYVPCLMWRLMSDKSGIRLNDIVQMATEKENIEPDYRIRTIESLSRHIESALSNVH >PPA10391 pep:known supercontig:P_pacificus-5.0:Ppa_Contig194:156647:159027:-1 gene:PPA10391 transcript:PPA10391 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKGKNETVAQNGVSNGHINGKSGNKGVKAVKAKTLPVKEESDSDSEQVKQANGHNTEKMEKRKRTSSASKSATLGERKAALSVRSTSESKGDSMAFLLTQGLMANDASKIDTVLEKTNPSVIHSTLAELPTTEVIPLLKAIEIRLRTRKTLESHPPVDSQPSLETALGGLLDWMRNRTSHMQKLLALHGKMNVFVEEMEKRRGGAGRNWEGINRIPLVVFNEDDKKSDEEMDSMSGDEEDGATSEEDWWDDGGVAEAGGEEESEEDEGAESGDDNDDDDDDDDDDVA >PPA10408 pep:known supercontig:P_pacificus-5.0:Ppa_Contig194:262825:264358:1 gene:PPA10408 transcript:PPA10408 gene_biotype:protein_coding transcript_biotype:protein_coding MHDKGLTFGIYEDYGTKTCAGFPGSYGFLQMDAQTFADWDVDYLKLDGCNINLDLMPVGYPEMGRALNATGRPIVYSCSWPAYLINQPQKVDYNVIGESCNLWRNFDDINSSWKSIMSIISYYDHMQDKHIPTHGPGKWHDPDMLVIGNAGITPDMARAQMTIWCIWSAPLIMSNDLRNIAPVFKEILQNRAVIAVDQDPLGKMGRLVANTTDVGVYIKAMTPVDPQSGDFSYSIGILNRNAVNRNSVEFVLGRLGLNSPGGYRIDDLWSGQLVGVFKPTDNFQTIVNPTGANMFKATPVALADLKGLRFATFK >PPA10406 pep:known supercontig:P_pacificus-5.0:Ppa_Contig194:253941:255411:1 gene:PPA10406 transcript:PPA10406 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTLVLLAIVALSSSAVIKQQARKIASLRAKLIKEGTFGDFLAEQHLARAESAGSNSAVASQPFIDYYDDFYLGDIGLGTPYQNFTIVLDTGSSNLWVIDAACTTQACKGYPQSGYSKHQFDTTKSSTFVKTSQPFVIFYGSGSCRGYIATDVLNLAGLVYPTQGLGVSTSIADVFGQQPVDGILGLGWPALAEDNVVPPIQNLLDQLDEPIFTVWLDRHVKPEEDKLGGLITYGGLDNVNCDAQIDYVTLSSKTYWQFPMTSFAIGDYSSNTKEEVISDTGTSWIGAPAAAVSGIVKVTGAKFDWFNQLYTVPCVGNYPDMIFTIGGKNYNVPSTEYVLDLSLGKGNCALTLFDMQGGGFGPSWILGDTWIRTYCQIHDVGQGRIGFAKAHHSEI >PPA10392 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig194:164435:166212:1 gene:PPA10392 transcript:PPA10392 gene_biotype:protein_coding transcript_biotype:protein_coding MNVWVCNLCKACGLRRQEDSPEIPESGADISSLSSINSSHASLSTEDDNSSCSGIPTRPPIIPPRSRTLERPPSSSRNHDLNGFVPPPPRGSIDERVDYESSGETIKLHDLPPPMAPPVVDRSLKPKLPTIPKTTPRPTSAHSTTHFEYLEPMELPFPRIITHPAPDPDLDYIKIDAQQTQNFSI >PPA10386 pep:known supercontig:P_pacificus-5.0:Ppa_Contig194:43116:44543:1 gene:PPA10386 transcript:PPA10386 gene_biotype:protein_coding transcript_biotype:protein_coding MKASGDSYSLRVKRAALENAGYYRIRVENVRGETESLCIVHIRPLSLGPVPKTKQHVQEEFAMFEYEQRRPEYLYESRIPTLKTTHVPQQVNMGLMENKKTCEYVEGYDLNEKQRIGNPPHFTQTLLSTVTADGNGAKFEGIVTGWPAPEMEWSKDGIVITRNTHPHITVSNIGGRVSLNFSQCTVNDSAKTVAPDFISRLISEEIGEGETLKWTVRVTGDPLPSVVWLRDGIIIPNCDEVKIIDEGLGVHSMVIHRIEMADSGQFTCLAENSAGEARSTADLVVRPEGAEPGHYFHVTKVTQEKQVNDNE >PPA10395 pep:known supercontig:P_pacificus-5.0:Ppa_Contig194:188000:188965:1 gene:PPA10395 transcript:PPA10395 gene_biotype:protein_coding transcript_biotype:protein_coding MYVGNILTNLVLVNKFLETDESHVYGYSVLRDLLFGKSWQESGNFPRVTLCDFEVRVLGNTQRHSVQVDGVLVLRMIALHAGVMFCTEITDALWKR >PPA10389 pep:known supercontig:P_pacificus-5.0:Ppa_Contig194:151842:152078:1 gene:PPA10389 transcript:PPA10389 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFLNNIHENEAVYSVCIVGIHRLTTVKTIDIIVLELVCGKDNSTIDRLSAKLMSREESTKKE >PPA10390 pep:known supercontig:P_pacificus-5.0:Ppa_Contig194:153377:154138:-1 gene:PPA10390 transcript:PPA10390 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPHIPPTNIVPNPRNAGRKKSNPVWEFFTDLRAYGLAGVRCRFCHWLTNDRSPTTMKFHLKRKHDTGPGGLWAICEEKIGQQAPAQYSRKRSANESNEMLRKTVMQNVQENLLSSIIQQATNGKQVRKRRRRVRE >PPA10414 pep:known supercontig:P_pacificus-5.0:Ppa_Contig194:319437:320563:1 gene:PPA10414 transcript:PPA10414 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHPSLTLDYLSLYHNNPFGSNIGRLGCVSPSLLTPFSLSNHIDGKGRLYIDPITTLSPPQKEDIERGEKKRGALVYQLDLQEKVISVHNGIIQRLNI >PPA10402 pep:known supercontig:P_pacificus-5.0:Ppa_Contig194:235790:239584:1 gene:PPA10402 transcript:PPA10402 gene_biotype:protein_coding transcript_biotype:protein_coding MLARHPLQSRWTLWYLDADRNKEWGDCLKCVSLFVTVDYLCSLGRRVRRNSFARHLPSLTRDADIHYSPVGLWYLEADRNKEWEDCLKCVSLFDTFVDSWSQYNGMAVGQAWVACPPFSSCSIKEGFIHSTFFDCQAEGCDDKMMINVHEK >PPA10397 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig194:193233:197493:1 gene:PPA10397 transcript:PPA10397 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDNFRLIVFIHSFIHSIKVFVSRLVIFSRKTRPSLLTHLNPLVIMGKSRGAPVFPGEESITLICSSTSCPFDNVPVHKSCFEKYEDQLLKTLADKGSARGWTEYKARRNLWRPCGQGLIVMHCRCICEKGNIRPPEEFVLADRILVAPLDADKKKKNKKRDLPVINMNGGANAKQECVEARMQARFEREEMIEDERNLGRELNIPPFTPRRTRNNSVTSFSSQSIVSTNSNYRSAKSSSFGHEEDSVFLRGRGHGRRGGRKRDESRSRLDSGVSVTTPPITPTKECVSPLIPAITPPPMIRSYAATISTPVSANGKIGED >PPA10387 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig194:45685:47552:1 gene:PPA10387 transcript:PPA10387 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDLLSTYELSIELVTHRRGNQEKSKLRVDPTQSSGRSDRSSKRKSKSIDGSPKRKKGSSRRSKSQASTPSASTPRMNFSLFDESPKKNDEMKEKGRTQKRKNKKSSGSKRDKGSERMTVDSRKEERSKKEETSKKEGKSKKEGKSIRNVERSKKEQEKSKMSIEESKREDGRKKSIDKSVEDKSKKEKFTDETKKTEKSNEDKKSERSKKPDKDKKSDRDKKSTKERTKGSERDKGRGSERDKTKSEKENTKESTKSKKKDKNKLSKSSRTPANTRNTSKKSFLD >PPA10419 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1942:101:916:-1 gene:PPA10419 transcript:PPA10419 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALTKHEASIKPKKCLPTDIRYKKTRAMRRALTKHEASIKSAKQLAKKCLPTDIRYKKTRAMRRALTKHEASIKSAKQQGN >PPA10424 pep:known supercontig:P_pacificus-5.0:Ppa_Contig195:15703:18047:-1 gene:PPA10424 transcript:PPA10424 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYNEDYMICAVTGPCRLTGAVKNGAILMGVRWLHCVIIPTHIVITVMVSVINKSLGSTLKMSEWSKRIHRNIMKGQHAHCRTAKALLRTPFAHWRQSSNEATTHSRIPFIRKDIAHI >PPA10449 pep:known supercontig:P_pacificus-5.0:Ppa_Contig195:98579:100195:1 gene:PPA10449 transcript:PPA10449 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTKYNVSADYVPDQCMTNALLQPQYLVDNAFKICPTYAVEGEAVARLYIISVIFPNGTYRAFANDQTMRWDQQKALYTIRYVNGGVTFFDEPIYAATCAFIKDDLSNACPCTDLPTEPQTAADFTDVIPVRPYPLDACAGNYITRLLATKNNKLLTYSATTSMILHCRAGLWIQAAVPTATNYNSWEVSAGACYHRNVIDGVYRKGILGKTETSAVREFGYDL >PPA10426 pep:known supercontig:P_pacificus-5.0:Ppa_Contig195:23816:27221:-1 gene:PPA10426 transcript:PPA10426 gene_biotype:protein_coding transcript_biotype:protein_coding MTNARQPKEDDRDTKTPIVFTISDASTRTCPAHSPASTASSAAAPEEEVVWKTLPLRAPEDPADTYHRHYSTVQPVNLYEKSEGKGHIPPYLKQFHRQTSEAPSMTPSRRSRRSARSKTPKRRSRFLRSIHYIGSRHRLYGFRHIVIVLILVIAWLLGSLMFWAIEAPNEKMVVAEAYTALHEAFDVISADLHTISATNVTTEAMKAHVKAAYIKLLGIEGKYKWSAIYKKEDSVEGTYTWTFGSAFFFTFTLFTTVGYGTIAPGTDLGRFCVIWYSCIFYPFSLVVVRDLGQVILVAMTRAYGRLLIKIRTVRGYLTTDDDTIKCPLSIICVVSMGFIAILGGLGFYHAFYFSYLSYTMIGFGDLNPVNVPYDVVIAILVTAGLPLMRVVTKGIVIAMENGYFGTMLYVEARLEGKVYGEEVKPDKEAVAAVTDAGAESDSGDSEDDEEKKIQQELSQNFTIRSIAKFMSSNRDVYNGDFGRVELRKSDL >PPA10442 pep:known supercontig:P_pacificus-5.0:Ppa_Contig195:78207:78807:-1 gene:PPA10442 transcript:PPA10442 gene_biotype:protein_coding transcript_biotype:protein_coding MICDIAKLFVTTAFALIPDDLSPGPHSEIAITVAEIFYFSSCLMHVLFAIHRLIFIVFPNRRDAWTSYTVHAIAVCVAFASFKSFLPRWLDENLYVYFNREVMAWLFTKTPSTVTYMNFKMYLSYTEIVVVIILDSISFTRLHMLKAEVSVGQK >PPA10452 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig195:114356:115010:-1 gene:PPA10452 transcript:PPA10452 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLAIIPAFLNNYIVVTRKRLIIMLGEKVRFMSTKTREAHESFTRVLTISALSSAVIAPSLMGVSAVAQIVFKIHSKSLEGLAYDAAIIPALINPSLTVYYVRAYRTFVQDIFVRVTCRKVQNTTSATVTISAQKSRAVT >PPA10427 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig195:28686:30243:1 gene:PPA10427 transcript:PPA10427 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSDVVPTKCCCDKGNDHSRNYHLIETVTCYANEIDHQRTPSTSDSLANIAGAMLSDRL >PPA10454 pep:known supercontig:P_pacificus-5.0:Ppa_Contig195:124321:125544:-1 gene:PPA10454 transcript:PPA10454 gene_biotype:protein_coding transcript_biotype:protein_coding MIYCLVALLAFSTAVSGAGLWRRPYSCHNDVYLCRSREIINPLTGVSDCPARSNLCSNSVYLDVMRVQCPRTCGFCGNSTGTNSTSCVDLTNPRTGVSDCPAMRGYCTNTMYASLMRVQCRSTCGFC >PPA10451 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig195:109045:110172:1 gene:PPA10451 transcript:PPA10451 gene_biotype:protein_coding transcript_biotype:protein_coding MNYYVNERGEAQSVPLHVRSTQASLGPDEGHKKRLCKKSSSVDDEGVLRMKLGLFG >PPA10436 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig195:56704:58499:1 gene:PPA10436 transcript:PPA10436 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNKCPYNYLSLIPGTTRVQNHAKTKMEEVDLELEDSLEDTISTISRIRAMRSLAAQKKKEVEEVTDNMANEVALHFNQIILQAVQRCFDVLKGVKQLGAAHHSAIDNHLKILDKTERQLSVVGQEYVSLW >PPA10444 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig195:87677:89934:-1 gene:PPA10444 transcript:PPA10444 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIIFLLVSFAILGNSLPIDGPLSKHLLGNIHEIALHNANPDKTFFMKVSEMSSLSPEEYAARLGHRQSIEMFDNVTLYESPAEITVPPILDWRSRGIVNSVKDAGACGSDWAFSAIGALEGQHAIQKQNKLDLSEQNLIDCSTNGKNNGCHGGSVADAFQYILKHGVNTESSYPYIGDEQTCKFRTDSVGETMTSYTLVTPNDEELLKNAVAFKGPISVVIDANHASFQNYGGGIYYEPACSSSNVNLAMLVVGYGVDPKSGPYWILKNSFGTSWGEDGFMRLRRDALNHCGIATMASFPTI >PPA10432 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig195:47023:48597:-1 gene:PPA10432 transcript:PPA10432 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRFSSPIDIPTETNNHPPPRLEKSLENREPLYFLMPRSIRRKTVERLNQILTSIFTHDLRNSLHVCRYIPARSHGSAFDAYRATFPSGAKLPAFLDKNIPMVPCHLAHPPIDRIEISAIVRRKQLREQI >PPA10439 pep:known supercontig:P_pacificus-5.0:Ppa_Contig195:62131:67175:1 gene:PPA10439 transcript:PPA10439 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAKAPDVAQPVRQLQQPTAVAATAASNADSSRSTSIVHEERPTAEAAADSDTDDVVVLSLSPTHAAVQPLQQATPAGGQKVVRKSKFVFFKKVAPAPIDAPPVLQPEETTPRQSYGTPAPSRLLGPSILRRAAPSQQQQSTYFRPVNAAERSAIAAASPKPSHARLSESHFQPQPKPLLRVVPPTNNGRPRYFKGPDDAVRVVRNGSFTTRPFPRLVPGVLSSARMEAGRDSDRLIQREDSADGPSVRVNTMRAPETLYSIRDISKSKLLLPSEKKEKRKELHWKGFGESEINSILDRDRRDKLNAHTTTSTQSLMSGMRIVVPTNRNGPSTLAHVLDPSRFSMGGAGSPNSGQPAFKKRMLPIERAFTNMFGADGSNIPDFACRRRGRPSKDDPTAPSNIIEQFKRHQTQWPPKFDEMDEAEIEELQDLIDQLDAATREEEEGERSYSQWDNGYGVRPEAEDPKSSPWQSFTMQERDQIKAAAREKIQVAKTAGKGCFVCALVRHHEGKPRNWQCCECKKRGRALTPLGDNEIIPADPTIPEAPLAKKSKRSDPQAELERDEEDDVEVQEAEDDQPPVDLSALFAHIQEKKVETRGRPRKNKASEEPAAALAPALPKHQRRTPREPRKRREPVGGAETEQTAAAVAVVQEEVVMGTTRRGRQIKMPSKLKGHEVTTAGTTTARRSPSEASDAPSESVSSHSHSTAALPTSSATSTPKVTKKVEEREIKEESPDPEPRSAMDAPLRRGGRRRY >PPA10453 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig195:119276:121234:-1 gene:PPA10453 transcript:PPA10453 gene_biotype:protein_coding transcript_biotype:protein_coding MREKMCVMSVKTRGIHESFTKVLTIQAVISSGVVIVVMVSLSIAQLVFRLHSVDIEGLEYDVAVVAALINPVLMLYFVRDYRTLVLRCITCNRYVSQSPKVTIVNSRFIDIFEFGASLVMAYLVLIPLLAMFHDKMRIMSSKSRAIHQSFEKVLTISAATSTVIIPPLMGVVALIQFLFEIHTSALEGLGYDIIKAFRINTRYAAETIL >PPA10423 pep:known supercontig:P_pacificus-5.0:Ppa_Contig195:11621:14370:1 gene:PPA10423 transcript:PPA10423 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDKANSILRRKEEGSFLIRSNEAHINAANLSLSLSVRNERVVNPGNVSCRRKDPTIVLTYSVKKEESVVPWVYEESEIEMGLSLDGGFFGQVFTGALNGEIVAIKSPDRSRMDPEDYLKYMANKSLKKYFRKLEFTAADCVSVAYKIACGMEYLHSMNIVHRDLAARNVLVGESIHVIKVCDFGLARNVDTSQYYYTNKEIFPLRWTAPEGFVKFDGILISKRGKITSAADLWSYAVVLWELYTNGLEPYGSISGSDLYKMLTDENKRLPKPDKCHDDIYRMMLKCWSIDRKARPTFTDLRSFLASQMATPPTPPPAPASLSHSSTTDDESAIVDTGAYNEMPHEEEPVAVASEVNEDEDADEIPTIAKISTFGLLANILAVYFVFAYKSGINVMLYR >PPA10445 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig195:91177:92523:-1 gene:PPA10445 transcript:PPA10445 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNLILLFVSFSSASLSLCPGGIPVEEIEAFVNVHNTLRQSITSGNFIANDKPIPPSKDPIPNLRWNCDLEHSAQMHANRCQYLHSEQLENLGENVYMRWAGTKISIEGTGKDSSNFWANEFQDHGWSDIKFTRRVFNSGIGDATQVGAMLSGILVAYCGNM >PPA10446 pep:known supercontig:P_pacificus-5.0:Ppa_Contig195:93391:94875:1 gene:PPA10446 transcript:PPA10446 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIIFLLVSFAILGTSVPIDGPVSKHLLDNIQKITHHNANPDKTFFMKVSGMSTLSPEEYAARLDHRQSIEMPHNVTRYVGTAEITVPPILDWRSRGIVNSVKNAGACGSDWAFSAIGALEGQRAIQKQNKLDLSEQNLIDCSTNGMNNGCHGGSVTDAFQYILKHGVNTESSYPYVGDVLCFQQQTCIFRDDSVGETMTSYTIVTPNDEKLLENAVAFKGPVSVVIDANHASFQNYGGGIYYEPACSSSNVNLAMLVVGYGVDPKSGPYWILKNSFGTSWGEDGYMRLRRDALNHCGIATMASFPTI >PPA10437 pep:known supercontig:P_pacificus-5.0:Ppa_Contig195:58544:60367:-1 gene:PPA10437 transcript:PPA10437 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNKCRVCEAETDVFHYGIGACRACAVFFRRTRARKTPFVCKNGQKCASEGNHLCKRCRFDQIELLFGQKRTKRAVKKTAKEAREAIATASTSGQSEIIVNPSVIGRGTQTEDYSILEKLRQAYKMVCTIRRTTELAMRTGDQAVQPMNIVTGNYDLIPSTIEFMNEGSRILSTALIEFALSSFSEFSAFSQDEQWLFVRTFLKPFHITDSGYRSIPAFGEKWTRHFTGYTRFMDVEYIEQYTTATGTVLLEDAIRMSQRHHEKNIKPARIAFARFAASEEEMLAMLGLLFWNIGEIWIKYSDKKYSIFPRNIEHAKVSINKHTFEVARLVNVFSADSFAYNMQRNF >PPA10455 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig195:127486:127921:1 gene:PPA10455 transcript:PPA10455 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAVLQPHIHYLHLTTSGFYFFPREPGNMIFDRISLGAFGNVLFLTTAIFPSVDAFFVLFFIRSFRAAVMKLFHLPCKMDSSIESSGTELSTAIAIRKS >PPA10428 pep:known supercontig:P_pacificus-5.0:Ppa_Contig195:34485:35482:-1 gene:PPA10428 transcript:PPA10428 gene_biotype:protein_coding transcript_biotype:protein_coding MQYSSPKKECYLLGPDVSGTRCGQAKANFVKQASGCATTFAKPVGQYVSHGCQDADDVRDITEDALTPCGTDPTPAGKLPYVLDVVRQDGSRDFYPNGRASITWNTDLFSYFLQYVDFKQYVTYARCVRAPGNPGCACPSLTPPSIGPHGTQTVVGKLYVNQQHTCDVGFNLWVLATYERSVAEQQPRIVSTDNVLYCGFGR >PPA10443 pep:known supercontig:P_pacificus-5.0:Ppa_Contig195:82095:84213:-1 gene:PPA10443 transcript:PPA10443 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSPFFTSFTLSLGSVGFVANVILILAIIVRTPEHMRVYSRILFASAVCDCAGVVAMMLSCTKEKIFFTACILEFHGPCSAFGVDFCAVMFGVQEHMYSATCLLLNLSFAYRLYVLRMKSQRNHEEIIVILIIIAIIVVNSLVVPCYHFALMRDDPMVGQYREVRAVGLEQAIAIVQYFELYTYLICSYSMLTSALPFIASFRMRRIIIAEMKEVQDKMSTSTRGQHDMLVKALNLQLLVSSFFLVGCGMFLFNLIVLNLIPDFPETTEIVVPFHNMLEQSHDNEGSEHVQSLPSLE >PPA10429 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig195:37847:41796:1 gene:PPA10429 transcript:PPA10429 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWLCSIQCGPYTVTAKQLCEVREGESLPILASSGMTFALEWFQQSYSLDYLWIGIICENDSLMWMDGQNVTFNRFMENPESVCDPTADFGHLIDNNGNWISGKSQLAAKYYLCSDRPEGYTAPPPDSSSEEGTSDDSDGTTNPQDPDTPVWVWIVIVVCIIGGVAIMLAIIYFIFMRRGKIRKLEEMVEIERLEAAKPKSSVSNPIANNYYVGTIRTDEWEIDRKFVGIDYTSKLGEGAFGSVFIGRVLLKNIPLTEGKSIVELTALRNDNDAVAVKMLHETADGVAERNFRAEIDLMKKIGYHERLVTSSFRRKYMLSDINDINDDMIITVRKQMMFAIQIAYGLEYLTSRGFIHRDIAARNIMVDQQESCKIGDFGLCRAIGREEENYQSQGGKLPLKWMSPEAIDKYNFAAASDVRIDVRVLDRAAITKAIIHAHKATTWTDVGKCQSGRLLSERRCQLSEIENSGTFAANILTSMRPEYDIVDLHLKVE >PPA10456 pep:known supercontig:P_pacificus-5.0:Ppa_Contig195:128486:129769:1 gene:PPA10456 transcript:PPA10456 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYVHLTSAGFYFFPRHGGRELFGKPFDTISVLFFIATYYQTFLVLAFHFVYRYKTVTRGLHSSFNSRWGMPQWILMGTTVNVIYIGAFLLTVAIGMTPSPKNRDAVPHEIFDIYGVNLKDEHYGFTVMAVRTAVPCVFSYAPLAVCLMWPFTGISLGAFGNVLFLITAIFPSIDAFFVLYFIRRPPSFASFDYHSTLAR >PPA10433 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig195:49277:51321:-1 gene:PPA10433 transcript:PPA10433 gene_biotype:protein_coding transcript_biotype:protein_coding MACADGDPLQLGVKSSLFPTVYVDERFGKRAGINVEILETLARLEKCEGAEYSEYSSEDVDSIQLTSADISLYRYSLPVDVPRLVMLEGRPATVKEVAMDLRSYTQNTNGLVRALIGFIDFISKLFHVISIFLIVLFYQCYFAGNLLVEQVQKEEFVSVIEDLLNGTRRLLIDTGVLMENEYMINEQERLERLCNTEDDVVLLWDDQLFGLAKIDIPTETNNHPVPRLEKSLEVGEPLYFLMPRSTRRKTVERLNRILITIFTHDLRNSLHVRRYIPARTHGAAFDAYRATFPNGAKFPAFLETKAHQSQWLCATSLLHILYPLIALCVGFFISIIVFLVELLAKHQKERIEQKTHSLRKLTLIKQISNA >PPA10457 pep:known supercontig:P_pacificus-5.0:Ppa_Contig195:131832:133932:1 gene:PPA10457 transcript:PPA10457 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQFGGAMTKKSRVVEIPQCEQAAGFQEMFRNDMSKTVWKMQHPIRMFLEARHSFTAWGYLGKSVIEHPGLIEQLKAEKFDAAFSETFDFFGPVVFHLVGIEKWALTESVAIRDGGFQYTQTPIFPSYIPTMMGGSSDKMPFFGRLSNFVSYMLMDFMMDQELMTTNSLVFFNAEPLVDFPKITSARIIDIGGITVSAGHEPLNSTWSDILNLRPKTILLSFGTIAHAFAMPDEYKQTIRETFRKFPDVTFIWKYEKPEHNISEGITNLIESTWIPQRDILHDPRLNAFITHCGQGSTTEAIDAGIPLIVIPVMADQQRNAHQVERNGIGLRLEKTDLESVGKLEVAIKEILGTEKYREAALKMKSLIADRPFSMTEIFVKNMEFLAKHGPLRQLDHYGRHLNVFQYYLIDVIAFVVLTSVVLVTIFAVAIRWTLRRVLFTKLKND >PPA10447 pep:known supercontig:P_pacificus-5.0:Ppa_Contig195:95586:96843:1 gene:PPA10447 transcript:PPA10447 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVNGTRREGANSQLIQIKHILMINVARNMAHKEPVISWAKKISSDFLVLGELPGEVRDFLKELALLGMSIFHSVLLLYLHHMARRKEDWKEYVRVNLEIVIDLQDHLSAKTLEAQLRFIKAQTAQAYLSGVMFIAPLLVLFLSLTTDFTVINGELLAATRFSALTFMTMRRSNATRTRAGQPSSNIASTISII >PPA10448 pep:known supercontig:P_pacificus-5.0:Ppa_Contig195:97157:98099:1 gene:PPA10448 transcript:PPA10448 gene_biotype:protein_coding transcript_biotype:protein_coding MIYCLIALLAFSTAVNGQDCGGDNTLGCMDDTECATFGAGITCDRAAPTDVLGCCNVPTTTTAAVTTTVAAATTTTSCVDLLNPLTGVSDCPTRSNLCTDSVYLDVMRVQCPRTCNFCGNSKSTNSTCVDLTNPSTGVSDCPSMRGYCNNTIYNALMQIQCRSTCGFC >PPA10434 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig195:51923:52157:-1 gene:PPA10434 transcript:PPA10434 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLFLANPCQTMVYDISNCMSTCISPIFTKNTISCPSGYSFIRVDDLSCSIGVHRTGMVE >PPA10425 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig195:19350:23079:1 gene:PPA10425 transcript:PPA10425 gene_biotype:protein_coding transcript_biotype:protein_coding MYLMCVQDQLSSVLSLVRLPSVDHRQISQFKWTIIEGCDEHKPERSQSVNRLLQPSSPHSLSLFPPSPLRPRSVPSTLLPRERLIVSVLIGRMHDPEAAAVTEALIVATTHHAEATPETPEKCKKSWWQRADEDLKGDRASIALLLFLYLLQGVPLGLIGAIPLVLQERGISLGQQAVFSLAYWPFSLKLLWAPLVDSIYWKVMGKRKSWLIPCQYLIGIFMLVLSYKVNAIMGDPKGEHGPDVTFLMMIFLPLNFLAATQDIAVDGWALTILSRKNVGYASTCNAIGQTAGSFLGNVVFLALESAHFANKFRAVPQERGFVDLAEFVFFWGCIFVVATTLVWIFKKEVDNKDEAEVKQEEAQEVEELELGIIETYSMLWRILALKPMIWMLVIYLTGKNRQYLVSRTSGRNLVFQFAFAATDGVTSFRLIRMGMPKETLASFGLFRTIIQLILPVVISRWTAGPRPLNAFLWAYPARILICIGFAVLVYVSPSLRMPDSKEFYYTFYIIWAVAYMIRQIGMSSMFVATTAFNAQISDPLIGGTYMTLLNTINNLGGNWPVTLILSITDLFTWKNCNAPAVSYNGTALLYSCSSAAAAAQCAADGGICDIYIDGYYFGAARNRLIHKLSVALCSIVGLVWYKLLFSKVKYIQEVPKEEWRIVKKTQ >PPA10450 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig195:105134:106097:1 gene:PPA10450 transcript:PPA10450 gene_biotype:protein_coding transcript_biotype:protein_coding MLAICLVVSIPNAVTLLLRAIVEKSGKLSAKTIEMHRSLTRVLSIQSLLPVFFSGAVISFVSCQFDLYCSETQEHFMAESASYMPLLAPFVTMYYIHLVCVNEEKNNRGWSSVLEKLHDNAMIALIIMR >PPA10440 pep:known supercontig:P_pacificus-5.0:Ppa_Contig195:68200:69975:1 gene:PPA10440 transcript:PPA10440 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRKAIRFASNNIMSVPIVIRSFVAKEFHTNSSDVQKIEKCAQLAWKYRDVPDKYRLEKIAPHHFNHLMDLCRGFFETESLTRATGSTIDNCRSAMEWLISYSIAANQAVTNQSWISYFKETNSPVAFRIVNPVYRDPSTAPFTVPEPPSVLSHQEKILFEPLDEIFNKVWEIYPEDNMIYKGVIVYIDPAHRSSGLFHCMIDYNLNFPEIAEATGANHLVILCTANKSKRWYHEEGYKIIHKMEDGRKVMNARGEMVDLPEGEFWLMATELRSTIPLDIHSFSIFSSEMIFARIFSIFHSGDARAIE >PPA10438 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig195:60579:61979:1 gene:PPA10438 transcript:PPA10438 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDRRRRCGKYGVEIDERARSRDAVKAESVSQYVRNVAYGLLKPAMEQFEDSKEFSAQLTAAPFDIAIKPTTADIVESIGKWGEIAYTNGDDVSKPLIQAQIPASKARNPASMVNGEDLGDEFYTGRSIMATKIPLRLHSALEHFTDLYERCFASPSILMDSEVPEGYKREMPFQVGLREAPEGRISEEETEARHAYERYLITRPGMRICQPKIFGGFRRIYNLVDRPSLTQKDVQMKYTLKTPVAAFRLVN >PPA10435 pep:known supercontig:P_pacificus-5.0:Ppa_Contig195:55328:56569:1 gene:PPA10435 transcript:PPA10435 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEITVREDDELLREIIDPSGIVATLMNTQKRPWLRARGSDDSVIARTRYPFEDNCGTNLSGCIEGRRTSMSDVGRWTLVMSCGHVLCEQCKEQCARTDQERVFDLPLFQCPICAEFGSANKLPGPASVNLVSCQTSYCSGEEFTPSSSRCDECSHNICTGCVETHAERFPYHNTRLHWLRYS >PPA10441 pep:known supercontig:P_pacificus-5.0:Ppa_Contig195:70573:75191:1 gene:PPA10441 transcript:PPA10441 gene_biotype:protein_coding transcript_biotype:protein_coding MYMDRICYFVIDFYLDAAETSEQCSRVLTGARLAPSAPEQVWNYVYANKNECLMEGGSVPKKRAMCMLENRFPQSTAVAAPGPAYISGDQELSARMHTPFRKDFVEAVEPGQTIILKGFITSSAIGFHINLQAGGLNTAETRDSGGNIPFHMGFGLNDGKSTTITFLPAAIDVRRHTLIEHASFSLCCSDKKIKVILGTLSKGKWNKGETKTHPLRKNAPFDIRIRVHAERFQVFINDKEMYNYKMVIPISSISHLYIYTNEKESYLTYGHLGEKTNPVPQPSGLLTSSDSATSSQGQAIIATKTQTPVSAPFPKKIVTGQALILKGFITTSAINFHINLQVGGLSTNKSRYSGGSIPFHMGFNLHTGKVFVNQKEIGNYKHRLPLEQIDNLYVYTNEHTSYLTSAFLEDRFSSDAISTSFSNGFPVCGEQSCAALRSKYTSRIPIEDCKSLLITGSAKEQSFSIKLISKNGDVALLFSPRFDKKNAVLNSFKNNKWLTEETVSALPIEKNTRFEVSITNEANAFQIFVNGSHFATFDHRMCPYDVNLLDIEGVFDLDIQVK >PPA10421 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig195:1433:4069:1 gene:PPA10421 transcript:PPA10421 gene_biotype:protein_coding transcript_biotype:protein_coding MFARLFHDIFYGRTPKYLRSYSIVLLYLALVEISAAIGSLLIYKKVLSTSFYLINAITGICGQWESPLLCFSINAIHICFALCPILEGRELERVMNESHPEYDLAKHRLEEMLIVVPFNFSALLATYWVQGVIVPVFFVVIISYTQINKRLASIEHMSATSKRRHERILKAIVLKTGLLCQAVLPILYVLVVVSYRVETGQRIKNSEEIGAILFALSPLCTLIFTPPYRNALTR >PPA10422 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig195:6767:8837:1 gene:PPA10422 transcript:PPA10422 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVVVVDYCADAVELKEGEKLVLESDTNISSWIVRRVDSKDHFSVPSCHLAKESDQDHFEWISFDIAKDEVDTILKDVKLPSGAFIIRPRQTHSVSCLLALCIKTQNNKNPVAKFLIKREDGGFRMDSGRQTFQTLAQLIENYADRRNTSNISLTFSVKKNEFATKWEYEVQVIEKGRRLDSGCFGEVFLGKLYGKETVAIKVPRQESFEVEAFMKEAKISRPCCKHSNVLETIGVCTDKLYLITEYMVNGNLKKYLRSHQLTSAECLSIAQKIASAMEYMCSMKIVHRDLAARNILVGETIETIKVADFGLARSLKESDYYITTTEGFPLGWTAPEGFVIWDGFVNIRKGQITSAADVWSYAVVLWELYSSGQDPYMEIAPQDLFTALNEEGFRLQRPAKCPSAIYAKMLECWNIYRKSRPSFAHIHKYLLEQPVDEIRVDEKHSDTDDDIVVEESPTDDVKYEVETTR >PPA10430 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig195:42451:43345:-1 gene:PPA10430 transcript:PPA10430 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSDSQWYERRGSGTLLIILGVEVMAVVPSLFNVILCEFDVYSHATNFLVCCTIVVFGLLLYSFIFCHNVALLRRLRNFNDGYSVSESFQIKENLAVLKVMLYFVCTTAPIVIVSLLLFAFIHLPI >PPA10431 pep:known supercontig:P_pacificus-5.0:Ppa_Contig195:44214:45024:-1 gene:PPA10431 transcript:PPA10431 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSIICLTVALIGSQAFNTFGGNGNLRTQPFDGNNQIHILPFPSDGVTPGEAQILPYFPVNKNNNAEGVQSLPYPTGNDEMRIMPVSSGQRTARQTGDVRSLPYFPGNNGNVDGFKTVSSGSGTNGQVRMLPKSTESHNDSDEMRIMPVSSGQRVKKSTGEVQILPYFSANKDTTSGL >PPA10458 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1958:427:967:-1 gene:PPA10458 transcript:PPA10458 gene_biotype:protein_coding transcript_biotype:protein_coding VHSLCTDNDARIGKMLREDAQFKDIKHLLDFWHLIKSINHDLREIAKKKRCPNIKYWHSQIINHVWEESGTRTQILDILTCTCHWEAQALRQGSLPPWYQAVQAQGASNFPRLSDQEGQ >PPA10482 pep:known supercontig:P_pacificus-5.0:Ppa_Contig196:145739:147565:-1 gene:PPA10482 transcript:PPA10482 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRHWLPFSIRYSPDAFDRRIIALLIVAGEQFEEFKSSVLGADSKDTIYSAIDLARRYLATADYVPESQWPLVIQIIRCYQSQFEKLIRSIGEEKVDDYAGLQSNELFNSRTSMDKYSVQIGDFITTMFPPAIRRPFSKVDAFLIKNSVDLLSEPNLKVIESQAIAKPQATEEPALLSRPQQRFSRPRRISMSPIYETCEKGLFFKTIKVEADFEENNLYDELTNNVAFKDHEVTEFAFCEKINASARERCASALFDCIYTDNGGSHYDCRQSAMDRKASISLSHRRSLSQLKVVAD >PPA10477 pep:known supercontig:P_pacificus-5.0:Ppa_Contig196:130107:130480:1 gene:PPA10477 transcript:PPA10477 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQLVFADLTPLSSVPNLTSYPNYNLGDPSNMLADSSYGWNNRPNVLVAGVSPLLSSLPSSLPSSIPDSSNGLINSMNSFSTPSSSSTY >PPA10479 pep:known supercontig:P_pacificus-5.0:Ppa_Contig196:135997:137355:-1 gene:PPA10479 transcript:PPA10479 gene_biotype:protein_coding transcript_biotype:protein_coding MALANFSTWSDDSENSDESFSLNSIGYKKILDELSASIPTNEAWASPLTTVNSRMRSFQSHIRLGTNVTAINYENQNSVTVHFDDGTEEEFSSVIVTSSMGFLKAHASSFFTPALREEKIRAIEAIGFGDMQKLFLEYANPFWNEEEDSIKTIGLSSSPLLGRGNLFEVVEWDRKVLTLWLSGPAVEYAETRSDEELKEEITVHLRKALENESIEHPTQIMRHSWKKDQLVLGSYSYLTPEAVEIGDANAILAEPIFGDDKRPLICFAGEATHSTFYQTTCGAYLSGEREANRLAEYQK >PPA10466 pep:known supercontig:P_pacificus-5.0:Ppa_Contig196:61558:63719:1 gene:PPA10466 transcript:PPA10466 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAYLFSPKHLTTIHEKLLKIGAVKWTEAEERVFQWNIGNTEFSCGVKLDQVSALYWDQNESLVQFAGAHALLIEGSSEIIKKLGEGTDIRMNCPVERVEWEEGKKCAVVCKGGKKYSADRIIIAVPLAVLQKGTIEFSPPLPKSKTSAIKGLGAGLIEKIAVRFPRRFWANLEKEDGSLDYFGHVPSEEKRRGLFNMFYDFSSRGNSKNEHFVLMSYVCGDSVEIVNELSDVEVVKMFCDTLQDMFGDEKIPEPTGHVVTHWGRDPYIGMSYSYVRVGATGDNYDGIADTVAGKLHFAGECTNRFFPQTMTGAYLTGVREAGKLLENWKKKE >PPA10478 pep:known supercontig:P_pacificus-5.0:Ppa_Contig196:132449:135282:1 gene:PPA10478 transcript:PPA10478 gene_biotype:protein_coding transcript_biotype:protein_coding MYREKRGLCRICSDKSDGAHFGVDSCRACAAFFRRSIVMKKKYVCRQGGHNCNINKSVRCMCRKCRFVKCLQCGMLPESVQTKEAATASSSTSAKKPSAPSTTLPLNTSNQQHTNDMQGQGTSSGSSSVPAPLPVYSTGVTKLMQIDNQYKMLCSLRRSTEMSMSANDMRGIFESACSDMRPTTFSNLNKLVKSTIPMLADFCGDCFPQFTELGQEEKWSVFQNFMGAMWNTESIYRTHKIFPNDISKKVCSFTTYIDLDNLDMFLSDTTTKMDVKHFGNLIRKNIVDAVRRIIRMLARIEVTDLEFAALLGLLMWPPYLPKASERVVEMALGIRELIFRELHVYYSSILRMEDYAGRLGELMSLYSSLQWSTNRMKEDMEIFKLFDIFEEDSFFYDIIKK >PPA10465 pep:known supercontig:P_pacificus-5.0:Ppa_Contig196:59698:61485:1 gene:PPA10465 transcript:PPA10465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-apy-1 MSSKGSSSSSKPRATLPPHTIGYSLFDVSVVIIVAIATTAFVLTSSYSEVFLPGFRPSWSKYNSSALTTPEKHSDGSATHSLFLITDLDHDSKSTEKKNTWFSLGLKASLTVGKGAEWAKLSLGDEKPISSQISAGGRAMELSDLVVFDGRLLSCDDRTGLLYEICPSNNKAYPWVFIPDGQGMRAPKGLKAEWMTVKGTELVVGGLGKEWTTTTGEYVNDDPMWVKFISPEGAIRHVNWAPVFKNARKAIGIEYPGYTINEAVQWSETHKRWFFLPRRASTEKYDETADEHRGTNKMISCNEKYEDWTVVEIGEVGDGARGFSAFQFVPGSNDELIVGLKSEEKDGKPVASYLSVFTRQGKIVLGDSQLNGPHKYEGVAFA >PPA10461 pep:known supercontig:P_pacificus-5.0:Ppa_Contig196:18650:22366:-1 gene:PPA10461 transcript:PPA10461 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFSLSCSDLVVCSLSATITPITAFKKEWLFGGALCTVAPWIAGIVVAAAVICSPTAMKQKLVPFENFCGEYCTEEWNNDVHGRRLYGALLLTVQFVIPLTIIIISYSAISLRIGQSMILKSTQRKGSPTGDWKEQLTDQQRAALKRRQRTNRMLIGMVVAFSASWIWSVAYNLCRDYEMLPDAIVSQEYLFGIGTHCIAMTSTVWNPLVYALLNLQLRAAFINLMPEKLRHFIGVEMDEKTNGAANGASEHPYKNGSLKLNRPPATTAICTNEQITRPKYGATAQTLITYSTQLFE >PPA10464 pep:known supercontig:P_pacificus-5.0:Ppa_Contig196:52893:58537:1 gene:PPA10464 transcript:PPA10464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-amx-1 MNLRNKGPLKRGRLEEMETDSAVIEQQSAESTTGIKRAKTEDDKSNDADEVDLFPDVDDEEEFHFLITQSQESSPSRSPSPRVRSQRQCAEVAKKKIKTELRPGETRKEEAVKKLQSGESPQKEDRECSCERSDCPVTKNCSLGIAENCIGSRPDVGRLFIHLSKGEHVCKTCYEQIWTNGRPKFEHFMDWKGGWMNESRCAPSLKGYIQDQLLPFWLQCTGCGKFRTLPMDAPTPTFESIESFNCGKCEEPESTQVADASERMWITTVASAPLLHNSPALHYLAGDSYYYDELGMSPMNSEVDGKTKEKKESSSRVFLSPFNLPSESSIAFSVRPDCMEHDEHEAFPEFIGEPVPYLALRNLVFALWTKNPFKYLAPRECASHLVCRGLGRIWMLNEMKRVFDFLNVKGIINYGILELPEKPVTQIGESSPEVIIIGGGISGLAAARQLRGFGVEVKVLEAKTRIGGRMQDDWSLGVAVGCGAQLVTGILNNPIALMCEQVIPTMNALVLKPEVGVGYRSLTEGCPLLDATTGTRVDAKVDRVVDEQFNAILDAHGHWRSSVKDPEDGSLYVNTIETIMCD >PPA10475 pep:known supercontig:P_pacificus-5.0:Ppa_Contig196:119416:120730:-1 gene:PPA10475 transcript:PPA10475 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRNIVALAILLQCTRALVEFPYSKLYEETDFAVSELSITIPTPESRIFVSAPDSSSEIARKLMVHGVYQDQISLYEISKLTRNDGEKGFFIVQKDIRQISIVNMNPGNETAPIVLWIVWGWIPQTTNVGNVKVFDAANLNVESTYLGLITVMSAEPYVFHSIATEIYRSFLRDATTTLKYSNEG >PPA10467 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig196:64304:65609:1 gene:PPA10467 transcript:PPA10467 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHQVLIINRAGSLIYDYEIKGEQREVERTFEYPLSVVLEEIDQKATVVFGEKDAIRLRYHVSAVNSCPVSGTRFTQEGQQENVLEFIENERNFPMNITFSPPWMSTNEKIILSSMFNSFFAIAVQLSPVAKSSGIEVVICYIAVLETSQFRLCCLQSRTGVKFVLVMSAGSTAPVDSILMKLYELYSDYALKNPFYTIDMPIRCHKFDEGLKALLERTDKSSGMMAV >PPA10472 pep:known supercontig:P_pacificus-5.0:Ppa_Contig196:107967:110590:1 gene:PPA10472 transcript:PPA10472 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVEIRVAEAVADHVAVLSDELPFARGDSITVIDTSSPSGLWYGACRDSQGWFPASYVTIISSTSSTDSSNEVDDANNFPEAMRRQRARAVEELLKTERDYVQLLDNLVHGFLEQTYRRSDLFPREAVDVIFSNIPQLLGLHVRLLRQLEMSLDPLRPEDSMIGGAFLRHKQHFTVYAPYCNNRPLSCSRLASLTRQPVYHQFFDACRLLRGMPHLSLEAFLLTPVQRICRYPLQLQQIYKVTPVGHADRHPLSCAVRAMKEVACQLDERRRRIESIQAIALWQASLHSWKGPDLIERNSTLIKNGDVMCRCVTGGNHWTKQENVPPTVFYGILP >PPA10462 pep:known supercontig:P_pacificus-5.0:Ppa_Contig196:41050:41265:1 gene:PPA10462 transcript:PPA10462 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKVQTVDSTNGEETTGQRLNWRLHWKKRRCVNLRIREKKRRRNMHGFRFPAGPNDDEESYKD >PPA10469 pep:known supercontig:P_pacificus-5.0:Ppa_Contig196:67770:74086:1 gene:PPA10469 transcript:PPA10469 gene_biotype:protein_coding transcript_biotype:protein_coding MKHEIRFKNRFIQAVETSADDWDDLQQEYYQADGPVLFALRAGTSELFLLDARPGGTSVEKRLTTLPSGMQLMFAYDQRLYLLDPTIRCDKAGLSRLMLYNARRDELRAYPCEKDLSYGYPKMPRVEGRERWFYIEVRPDSARILSVSKNGMAIIAADDRFISLSVSPRTPLAQIRHRSLSIRWSEHANTEEDSGVRVLCRLTRLRTVYPSWTMILDGSPIDRSRVFCIGGALCYWERGFSLGVLRRPRFTLCYRREVDRDWTRTGIVLYGSTPRIVQVDFYNSFVFYDRFLFRRIVWRSIARLRWLDDVQKIRLMGRWYVRGRHGHGQIVWDSDSRFSNSTAGKLVTMQPTSAKLRIVDPKKRREHRILLLRERWLLRFAHKKSLYFVNETVLRSIEGITSLVALDTKAKERRAIACSPDAIHGFPVVGASLDEWTADRSRNVMYTVDRESHIWRLDLFTFAWLRVDPQLPGTDPTMLVQFTRILSISRSGELVVIVNDYIDDGLKVTPRRGLAEVRSTPGQVQSDKKTTRTFGAGTLTPTCLQGTFPARKKSCVSVESDEEIDTCARDPTKDEESVMEMILDGIQSKMVIELEEEFVYEPLRAVYDDDAKTIMFGSDDDNLSIYSISSAYDEDDLDEYEVDDVNGVSKYFHRPYGLATNFDEIYDSLEVTAHDSDTEIESDTDYTSDK >PPA10460 pep:known supercontig:P_pacificus-5.0:Ppa_Contig196:3618:11686:1 gene:PPA10460 transcript:PPA10460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-utx-1 MQYYTRFEPDLIKARVTVMETQLAQSPALSTHQARPGPYLQQGSRLPALKEAGMQPIPSELRQRQEDNIKSKQQRYRDGSLLWRMAELGTTTTGPNLHPEPRCLDPSQLNMMRILQHAEGPLDDTCTEVLRSLQARFNQYGCAEGTEMPLCLHTVEMTPSQVTDADINNFTSLFDIDEGGNQVIVPKEEPESEDDEDKDEVTKQEYAVSIRTELPMSFSLLAPLHESLDITSSEVMSRSHDRTESPDKFKPLFEERVGPPTQPIPPPNKPGENLYRQTPIVVVESRKDAHNIELQRFCENAPIALIRGMTIGLKMDLSVFSTKTLVENFPDTELEIRTQTKFPADLNLNSVGEPTWAFESTQAYSTIGKYGLYQIESFKASLKDETDKVRNQKYGSAAEAAKRRKMNSIEENSTAALKQIKFGTNIDFSDDKKFKPQLNELAKMPPFCRIIAGCNLLSHLGHIVYGMNTAQGYMKVPGNRTPAHMENNCLASVNINVGPGDCEWFGVPHEYWGVIDAECRKRKIDFLNGSWWPNADELVSMGIPIYRFTQKAGDMVWVGPGCVHWVQATGWCNNVAWNVAPITHLQLTMAVHQYEWNKLQKYKSLVPMQHLFWQIAKNVQVFKLIRGMLIRSLSYCKMVVDWYTKHTGKTIKIQLRETGGVSNYCTACQVETWNILFTKENGKFYEVYCVDCARKSTLTDYYVIQEIPFPDLLTIFDNFQHHVTWQFE >PPA10474 pep:known supercontig:P_pacificus-5.0:Ppa_Contig196:115673:117875:-1 gene:PPA10474 transcript:PPA10474 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIVLLLVPFLISASDSDTGRSPKSESSLLTHLLADYNPDGRPVKDHRKIVYVTVEQQEKINILLWFQQSWTDEFLVWNETEWDGIKRVNIPTSKIWLPDGYIFDTVDMKEPLVNLNARVSSNGSVEVDFNKIDQLLHVSKEPLIPTEDTNSEWEILSMVAETKRKSYGGTNGQNNEYEEIHFHLKIRRKPLYYIVVILIPTFVIVNVSIIGIFTPHGVQGDREEKVSLGLTTLLTMAVILDMVTGEMPKSAAGLPLLEHEPYTLHVSSVTDN >PPA10481 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig196:139333:141729:-1 gene:PPA10481 transcript:PPA10481 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPSEENLDKYEKLTDGDIDFFGYHVNKCVRIELQAGDSLIKPSGWIHSVWTPEDSIAFGGSILHTHAISMQLQECTGRVYIRPQSRNDRSKGEYVGQIFMWEHHHHRLLKASDYNEKGFRCELLMLEESIDFYSCNAIISSWERSMKEAKEEKKHDSIRDKKGITTRSSEHKDCDGSSDEEHVDTLAEFNDDSFINDRIFFHPYAPNTDNGPINIHRLPLTEEPKMLINRDKLRERIHPMEICELEKTRILIEDESEVLLRERRRMCEVESHTLRLPHSFLPDVMHANEGRKRITAKKGRRRERSCKVEEQLELPEFKCDINRTRKVLVGKQGKSRS >PPA10473 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig196:113183:114875:1 gene:PPA10473 transcript:PPA10473 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLSALLMVIAVAAFNMPLEIIRPRFLNGMNKKEIKGFDEIMYDKNLSFNEMLNRFRVWAKEVGVEAIVNTILESEIDAYISLHIGIDNVSDRFYSALVRGLSNYFDIVQVALSNHSNMTQQAKYKILSDIQRNSWSGFTEIHEKLIERAIEMTYNVLELTEAKDSDY >PPA10476 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig196:124170:125198:1 gene:PPA10476 transcript:PPA10476 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGAKSLRPMLSRSATGATNEAVENEIAAMTRLLYESEMIDQFWMLKDGDELRSIQVMDVCKRSTFGRLFGAGINRLMRNSDPTLNNLASSCFLEDSQISCYHSLLRYNLQYAQLLERRDSMLTQCLNR >PPA10470 pep:known supercontig:P_pacificus-5.0:Ppa_Contig196:74172:90464:-1 gene:PPA10470 transcript:PPA10470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lact-7 MLQIASPPPPHEKDWVMVAGNETQVDQVLAASLGMGGRLVSIGHFNQERQVNYYAVMHRYKGPVFIKPSPMSLEELLKAVRENEVRDLALTQVCGQEANGKVTFMTYWEYVPGIVQQIWFPGSPGAEKQRIHFESIGLRLTYLCGFAVKGQPRYVGVWQNLPLNRAPYEAHYGVAMQKCLSMNDDLSKKNYVATVFSVFQMGTELLCSGIWEHAPGFSHFIRVGNDVAKMYSEFMANPKILPRQMSHYFSGPKTTQYAVLWSDIDTRRFPNPPALWNLTSHIPSTFLRGSSQLLRPHQNAFVIDRIENFMRTYDLPALSIAIAKDERLKFAAGFGYTDLRTRELTQPDSLFRIGSVSKPITAASVLLLVDKGLIDLEARVFGPGGILGMDYVTSAGYGRYIDQITTRQLMEHTTGGWDNEEGDVAWSMPKASADELIRKESLYYMSGTSIGFDPYELLAPDRIGPWGGWVSNPIQLLKFVSAVDGTNVRNDLISRRSVIEWSTPSLASNRSYGLGWSVNVMGFNGWQHDGRMPGASAMLVRIASGHSMAVTTNKEFVAREFFHDMAYMLHHIDKMGQQTTAPAPLPQVSNPNSPNRGFNLAKIKQELPDRDDGIGSMSQTEASIWIKVEPPDESEQGRTVDHSAQHRAFMLKSIKEEPLDEQSTQAMPVLGGIGHGSGGDGVSALTVRLTDMTRSAPPPNCALDMFDEQQIPHIAHSFPTGPSRGERYLPNNSGIGGLKTVPHFDGSLPSSFDQEKLRRLEEEDRLKDEYQRKMKKNEELERRKHIEEKKRKEGRARDIMRDRLRESEEREERRKNLTDEERNKEDEKRRKEEDKNRKKKEEERERRRKEREEMERRKKVEEEERRKEEEERKRREREEIEKKKKIEEERKSKEREELEKRKKLEEEDRLRKEREEKERKKIEEEDRIRKEKERRKKYEDEKKKKVDEEEKKRREKEEEEKAIRQSERKANERLEDDATSQTSDFSSNHPSEGNGDISARKLAQQRRRSREMKEKEEMKARSKSEEGEIDKDKKGKRKESAAEIREKEAARLYKEQMERKVKHNDDKILREALNAAQEAEEKERRKLAGEKRRRKEEERKLRAQNSEESGDVSSTKSAVKKKKTAERTVSADSSDMPQRKRGKNHDQSESSRKLAEPVKKKKKMISDSSEDEGTVDETTSSAVPLLPPAPITERNSSSTSSLPPSSSKNSLPPPPSKTFGQKDKTANHSSGMQLGWNGSAASVGRIPKKAKNSADSQISPPSRTSMLSRPTVLPSSSTHSIAPSMKHPETFTPTSVQKKNSCERQRVGASHAGGNQGGTIDAANRRGSDMATEKRPSRVKQPRSKLKDMTGLDTPMDAITRDMNKGHAKSSTTPLAAHPVEKRVKFDSVGGPSTSFQSYASGGVQYADGRSNAYGADRSPCGRSPSDRSSSSFGSPSASPLTEGGMSPPLHPPPSRAVPPPPSSSTSCLQTVTPASSRARPAPSEEDLMCFSSDRPRPPIPTRARVGSHRGDHYLLTDDSSDGSSRSCSYTSNAAPAAPAAAPPPEIPSAPIHIPHSPTINRPEEPEIHAGSTAEKPAMGQPDEPSRPAAVAAAATPAATLNHVAATVATSVPAAAATEAATTTFLAATPAVTVNPAAATAIPKPSAAVATTSRPHAASWMPDLKTIHAAAAAPQQATAARSDPRFSDPRRRPTGAVPTVAPTAAANIQPQKQPRPVPPPAAADAAIPPPVVVPTQAVVAPQRVAPPPVIAPPPDAAVAWVPIRGDPRGGAALPPGWDKTLTELLQWEEKNRRTIRGYAFTVAVDEDSLGLKPIQRLAAFKRATTHVDSMEKRNGNGERDDKLCRSFRCLNHGFNAEYNDLRPKHWMIPLVRARSLSPPPLIPTPPTMRRQPPPAPVQPPVQENHDTPLFTLRPTARRDDDEGPSTYTSNLPPGMDDGIMPIRPPPRQRVKEKEPLWRLKERQEMQRKIAVEMAEKEAENREKARRIIQKRTIVEEFSEKTVIDLEEWKRRENERNAFGDHERDRREYERRDHEGETGDQRRSMDEEAERRARRDQVEEERRQRQARTAKKTEIAQGFQQQLPVSTAAPRHSAGMNPLGWTASNGVSFPSSASSSSTPIPPALSNVDNPVRPTSRSSNEENTLPSSSSTPSESLKNVVMEYARRRAQEMAMEIKVNPSLVEPASTSSAHPPLQLPQQSQSYQPPPYQSVPPPLAPSVPDAPRPPHLPCFVYDPSGNMVPYPLDLPYDRPPPDPSTMHLQGYPINPTPDTIPLPATRREEGEGENGEEPMEVEEQGNDPEPATNGMENGRREEEDRPGPSNVTPVLVQLGDEESDEEDDEIPPPNMQLFGSHIKRRADWQPTTYNEDARTVIVSGNIKDTNEEDLRQLFSRFGRIDVIETYCMFKNVATARFIRYKKLKSANAAVTGEILELNNRKISNY >PPA10468 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig196:65834:66663:1 gene:PPA10468 transcript:PPA10468 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAFLNKLPDVRGYLVITDGGAVVKSEGDLANKESMVNPVLKMLACQSTMPDGKTANLSVHYTDYMLTISRNGKYIR >PPA10480 pep:known supercontig:P_pacificus-5.0:Ppa_Contig196:137696:138708:-1 gene:PPA10480 transcript:PPA10480 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNRLSVCIIGAGVAGLSAGRVLQKANVPFVIVEGSKRIGGRVFPFEHKNGFLQHGLINEVVGDFELFSDFTDVKMEEDDLSQEDKASFTAFVQDLEDKFEKLSERNPSLTVKEAFLKDYEHFIDECPSRSSLRSSFDALARFYLSYYEMEWAANCSKV >PPA10463 pep:known supercontig:P_pacificus-5.0:Ppa_Contig196:51119:52401:-1 gene:PPA10463 transcript:PPA10463 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNEGNNAPLPTLLPTDDLSGLKEGEIYTDPKTGKAYRVKKTIMPHYSSSGPFGLGDPEDRTLRRIEADVIIPNRMNAHVERVACNAQYMDLIKCFREEGAVKGLAECKPILALFNKCKADKFHDIEFRERMTEEYLQERSDARRSGKTIKQRKLEEYRQWKEKNEGGEAK >PPA10471 pep:known supercontig:P_pacificus-5.0:Ppa_Contig196:91822:94628:1 gene:PPA10471 transcript:PPA10471 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIDGEKSHANGKELRHCDFVCGWGAGCIETCILYPSYKIIFRQQLHNLLTIEAWKQIRSEGIGKLYRGLLPPLIMRTSSRALMFGLYDEFCHKMKCSSESSLTLCHVKAAMLAGACEALLCPFERVQVLLQTSAYHETFRNTSEAVKAVRPHGFFEFYRGMSTVVFRNSLSNALFFTLREPCRELVLSSKARDEYSRSTLNSVIPFMADFAAGGVLGASISTIFFPVNVVKNRMQSQLGVPFENPVKIFSTVWRERNGSLKELFRGVQLNFTRSLLAWGITNSVYELLRKHLECS >PPA10483 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1964:105:593:1 gene:PPA10483 transcript:PPA10483 gene_biotype:protein_coding transcript_biotype:protein_coding PLVEGVEEEISTEDYSATRDSLRSPSNNTSPYPKMLLIQALQVATSILHTKQLNCGASK >PPA10495 pep:known supercontig:P_pacificus-5.0:Ppa_Contig197:49250:53396:1 gene:PPA10495 transcript:PPA10495 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTCISCDKQKPWREMVKWTTDPELEQKWSTALTANDCEKRNLEERVADLRRKNTIVYLCESHFDEKAAFTLTPSGFMLRPNAVPKNTRKKPLNDSSKKNSKQSNNKDLKTSSPTVTPNSKKEFPPAKRKQEESKKDEVPPKKKTPSSSPQLEMISPKVKTPPPSSTAAVNRGEENKGNEDLSVKKLDDARIQPTTTTSIFPPIRATEEKKEETTGITENGEATKRTADTIQDAPTDHQNTATSIFPPPPNVTIQPQPTDPVNIPTCVTPPSTIEKDVKNGEVAVDVTMNEDAGKVIEVQPVAGEEAVVKVEELDDVDNHPQDALASLEERIEAKLAEAEAEEAAAHLEEVLEDSNPSLDPVDDCIASVIRQATRPPSPPPMKLVKPNLSYEALCYEAFEYIEKNFQQSKLTMANICFYISNKYRYYASGIMWRKQAGCLVFRALNIPVWMNIERLDVPTNKEEDSLYSLNREEYSFRIDKARNFSQAATSPYSNYDGELRVKLVKKKVETVEQTDNLLNVIGSTPAVAQS >PPA10497 pep:known supercontig:P_pacificus-5.0:Ppa_Contig197:57234:57839:-1 gene:PPA10497 transcript:PPA10497 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVDALLSIGAAMIALLVYLDIYVNQTLSGHLYLSIVLARHWTLQYMINIAGCMAILSQLSYKETGNLFLKSATN >PPA10493 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig197:42230:42661:-1 gene:PPA10493 transcript:PPA10493 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKPLPSEMNEYSLLEEERAPSWYRLFAVWCTYLSGLLAIIQMAYGIGLVFFFFFSFDDNYEKWEVPGAMLR >PPA10499 pep:known supercontig:P_pacificus-5.0:Ppa_Contig197:63759:65631:-1 gene:PPA10499 transcript:PPA10499 gene_biotype:protein_coding transcript_biotype:protein_coding MISEDTLQKTIKYSSLVVLVLQSTCAVLFMKSAMTGDRAKGLSDIYTECVHYRKELVKVLIPAAIYTIQNILVYVALDNLPSATFMEIFKDGYNPVSVSSNMMKGFDWKVWVMVVINAFGGIVVAIVIKFADNILKVIAAVFGYSLFPNKTAKKSVDTEEGNTTEESINDDYIEVKNEKKEHLND >PPA10490 pep:known supercontig:P_pacificus-5.0:Ppa_Contig197:33414:36707:-1 gene:PPA10490 transcript:PPA10490 gene_biotype:protein_coding transcript_biotype:protein_coding MADCISRTFDDGIVCVCNATHCDAIEPLGEIRVGYSAVYRTNIQGARMDRTTIKKKLMPKGHIVKLDPSTKYQEIIGFGAAFTDSTGINIRSLPKDAQDRVMQQYFGPTGTEYTVGRVPIASTDFSLSQYSYNDVENDFDLRNFGLAKDDFEFKGGGKLRGDANGHYYVTWATYFVKFFEAYRDHGINFWAVTPQNEPTTGADLNYTWQTMFFDAQTESDFVKNHLGPAMKSSNASKDVLIIGLDDNRFTLPEWADVMFSDPVVSSYVAGIGIHWYEDTNVSASVLTSTHNRHPSKFLLATEDLNNWVAGWTDWNMVLDMEGGFTWALNYVDAPIIAHGEVFYKQPMYYAMAHFSKFLKPGAHRVKVEASELPSVSAVESRQKPITIVETHKSKIINIAYGEVAEGEGSIAYGEVGEGEVIGYMSTYT >PPA10504 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig197:87235:89190:-1 gene:PPA10504 transcript:PPA10504 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAMNQTFFGYITSIYNVGVAISAPTFGFLANKFGFRLPVLVTSIIMLLMNSGGSSILQFYWVTVTAQKDLSSAASYSDAAMSFGLAFGPVSSRTITSVY >PPA10484 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig197:191:467:-1 gene:PPA10484 transcript:PPA10484 gene_biotype:protein_coding transcript_biotype:protein_coding MFKDGSCLDSAPGNSKKALASLIKKAAEYENAPVSFKSAESDQFDAAVECDKENGSVLKPK >PPA10516 pep:known supercontig:P_pacificus-5.0:Ppa_Contig197:144946:148074:-1 gene:PPA10516 transcript:PPA10516 gene_biotype:protein_coding transcript_biotype:protein_coding MESDHALFEKLCLEVERDPEFAPYLNAQDAMWDAYIANPAIYYGAVPPMHGSALIRLEAGLRYLDIRYFGGGSAQMVQLDNHAAPDVPVNQPPIPITSPSPDALPNLHSSSAVPPKEDDTTPHNSLPAAFLWEEDSLLPTGFAADTVDHQRSIHGITNDALLLDQLTLPNNSDFESRVTTTSTPLEKRRNAQEMDRLGDVRERSHVLSTLLEDDEFTLDLGMMESHDHADQAQLVQSVNRQNDTPTPFMPSFDSATPHLPPSTSSHLPLNRPSIPNVPSTSDASHQLPSTPAVPPEVEGGNTSNPLPAPLHTLPIPIADIKLEVDEEEDEEEEEDPIPASRSSLIDPDNIKKEIDEETEEERERERTRKVDILRREEEERKKKEEEEKKKRKEEKKRKVEKERRQKENVEQTPKKFNLPRRIVEAREEKARRMAEEKKKAEESNSNVEISQQVARSENAIVPPAVVSVHASSPSSSPLKAVVSREERGRKRVMEREDDGVDIKRKVTVEIGVNRTEERKEAVIPKRNLRDNTFKEFIWLFIEEDGEPVIGVNWGNGDDGVALDHTLEPLADVEKDDARLRELIVWQKQQEPKSFNAELNKKIKEIAGLDVNL >PPA10503 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig197:84324:84957:-1 gene:PPA10503 transcript:PPA10503 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLLLICLLIGAATTWIFETGCSHPWKDLKTKRSPLHPLMQERAQLVNIFGQLHLYVIVKETYIRKRRDAAVPTPIPTANSTVLPQPPVRENAKKESYQWRG >PPA10508 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig197:97332:99943:-1 gene:PPA10508 transcript:PPA10508 gene_biotype:protein_coding transcript_biotype:protein_coding MTRETEKQSQQDKTAEFAIEILEQTRTIQLMVAEYYFEKRYENSQAIMYPMMRKISLLQSTIYALSQAGVYFVAFSGMLAGAYYVYAGKVSSENMYTVAFAIEFCGWSITFIYPSFPDLIKANAAARILYRYYDLPINAEYIFGQTKKPELTGSFAVQNVTFSYPRRPQNKIRNMDNTEFQVAKNLCISANAGQSIALVGPSGCGKSTLISLFERLYSQQSGTIKMDGIDHRDINLHHLRNEVALVGQEPVLFEGTISDNILLGTEGKSIVDVRQACKIANATNFIEELPLGYESEVGEKGRLLSGGQKQRIAIARALVRQPKLLLLDEATSALDAESEKVVQDALSLASCGRTSIYIAHRLASIKNVDRIFFIEDGGVVESGTHEELISKNGKYAEFF >PPA10488 pep:known supercontig:P_pacificus-5.0:Ppa_Contig197:15782:21920:-1 gene:PPA10488 transcript:PPA10488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-prx-10 MGGRSALRRATVIAARLASTEAAPSTKHPDVILSGIQPTGVPHLGNYFGAIRPWLKIQKDRPSTPFFLGIADMHAISLGPVPVDKFRHDVFHMAASLIAAGIDPSTTVLFRMSTIPEISQLSWILGSLQTVSKLNRLPQYKDKSGRFARSGVPLGLLSYPVLQAADVLAFRATHVPVGADQSQHMNLLTDLALSANSAWMEDMFPIPKALISPHARIKSLRAPQQKMSKSDSSERGRINLTDDVDAITDKCRKAQSDAEPGIKYDPGRRTAVANLIDLLAAVRETTPEEIVKAAEGWDIVQLKEALAVETEALIAPIRDRYETITKDPQEVYRILETNEEKARATVSDTMKIVVKAVGFR >PPA10501 pep:known supercontig:P_pacificus-5.0:Ppa_Contig197:72562:74590:-1 gene:PPA10501 transcript:PPA10501 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVPSYLIGNRENDKSNSDAVKAFLSFLKQAHNLSVTVEENYPVIAGRTVRWLKYIRIQRQKAGLLKRLKIPPPSAEAKKARLQARAEARAAGKKEEVTKRPNMVRFGIQNVTRAIETREAQLVLIAHDVDPLEVVIFLLALCRNFKIPYAIVKGKAALGTVVRRKVTVPEWSDLVKLGVTKDKGLILTGSDETIGIEVIHDCKMYSKNAIKVDK >PPA10486 pep:known supercontig:P_pacificus-5.0:Ppa_Contig197:8021:9302:-1 gene:PPA10486 transcript:PPA10486 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDFSTCRGRINLTDDVDAIADKCRKAQSDAEPGINYDPGRRTAVANLIDLLAAVRETTPEEIVKAAEGWDIVQLKEALAVETEALIAPIRDRYETITKDPQEIYRILEMNEEKARATVSHTMKIVLKAVGFR >PPA10512 pep:known supercontig:P_pacificus-5.0:Ppa_Contig197:111354:113689:1 gene:PPA10512 transcript:PPA10512 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIEHVLPSICNEALKSVVAKFNASQLITQRQQASSLNLFPSSVPNELTRLIGCRRPSGRRSRPLRRHGRGGERSRPYQCKHTDNFESALEAHPQAAEVLKDEGCEVPEDWDTKPVKVLVGKNFKEVDKNSGKGHLVKFYAPWCGHCKSLVPELGEKYATSNKVLIANVVPTQNEIGETTEEDKKGEHTYFSLLNV >PPA10507 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig197:96122:96957:1 gene:PPA10507 transcript:PPA10507 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSPKTPRNGNAIGRNTPRSRRGPLEHLLSRYPNPNDYEWRLYTGRILNRLQEFTLTNLLKLEFSSFFDSREKLMEKGEDWTKPHEVHYLTS >PPA10509 pep:known supercontig:P_pacificus-5.0:Ppa_Contig197:100898:105257:-1 gene:PPA10509 transcript:PPA10509 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSDCTDESLRKPTGNVIESEAEEKPCSFRFASQMDTILISIGLLCSAITGALMPTVSVLSGLVANVYLMSGNQVVGNDEVLNHVLYLISSYAFCAILQFILSFVQSHSLLMGTSRIENRLRREFITAVLRQDSEWLAKHTSGDLNSLLSENIDRIRDGLGEKMTLVLVIIGPLCAVILGLMERVVMNVKTVQACNGEKDMVEEPLLSKIMNNMFVNEGPHMMALLKSRIAAACIYKIIDRKTLHDDSPFVDENTQEKLKGDIKFDNVHFTYSSRNTPILNGLSFNASSGMSIALVGHSGCGKSTSVGLLTRQYGVTNGQILVDGRNIETIDPKSLRQNIGIVMQEPCLFNCTIRENILLGRKWHGEGSEEDRIRKVLKISHAEIFVKKLEKGLDTIIGDGGISLSGGQKQRIAIARALFTDPPILILDEATSALDVESERLVQSALNDASEGRTTITIAHRLSTLKNVDRIFVIDKGIVVQNGTHEDLIKEEGIYSSLAKTQSLDGKDSVSLTNSEEPENEEDFEICLPGLDRYSPCYRNSAFSIHSEFSRKSFRIAQNELIKETKSPQSSSTFFRLYTHGHYVKILCALLFSIPRGFEIPLFVLQFKFLYAALSAPSDDYSMELFRVCSLAFFTGVFIWICLTAYSLDCLPKVSLPV >PPA10494 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig197:44428:44797:1 gene:PPA10494 transcript:PPA10494 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGKGTRAQRRLQHTPGFVFDLQWDSIYVQLRQQFYREPREQDSFSSHCDCDKERRSIHWPETPQNDYLERRTAF >PPA10505 pep:known supercontig:P_pacificus-5.0:Ppa_Contig197:93393:93845:-1 gene:PPA10505 transcript:PPA10505 gene_biotype:protein_coding transcript_biotype:protein_coding MREYSTFYVGKCTMETPRPDIIKEGVYCAVVLNEKALRAVIVCYSDYGFFDRVQRSQLRKMNK >PPA10487 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig197:12057:14501:-1 gene:PPA10487 transcript:PPA10487 gene_biotype:protein_coding transcript_biotype:protein_coding MAERNGRKPTVQFPEIRKNVNVGWWTSPYDADEKTDLRLIHYTQMLGGELYNFIS >PPA10485 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig197:5334:7833:1 gene:PPA10485 transcript:PPA10485 gene_biotype:protein_coding transcript_biotype:protein_coding MLACFPCPCYISESGRFQVGAQSWCPKERCVNQAIELSHVATSVNQLYDPSNANINDTCLVVDKLDVLNVSLAFLTASAFERGISPECSLPGHWTRCSNYPTNSAELAFLPRAIRSTAGLCIDNVNHSNGLNFIADLHRRARELDVSSTVDELWVADDVGEDDCYDFDCALIRYTLNKKLELHILSASTVGRDITATFDALSKGCLALAHVALSYTLMVNSVVNILERHGAPHGMKGIDFSNCVSILFSNSPASAEIRSHAEF >PPA10496 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig197:55851:56379:-1 gene:PPA10496 transcript:PPA10496 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGHLFTWLMNFYANFFSGLMDFFTIVATGVVVYFVQACVENKMRPAFLGLFIIAVVIVGTGQGEAMMNTHMRRVIAATTLVLITNAITAAIRTHFG >PPA10506 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig197:95081:95526:-1 gene:PPA10506 transcript:PPA10506 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLHLIISLILSLYPTPLLKDVFPEHYFLESLPVLAYLLNKGVFCRLPV >PPA10489 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig197:22328:23085:-1 gene:PPA10489 transcript:PPA10489 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRFVSFSPNSDLKALKVYRWLGYVTFAECALSFLLWAAAESAKADQRREERRKGGKSTVTDEDGEQENEEKVRTPRARCVRAHVLPPVHRVATSPAGRASRRLRPR >PPA10510 pep:known supercontig:P_pacificus-5.0:Ppa_Contig197:108136:109831:-1 gene:PPA10510 transcript:PPA10510 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSRKSSKKQFRPIHTKTVSTRILPQQPRELGPLGLLLKPTATVEKSKLLSELEQQAERIRPMIIRCLKMKTDYLHFLRNGGHPRCSCTFKVGCHVLTREEMLVSNRLKVEFLAFFNCREVLTVDGEDWANDEEKDVLKKISQTFVFFEASQKRIQELDDEIGKIEEGRLPERAKETVL >PPA10498 pep:known supercontig:P_pacificus-5.0:Ppa_Contig197:58161:58678:-1 gene:PPA10498 transcript:PPA10498 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-uev-2 MDKIRVKYPVIYEKAVDIGQILTRKMDKLTPESRPFVMEVLHKSSVTPSFFARESELTNEKTVEVVKKFLKLSEESKGYIRAYFPRMTSLIWRVINRYYVRGQEKELRN >PPA10517 pep:known supercontig:P_pacificus-5.0:Ppa_Contig197:150219:153101:1 gene:PPA10517 transcript:PPA10517 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMIIDLDHVLASVNKTEPVDSTIVPPPKTGFGDRPYMHAINNNYKKEDLLNRTLRLVIPKIEPPYVNYVNFSNSEVVRRGYGPGVVIEILKELSRRLNLTYEMIPYREGQWGSLENGNWSGAFGMMYRKEADILGGGAIMKYDRSLIADLTFPFQYAPTAMLIRSPEKFSDNTWLIVTAPFTWEFSMIQSVWIFYSVTLQQRGPVQPRSWTCRVLLSLWWMGSLTLWGTFTGALIALFAINKTTMPFYNVNQLLKLIQNGHWQIVMDGTTMTRTNMIKDSGGQTYKDLWYEMSVNRKVVYVQGTAIGVEYILTNANYVLFGPEDTIKYWVAADCRLKKINEGILPTYLSIPFAKNSEYSTYASNL >PPA10492 pep:known supercontig:P_pacificus-5.0:Ppa_Contig197:41126:41877:-1 gene:PPA10492 transcript:PPA10492 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTGFIVQASALLVIIGHIQRNSRYVYLGCVFPFVECARTSFIVLKSVYEIIFEDRGKVMSEEWWMNTVNSLFFLAVLAW >PPA10502 pep:known supercontig:P_pacificus-5.0:Ppa_Contig197:76484:82862:1 gene:PPA10502 transcript:PPA10502 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLVAALVAAAFAARDSLKWNHEVRSESVDGVTSFKTGFEYRFHLDSQVSNGLPVPGSQQSAVRSKSLVTLSFPESETVAHLRLEKIRVASLQKEISEPKKIQPFELFEEIELNEEHLRTLRLPVRFRYENGMVSDIEFDREDLPWSMNIKRVYINMLQVNLAKKNTHESRLNSEYDSEKENVFTAPEVTLEGDCEVVYTVLPESSSERREESEITVTKSINFEKCSRRVGQRYNFRFGDECPSCENKFNGEERNIESSTVFNYRIAGTPSRFVIKEVELRSVYSYAPLSEQETFFTTFVSGNMRLIEVNKEQKRIAAPKSEKKESLLYSMEWEKKEEKFLATGDESLLKESPFPEMKNKHEVVARLIKSVIVKMETEEKGIELDATHEMARIVKVLRFATKEEIKKMHKEICESSSHEESVRSQMKDIFTDALALAGTFNTVEHLVEKIRAREVSPLKSASLLKTLTSIRVPSEKIVKSLVSLCKDSRRQPLEHQSCWLTVGSLMNGLCGEHRDKLAIESSEERKCPRDIKEDFVRELVEEFKRAETRYQKVLALKTIANSGMDLIVFPLEKVIRDEKEEITVRVQAIEALRKLRSVLPRKMINILMPVYKNILEHPEIRVAAFHQIMQTVPEKNVIDQVVNQLEKEPSTQVHSFVYSSLEQYSRSEIPCEKTMSDYCKHALRTLRTQPRKMVSSTYKHWTVYNEENKNGATLNWAALFSNDSVLPKEVMTTLETAFAGQWNKYLAQVGFQQQNIDQVLYKLLEKVEKSGLEEVLVRGKRSSFKPTEILRGLFSKLSIVSRKQKEQDPHALVYIRFRDMDYALLPIDEEAIPEMIKTVLRNGRIELGEIESFLAKGVRFNTVLSSFVYERTRSIATSMGSPLVFTSKMPTIFKVDGSIKVELEPRNGDSLDGLRVRVQARPAFASTHITKVEINFPMVSLGVKLLHSASVNVPVDMTTEMSWDKKFDHKTTLALPKESRRVVQLQTRPVTFVRVWPKETRVYVEPKEKTIYVEELETLVHKIRSSHLEKATGLRMNVEGHVHGHIWEKGTEGIPSALLIGENNLEISFEKTGETPKEYVIKTEISTFDEESRMEKPSMEKFFEKDNEEHFKTEEYEEYDVNEDERRSSFKNYVKSYKSDKAYSHRLWAQIKAVGGRKERKAELELKSVCDDKLRHCTIGFAGLTTPLLEKETRDWKIESSIEMLYPEMPETLEELLKQKHRELSINVESRWGSDYKNELKMKIQGEQNKEQKRWMKAVSEHRREDSITALEEYSRLVEASMLNQYKVVAKYDIACPMTRSLLERFYAYSKVSAPWFSTSYEFGHNEERIVRAILTIEPSTRQYANLTLETPSEKVSIRDFRLPVPLRLVNIRRQSKTPIRSLSTLGSTLVEETKAMCTVESRKVNSFDDVIYRTPLTTCYSVLAKDCSSEEPEFAVLLKKISKNGEEKKMKIISRKSVIELEMDKRSDKMRVTIDGEKVERVEKLEEARVYKKNEVVVVELDDVTVEFDGYTANVKLSEYYKNKQCGICGHFDGEKKTEFRRADNEETEDIEEFHRSFLVKGEECEVEEEKLSEKRNYRLESEESSSEEESIFETKRNNKNKWESKKNVREEKYEKENEVVDTIEKTRVIEHSNRVCFSMSPVPECPSKSMENEDKMKDMKVKFTCLSRSDRETARLMRESRREVLSLEDFPESFMETITVPKSCLAY >PPA10515 pep:known supercontig:P_pacificus-5.0:Ppa_Contig197:136343:143182:-1 gene:PPA10515 transcript:PPA10515 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGQLKLLLWKNWLLQKRSPWFTLIEYLAPLLLIGAQLGIMIGMRSSIERDYNATKFPQWPVTGSAYDMVIIPDAQKMTGSMINPLIFLNASLSDCQFLNVTQHNSTTFEIRVSFAFVPKTPDTIDVMNRMKTCKHETECEQYEELRIMGHFNTDEFSDFAKQTVSGPINGDDVNGGEPGYWQEGFLTVQHSLNIVLREKYRKLKPSDALNLDNIIMLGRTPYPEFSTRIIEAALESLPMLIIFSFMASVIYIVRSIVTEKENKFKEYMRVMGLSQWLHWTAYFVVNYTKLALTVAFTSVLMYFVMDHSNPTVLFAFLLFYAFNVCTFAFAVSTFLQTATVATMAANIVWLILYFWMKLFTSMEQESSYPLSIRLVNCLNPDIALHYGLNLLIDGFILVLITWYVEAVKPGGEGVPQKPYFFLLKSYWFPGGENERVSLPNQMATFDMAVEQQRAMSEELEENIEATGNSSEKVAVDNLCLNFYKGQITALLGHNGAGKSTTFSMLTGVIPPTKGTSFIDSFDIRTSMQQIINHDIVAFQIRLSLGLCPQYNILFDTLNLWEHLVFFSNLKGRGFDETEANGLLERLKIDCKKNSRASTLSGSEIVMLDEPTSGMDPGARHETWTLLQAEKGARTILLTTHYMDEADVLGDRFQNVDVDPFHIFPTVATSLRIAIMANGKLHCCGSSTGYNLIIAYDTHMSIEKAIEKTRELMNYHTDVILHSVVGNEATFVLSAQSRSVFPRLFGSLESSQSELGIRSFGVSVTSMEEVFLKVGELTESPSSHTMESDDSVETNDDINLIENDQPEINDLKPSHRIIGIALLLSQFHAMFAKRAVYFYRRRVQFLSQLFVPVVYFALMLYASQAVPTVKEVGPLEINFKPYSPSAEKTIGSRGFGIQYPVAFKSERFFGHESFIALFNNFGLATPALAIALSDSILGMMVHKDGQPYVFTAINHPLPPAAADTMKSKTATMATSIIIG >PPA10491 pep:known supercontig:P_pacificus-5.0:Ppa_Contig197:37863:39711:-1 gene:PPA10491 transcript:PPA10491 gene_biotype:protein_coding transcript_biotype:protein_coding MPDYEPLDDEVDMIPWPTLIDLWNGFCMYCSRALAVLQLIFGTALIVIFSSGFHRDEEIDIENMMVLSVILSGCLIQASAVFVFAGHAHGRGKLILIGSIFPCFVCMYCSYVVLGALESVGIKSISVLQF >PPA10500 pep:known supercontig:P_pacificus-5.0:Ppa_Contig197:68971:71416:1 gene:PPA10500 transcript:PPA10500 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEGNPCLGRSSGLVGATIGCTTAFLLCTDGEATAVLNCPGGTFFNEETEGCDYREVACVDGSSGEAGRTVRWLKYIRIQRQKAGLLKRLKIPPPSAEAKKARLQARAEARAAGKKEEVTKRPNMVRFGIQNVTRAIETREAQLVLIAHDVDPLEVVIFLLALCRNFKIPYAIVKGKAALGTVVRRKVTVPEWSDLVKLGVTKDKGV >PPA10513 pep:known supercontig:P_pacificus-5.0:Ppa_Contig197:116433:120607:-1 gene:PPA10513 transcript:PPA10513 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIFKVDGSIKVELEPRNGDSLDGLHVRVKARPALASTHITKVEINFPMVSLGVKLLHSASVNVPVDMTTEMSWDKKFDHKTTLALPKESRRVVQLQTRPVTFVRVWPKETRVYVEPKEKTIYVEELETLVHKIRSSHLEKATGLRMNVEGHVHGHIWEKGTEGIPSALLIGENNLEISFEKTEETPKEYVIKTEISTFDEESRMEKPSMEKFFEKDNEEHFKTEEYEEYDVNEDERRSSFKNYVKSYKSDKAYSHHLWAQIKAVGGRKERKAELELKSVCDDKLRHCTIGFAGLTTPLLEKETRDWKIESSIEMLYPEMPETLEELLKQKHRELSINVESRWGSDSKNELKMKIQGEQNKVLSHDLPPSIGQGLDPSLPKGLGLRVDPCLELLHKCVSVLELLSSTEVREGAETVVVARGQIRGVRWVREPFHLQLVHFLLGDFRMMRARVVHEHEDFALAQEFGRDPDRHLFQLGSEEVSLDGDAGREDLPVDGTEDGEEETEEFLLSVKFRLWSLLGFLIDVHPLKFPLRIIVGDPLLIHGDDVADPIEIGSTLSCREVMRNHLGELRCLPSIMQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLELGEPIEAGVKGGGIFAMSLDQLTVGFRRRSTQEKIMKQNGAEQKRWMKAVSEHRREDSITALEEYSRLIEASMLNQYKVVAKYDIACPMTRSLLERFYAYSKVSAPWFSTSYEFGHNEERIVRAILTIEPSTRQYANLTLETPSEKVSIRDFRLPVPLRLVNIRRQSKTPIRSLSTLGSTLVEETKAMCTVESRKVNSFDDVIYRTPLTTCYSVLAKDCSSEEPEFAVLLKKISKNGEEKKMKIFSRKSVIELEMDKRSDKMRVTIDGEKVERIEKLEEARVYKKNEVAVVELDDVTVEFDGYTANVKLSEYYKNKQCGICGHFDGEKKTEFRRADNEEAEDIEEFHRSFLVKGEECEVEEEKLSEKRNYRLESEESSSEEESIFETKRNNKNKWESKKNVREEKYEKEAEVVETIEKTRVIEHSSRVCFSMSPVPECPSKSMENEDKMKDMKVKFTCLSRSDRETARLMRESRREVLSLEDFPESFMETITVPKSCLAY >PPA10511 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig197:110765:111104:-1 gene:PPA10511 transcript:PPA10511 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPMKLLNTFQGDSGGPLMVQSVDGPWYQVGVVSIGESDNVIAKQHTIGS >PPA10514 pep:known supercontig:P_pacificus-5.0:Ppa_Contig197:133075:135782:-1 gene:PPA10514 transcript:PPA10514 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSPSKGYNMIMMYNMISARAYVDNVMMDHTKKGIMIGALFFFVQGFLYWILLSLIEYGFVSSAINMFGKYTKSAQNEAPEDNRTTVEDSDVIEEKATVLELDPDTNAVVVRDLRKRRVHSIDLYGSFEAVRGVNFHAREGDCFGLLGVNGAGKTSTFRMLTAEETVTSGDAYLAGFEVKKDWREAGKFIGYCPQFDAVLEKVKGIIDAVGLQAYAKGPIKTYSGGNKRKLSLGIALSRYGTEYSLLIRLKHSSDANNTKSRVEELFPGAMMKEHHIVHMNFDVPRSEPLSILFERAETLAQELQLEDYSFSQPTLEQVFLEFSRSSEVAEHQINPPVMGESPSVELEEPGHESHENDGFYGDEEENRLINR >PPA10519 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1971:134:776:-1 gene:PPA10519 transcript:PPA10519 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSWQPNGGVNVKGFKMSYRAVDTREPTTTTTTTRTPKPATQPEKTTETTSYNYSDYSETTVKSTSTSETTVKSTSTTVLPTTTSGTSFVGSALMVMPLLTICLF >PPA10520 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1974:225:1428:-1 gene:PPA10520 transcript:PPA10520 gene_biotype:protein_coding transcript_biotype:protein_coding DNFESALRHTLNISARRVLRQFAPDFTDLTTENIVSFNERFLAGELKQDLMSADVPEDWDAKPVKVLVGKNFKEVDKNSGKGQLVKFYATWCGQCKSLVPATSDKILIANVDPTQNEVGETTEEDKKGEHTE >PPA10535 pep:known supercontig:P_pacificus-5.0:Ppa_Contig198:105178:107408:-1 gene:PPA10535 transcript:PPA10535 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPKREGCIGVTTNNITLRGTLNYDSHYGRPMSSWGARSISTNTDFNVTSSGAPTTTMNKMSMYIVISILDHRREGCIGVTTNNITLRGTLNYDSHYGRPMSSWVARIILL >PPA10526 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig198:38160:38709:1 gene:PPA10526 transcript:PPA10526 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGERDTVFALSNMYHPLEDVETMNELNIDGHVWAMSCERGSKLEMAKYSNGLSPLSPHPFFSQHYTKDEHILIFTTNALYVYVHRSPLDTLRCVLAEKLSIEGRGEGEAVMELCNQFTRYDDLYSGK >PPA10525 pep:known supercontig:P_pacificus-5.0:Ppa_Contig198:35847:36802:1 gene:PPA10525 transcript:PPA10525 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTMVSTKSGRIFFTAKEVLYEFEYQEKGWLGRSCKKVDKSSTLFGSITSYIKPVADGMEEVVVDCTRNIVYALTTKSSIHVFDLGVNGDECVKRVTLSVNQIMHDATSMTQGQHEASFFSTIVALSPIPCTQSYPVYLIMYIW >PPA10527 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig198:39773:41481:1 gene:PPA10527 transcript:PPA10527 gene_biotype:protein_coding transcript_biotype:protein_coding MTMQLLERGIACPSTGSSHRIIVEAVRLLKESVSKLPLIQVADKLIKLRAFEAVVDICLLRAARDDPKQLAINVYRNGPKMDNESMEVVRKRGAAYDIITKMMDDLRCPSSTVTPNQRDVEIDEIMNAVLKSEDELAHVGLFRWLMMRGEKEKILKSKSVYLEQWLSIEISKGGGVKGLGPVDWSSFLVTTETITH >PPA10524 pep:known supercontig:P_pacificus-5.0:Ppa_Contig198:30160:32652:-1 gene:PPA10524 transcript:PPA10524 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISATGTITNVQTKFAYISSGKGSVFCPLAAAVDSLEICTDMTEKYSIGDIVHFKATRQSNKNGCDLRAVNMTLSSKSSVYKGLESGDVERNDIKF >PPA10537 pep:known supercontig:P_pacificus-5.0:Ppa_Contig198:157600:158677:1 gene:PPA10537 transcript:PPA10537 gene_biotype:protein_coding transcript_biotype:protein_coding MCRHLYIGTINARTLASRDKQIELELALDNIKIVGCASFNLTSSGTQVFHSGEPTATHVVAFLLRPHLAGGAVFRGLSPRQATLLLSNQRLFLVCAYAPTSSYDDKEYDDFMNQVEAALKCAPRGHTPVLVGDFNCRVAREPGNERFVGESASPTPNSRGRIFTEVCVRNRLRIWNTFPKRRHGRIWTWRSPNGSTYHQMNFLAAPPSARVVNCGVVGRFDFNSDHRLVRMCLSLPDKVKHKRCRERRDLDRSDFTVNANLLASVPLVRPNTAADAYCTIRAFTETAATDCWRVRRTPPWISPATRNLLQARSQLQSNPQAAVQYSIACKAARSSLVTDIKNRKEAHARL >PPA10532 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig198:80409:81135:1 gene:PPA10532 transcript:PPA10532 gene_biotype:protein_coding transcript_biotype:protein_coding MELAARPTLGLDFFTRRVILPGEIGVTLQLPALALVGNKTDLEAKRQVKMEAHNRYAVDQEMTSMYTSARTGHPV >PPA10528 pep:known supercontig:P_pacificus-5.0:Ppa_Contig198:41946:45613:1 gene:PPA10528 transcript:PPA10528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-npp-8 MWRYYEKNGLKGKAAKLLNRLTDCELTEISLSDRLSYLSHAIICAQSTSDTESRTLIQELRDKLDVAQIQMAIKETMENRGQNEVDLCLKYAVPFRMPKIQLEIFKCANYYDEEKIHNVWRQLLDREFEVSCDSGGSLILGTISDLQCKFGESNYFPTELIVMHVVERCFEYGIDSTFFVSLTSKMNLPLATLLNLLSEHYRKGDPFWRENGSARDYMVQLACTVVEDFINNGRKFTDPQRNKLRMSMRSKG >PPA10522 pep:known supercontig:P_pacificus-5.0:Ppa_Contig198:4897:9156:1 gene:PPA10522 transcript:PPA10522 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSMGGFDEFDDGAFADIGQPMGFDSPPHAHDAGAGMYDMEEQSMGSGGAMAAAAEESMQPERKKKRGDTSRMDKTADDDDDKAEHGDEDHRGLGPVDWSSFLITVAMAGERVLLPWFPIGLLMELRADRVPLWTKRTQNVLNTIAAKIRASDDAEITLSDLLTKGSTRKTAAQKFYTLLVLKKWQAIDVQQAEPYAQKCTPID >PPA10521 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig198:2002:4674:1 gene:PPA10521 transcript:PPA10521 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQMSVGIGGGGDDFPLGASAVAPSEHGNDDNHSIVDAPESSFALEPLEGAAVAEKATRARRKRRLIIDDQKFISGEEMKQNMADYADTLQPLDLAPPTRKLMRLKESGNVEKLFHLPGSALVKNGRLIKANGYSALLWRQYQSRLGYKSRSSFAMPSGGNNTMLDESIEDIRRDLDYAEHVEDDNMSHQASFY >PPA10531 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig198:66064:67535:-1 gene:PPA10531 transcript:PPA10531 gene_biotype:protein_coding transcript_biotype:protein_coding MMHQMMLSDDSKKVQLNRDKLRCLYLDPFPRPSDRSPSSLRVASVPRKAYVDLVVIGGGPGGYVAAIKAAQLGMKTVCIEKNDTLGGTCLNVGCIPSKALLNNSHYLHMAQHDFANRVSIFLDSVIINCN >PPA10538 pep:known supercontig:P_pacificus-5.0:Ppa_Contig198:165899:166182:1 gene:PPA10538 transcript:PPA10538 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLKCDDIKVFSGIVNRVVEEANINEIPLEQFKAIVMLAGMDLPRHAATMFHVLNTIRSDEKPMIQSILKVVDVYNEVYRDAHQFAS >PPA10523 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig198:18831:19898:-1 gene:PPA10523 transcript:PPA10523 gene_biotype:protein_coding transcript_biotype:protein_coding MFMKKTSETDEFYESRSVVVALSSARSLSIRHSIIHPTILAAASAAPSLFHAAKLLGMRVKLVPCNRDGTVDAATLKKYITSQTALGSLCCSDRERLVFSRSPLLGGRHRPLDCAVIDAL >PPA10533 pep:known supercontig:P_pacificus-5.0:Ppa_Contig198:83480:84006:-1 gene:PPA10533 transcript:PPA10533 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGGAWAAYGSIREVTRQLQDTKSRSSLFDSHILPALCYAAETWPLTKSVISFIQTTHRALERSLIGRNLHSMRQKNMTSADVRRISLLTDPIEYIRLAKHRWAGLRREDDRCSTRVTQWFPPPNLVRPPGRPPARWNDSIHRTIHPIFISARALPALDYTRTR >PPA10536 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig198:111202:112252:-1 gene:PPA10536 transcript:PPA10536 gene_biotype:protein_coding transcript_biotype:protein_coding MCVNLLGAFAGPMVAIFFIALFIPRSGKWSTLISFLGSLILIISYHGISEKINL >PPA10529 pep:known supercontig:P_pacificus-5.0:Ppa_Contig198:47569:55367:1 gene:PPA10529 transcript:PPA10529 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAGVLCRLASPSRMASSMRVGMGSTRSTQSNLVSSSLSSPKSIRHQSSAAAAVKHEQFMNGSSSIYIEQMYESWQRDPSSVHSSWNAYFKNVEAGCGPGQAFQAPPLSSSGSIGQISVSPTRDVSPSSDAIHSVADHLKVQLLIRSYQTRGHNIADLDPLGINSADLDDTVPPELELSFYGLSDADLDRQFLLPPTTYIGGDEKILSLREIVNRLKSIYCNKTGIEYMHLTNFEQQEWIRKRFEAPKATELSHEQKKVLFKRLIRSTKFEEFLAKKWPSEKRFGLEGCEVLIPAIKQVIDSSSSLGVDSVVIGMPHRGRLNVLANVCRQPLSTILSQFSTLEPADEGSGDVKYHLGVCIERLNRQSQRNIKIAVVANPSHLEAVDPVVMGKVRAKAFYAGDNKHDKTMAILMHGDAAFSGTSEEGEGREGRSSPYCTDVGRVVGCPIFHVNVDDPEAVMHVCSVASEWRKTFKRDVIVDLVCYRRQGHNELDEPMFTQPLMYQKIKATKPVLDIYQKHIIQEGVANEQYVKDELTKYGQILEDAYENAQKVTFVRNRDWLDSPWDDFFKKRDPLKIPSTGIEEEKMNHIIEKFSSVPEGFNLHRGLERTLKGRKQMQADDSVDWSIGEALAFGSLLTEGTHVRLSGQDVERGTFSHRHHVLHDQKEDLKVYNPLNDLAESQATYTVCNSSLSEYAVLGFELGYSMVDPNSLVIWEAQFGDFSNTAQCIIDQFISSGQSKWIRQSGLVMLLPHGYEGMGPEHSSARPERFLQMCNEDDTIDASKAAFGGTFEAQQLHDTNWIVANCTTPANIFHLLRRQVTMPFRKPCIVMTPKSLLRHPLARSPVSHFLSGSKFQRVIPENNISNPSNVQRVIFCTGKVYYDLVAARKHVGKENEVALARVEQLSPFPYDLVMEECTKYPQAELVWAQEEHKNMGGWTFVQPRFNSLLATCTQPGSGRVISYAGRLPSASPATGNKYTHYQEQKEMLSKTLDVPKSSLEGFKA >PPA10534 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig198:101831:102039:-1 gene:PPA10534 transcript:PPA10534 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHSMAKSWERKRLLCHPYKINIACDDWKMWKWKPTVSNYIIRKVVCNG >PPA10530 pep:known supercontig:P_pacificus-5.0:Ppa_Contig198:59996:63105:-1 gene:PPA10530 transcript:PPA10530 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAKNNSVKGLTGGIAALFKANKVKHIQGHGTITGVNQVSVAKKDGSSEVVNARNILIASGSEVTPFPGITIDEKQIVSSTGALSLSQVPKKMVVIGAGVIGLELGSVWQRLGAQVTAIEYLGHVGGMGIDMEVSKLFQRTLTKQGFKFMLKTKVIGATKIGGSIKVDVEGVENGKKESMECDTLLVCVGRRPFTHNLGLQSVGISLDERGRVPVNQRFQTKVGSIYAIGDVIAGPMLAHKAEDEGILAVEGMAGGAVHIDYNCIPSVVYTHPEVAWVGKSEEQLKEEGVAYKIGKFPFVANSRAKTNNDQEGFVKVMADKNTDRLLGVHIIGPNAGEMIAEATLALEYGASAEDVARVCHPHPTLSEAFREANLAAYCGKAINSI >PPA10539 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1981:478:1542:-1 gene:PPA10539 transcript:PPA10539 gene_biotype:protein_coding transcript_biotype:protein_coding ARNAEKAMTTLVRWRRLKEEEKKGPVAKRPRDTKDCGNLSGAELFRREIAKRVAKNFPHPAPFRHELCGNAATDSTRHTPRLLTSLRSSLNDRRLLVNVKAKFFSHSAVQKIKATGEACVL >PPA10540 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1983:233:1274:-1 gene:PPA10540 transcript:PPA10540 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLVGIPGVLAYLDDITITAPTDEEHLDRLNQVLTRMRNAGFRLSREKCEFLVEKMEFLGHIVDKEGIRPSPEKDLSTLAAPMNALRKKDAEFIWGKEQQKAFMEIRKRLSETDVLAHYDPDTPVVLATDASDYGIGAVIYHKYPDGNEKVIAYASRSLTKCEKNYAQIEKEALGIVYGVDKFSQFLYGRKFTLLTDHQPLVRIYGPKHELPVIAAKRLHRWGLKLMMYSFDIEYRNTEEFGNADGLSRLPQETELPTIQSVKDNDEITEWDKKTLQCLPISASSLVEETQKDPILKEVFARKKKI >PPA10541 pep:known supercontig:P_pacificus-5.0:Ppa_Contig1986:640:988:-1 gene:PPA10541 transcript:PPA10541 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFAASENTFSAPPISANRSGSWTFLHTRQYRLVTMKSSFPLAPSLSRPIHTGKTDLSGGQYLMNNN >PPA10558 pep:known supercontig:P_pacificus-5.0:Ppa_Contig199:101257:106841:1 gene:PPA10558 transcript:PPA10558 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNSKFVLRWEIENAAAVHETGKAESGVFNEGGFEWKIRMERIGSSSKFILGCGLSQHSHWKCEGSKLLRISRADGTFWNSCDEEFSFDDNNTHCTSQFSFGWNALISRVYVVEDKFILQFPIHIISSDSGEPVRNPSERFSTPSNRSDVILKIGSKKLHVSKEYLSVHSPVFEAIFFGNFAEKGKEEVEIQDVIYEEFVDLLNVLYVVSMEITDHTVLHILKLADRFQMKTIIDHSLKHLNQSKGINIVQKLIVADQLGLTDLRDRCLKSFSSCAEMMETLQSSPESINFSGEMKIAIYDRNLQLKIQMTDNSKFVLRWVIDNASVVHAAGKVESRVFNEVGFEWKLKMERCEGEKVKITLGCGSDKSRDWMCEGNVAHRIDGTFSDVDAENFVFNDDTVLWSPQPYFGWAVFIPPYFVANDKITWEFTINIINSERGVPVAGIFAAPNNKSNVILKIGDEKLHVSKEYLAFHSPVFDALFFGDFVEKGKEEVEIKDVVYKEFVNLLHVIYLEDVEIKDHTVPYILKLADQFQMEESAFQRVLNMSKKYLIKSNGIETVQKLIMADNYRLAEIRDHCLKSISSIAELNDMLKSSPEVATMADNSKFVLRWEIDNPTVVHAAGKALSKVFNEGGFEWCGFFCTV >PPA10553 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig199:70100:71208:1 gene:PPA10553 transcript:PPA10553 gene_biotype:protein_coding transcript_biotype:protein_coding MILDGLVKGEDRVNRCADYCSYSGVQARRGSGEARRHTAHNEDIGADGTGRLLLLCNVAMSRHQDALIVIGHPSIATAPTWKDVLSPKYFKHLSI >PPA10547 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig199:25678:25922:-1 gene:PPA10547 transcript:PPA10547 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSKKRPQKAEAWKEANSLKNALLPYTPLRLGNFVNKEDKSKLEFLVSIRRNSIP >PPA10546 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig199:23018:24135:1 gene:PPA10546 transcript:PPA10546 gene_biotype:protein_coding transcript_biotype:protein_coding MHRENKEVLTYKIIKEIKDKFNEKVGLHCVARVREEADVNRKQKKYGQFVREPNREKRVNWALAKKDEDDMFTNVFFADEASVEIENVSPYVWVFADDPYSHISQRVKHPQREVMIWLAISMMGATQIKILGPKENINAEVYVKIVEEYYIPSAKKLYGNRCRLAHDNARVHTAKYTTEKLAEMGVQANKRNSPPLSLQRIQKCLTHSSAIAAIAVLKVGFV >PPA10552 pep:known supercontig:P_pacificus-5.0:Ppa_Contig199:65604:68690:1 gene:PPA10552 transcript:PPA10552 gene_biotype:protein_coding transcript_biotype:protein_coding MGGICCACNKADNVHPSTIDANPIVQSLEEGGKCAAPLIQTPGPSDYVSATKPLPEDPEPLDPPVLPAVPDPTSPVTGCSHRSPSPSPRRSPAIPESNIVSSSPLPPTPNPSSAQLYYDENPELCDPSSFRESDSRKSEEQEIGNEEMEEEEEKEEGSIDEEGNGSSDTDLNELHYLCCKDFDIEDIGTPIFTFAVTSQRVCLFEPFNDRLLIADRNNLYLKHLKAHRVYSISRANTLTTLSKDRLDLLMCRYHVVFNTEAAYHALVLPALLLGSRVRDFEQRCATVIQRDSLVLAMPSQAGRLTTLTLATVERRRLRRRRCRRQPTAEAVARHLRQPRTATREHQRQPRVTAALLAAAATAAAEDSSSSWPLLRAIYGAPCASSHQPPTPRGGPVTVRLRTGAIVALNPEQAEAVDRYNADRCRAFVVEAPPGSGKTLTAAAMAVSYQGQGGVQLFLSTANVPVANIALALARLDCARLSIIHLVSSEREAQMAEETRSPFSVLSLAMASNGAALERLDAALRTARGEKKRRIKAAISQACAPFLTQRYDVILGTVDMVLGYLLKPRNRREPTDAIKRQLADVQRVVIDEASQLTEAALNAVIHSFPLAQLVLIGDSKQLPPFRYECGDPASELAARSALLVAKAKKNIPVIKLRRVYRAAPSAIAHYSECFYSGRLVSHKVESANPLTCLGESRCLFFFPVNGVARQAGASKINDEEIACLVHVVLTLRPAGFDERNVMIISYYEAQRRLAEASLPDGYEVLTVDSAQVSKG >PPA10561 pep:known supercontig:P_pacificus-5.0:Ppa_Contig199:115982:117132:-1 gene:PPA10561 transcript:PPA10561 gene_biotype:protein_coding transcript_biotype:protein_coding MENELKIKMYRMVGYTAVCFSSVAILSICITMPLVHRYVDSVSRQAYHDLAACQSAAKGIWTSAHAVAPLRANRTARHAEADNGRCEGCCLPGSAGPDGTPGRPGAPGKDGAPGAPGNPGRPNQKPCAPITPPPCHPCPAGKPGPQGGPGPAGNQGRPGGSGPKGPDGEKGEPGSPGQPGRPGSQGKPGAPGPQGKSAEYGRPIPGPPGPPGKDGPEGAAGRPGPLGQAGKPGARGDRGEQGAAGPNGDDGQPGQPGPDGKPGPDGEKGICPKYCALDGGVFFEDGSRR >PPA10562 pep:known supercontig:P_pacificus-5.0:Ppa_Contig199:118065:119215:1 gene:PPA10562 transcript:PPA10562 gene_biotype:protein_coding transcript_biotype:protein_coding MENELKIKMYRMVGYTAVCFSSVAILSICITMPLVHRYVDSVSRQAYHDLAACQSAAKGIWTSAHAVAPLRANRTARHAEADNGRCEGCCLPGSAGPDGTPGRPGAPGKDGAPGAPGNPGRPNQKPCAPITPPPCHPCPAGKPGPQGGPGPAGNQGRPGGSGPKGPDGEKGEPGSPGQPGRPGSQGKPGAPGPQGKSAEYGRPIPGPPGPPGKDGPEGAAGRPGPLGQAGKPGARGDRGEQGAAGPNGDDGQPGQPGPDGKPGPDGEKGICPKYCALDGGVFFEDGSRR >PPA10544 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig199:12209:14302:-1 gene:PPA10544 transcript:PPA10544 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHNGGEKGHEELENERKKLEEEKAVKIAEFLEALKVARQYIAEDSVIIHPGKKHKFETVDVHVHFAPYLSDDNPYDGVDYWKTMGLNEDTNDEFPMPNLIYYKDNSGVVSGPLKEEEATALYKGNFFRPEHVFRIVDANNAETFTSIGSFELAGVLKDRNDMKLQLNESAAKVKKYEHAKERLIAQFKSILEGTNAFSVERTL >PPA10549 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig199:44755:52058:-1 gene:PPA10549 transcript:PPA10549 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVAYVSTSSPSSGLTVDLWWFSRIDGSCGERGCGRDGEGDAADEVEERNEKIDDEEEEPVVIEGEKKKGDDTVAEGTVPGQDPIDEDTVEADIDREPQPGEMLAAEKVLEFAAVEEAGDSMTEEEEGKLREEGEEEEGGQAPTPCYEVAVEDRPLDPSSAASLDAAAAAAASSSSGRAARFRDRVISDVHEIDGDEAPALPSRLTESELQLGKTPPVWIPDAECPMCMLCSAKFTFLLRRHHCRACGRVLCAACCGERMVLAYQPDKGKARVCSPCASTLQRVEEWEKEREGPPETATNGSNSTDAMEGEGPVPTPEGLQPGQQVGQQLQVEFGAGGMRKKSILKKEVLYC >PPA10554 pep:known supercontig:P_pacificus-5.0:Ppa_Contig199:71349:71916:-1 gene:PPA10554 transcript:PPA10554 gene_biotype:protein_coding transcript_biotype:protein_coding MPESFGICEKPTFCNKVTLEDDKHGNVTMWACDGGVCSEVGCSTRIRNDGTAVQVCCCTDNFCNPASGPTVLLSLAPLIALIAARA >PPA10559 pep:known supercontig:P_pacificus-5.0:Ppa_Contig199:108566:111430:-1 gene:PPA10559 transcript:PPA10559 gene_biotype:protein_coding transcript_biotype:protein_coding MFNDVLITTFVGFFLFVMVWLPYLIEYESWALPFFALISTWINFLYILRKGPTGIYIIMMARLLKSFGHIATIWIPAMFAFSFVFQLVMKDSGVEPWSDVVQNATAQEKIFVVLQAFTKMSTMMIGERRQHSRHSPVDFLRAFVDLRSTHRHLVDEPYALVQTSIAVGDVNDLRKESNNVVLGIKYNFVIESLQLAEAISLPFFSKIRVNPTNNVLVQQHDGYSAGHVFAAMVEDSVDKVFDDIPEYTSKDSKAIRPEDNTSLVRMAKKWLIGLDWSSYLE >PPA10551 pep:known supercontig:P_pacificus-5.0:Ppa_Contig199:63807:64165:-1 gene:PPA10551 transcript:PPA10551 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTYRPRVVYRRSQPSTPNVDEFCLKEGCHPYSDLGSSGEICCCKGDYCNPAAGPTVLISLAALVAVIAARF >PPA10557 pep:known supercontig:P_pacificus-5.0:Ppa_Contig199:96540:100705:1 gene:PPA10557 transcript:PPA10557 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEFSDFAEKEKNEVELKDIVYEQFLDLLNVVYFQTAGITDLTGPNILKLADRFQMQKLVMADQYRLDVLRDHCLNSFNSFDEWSDKMKSYPEVNFSGDMKIAIYDRLMKLKPQWNFPQSLYTYRILVSRMTVNSKFVLRWEINNATAVHAVGKTESGVFNEGGFECTHNIATADKVTVEFHIHVTNSDLGESEPCIFDAPSSKSNVILKIDDRKLHVYKEYLAFHSPVFDTLFFGDFAERGKDEVEIKDVVYEEFLDLLNVVFLQTQGITDRTVPHILKLADRFQMKHILGLVLYHLTQSKGFDIVQKLIMADNYRLDILRDHCLDSLKSFAEWSEKIKSYPGVNFSDGMKLAIYDRIVKLKPQ >PPA10556 pep:known supercontig:P_pacificus-5.0:Ppa_Contig199:81692:95056:1 gene:PPA10556 transcript:PPA10556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-psa-1 MKRGGAKRKRDDNEEADTSSMDVDEDGDSRSNQGVTAVTVPKGKEKEAEFAAPKGGKLADLDEEGIKEKDPNDTSAPVAIEQSHYVIVPSYSAWFDYNKVHSIEKRAVPEFFNGKNKSKTAEVYLAYRNFMVDTYRLNPYEYLSATACRRNLAGDVCSILRVHAFLEQWGLINYQVDAECRPAPIAPPPTSHFMVLADTPMGVQPINPLPSTFQSSKKEGKDGGAEGGEDSKEGVKKEQLGAVGLKTDQYAKQLAAMKSKGAAPGRDWTDQETVLLLEGIEMFRDDWNKVSDHVGTRTQDECIMRFLQLPTMDPYLEEGGSDVLGPLAFQPVPFSQTGNPVMSTVAFLASVVDPRVAAAATKAALEQFAKVKDEVPPLLVEAHAKNVQAHFDRTGVVDGNYGLEKSGIATEADAASKEEKMETDECEKLEEERKKAVEKEKKEKAGGEEKTDEGEGVEKQEKKEKAGGEEKTDEGEGVEKQAKIACSEAVQAAAAAALGAAAVKAKHLATIEERRIKSLVAQLVETQMKKLEMKLKHFDELEQIMDKEKESLEYQRQQLILERQQFHLDQLRYLETRTKQDAHYKMVDAGQLPAALPLGFEISGPPQPTPTIAVAPPAEDKEETSAAAAAAAPPAALPPTAAPAGPPPGAMPAHSAAGGGAPPPGGPPAGYYGAPQQQAPYGGPPPQGYPPQGYGQYPPQQHGGYPGQPGGAPPQGGYYGRPGPYPGAPGQPGAYPQGGAPGARPGAYPGGPPPGGAYPQQRHPGYPPQGYPGGGGQYPPPAGYGYGMPPQQQHPGAGGVPPPSAEGADAATPPMHQARSVVLHMEIMTDDSKFVLRWEIDNAKAFQAAEKAGPKVFYEGGTAMAEKSGGKMKPTLRCGVDHSRPWKCAVNLSVRMKGYLKTADEDYAPNNTAVIEFNVHIVYSERFVDTCHFGRLPGEAAQLPPELPSSLARWCAGGS >PPA10543 pep:known supercontig:P_pacificus-5.0:Ppa_Contig199:29:2643:-1 gene:PPA10543 transcript:PPA10543 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLPLIPLLLLLLAGALLPTLVEAGSDQGVGIVDRQIDSERPLLSTNALTPFPDARLSKEERQARKLLRKKKKRLRELREEIRRMDNGGVKAVTIKINTQTRSVARRQVATAADLATGHDHRTARSAGDADYCELRLDRLETAIINSSRATIKQHKATDNNKVKDDETTKMDRHFASVSRSLNGEAGMACGSHRDCRPGRCCHAAAPSAAAGAAAAAAAAGVCVRHDAAAAVGCADSCQCTAQLHCFAPKHNNATQPACKRAASSDIVTGTYLNDPDAIF >PPA10560 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig199:112909:113690:-1 gene:PPA10560 transcript:PPA10560 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEQQLLTALNMEDDDENLSYISSNSSVYKTPPQSTSLTDLSSERLLNRLASRGIHCPSKPSRAALIAHLRKHHDSFKPTLKWLHANGLVEYVNSKVTLRKLCSLAPICSEHETRKLDDRDRAKDIDDADG >PPA10550 pep:known supercontig:P_pacificus-5.0:Ppa_Contig199:52334:63285:-1 gene:PPA10550 transcript:PPA10550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-aka-1 MAALPDLDDLLDQLEGSVTAGAAPLATGRRGFFDPVPCFSTSRHNTTPGVAGPAAQAGPNGAGNRNAAEIEEKLSFVEFLKVTAKEAEELHQLQRLHDDQQESRGSRRVGSERRETGATAATAAPPRAASVPTLVVPDMPSLPDVPEGLPQLQQLQQLNGRAAEGRKAVRVEELALADKENRRQAEFEETGRENASLSPSLLPRLATPSPDLGVEMGEEAESEQQLMAPPTPSPEPCLVAVEEQPTLQQMQQQLQLQPFPATVQQPEQLQPQPSPPLQQEAERAAEAVEGGGEDEEFDEVLAYLAKCEGGITAAAPAAEPEPAAAPAASAASAAAAAPPSPIAVTPPSCNTAASFDVVSFQALPTDEMNESEMERELREVAQDFPDSDQPELVRGEEQNVTGDETFYSAVESLDRTRNEEGEEEIKDQEEEDGNVKEEEERQQIELMFVNESPLASRVAEVEDANRERAELEEEEGRRQAEEKQEIELMFVNESPLASRVAEVEDANRERAELEEEERSTLAEERQEIDLVFVNESSGDERVAEVEDANRERVEVTIVIDPSSTASEGNENEEEREEGDLQEVEEERKERHGSLMWWSGHVYQ >PPA10555 pep:known supercontig:P_pacificus-5.0:Ppa_Contig199:74850:79642:-1 gene:PPA10555 transcript:PPA10555 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLCIAATVGLALSAVGRLALLGLVPWYRAPPPTTTVSPNVLVDLGSVPWGPCPVPSQHLTLGIPYPRLNDIPLQCSTAAPQVTVANGIVEGFCVNDDVVQVNGFFGIPFAEPPKPVPKTNWTGVKATKTMPAMCTQATPGDSEDCLYLNVYAPDGAASGSLPVFVIVHGGAFAFGSANDGTPAHIAKYLAAKGLVIVMIQYRVGPLGFCTTKDSVMPGNYGMWDAKMAFEWVRDNIAAFGGNPNDVTAYGGSSGAALIDAMHLSPLATNLFHKMALFSGAATDIWDAPTKQKCEERAASLGLTWTDSASFKAAMLSAPASGLGSGWLFHGESTFDTIYADWSPVYDGDFFPTTGAAMRAATQPKPSIYGISFLEGAGTSGALNITSETVEKIVNYMVPATVGNRSLFQSYLIESYRNQALVLEPTAIDKHAAQAALGERNRAAGMDAVLRRNFELFGTNQTYYRYVFKHFNPATIGTRYPFISFASHAFDQYYSIGKPGFTFTGDDLTVVNLYTTPRPGQFAVQSTTIFAAIPYRNPPHFSNPNGAGPVSSLAVPWVASTPANPSLNYVIESTPSMDPQFFFGRPHLNNVLNKIGGTFRPV >PPA10545 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig199:20867:22556:-1 gene:PPA10545 transcript:PPA10545 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDFGSREALQRKQLEIRDFSLEEIKQMISNSRSSRRNRGFIHRSIYHTPSSHCCCKEECSKRAFYAANDDGSMSDPSADPLDNLDSRDLLRGIYRMVDTLKRDMERRMDKMEDEMTAVVSHIELSSSERESDGIKLKDIVESLQVQEEIMGKLEKDVQSISENMIRKLPVRYHFMAEEEVAELDIVEETTTVFAGRLAAALFSEEEQFMRVDDRDQTLYNWIVNVVARRRAASEQHSTRSSIQSKVRHHLNQQAKRLREEHGMEHPEPAAARKRCEMAQRKDVIAAQVEERTAEISAKRKRVTDARIERQVKQQLRKTGMISNDGEPILFPKPRPIPPPSVSTPAGRATSSTPIPQFTPKSSKIR >PPA10563 pep:known supercontig:P_pacificus-5.0:Ppa_Contig199:120126:120509:1 gene:PPA10563 transcript:PPA10563 gene_biotype:protein_coding transcript_biotype:protein_coding MHETQQRLTVIHMDDDDNANSAVKQPSHQAENTEELSSDECLMRRLALRGIHCATVPPREALLAHFLKHPEKFAPVLEWLRKDGDGLFGLPVKKQCVGLNIDDGGV >PPA10548 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig199:32052:35247:-1 gene:PPA10548 transcript:PPA10548 gene_biotype:protein_coding transcript_biotype:protein_coding MFNDIGTWSYRMQHVVGGTVALSNEETTVRIPRHARQQMRKIVEINRNMLAWLCDVNTEADSHLICIETEQGLGPYESNIFTKGGERQKTGATFVIIDGALKTASARVQVSVCEDGIAVRLPSEGLVELINALLDGKDHFIDSGSHRLRVEWTEMDEPGAGTKLVSPIDGLYLGNKMQYGLTLERVISSTVQSPSLPETATRLSHVFNMRDNLIPPEDEAKVFSVAELVANETAGMVDEFLPLLTTIGVDTLALRITVERERIEYDVAEWTGLEGEQTRFRASLDKLVPVFVNVLGYIPHGFSIELWISIVSTTPLPMEI >PPA10564 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1992:135:1059:1 gene:PPA10564 transcript:PPA10564 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNHCTRCLACPYSWVCQCQDTRKAGVLCKHVHACLIYGGALQECHKPYQIIGDEDALDKHCPGDPQQALVPISTDQPERAADDATEHGHNPHIPDAGLEASQPTNFDDFPPPELDGDDLGIVPLNMVADETGDERARSAVDRDDEERRQRSKLESLLNESRIALQSIQKSNLEDGNKFLEELDALITSHRRSIKSSDLARRLPIVPGTSVQCRPDPSTIRAEE >PPA10565 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1993:268:749:1 gene:PPA10565 transcript:PPA10565 gene_biotype:protein_coding transcript_biotype:protein_coding MWTAFVLFFVIASAHAFPASTPNPCDGVLGCNNHGTCAGTLEEGLYCICDPGYFGLRCQLPDEEPACETMIDCNGNGKCAGGVEDLHCECFDGWYGVRCQIPTDRLVTSSTN >PPA10566 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig1996:58:1011:-1 gene:PPA10566 transcript:PPA10566 gene_biotype:protein_coding transcript_biotype:protein_coding VKVPEWSDLVKLGVTKDMAPLRQFFRKRHPQGSQVEALKWVDKSENGKGRILSKQGRKDLDRIAADLRSTVAPLTSSSTSGSVIRKALKRGRKDLDRIAADLRSTAAFR >PPA10631 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:285740:286763:-1 gene:PPA10631 transcript:PPA10631 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCYCTYGRSCRRSCISYCDRGPYAGSARDPFMHNRSKRSAETTPSPEEVPTIQELAQGTLPYLDGFEYDSRSILRRKAGRPVWDPRAKDIHAKQKRELDTRWGKLCQDPGN >PPA10789 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1446823:1449437:1 gene:PPA10789 transcript:PPA10789 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAIAKPREPPTIHVNNNRGADAGKSTILKQMRILHMNGFDADEMHSFQKYLRYNLFQIFHEVAIGVEECVTDIANIEKCVNTVFLSHQCVRSFIELYPNYSTLPDNASYYIPKLPALLSANYKPTAEDILHLRIPTTSVNEINFAFSNRTIRLIDVGGQRTYRKKWIHYFDGVAAVLFVVSMAAYDQSLDEVDKMLKPVLHKDIFPVEAVKPKPDNRLRDSAQLFGDMLRSKYLATAAFILFLNKKDLFWKKLPIHPLGKYVNAYKGTNNDEAAEFLKEYFLKVINRKSKNDKDRPIYSHFTCATDTENVEFVFKAACEIVLQKNLNKSGIQM >PPA10692 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:722753:724031:-1 gene:PPA10692 transcript:PPA10692 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQSIATRSATRTTDERFRYRNLNVPKGVFHYVESGQNFEGGFKLPNPGEELGLNRDPESIFGPQPRPGVDFWLARQC >PPA10907 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1931621:1935769:-1 gene:PPA10907 transcript:PPA10907 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRSPLEFILDTSITWAEFENQLRLSLGTEARLGSNKSVIDIGDGNGFTSRCGLITCDWVGAAVEERLPQKVVIKIPSALPMRTLQDSLSPEQRQFDGDDEIWKEMEESLKEDQIDGQICLEYVENSRMMSLSERHNIEQVAQIARAIGKMQACSLKKDVRAPDLCGGFFERFYTHRTKAYLGMLKGIFTVDNSSTTRMLMAKIENILPDYYGTNLPLTIHKQMGFRPVLVNGDLRTENVLLDKDSEALASLIDWQCTHFGVGIEDLHRIALFALTAENRRMSMPMLVEEMYSSLVENLYGVEPPYSLEKLHLISDLLFPHCALFFAAGCVSLITNKSFESSATNEVKTERMSTAVEKASVKGALEDILELHYKNKIHSDLLEFKKSNETDEHSQIREERRKARKTDFDVMPSGANDGST >PPA10883 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1810348:1812074:1 gene:PPA10883 transcript:PPA10883 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIFERANLEISTAPRSNHLSVAEFKSELSSPLSSIDAPMTPFEQEPKMETSRVWYECEKRVDYALIQMHRKCSINEFDSRAGQNSIVMTRIRKSAELCMRPLDKFVHPTAIDDNTFLIIPATHGLTFRTTQIMISSLFDFASIAFPDFETLSAAEKWHLISGCHERFFTIESTFRAVKLFPNDDRIFVSYTMTLCADTAEYFLSDCPKNVNSDGGRQALMKNLEDNPRRCKRAMSRTNPSDEEFLVMIALSFWNSEISSGDDRLNRMAASCRTEIMQDLHTYYSTRGVTDYASRIGELFCLLVNNEKVTTMITEDIELLRLMDINQLPRF >PPA10970 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2170721:2171670:1 gene:PPA10970 transcript:PPA10970 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVLLAAVTALSFAQEEVKDLPLKKVGFWPRWEERTVDCLAGATAADCVLKAPEAAKERKSEDYKCREEPMPQWEFNELARNSTTRIACPIGCEPDADLSVLQKVPHNNHKCQKYYTYGKYRENGEWYLWMTEPCRAAITTHCRFKDVPMGK >PPA10643 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:381948:384327:1 gene:PPA10643 transcript:PPA10643 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPQLHLIKVHRLEAYSGRQSGHPCEIAAAHNMRMIQPVQQQNYFMRVNGQHQSTVALPPQEMIEYTISPSNIVLFHGRHFLSTLYQSTMTIDNFNYPSVEHYYQNGFQACKLYTLAGSESAKRISGLIEASQCKSVARNILNAINVPKGRVEAWRRTDAPEILLYACVSKFAQSRELREKLLNTGDALLVHTFEKDNLYAVGMSEEATKKWAEANNGKKIRVPRYFYKDWFVQSERLPTYNRKGRNILGVMLMAIREAFRPEGGRADPQIIDIIDKIHGPRY >PPA10960 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2132208:2132477:1 gene:PPA10960 transcript:PPA10960 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLFPDFPGIPGPLRNHPKVPIEETVIAPESGLYKVWISNSRAWLYSLTVKCKFEIVKTKSSSPEI >PPA10589 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:87796:88937:-1 gene:PPA10589 transcript:PPA10589 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLLHILAIFSALAVALSYSSNSCSSSNIVCINGKCKSDQSSTCGGGASGKPVITCDDSSFFSGSSLCAPGVCCPTIPSGIRFCLISLTVMLAVLFFIVFAGSPPSRGDPSKQKLVEPQANQQPDPQLHLVAPYSASNPTYQPDPINPQSLSAPAAPSAHAVQSDADEGN >PPA10722 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:992628:994731:-1 gene:PPA10722 transcript:PPA10722 gene_biotype:protein_coding transcript_biotype:protein_coding MVNETLERAHKLIIVNTTLGIASTVLEDRATNAKLHSMQPIRYFLCIFGLSGDVQDDATEELRFEFEKRDGMNITKGWIVMDHWTIVPFIFVYIPYFFCINFPYFRIPIGILAELSSFLTSCFPAWDAVIVILLFADYRTAVWSVLMNQRINYCGDQLQLLK >PPA10763 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1287806:1288806:1 gene:PPA10763 transcript:PPA10763 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSLKGVRIVWNPGSPRVEHPPCFHRSEWMTHPETMFCKFMIERHRTTNLVESWHRGLISYFLGHHPPLMELVNFLLKTELDDNISMKHYRDNGSSYELKYESKREEEDVRV >PPA11026 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2407459:2409137:-1 gene:PPA11026 transcript:PPA11026 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFTLAVLLALHSPLFAANTESYKVIGPITQHFQDWLARNGYKDDFVRADNAGTQGSYGGKTDDSQKVTKTPVIFIHGNSDAALRQGFGRNDNIGWENTIDYFLKHGYTSAELYATSWGDTNPAHASSRTHDCATTQRLRRFLEAVIAYTGADQVSMVTHSMGVTLGRKIIKGGKITANDGNCDLGVPIRVVDVFVGLSGGNYGLCNCEGVDTMEPTCNKQDGLWPGDSCGMNYLDCGLTVLPFPCSGPTYSKFLTDLNNDMQQEAKRLVSGWSDSDDLIMYGTITWGKSTCLIPRSTDKKASLRYSRILETAICFQTYVTYTHMETKELTYEDQYNWVNRIN >PPA10635 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:305269:306940:1 gene:PPA10635 transcript:PPA10635 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRTSLSDEHAMPQSMDGLVSSHGMDAFADTFSPAIAPPTRLPAIDGPTASSATDALMLLFAMADASETSSDSDESAILPATAHPARYPAAVGPASSSATNAPVQADAARPHGSRNRIMKIGRSSNPRVAPPPIVQRIASRGNHGPEARSVDTANAQASPAIIPPIASLFCLPSISKQGVCRSPNKACVVAVNPTRTQAAPRFPGIIPPRDNINAGARPAETVDFDDEYALISERQARRAASTTNFDEGISMISDVHRNFQRDRLAEPHRRNAFPTTLGEYQAVMMAAYEAGKEATAKEARLKQAREADNTESALALRYSRSCTVCLISPNPLVRVALTGCGHIMCSPCAEQLAKIGSKVTCPFCRKAGDTLRLFEDIEDTEALTPSRCETNDHPQTTAMTEPAVDNRQES >PPA10701 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:776467:779053:1 gene:PPA10701 transcript:PPA10701 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRVWDARHESKTCDELDPELMQRRMAERLDEMLIHKCPRCAKAFVKIDGCNKITCPCGQLSCYVCKAAVDNYDHFQDRNPSSGKCALWEDPTARERAEANAELNREIAGAVGAKLGK >PPA10803 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1508007:1509846:1 gene:PPA10803 transcript:PPA10803 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNVVCFNFVVLFMPSTTEAENANHTYIGYPKEERTLLLSSVAIGALVAVVPISHATHAYGTRKVFFAAGLLSTVATALIPTLSRLSLGFFVALRFMQGASIAASFPTVGSITSNWASLGQHGLFMSTLTTFGQTSAIFSMPVAGFLCTTFLGWKSVFYLHAIVSGIIFICWVLVYRNKPAKPPWNSEHEVSIIHKGKSTADLHNCKGDKKKIPYLAIVSTPAVWGIWIGALGDLFAVQLIHIFSPLYLHEVLGYSVQKTGWTAALPVLFHFFVKICAGHSSDRITGIAETTKLRIYNSLALGLAGVFIAALAFVPKGYPTWGIVLMTLSTAMFGFNGGGFNKCATLVSRQYSHFVMAIIQVILCLSMLISPIVVHSMLSTGSIDEWRLVFLSHAAFLIICNAIFCALATAKPALFAVQCANL >PPA10754 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1220572:1242245:-1 gene:PPA10754 transcript:PPA10754 gene_biotype:protein_coding transcript_biotype:protein_coding MPPADVVTIDDDGSSIAPSSEASVKVEEEEQQSATSSVAPPSDVDLQQEVAKLRKQFEHACRTARAAVLRAEYAEKLLENKRELVSTREKAAEIEVDERVAELQKQLEGARNRSENAFASKVEATRERDELRLQVAELKIATERQVRVLREKYAGLEEGESDLAREIATLKRDLEEANGKKDELGRKISSLREELNDANSSKVELARELSSLKRDQEEASGNRCGSVADSAELEAARRREEAANTANAERAKENAALKDEVEQLRIDLKNALARENASLMADIDQLRRKKNEDIDKESVMISDERLLQLWVYPAIGLPIRRSLHYRELARKHAALKDEIEQLRRSMANGITTNDELAHENAALKADIEQLRRSIEEANGNDDLAREHAALKRELDRERLKSEKAPTEKIEGTVENVLLKRELAELREHEEQRRARAASSSQQGGGLVISEESDLAEENAALKEELAELRSIVNDAHNSDSYLEVENKSLKDQLAFARVQAAAANVQQIDTVRERDALKAEANKLAKLQLELTERNATLVDALAVVRSLRPAQEETSNLVNQVHALNAQVAFERAATEKIRASNVELERRLAQAEGRLKRTSQEFVVRDPRVEGRRSESVERQRQPLASPSLEKRSKLDEQGRKREEARVQPLQQQPHSHQHPTQQQQQPRNSLQPYPMQQPQLQQPHMQQLQPQNYQQSTHSVQLPLDSLQSYPMQQLQPQNYPQSTHSVQQPLDSLILQNLRFGALSCGNRSSNERLQQPYPMQQLQPLQPQNHQQPSQKLAWPMSLPAATQFDMVGDLTNLYHLVQPGSRDAVPIDEAAGHVRTVFEQYYDTLFLGSNFLPSQGESAHCELCNVDLDKISIITHFCSQDHANKMRDCGACVSMPALFYWIRKMTPAKKYSSSLSLSEGCITRARAYRLAADVVVVREWTDDGDSGSRAEGPSAGKAARTEKTDVAVRPPLEVALLDPKVIDFKHKFQSDSFQKAAPRVAREEEAARPSSTKKSPRKAADARHDSRRDRRDSSRRDEGRDDRKDERREDRRDGRKDDARRSGDRREGGRDRRKRRVSTSSEESTSGESDAPTDPERERETLDRWDEDVADRIQKRLAELCAQLEEVKRTGKAPSNDEWVRGNFVLQGDVGEAKKRASDAATKNIKLMREVATLKNERDEARDNEEKSRRNFDALTRENSRLKKEMDEATSRSEEQARSSVDALNREIARLTAEVDEVKKRGEQAAKSTNDEVAQANAALKRELDELKKLNEEMSKNNSDELSRDNAALKKEIDDLKKSAEISKSNVEEVSKASQEKDKELREAKRKAEEAAGKINELTRSNAAFKKEVLAGQEALKRAEENAKHNSDQLMLKNLHVKEELRAAQEQTKELNERIVVCTTEYFALEERFKETNERAEEAEDRNSKFLHTIGQQEKELAELRAAAGHPPAPAPHLPASLPPAPAPVPPLPPSPSASSILAPTPTPPLPSLPSLPFPPGSSQPPLQPIPVQQQQQHLNSTADDMAQQLEEKFQRAALRAASQSDAESCMERAPTLPDSQGPFDFMSNEPVDTVDSGVQQRLADLADRLSESQRREERALHDNHELTQQLGALNREVADLRRSIFVGETANAELLERIDRLEFRLAQYEGPPMQQHPGGPGGPPMQHSPLLQHMQHHPSPRMQHLQHHGHRNPHSPHSPHMPPPLMGRGGPPMQQQHPQQPTLPLQDWDR >PPA10843 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1665692:1667340:1 gene:PPA10843 transcript:PPA10843 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAQVTTWIELTISVGATPLALLVLYALLTSSLNRRVKVFLTMNGLGLLFLTTSHVSVAVYRIMKPVEWHGLDVVANVPLFLHQLAYSSCTVAQFLITIERMIIGLNPRLYVEKKVAQWTLITLCVIFEILVALPCTMLFQDGPTVNGNKLYRSSELSQKISLLILALLDVSALVIEVPSGNDRMPECQKNTTDLQCCTATAIVEPILLLTRHRLLQRRVRILMGLPVGEVIQADVRDSVAIADVYFNAFKKELNKKMTG >PPA10867 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1769100:1770507:1 gene:PPA10867 transcript:PPA10867 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHPYAWAYTDPLHSMFIGSTTTVSLFSNCLLLFIIYTTAADHIGSYRYLLAFFAICDIATTVGHAALQPYLHMTSSGFYFFPRYGGNVIKGVPVDTIFCLMFIATFYQTFLAFHFVYRYKTVTRGIGTSWTNYWSSTHWVVSGVAVYVIYIAAYVMTVAIGMTPSEVTRGAVPSEMLDAYGLDLKDPSRGFTVLAVRRLNNATNELYWSSESLISLALCVGLFGTTALVIVYCIYQTNAAINPVIAYLPRSAETQITPTTRKMHRQLFRALLIQMGKEEGRVVNVCKVNRALVEE >PPA10813 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1550581:1551398:-1 gene:PPA10813 transcript:PPA10813 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMRISSELCMRSSDQFVHPSNIDNNSFPIVPATHTSHHRSAQFLLSALFDFATIAFLEFETLQTDVKWLLVRGCYEPIHVVESHYRAAKMFPKDPIIALHRSLDESVACNKDSMKRVNPSEEEFLVLLVLSFWKTGYRESHRPTILSFN >PPA10931 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2046056:2046600:-1 gene:PPA10931 transcript:PPA10931 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLVSATVEKFGKLDVLVNNAGGSSYEHLGKRILDIPVDDFSKMIDLNVKPVLRISQLAVPQLEKTKGAIVNVSSISAYHKLDICGKPIITVNPTCLRTCFTNEKKGIRVNSVKLVVNYLNCSV >PPA10683 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:659355:668508:-1 gene:PPA10683 transcript:PPA10683 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSRVLVRAASTLSKEATRIEVRKQKRANCAVYGFGLSASGALSIPALVVQDNKVTSRETHKPLRISYCNLREIKKVSSGFGFSLFASRNKVYGSGLNNRLQIAGRLLDKGGAEEYYISGRRIGGLPDGKIVDIASGRAHSLVIVDDKVYAFGCNVHAQCGQDPATTSETVVVSGDQQLLPPVVLPTSSKPVRVHAALDTSFVLTEDGKVLAFGLNEDGQCANGRQGIQTEAREIIGDTQGERLVSLSGSTDTLMAVGESGRLFVWGQNEYGQAGVEHDEEIQLTASRAVSSTSLRGKKVVDAAATATTCVAATKDGVVFSWGVGVLGFGPDSVRLRHPTALPQTLFDGAKVHRVHAGNVAAAAISDAGAVFVWGQNRYGLLGLGHTRDQLFPFPLFFQEDVKQISIGPDHTLVVIRCYCYLLCKFCY >PPA10968 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2167785:2168293:1 gene:PPA10968 transcript:PPA10968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nlt-1 MDFKTKPNEVYEGLPKNGAKPNVTVTIDDEIFVAVSAGELDPVKAFMSGKVKAKVDI >PPA10647 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:394152:395972:-1 gene:PPA10647 transcript:PPA10647 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vha-10 MASQTQGIQQLLAAEKRAAEKINEARKRKASRLKQAKKEAQAEVEKYRAEREAIFKAYEDKHLGTKADIDNQIRKETEAQLEDMKRSVAQNKQQVIVRLLQLTCDIKPELHHNLILQKKLHGEFTN >PPA11005 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2315002:2315791:-1 gene:PPA11005 transcript:PPA11005 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGGINMLTRIALIQIELKTVKIDGNRSILIRRHHQLDSDIADFIVPVHLIKYSAWLGIYHYIVYTTAERYAALRFAADYERKKRPWVSIMLILTNTAVSVPMAFLLMIMFYLPLFFGPPLLFGKNYSQC >PPA10978 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2210043:2211399:1 gene:PPA10978 transcript:PPA10978 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRCCCGVFSVTTGAQILAALMILGAVGSIISVCVPNEKHTTGTRIGTALSSVLELIAGALVFMAINKKRAVLMTPILAYAAISLFFIAIACILCVYGLFDQHSVVPNWIRHLLEKEDVHNVTAVTNTTELITTTEEPINPEHYVFIITVIFTLISFISFVFSLWYFTVFNNCYKHLREQESTRAYNFFRITPGTLPRTRS >PPA10658 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:451802:461826:-1 gene:PPA10658 transcript:PPA10658 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNCAQRYNMAGAGWAPIQGAAPCCALLASRGADELVASKGFVSMSQLLAPFATTTVNVRDPSTGMTVSSKITLDVRDLRKDGFLLSHTVLPHVLHEALLAPSPAGVWSVDGSLKQFNDALAQWAEPVDQETFRSYLSCLFFVSTRDENPLAALSQLIQLQHSQQHSSDGISLAPSHCARPAWTTPATLKHYVVVYEGSEEQRSREIFSQMCSTYGIESCSQLRLDGVRTAANGDEGFGSPDPWSSRLPAYRILEAGLERARLSLIEKAAAAAAAPTSPSTPSMGITTISSSYSISSAASASPSTVLPPTTTTTYAAVAAADGGTRLAISAGCLGRD >PPA10896 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1901918:1903486:-1 gene:PPA10896 transcript:PPA10896 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRGRLIILFMTIAACLVIVLKISASFKDEIFDVVPVPFTISAEPRTNKSLDIAIVIKFFRRHCHTHELMLNQLNESSYILFIDADVGVVNPNKLIDEFIQPEFDIYLYNRFYNWEYAAQYIVKNNERGRNWVKMWAEMEFTNRSNVSLHMGTISEYVRFVYNASVHSNGTWLGSRYLATQIKVVDGRLHASFDQRTETGL >PPA10857 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1729672:1737163:1 gene:PPA10857 transcript:PPA10857 gene_biotype:protein_coding transcript_biotype:protein_coding MADKMPVIVPRQFTRTGNTDHLGNHKPIDPPETRFSYGTAGFREHSDRMSFIAHRVGYLASLRARTSGKAIGVMITASHNPEYDNGVKIIDPNGEMLAEEWEDHATQLINAKDDEFATGVRALETELNDPPVGSPSSIVLVGRDTRASGVHLTEAVQEGVGIFRTQFKDCGLLTTPQLHFLVHAYNNLPGGPPTEQDYYNHFSSYFKDLYDRLSPTKKYTTKLHIDCANGIGAPKMRNLAAKLPADALSIQFHNEQGQLNHKCGADFVKISREAPAGFEAVVANERCAVFDGDADRLVYFYKKDNGQIELLDGDKIALLIAKFYKDHLAELKMDDISFAIVQTAYANGNSSKYMKEELSVTPKFVPTGVKHLHHEASKYDCAIYFEANGHGTVTFSDKFFDTLDKRPEEAAIRLRLMSRVINKVVGDAMSDLLAVELILRHYDWTVQDWAAMYEDAPSKQIKVPYPRADNSVGIKYSALASSPQLGIKHFPQVGNKLDSNLWVHSRVMDRSRFRTTKDETSLVVPADLQDDINFLLEKRDGARAFVRPSGTENIVRVYAEAYSQKDADELATEIEEAVSCVEQPDRGLLLVSGGLLGKKHGVDVGQDTSLGDGHSSHELVELLVVSDGELEMTGVDSGLLVVTGSVASELKNLSGEVLEDGSEVHRGSGSDTLGVVALAQHAGAALALESVDDVHGGDSLSLGVLAVGDGVTNHVLKEHLEDTTGLLIDESRDTLDSTTAGETANSGLGDALDVVAKNLAMALGSSLSESLASLSTSGHDCT >PPA10823 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1590431:1592495:1 gene:PPA10823 transcript:PPA10823 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFHPSEHERRGTNSWNGQGEIEAPLLSPAHRGVVPSSSALEKEAALMRQRLTDEERTYT >PPA10655 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:433085:434377:1 gene:PPA10655 transcript:PPA10655 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVQYSLSVAADDEYRAAEKGAREATNALDCAWNANEQAAVCKFRLFSGHQAATFFSVDPCPRMMTSLSQEQQHLQGILMEEHRRWEVLERKNARIRSLQNQLSGRLLRMIRDKEALALNAELPERTGVEINEQALEEVGARMAIEEARAWREADEARTARLDTEADDNFAPETLALRYSRLCCVCHSDNPRRRAVLVRCGHFTCSPCAEKLAAGPSGHLNCPLCQRKTTFVHTFEELEETPVVRQAPPHCQRRQGCVKRKSGRGSADSSRKKKQEEVKEV >PPA10709 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:886235:886970:-1 gene:PPA10709 transcript:PPA10709 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVAPANDHIYFAKKEQLFPCLQDDSGIQAEAGSSSSSSRTSTAATTTSSVERDGGVKGLLRRSNGRKRGRKEHGRKVE >PPA11021 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2394130:2394435:-1 gene:PPA11021 transcript:PPA11021 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWEAAWVVGEDEEEWVDTEDMEDELEDGEAEDDGEAEEVDGAVVEGGGWGK >PPA10877 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1791143:1791587:-1 gene:PPA10877 transcript:PPA10877 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLTLAVCTMIIFVSESIKFSAQMEKDCGEQLTATLDKESNDEIKSIMQKMIANVQKGDAKASQVIINGLSDAQKKYVNDNYWIRACLPLRSCLECPVEL >PPA10849 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1684602:1691873:1 gene:PPA10849 transcript:PPA10849 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKNALNMGENETENAVKRKAIAEEKASVEAKKTLLEKHALLTQEQSEDHDEASDQYYHTISYNKERREEEVRLLQSVPQGGALFAISELAQGVTYKESFKTGWRPPGHLRGLASYEIEGMRKRKGIVIDGSDCPPPIGSFLEMKLPRSFLCALESRNIIMPTAIQMQGIPVALTGRDMIGIASTGSGKTLAFALPMLMFSLEQETALPFKRGEGPFALCIVPSRELARQIEEVIHSFADAASKQGLPPIKTVLCIGGEPVGQQARTVQNGVHIVVATPGRLGDLLNKKIMNLELCRILVLDEADRMLDMGFEEELKNIFSFFKDQRQTLLYSATMPKKIQEFAKSALVRPILVNVGRAGAASLNVLQEVEYVRGEDKLTRILECLQKTPPRFGNGMDDEMMNEGVEVSSLHGGKDQKERHEGVDAFRRGNKDVLVATDVASKGLDFTDIHHVINYDMPDDIENYVHRIGRTGRSGKRGMATTFLNKKAEMSVMMDLRQLLIEAGQELPAFLREMGDDTEAQSIEGEERGCSFCSGLGHRITDCPKLVGIHTKTTQNMARSHAEEVDLTVLVDFFGHVEVDNMVDSGNVQPSFGNGSCNKNRSSSTAEVVKRLFTLVLKEQTIYFSTVISHLLAVAMNGSRRDSALGEENTKRICHLLARYKNQSFQLEDFH >PPA10736 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1100714:1105197:1 gene:PPA10736 transcript:PPA10736 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFLVPLADFTALVPTTNLKQPVTADGYQMMIFIMIGMELAAIVTFHLGLLVNYFRRPSPASAASIALLYQLKENAEVIATLLPIEYTHSAFMCTITFGMALYPYIVQDVQNVLNQQIFLEILSMPAHFTLFLVLILEFKLARSYNLAWQANPISDLLLISQFSRRRRLRHSVISNETAVYFQNLISKRSRRTVIMNAMLITHSIATRVSKTTDRANDKSRMIVKLHAT >PPA10740 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1125052:1137554:-1 gene:PPA10740 transcript:PPA10740 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPSISSKTSRTAATNGRMQQQPAGQTANGRRTEKGEEEDWNDSSSSEGEVQLNRRGRTRPLTLGKAVMAVGSFFWRVLTFVVCLVPFVRYFFFEEEEAEELTPDEIRLKRAAAEAEPEELSADEPRLKRAAAEALRYLVHFTHTSQIPRTPPSQHAQPPRRAAKSNGVLVESSGGGGAAGRKRMANGEPQHNGSAASAANGRSSRHDHAGSASRSNGLGAVHDLDSGFRDVSRESARTQHSEDSEQRYNGGLAARVCGVECGKLASEVGRLRNEMRQVRQAEEAARLHATNCSNGEKNAAAECGQLKGRIEQLMNKVSSIERQREQERATAAAAERKAAESAARAAELERELQKERVERREEREKGREKSETVSETQQLLREKEAAWEREAGRLRADSRTKDEVIMEMQAELTKLRVIAKSAVEAEDLRAELSLLRDKTLHLEENLAEENKLKQELFRALRNTQAERDRATRQYDYSSSSSFYPLSPSLGSPGSNGTHSVLGSGSGYASDLQQPQQPQHGGLSCAAEQAFVGANKHSYSFASPSTHTSSFLSSGTGQHTLFEPLPMPSSSAVGQKNHGFTLIGDDLTEEDTEPFYAQNCGGDDL >PPA10793 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1462174:1464018:-1 gene:PPA10793 transcript:PPA10793 gene_biotype:protein_coding transcript_biotype:protein_coding MILADAGFDVFMLNVRGTRYSQKHVNLTIDDRDFWKYTVDDMAKFDAPAAIDRVLSLNGAQSLYYIGHSQGTMISFLMLAERSEYNRKAYKTNLGPHEIGLNIPWLLTRMGRFLCTPFTSYETAIRNSVYHFDVSPEENMRRYGQVTAPLYDYSKIDTDVYLFWSRNDWLTSPEEIEKWLIPQMRPGVIKGTFEIADYNHVDYAVATDVGEQVFSKIIARQENTCTGGEQNQRPDEFKTSTLASMPRMSVDPSPPRVYK >PPA10882 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1806693:1808402:1 gene:PPA10882 transcript:PPA10882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dut-1 MAALIEKENVMATEQQQQFEVKKARIELVDEKISVRFVRLNEKARMPAYGSVHAAGADLHASEAAVVPARGKVLISTGLCLELPEFHYGRVAPRSGLAAKHSIDVGAGVIDCDYRGELKVLLFNFSDVDFEVKEGDRIAQLICEKISQVKYVEVDSLEETQRGAGGFGSTGVSA >PPA10667 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:554664:555349:-1 gene:PPA10667 transcript:PPA10667 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYDSRPTAQSAAESGKKPVRDAPSRAKRHTTTTTYEDLKKDNSNTYRRPNYSAEKTCADDDDIPRIPDKDTARKKKQTIR >PPA10874 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1784246:1784709:-1 gene:PPA10874 transcript:PPA10874 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLQLVILIAALASCSNAVKLSPEMEKCAEQLLRALPKETNAVYKNAITKIMKDAGALAEAQKTVKSVPEPDRSACLAKYMVADCLPMQSCLDCPIDLSD >PPA10835 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1638566:1639261:1 gene:PPA10835 transcript:PPA10835 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVFFYFVVAVCAVVFRLHYSISIVIVLLTLALLAYLFIAVRPKDRDNRDNISALFARCSHIGELSLIHLNRETLDVVRDILKDVPIKHLIIYDKKCDAELRYDAGKFFLSVTETANELQIYESSEKDDKIFGKPREFWDEEAKNISDESIIVQVMNGMQNDKLREGSQRFRLKIRP >PPA10996 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2259368:2260703:-1 gene:PPA10996 transcript:PPA10996 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWDRFRVVRFDANELISITTAKDEFSYDRAQRWMLEHEIFSIQVVVLYLMTVFMLKQFMNNREAFKLEGAIKSWNLIIATLSGICAAGMTAEFFTTLFGRGINASLCSSTDTFFHGSTGYFLWCYHIIRLFEFTDTLFIILRKQPLLFIHWFHHALTLYVSWFCYARPSPLSRYGIYLNALIHTVMYTYYFLRASKIRLPLFVAKAITSAQIVQFVFAFWSIIQPSIMKFGLGMPCELDTQTLLASGFMDVCYLYLFVQFYLNKYNETKKQPKKCE >PPA10925 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2014838:2015353:-1 gene:PPA10925 transcript:PPA10925 gene_biotype:protein_coding transcript_biotype:protein_coding MIILLIAIFVLFAEINAQLTRREQIACFASAQNVAQSEKDKELKKMMKKTLDTITDLAMDMMTELSDEQKEKVMKYYFTGQCTQLTIQSMFGKT >PPA11016 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2370312:2370660:-1 gene:PPA11016 transcript:PPA11016 gene_biotype:protein_coding transcript_biotype:protein_coding MTNESVMGTGTTLITLILVMSMGLPEVGAYWGWGDNYYRQGGYGGWGNGGWGFGGWGGGWGDGGYGCYRGCCGYGRGGWGGGW >PPA10567 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2792:4157:-1 gene:PPA10567 transcript:PPA10567 gene_biotype:protein_coding transcript_biotype:protein_coding MWERKLLLLFSVLISQSIACSLLDNYGCYVGYCAKDNKLPDCTCTQCTCYDKCLTAPMCKTVLNTTDCNNCLKSPCPNTQNYTCTNGYNTRTCDCAAGKTGSNCFDQACGDLEAGVLYLVPTWDSEAGRLVIRVLRILA >PPA10985 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2225710:2226601:1 gene:PPA10985 transcript:PPA10985 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHVSQDNVHAPPEILEFYNIVLRNANKGYAVIALRQPDASTGWMVWHIPSVIGALILGGVFSATACVVAYCISRMNGLFKSSKT >PPA10747 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1172329:1175214:-1 gene:PPA10747 transcript:PPA10747 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFSCQRFLISEENVACLEKIASNTSIRRLYVQLAGSDAFHRDAKNFELDFLYLRFEDDLIGEQVLVDSYLLDLAKVCRHLIVRRIDNVTPEGLQRLSKMTMDGSAKLREFDVIGKTNGKTCTRFLELIGITFRRGIVYSRREIEKSKGKRGFERIQKVKPLKKESIYPKIQWVVSSLFELLEGSIDALAEDILAEDVGCYVSSLDVSHLTALPQLILHRQTN >PPA10964 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2153097:2156962:1 gene:PPA10964 transcript:PPA10964 gene_biotype:protein_coding transcript_biotype:protein_coding MNCEAKHLLHCTALWIWMGIVVYFMVPLKAVPLLAPFVCFRVVTRGLYPRLVKDNLFLNIDTCKKAGMTNFMFEVVTDKRINLPQQPLVREVVVPNSYTSKSGALFKARALQYCLEDDVNRLQDSDWVVHLDEETLLTNNSVCGILNFVEDGKHDFGQGLITYASGEIVNWLTTLSDTYRVADDCGKMRSQLSVLHKPVFGWKGSYVVTRYGAERTISWDHGPEGSIAEDAYFGVLAMQAGYSFNFIEGEMLEKSPFTTMDFLQQRKRWLEGLLLVVHSKKIGFLWKLPLSFVVYSWIFTPFIIAQFFLSPLFPLPRKNIALLLLYCVGACIAIPYTVVIQAASVFLFFFGAKKEFYIVKKETTPLILNV >PPA10581 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:58481:59342:1 gene:PPA10581 transcript:PPA10581 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRFALIILCLLATPAFSIPFPLGSLFGISSIFRRPGFSRDNRNEALHQSHRIDVQTSNNNADLGTESIMASTSNTNLAVSKNRNHIINEQTAVHNSNVNRTKLS >PPA10572 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:22665:25894:-1 gene:PPA10572 transcript:PPA10572 gene_biotype:protein_coding transcript_biotype:protein_coding MPADNSVGVEDASYNTFFSETQSGKHVPRAVFVDLEPTVVGEVRTGNYKDLWHPEQLITGKEDAANNYARGHYTIGKELIDVVIDRIRSDGFLSLMMERLSVDYGKKSKLEFCVYPAPQVSTGIVEPYNCLLTTHTTLEHSDCSFMMDNEAVYEISKNNLGIKSPTYTNLNRMLAQVVSSITASLRFEGALNVDLTEFQTNLVPYPRIHFPLITYAPIISAEKAYHEQLSVTEITYACFEPGSQMVKCDPRNGKYMACCLLYRGDVVPKDINSAITMIKTKRAIQFVDWCPTGFKVGINYQPPTVVPGGDLAKLQRAVCMLSNTTAIAEAWARLDRKFDLIAFVHWYVGEGMEEGEFSEAREDMAALEKDYEEVGVDSFDGNEEEY >PPA10669 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:586650:591161:-1 gene:PPA10669 transcript:PPA10669 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIEMAEDTDEDAAEVESVDGKGEKKEEEEKDEEDEEEKEVAVPAVAVEEAEEEEEEPVEEEKKEEVVVPTEDDEDEDMEKEEEEVEEVKEDEEEEEPKEEEEHTAAEEEKDEPAAEEKKEEEEKEEEEEKEEEEEEKDEEEEKEEEEEKEEEEEVVEEECVKKVISMDTDETADEPAEAAAVDDEEEQQPKEVETADEVPDETAAAAAATTPVATPKRGRGRPPKTASGTPAASQKTPATRKTPSVTAAKKTPAIDEQEGDSATEESSPSKQQRTPRGAAKRASQALAASPRGRRSLKSETSSSQADVADEEQEGEEEEVAEGMKTPPSTGRGGRRSVKATPASAPRSARGRRIVEEIEDIEEEDHPVEGEEKEEGEKEEVKH >PPA10860 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1750663:1751513:1 gene:PPA10860 transcript:PPA10860 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPMIFVYAPCAGIISLPMTKLGLNVFPNLVSFSLTIFPMLDALVVIFGVRSYRFSDCIEKSNLNCHIAEASEGFLTTSTQSDQHFEYIPNGHKFRFNSKSILRMDVLYQVLFIAERTNLGK >PPA11012 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2355471:2358590:1 gene:PPA11012 transcript:PPA11012 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVIVNERFYHATHLTEFGCILFGYRVLHLPTEQAIWAIHLGSGGFNETLGETGSRSQQFTIFSSSKEYKIDLLAPSKPGFLGVVYWTRNREGSKDRVQSLDKLL >PPA10902 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1920847:1921706:1 gene:PPA10902 transcript:PPA10902 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTLFIVLRKRPLIFLHYYHHVVVLIYTAHSGAEHATPGRAFIAMNYAAHSLMYSYYAARAMGFKPSEKVSVSITVCQTIQMIVGVAISVWTFFVKTYLGWKCQQSYPNLYMGFFIYFTFAFLFIQFFISQSKEEG >PPA10799 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1479322:1483742:-1 gene:PPA10799 transcript:PPA10799 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKACCRKAAHIKVYSKKTDLFEIDGEKCTQFIVEGVIPSSCDIGEVLDPCPGSCNEPECGDGEDFWCARLTNCGPTECVCDRSQGYAKDTVSGKCVKREHCPSTKPKFAKTPRIRRTAVGPRFMEELLACRTVKCASDYHCKLPLDCAVHCVANQTPVPVALNACAATACLVGTTCVETNGVARCVPPPNNGSNKCRIANEEWRECSGCEASCENKQPFCVRMCQPARCQCRQGFFRNQQGQCVTENDCDVAAMNNSPRFRRSSITCANVRCAGVPCTDTPTGPRCG >PPA10845 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1674106:1674662:-1 gene:PPA10845 transcript:PPA10845 gene_biotype:protein_coding transcript_biotype:protein_coding MQALNLQLIVSSSFFLGCCLYNFNFIMLYLIPGFPETTPVVVPMTSLLLEPHIVSNCTLSHA >PPA10779 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1398644:1399104:1 gene:PPA10779 transcript:PPA10779 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIKCMVILTSIALTLKFTKRVYTSRGIVRGFHVDYGANRKELFHGCAVIFLGIPFAQPPVGALRFKLPMAVDKYSDEEGQLVYK >PPA10854 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1716410:1717811:-1 gene:PPA10854 transcript:PPA10854 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYQTMFEQFQPGFPDLVDLASSASLVFLNSDPLVDFPRPSSARLIDVGGIAVSHGYQKVNETWSSLLSQRPRTILFSFGTFTKAHGMPDNYRKTIIETIKKFPDVTFIWKYEKPEHGVSVGVPNLVEATWLPQSDILHDPRLTAFITHGGQGSISEANYAGVPLVVIPIIFDQARNAYQVKRNKLGVVVHKTELSEEGPLEKAIREVLENPEYTKQAKKKAKMLAEKPFTAREIFVRNMEFLAIHGVEKEKSN >PPA10623 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:244124:251397:-1 gene:PPA10623 transcript:PPA10623 gene_biotype:protein_coding transcript_biotype:protein_coding MVILHLNFSLTTATKMPVVIEANRGHAEAKEDVDARTTRARKRAAPDTATSADKCRARRSPSRHPSLPPTTRVKRACKPAGFFARLNSGDLVGAKKLLDDVFNAGRVTVANGAASVAAARAAPSGVATRAAAAVVTDVLDGDSEDVADAPSANTRSAQARVASELGGATATTLLVHNASTARCAPSAASDNEEDENDAPATITRTAQPRSANGQFAAMYSTSRSMFYQYAKKYCNRTAPFELQFGTLPQSACRSLVLHLLQPLQPLLQPRVDGIDDNVVNANIRTVRAAAVKAKEALVRNSTAAKDARSTADVNAADADAFFAAHFRAILDKEKHIVNTRLKYGRLLDSILRRGEPNTQLDEHQVRAIMLVEHYGNFCLMHNEHLEEMNALAKGCQCRSKEELEKFPEFCWKGIKSKADYVRKAEGMKEEYLMRLEETLRKKYDDFEKVFSNRDGTKSTKSYKQQLRDAQEMYYEYGYYFKYAPTFLSNWIEGEGEKLFHPGKLCDALRVRMDAQKILKFKKGNLDDLRCTRGNNACADPTPFLEPDWTGGCYEDGELRYMTRLGFTIPLLGVRGEDTPLTWTEWYHPLTTAACSAQSGCTCTAKSCEQRRVTEGIKRVLGRKLEGLASMANQADFLDTILEMTIVATAAKYIQHQELSAKLVGLHGPFAYASRQDLRRFGTGCSLSMVDAADEHACGQASQASHGTLALYRSALATEEGRCGGPEEGANKWTGQCGIALVRQCPRTGSGLRGALPDFIFFC >PPA10755 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1250353:1250714:1 gene:PPA10755 transcript:PPA10755 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFTRAAQFVTLGGGASTSRPSTSSPAATAAPPASRAAPEEKSKEPAKDAMP >PPA10718 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:980287:981558:-1 gene:PPA10718 transcript:PPA10718 gene_biotype:protein_coding transcript_biotype:protein_coding MLCPVYDDSMKSEIAQMEAYLHGDSLQTTHGDFPTTHDAFEDDDDEAAMDATVQAARDNFIQSLRAVDEESYHRGDRFSRACRACDRDHPDSRVVSRACLHIVCGECAAFAYEECPICRIPTAFAPLLEDPRAPRACTSCYWPSPAERALLSACGHAVCRACAYTASGRAEGRGEAVHCTMCGVHSELIPIEEELIEDVDSITRRFACMEH >PPA10863 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1759162:1760894:1 gene:PPA10863 transcript:PPA10863 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDRLEYGFDWDRDQLMSLASTIRHIFWPISIFLIHPLVLFVLIRKTHMDSDCKLAFIVHDVILIFFDVYNGLFYQLYILLPYPVFAYSPFVLHEMYLLLFSIIVCFSFYVCITYHAVFVIGKETKFRRLTGRKKSEKRIITIAPSSTFIRKP >PPA10922 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2003392:2005253:-1 gene:PPA10922 transcript:PPA10922 gene_biotype:protein_coding transcript_biotype:protein_coding MISEGSELFKSLTLTMNATAHYPGLIEQLRAENFDAAYTEDPAGFGIFHMAGIEKTVFAMSFTSMECSYAITQSPSAPSYVPSIFFAPWGDQMSFWQRIANTFASFGWAFVVGSRIDWLQPIFEGDFWEMVTNNSLVLLNSEPLLDFPRPTVHRVIDIGGIVMSSNSEPLDEYWSEVMNRRNRTVILSFGTYIKASTMPEVYKETIRKALAKFDDVTFIWKYESPEHDVSQGIDNIVVSTWIPQVALLNDARLTAFITHGGQGSTLEAAYAGIPMLMLPTQGDQYRNAAMIKRAGLGEIVRLGDLEGGNRFEEAIRNLLDNEKYTIHARKTAAMLKDRPFSAKEKLVRNMEFLAKYGPLRMLNHEGRNLNFIQIHNNKE >PPA10938 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2060310:2060886:1 gene:PPA10938 transcript:PPA10938 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFSLCLIVSLILQEAQPLSNQEKIQCFAAAHNIVEKETDKKLKKVIGDTLETITDLAMDVMLELNDEQIDRVVNHYFSGSCGDLKTLFQKF >PPA10892 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1886375:1887223:1 gene:PPA10892 transcript:PPA10892 gene_biotype:protein_coding transcript_biotype:protein_coding MIVIASRSICMGGASSPSSVFEQRPRSFGPFFDLRLLSQSCPILNESHAGKSSISPYICKIRLKLLSKLPSTKAKADADIGVNDCLSREAKIILELLEVLSVSDFSLKADNFDYRSLYYFFNKETLELPNEWLSELPFILELVNDALMRFPELRGINFSSGVNPEA >PPA10610 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:180174:180889:1 gene:PPA10610 transcript:PPA10610 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLDAEDTGTSKACSSPAEDVLESETDDCKSDSEEQSVNMNELEQARMLMDFVNAQNLSLIACCDMS >PPA10773 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1381740:1382712:-1 gene:PPA10773 transcript:PPA10773 gene_biotype:protein_coding transcript_biotype:protein_coding MVEITHPRDVLPPWIRATCDPINILQPCLPRVPKSIPLDKAIARIRPAFYEGEEYGNFIFYCLRNPAIGESTNRSLHCAPCGGSILKANVLAHFLAPEHIQKVRRCGGAVSLPAVQLWLRELQQAKTAGAADAAEAEE >PPA10745 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1153635:1159836:-1 gene:PPA10745 transcript:PPA10745 gene_biotype:protein_coding transcript_biotype:protein_coding MYRYKDTARDVRSLFNFAMFKFKELRGHRVPDPPSAVERVYELCKEKIIDALDDSQTLSVLGVGGLLVMVAATLIIKAYRIKAAEREKTA >PPA10615 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:198920:209488:-1 gene:PPA10615 transcript:PPA10615 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLATDSLIMMDDIDEEDAGHAWIALDDDVECAQVEVLDTSCPPAAEEVVITSAAAAAVPAASAAATKPDPEVTVVGEVAADPTSGRPTAAAKRAAKTAAARLAKSANARDDTSDEKLQAAPVASVAALAKRRSAIQEGEFDLFDEDANDNEDADAILLDVNDVLNEPSEIVPAIDNQGAAARGRSMSVVALDGADGDGGGPPIPGWIPEAVEMLEALMRQPCARHFIAKDDKNEDLAAVWESCDDLTTLCERVRGCEMTTPKEVEDAVHVLVSTAKITLENRRSEDYHPNSDPELITVMVYKHAIDLRIMFNAFFRDILSKFGSSQAAAVKHDSWLGTNLTAGSDEEEESEDGEECGESETKDVSSSPLSEASARAADDASSRPKVVMLSDKLVNAARAFQDRIYHVHDEEALSSLLAGLRALPFAREAEAARLEKEKEAEAAQAKALAMEKKKVASSPLASSSGESTPARSSGSTLKRKSTDTVATTTTTSRSSSSDPEVRDVWREAVSKVDTKEISDFSLPLEVTDPDAARMVYAQETEKQAEKDKNLRPGTIVKTGRKREKKEKRDGGEKREKKERPKKVKMTESGEPVAKRGRGRPPLPETIRPGKAEVTVGSAERGRDKKVEKREGLPVPNKKYLSFDDYLRIRREKKAKREAREKSRITAVSEVAVKRKRGRPFLPATLRKREAAAAAAAAALAAAQLAQSKDDNKEKGEETPETPKDGKGSPTEYSKPNRSIFPRRRFSPGARNVVKKFPSYSLMSYMGRRPAELLCYCPIAGRLAVVCSLALAGTVAYLYYTRATAATPTPPPRNLRFEPEPANNLDTARCLSPLAATPTKRAVTVP >PPA10828 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1609495:1613876:-1 gene:PPA10828 transcript:PPA10828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nas-14 MLSEFSEKFLQPDDFDLAEKTPVDRPTSEQLDAVQNSMLFEGDIIGMPNVAHLRRLRDDPMIDEDAIFSRPYHSSLNLVTYPDKLWADGRVPYVLEDGMTKEQRAAIAQAFDEYKSKTCVRFMPKEDDDEDFIYLKRNVAFGCSSYVGRAGGNQTVSLEIDKCFSKGIIAHELMHSLGFFHEHSRTDRDQFVHIADDNIRGGMMRNFEKYPKKIIDPLGMPYDYESVMHYHKLAFSKNGKATIVPKDPNAEIGQRYRLSETDAAKINKLYACSDSEIEENSVTTEPTTRKPKTTTKAKSRVTSSTRWPARKTSTTTEEPTTTEEEEVEEETTKGKATTQRPTSTLRTRTTSGRWTNKKTTTEKPEEEKKEAKKTKCIDLNAHCEMWETLGHCAHSIKYMAHYCRKSCGMCDKDPELVSRPESTSSPSTTTSTTTIRSTTKTTTTPEPATTQKRTRRPFPYIFGSSSTTTTEKPTTTTTTEKTTTKAPRRATTTISTRSSGSSAVCRDKNLFCSYWAKIGECSSESKFMRIFCKKSSYNNQCAPPVTGTEFEE >PPA10575 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:33458:34159:-1 gene:PPA10575 transcript:PPA10575 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCFHNEKKIADDVAKAAEVSTQMQKIFTDSFAAYNNARFDNNCNYNDGKTNTNLPVGYMYCSHLFTPPNTKMEHPREALPAMQKSIISMLKKHNYSSNYKCNNQNDQKHAEHNLARGGTACTVTLFQSKKN >PPA10698 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:759979:761506:1 gene:PPA10698 transcript:PPA10698 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAVMLDTKEEQAVFVCRNPACKHAHCRKCGRTWDKLHENRSCEELDPEAMRKRVEEQLTEQSMHKCPRCKKGIVKADGCNKIACPCGQLSCYVCKAAIKNYKHFKNGPAEEHLDRCPLWKDPTAEFEKRRLAALAEQIKDAADGNLNDIPLM >PPA10583 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:72746:73665:1 gene:PPA10583 transcript:PPA10583 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSFLKPMMRPPQSLILLLLVMALRVSCGDYTNIRREEILKNKADQDNAAKSNNDLLTVVFVMSLGAIIYVSKKRFKQSSASTAAPWVTRNAGKPPAPSGSARFR >PPA10906 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1929987:1930585:1 gene:PPA10906 transcript:PPA10906 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIQTMSSINANRLIYAVRLDQPEKAEAVARALYRRLFVEIGSIFETKDFIEILSSCGVQDANALITSISTDQVKEMIKRNTEDALQTGCFGTSWTVVHWRDGRKETFFGADRLNVIGHLMGETIDGSISSSLKS >PPA10704 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:812583:827274:1 gene:PPA10704 transcript:PPA10704 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIPQQQQHDVPSNEIVADEPTDEASLAEKPSLIYPHDYLEEQELMIKSEPALSAFDQDGNLIGQLTSPLKPPAGFEMFEDMGEESHIPKPPTGFPSPGGMTGHQGEETPTTSSLLPPGPPPPSSHAVFDAMARRAIAASHAARASPTVYGGHPTPMGLAAEAPLFRATDFAGGVIRHHMASYKITQPHPIGQDGGDEEDDETLLDVGGPDDYGAHYDDAAVDEPMEQQMEVDEAEMAPNKQLSKKKGQKVSKGFTAIKARHDKYQDEQRAMTELSGIVESDDEDKPSDNDDTPKKKKLPERKAKAAVMSARLRARKQPSPDDPGDDDDGSGSGSGSGDDVSDDSEESFEVKKSSKAEKDEKRRKMAIIAAQRMENEERAKREEEARLREETRAKVMEQARIEALQARGARPQVVVDDDGMTMDYDDGHMDWGDDGHHHSMMMQQQSSAARIPRAPPGPPARTPLGPSRRPPGTPAKRARGSLSLIPGPKRRPRDPKKLQQRKPRSPSKKKRAARPPKAPPVQEDPNLPPWRQKINAVLRRRDRLILVALPGVTQNRIFARCRSTNKNKKDFWGYSFRPLDKILNRQRAWRFVDDSILIFRLPDGTFTYTDPSDPEDEFRRDGPRRYAAMLLQRRVTQKKTAAQRMPTLQQWLEEAAIERREKAEKEREQLYWDSDLDSEERRSEGGSLSPGEPLHHTTPRMASIDAETIRRHMAELTIGTEEMARRYREGKYPEPWRALLDNVDNDRNRALTEREEEAEENEEWRHDAPEDKIPIPYELSRRPILVKYMETLDNDPHFSKQYISEKELNTIRHDYKLYACRSLELNAVFKRRHDKDQQSRAVQYPEEFELIRQADESLGIAVTPDARTEIYYTKGNRAEEMEVKKLMEETGFPVKGRLPKRRFIKIKTDLFFPEYFISLSIFTKKAMPEKWLQLMDRSRRNGQNGPFEQKLEIKLLLESWKQHADEKVQHQWRRGLRPGTGLDPVTVTKAKKRRVKAPKPAKIRHPRSWGPTTATAEQQEPEKEQPKEMAETGPPKKRGRPAKKNKKKPGRKPKQLQPAESEQ >PPA10604 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:160102:167553:-1 gene:PPA10604 transcript:PPA10604 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSSLRAAVLLQVLQRAAAADEGFFSFMSREAWVYFGVLCTFAAGTTVLCCLFKCWRCLCHCRSKVNDEVPVFPDEARQWCPDVYHSPWIAVETFSLQVAQQIPVKHYNWPPHRVLIRKVIAEQMERERQARDEMYAQRRARRQGREGTVEERNEEIELEDLEEQTRILAIPPMKTERTGRQWCPDIYASPLIDEELFHAQMPFNERDTSNWPDHRLLIRRLAREQVERQERAWRVRGWRRFLDFDRPYIFGLSQDEHDVLVPDTAAPASGVEIVEETV >PPA10673 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:605923:608533:1 gene:PPA10673 transcript:PPA10673 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSKPQERERAKERCMGGKAHLHTGMKILVIIGILVILALLSVLYFKFKKAMFVMMIPAGVTCVTIAGMVMQKAGLVWPIIAISCFHMLLSIYALVIFSFYFIFKPFYIIMVLNWAFDRGKGWMDGLDGWIGQHVVRG >PPA10644 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:384599:385209:1 gene:PPA10644 transcript:PPA10644 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLMPAAKNRPAVKDAGLAHKKMPAATVQKVVLGGDGGSQQKGLTVNNNWASRNW >PPA10995 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2256291:2258161:-1 gene:PPA10995 transcript:PPA10995 gene_biotype:protein_coding transcript_biotype:protein_coding MYALETGHEFIDLNPCPRTIFKVPARLANHYSLKFENLGSGSYGTVLKFKSKTQPDIAIKRFVKARNALRELNLLNNTKHANIIHRDVKPENMCIDDNWKLTLLEERGTHPYMSIEMIQEWRGVYDEKVDVWSIGAILCELLTGETFFTAENVKHPLDAAIQKLGPVPNSVLDQISDRTVCIHFKKHSERAERINFSDYLNDNVLTWLQDSIRENEKDLHDFIDYALQFEPALRMSVDFALAHGLLVEVRDYPGEVVYEGSIPEEEPLPDGDEGMEECKRRIRREINAAPRFLE >PPA10733 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1084663:1085040:-1 gene:PPA10733 transcript:PPA10733 gene_biotype:protein_coding transcript_biotype:protein_coding MAYATPASRGVIVAAHPYASASAYRAGAVYTPQADASAYRAAAYAPHPHPDVRIYAADAFVREQYFNHQYCFTGSQPG >PPA10951 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2089004:2090382:1 gene:PPA10951 transcript:PPA10951 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKIFNDTYPAVVEGHGERLYFIPYEYQTQFAPEKWWHDLDHEHMYLVVRENWTLAVWAALLYISGIHALQRYVVRRFPLLDSISYSPDVKQPAAFCIFLFVISKALQLGDTVFIVLRKKPLIFLHWYHHAVALVYVWHAGKEGVAAGRVLAIMNCCIHFLMYSYYALSAGGIRIPRVIAGSISMLQISEMFAAVAVSCIVLIMQHSDENLAFCFTIYLSFAILFSMKAFHVEKRKTKFE >PPA10590 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:89266:90090:1 gene:PPA10590 transcript:PPA10590 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDRLADYSVSEEAAVADPLLPRPEEVEEDEHTSKQDTNVNTNLDNRAEANNVVILFTQSFYLLKNSYCSKVK >PPA10616 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:209948:212241:-1 gene:PPA10616 transcript:PPA10616 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTYYVVKEPPRLYHNRFFNAVQRRPVLWDPKEKHYGDRKVAHPLWKEVANIVGKKRASHAENDFLKMRKGYEKFLCGRRDHCSYKRQISFLGRKNVVTHKKEKMKRKNRKAAGADTAPAAADVNSAVEASSTEAVCVDGDLALVVKREEMEDVVDEMPAITINLDSSIEEIVNNSL >PPA10601 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:147717:148251:1 gene:PPA10601 transcript:PPA10601 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIECCGEHESPLESQPDASFTAPEDPAPVEPSTEPDPAPQEPEPSISSESSAPDAVPDPVTDAPVTEPRLETDLSSPTAEPPSVRF >PPA10767 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1331472:1336834:1 gene:PPA10767 transcript:PPA10767 gene_biotype:protein_coding transcript_biotype:protein_coding MCTFWAVLNRLRMPVSLADLSTQLKESRKNTKALQERELALTRENSTLMEDLDIAAKYGEIAKKKNVRRLGGESVWWLGELTLKIASLKAKLDGAMKEAKNENNTDEYLARENSALKVVLDTAVKNGEMARSMHLELTGENAALKEQLDAARQRDHEAKNKIYELTREKANLAHETAALKKELEVARGKIAALEKELDVASTKEVDASSSNAKLTGGIGALKEVAEGARTRDNVAKNLGNEFAKENVDLEEELEAARRKNSIPMSEAKRARLEFEEADSSESSNEEVMRPNAEDNEEEEEEEGPSSSSSEEGEVKPGDEEDEEEDEEDEIEEFDEAELQRRYDEKDMKMRDDVDECPELPTVARSLCDWVFRGGPPPNKEVENFDTTILNSLSETSLIEARVINRYYALIVKRGEESADLPKAFAFPTGIYDNGKKVDSRWRVRANVFEYEILIFPVHMEGHCTVATVDLRAKIFTFYDSCAGNRAKPFIRDIFAYLQLVS >PPA10886 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1822529:1825183:1 gene:PPA10886 transcript:PPA10886 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEKERNLTSHQNVGAIVELINKWKCTLDKLDRRAHISFESLHVGKHEELKDWSDGAQSNKSKEWLFPAPSRLSEETRKNGTLINNHKTLTSGCSFYVGTIRNVTVHNGILMYYCLSKVEEMALQNTRKKYELTQYKYLIKRRDNNWRLDARFCVELDILTLIFLHVLNRCGDKSRWGQFYNVSNAIVVVLNAVNC >PPA10587 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:84108:84611:1 gene:PPA10587 transcript:PPA10587 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFGRVVFLLFIISTPIRSFPFLSFIGPAISAVKGVIGGGKKAEAPAASGGGGGGAPAGPQNINKTQIHSQKQKTSVQTTNNNNAQSNNHINIGPPPAPGSAPGDRLTPFISYGIVFLFYQIAPIR >PPA10651 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:419132:421314:1 gene:PPA10651 transcript:PPA10651 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPLHERLVEEALERVKQRSTTVTPPASRPVTRLQSRLSSVAACDADLYADSPQSPAEGPDRNGLFNADDFSDDLIDEPLAPQPYGDDYDVFKEDGKVYHVYEVPAGDIGPYEDSGDREPMDQQETGDERAEADAQGDNDEQSSYWRLRETWKDQEAQEKAEQEERLARHNEDYEEYMMARHAEEFRTEDELVAWEMQEREVARRKARVEERNRRTRAEAAEKNRLEREQRETRNLDLARQRAEREEADAENDVKRLETSVRQMELKHSTEREDQLQREEEERAAIARLREELRSRELSVEQMREQRKEQERKLASQHEQLKLAKQRAAAKKGERIKAAKEADDHPCSLALRYSRVCTICLSANPRRRAVMVACGHMTCALCAETMVEPGSNEFPCPFCRKQTTYIKTFEDLEDAQEILPGPSSPSTSSGSYAVYAMPALPTRKRPADPSASSPIRGKKVVFRRVIPSC >PPA10796 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1469676:1470478:-1 gene:PPA10796 transcript:PPA10796 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTNQPTVHILELTDEIVKFSLEDTDLSVANSLRRVFIAEKKAKFKPRSERDNPIQCDHLSSEIDVKMVIPSEAVNDNHECAENYADYHDYKRMYEINEIGKKGSVHAIQSRENESEAHQLELIHLLHTNKFDLQFIDPALYQRDEFREELDECPKVELGDWDYQSGNPGNWASPSDSTSSSSHHRRCIIREGKPQKKFIEGKPQKILEGKPHKKTCSKETK >PPA10918 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1982433:1983745:1 gene:PPA10918 transcript:PPA10918 gene_biotype:protein_coding transcript_biotype:protein_coding MRKITSIGLISNAFALYAVYRFKFGEAIIRGHPGKIVGQISSFFLDLKGYAHLGVSINRLFALTYPLKAPDDCYLLYSSEMAIWEYADTPCNNFYSL >PPA10989 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2244037:2244552:-1 gene:PPA10989 transcript:PPA10989 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSLYAAAAAAPPVAPVAASPTFVVLMPGSWTLPSSSRVDIQSQLYAPTASITSNNVVVIDNTVPSTSRVQQKTQCSVDPSEATNNGIAPPYTFGEH >PPA10942 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2066549:2067846:-1 gene:PPA10942 transcript:PPA10942 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFSGKVVIVTGSSNGIGRSTAVLFAKNGAKVTITGRNSASLEETKQQCLKAGAKPENIFELIGDVTDESFNAKLISETVEKFGQLDVLVNNAGGGTMGEFSKTIMDVPISEFEKMIDLNVKPVLRLSQLAVPHLEKTKGAIVNVSSIGAQLKMNPLPYYATSKATLDQVTVQMAGSLIKKGIRVNSVNQPGNPSWKNPEEIGKVNKTLFPCCNIQLLQIILFLADRSQSEILIGNIVTADGGVMLKSAMFPDS >PPA10636 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:307705:308550:1 gene:PPA10636 transcript:PPA10636 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLESLLMRLKLHSQQPSTDDYDTPSFPSSSSPPIPPPASKEHLAAELQQRLEQPAPPPLPPRANRTEPPALPPRSPGVRQTQSQPQNQPSTSANYANPDIFVNLEEQEIQQPPPIPLHNSRPLQLYQSTVRPQELHELQPSSSQQRDYAEANCESDAASPRYSRACVICFEPHPRVRVVLTACGHAVCRECADALANAEGMLDCPLCRARTGYVRLFENLEAVADNSQLYGVI >PPA10626 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:266137:272115:-1 gene:PPA10626 transcript:PPA10626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pll-1 MLTSRTSDGHQRIRNRIKRGSQSSPFARFAWEGTLNFSVLRKVPSMTCRCPAKGFVGFRIKIIMNNHQEECTFSIIYGDEYDCLDLVADSADDANIWVTGLIALTTNRLEKPTTSSLAMLRERWLESVFDEEDRGRRGEIGEKEAVRAIASINRRLPTQRIKMKFKASQMDEIIEKNEMSEPSKENVEIRLINKENFVELYKELATRPELYFLMVRYANKDYLSCQDLRLFLETEQGLLGVTTDLCESIIDQYEPCPEAKENNVLTIDGFTSYLLSSECALFDSTRSVVWQDMKQPMTRYFIAASHRPFLVEEQQGAASVDGIIAALKRSSRWIELEIWNPEEGEEEPRIQRGPTTNNKIGLRCALETIKEYAFERSRYPVFLRLLVHCKKEWQRVTAALLKEKLAKRLYVPADDPTDWSTNKPTPFDLQMKIIVVSKRLPSTWKTGDGDVSEEDEIPPSARRIHKRLDLAAELSALSAPFLVPKACSDLMSTAPNSHYMSPSSHIPHISESVALRLMHTYPAEFAQTTRDYSVVISPNLSRLDSSNLNPQEFWNHGCQIVEMNYQTPGLMMDLQEGKFSENGGCGYVLKPEILNDALFTPGDKIPMTPQGDSSDPFVVIEVFGVAGDCTEDRTKTVKNDCNPAFDESFQFQITVPELALVRFLVLDDEYIEDDFIGQYTIPFECLQPGTVSISINRSNSFPSGFHHVPLLNSEGDPLEHASLFVHVALTNKRGGGKAKKRGMSVKRKQQKITTGMKAVGIKSVDDLFKTAGDPLSNCISMRLRLETCMIEWQEECGLGPTGTIRQGIRLIHSRMTTLALNSSPPSTPRGSTNSGGSDAAPSFQIDIDDKQIPSLIITGQLPDQLNRTFEKLRALLSVCSEITHESDGLLTKMEDATSKLSTTYEKLSLLCTESGLRGQKAVRAGENFTWNLRVLKAQLSLLNRTQDEANDIIAQSYPFSLIVFNINLAVVDSLECSPIR >PPA10838 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1646128:1646534:-1 gene:PPA10838 transcript:PPA10838 gene_biotype:protein_coding transcript_biotype:protein_coding MSEESKKVYWRATQSLIVQAVSPFLFMFVPVIIISVIDVAEIESEELLDGCLEFVLAHSVTYSITVLLTTAPFRRRIFTFLRCGNPTIFYNS >PPA10676 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:623758:627555:-1 gene:PPA10676 transcript:PPA10676 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLGLLHWPAPLLAATVILPTVIVGAGLAVVCGTKKKKEEKNNNNNKPTTTAASVASSAVPIRPVAKSAEVKSRSGSGSASAAQFTESKIALAAAAALGSPARDTSPVDTPAPFPQVGIKRAYVPAASSTHLAYKSPDVARSAATREQASPEKARETEEHLPFSPLPPPRRPNVVERPLAPKEPVMRSVQPYDRDRDHDQDRDQDRQWARDRYDRDRDRDRKGGRMARLYDNRYGTTRPRILVPYPDGDTELGYGTTRYYSVLKYGYLIAYRNGNRKLKNKLAVQSVVEVKRDPNVVYKGEHGENLRAPCNDETLQMCNTGDAFGPEDEKANKETGGKIPESGSEQSGPDPGSNPGSDAEPKEDKYKGKERDRKKKKRSDQKRKNRSKDKRKKTSRKNRTTSSSESDEEEDRRRKRSDRDGSRDGDRRRDGQRKNEEQSDPE >PPA10912 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1950855:1953679:1 gene:PPA10912 transcript:PPA10912 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDTDFCNGDNSTAVFDLPKVQCRDDFMTSDGNTDIGKKCESNYCITWRNGQSPDAGGLTSSQDYKCQGGWDQPLEMFDIYTRTIGGTFPAGMCVSYQIADHYIDEMCSCPYNSENCTTPSLWPKTSGRPLDDRSGFIDCATPSDFGGMRKCKGHAYGCIRYDERYMDKKFTLGAHKILDYYWYFCDKGMCNANEDPGKIFSGLYQYDEPPPGNRSCKCFIEIGAITFDDEVGELKKEAINLTLILSISIPFGFVIVILSTVVGYRIYAKQWPHPFPFLLDKSRCTVPRMQTRFVPDPRKVVLFKNIQQGLGFKIVGGFELHNKWEPVYIKFVEQSGIAGLSGQSDILLTVSGISLHGIPHAKAAECITKATNPVTLELLYRPQESLEFDKRMIAQLVDSA >PPA10760 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1268236:1269156:-1 gene:PPA10760 transcript:PPA10760 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAALFLVLLLATFAVQPGNGAASAGSQCSGKAELEIIPYEALRERIGQIRRYLAQSKKIPVRSRILSLDSFHSARISSL >PPA10654 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:429233:432567:1 gene:PPA10654 transcript:PPA10654 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDQDAAAIDQPDAPNEPVPPAIIQAPPQLNAGGLDDIQAMDQGQMELNEAVDPPAPRNHVFGNANRDQAWHFEAVRRLPAYVNMRFMNHFRDAEIRLGMERREREWGQRLAAAVDDIGAAAAIDQADAPNEPVPPAEAIDNANQERAINRPLVNMLMRAEGWNMDLEHAEGLPQGVAEWRLEMNRRERELDERFAAANAAEEAARQAVQAVQAERERVRADDRLLEMQIRLAEEQQQRARAEVAREAAEARLLRYRLEARPIAQAAGLAPPPAAPEAHREMQERLAARRANRRQQLLDVVGRERAEEVARPVIRPVPRQPRPAAAEEPARLPALQWDVRERNALRMLHRRGADQQEREAYVQALREQRRQYEEHRLAGGPPPAGLFMPLFGDRGGGAPRPPADVGDDVAPLVEQQPIDAAAIPPVEAPQPVPQPIAALLRQLVEAVDGAAAAVGARLDERAIEEEVAAMGARFPPMEGHPEEQRLIENGLRHLAGVAQGRREHDDAVAAAANAAIRPPRNANEAGAALPPADAHDWGPIRGVPFLNPLPMEQLEAQRMMMPVNAGAEIQRLQQERIQLLHAQARRQLNLLQDRIDRNPRRPLEPAVGGGNVHVFDLGAAFEQFQDREERLERERVAAETQRAAWNEEREANDLPENLALRYSRSCCVCHKENPRRRAVMVACGHCCVCLPCAEEMAGGRRNGRIDCLICRARTGFVRLYEDLEHEMAPRGEGGQPPEACQTGDASLGGKRKHGGDDEDQGPSSAKQPRTVQ >PPA10691 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:715059:717503:-1 gene:PPA10691 transcript:PPA10691 gene_biotype:protein_coding transcript_biotype:protein_coding MRMISELMQTASKAQNDKKTMSGIYVPMPFGMRPLNLQEAEIFLVIYLSIPQISQSGSNSIGFEDEARPPTPEGLRRKEPKNKKTESMNMSPEARDHLESARLQCIGDDVEECDQALDGYFRARFGASPMDKVKRRADAVPQSPDQIAQTELASWLLPSLRERLDEIQREDEEEVENNEVSAHPSRRRRAYSRRYADSIIDRSSVANRFP >PPA10948 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2081632:2084279:-1 gene:PPA10948 transcript:PPA10948 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLRGTHILHPLQPAAFWGYCFVISKLFELADTLFVVLRKKPLIFLHWYHHEIVLVKRRRRITRPFMFMNYSVHALIRKSNCHNDNQTTQMFIAVANTFVVFGLKLQGRIVQQSYEHLFFCFAVYLSFVVLFSNFFKTLWRRNKKKRPITTVNPIMFTRHCNGTAADPCVLEGRGTHAYFIPYEFASFIGPEKWWDQDALRRALDFGTENWSYSILVAIIYSVGIHVGQRAMLTREPFKLKWPLIIWNTFLSLFSLAGAIRIGEEFFYVVRHRSFIDSISYAVDPFQPAAFWSFCFTVSKIFELFDTVFVVLRKKPLIFLHWYHHAIVLVYVCHAAPEFVACSRPFMLMNYSVHFLMYAYYAITATGYRLPRLISMTITTMQTTQMFIAVATTFVVFGLKLQGRIVQQSYENLFFCFAIYASFAFLFSDFFSTSYLADKKPKEKTQ >PPA10924 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2009911:2010671:1 gene:PPA10924 transcript:PPA10924 gene_biotype:protein_coding transcript_biotype:protein_coding MLEWQQNPADTALLQKTWTDDFEVLFSLGSKMYITAFEGAHGAACKSLFPWVERYEKAGRNYAEQSEFRIQALRLVQTIEKALDKVDNIEKLERYLYALGHRHVHYLPVWLDPEYWDVFKDAVRTGLNDRLNSLAKLSAEERIRAIEVIWADIIEYIFEHVKEGFYDGLKGVNHFKN >PPA11008 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2339090:2339952:-1 gene:PPA11008 transcript:PPA11008 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSDHFKMQFGIIRCIHNVSSYSIVLLNFAIIEVITAISSASIVIRSLNTAEYTLGAITGPCRLFDYDFNQRAGSEILC >PPA10568 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:11095:11842:1 gene:PPA10568 transcript:PPA10568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EZK9] MAQSVPPGDIATQPGTKIVFNAPYDDKHTYHIKVTNSSARRIGWAFKTTNMKRLGVDPAAGVLDPKENALIAVSCDAFAYGQEDTNNDRITIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA10778 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1396410:1398028:-1 gene:PPA10778 transcript:PPA10778 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDELWRTHTEKFGHAGVRPVHIVAFTLALINFSLNGMIWFAFHACTIIINKSHLHLFYALAVTNCLTAFEIGLDRGRAIFVLAIALERLFAIYRPRAFYLSDNRKNASRIRTHCVATSSSGPIFHAYFLVMSISDGVALLAVYAVFLIKIFSFRKTLIKPSVTSSVKANKYSSSQKIKNQKRIKNQLGQANALTIYIICFVLIFSVLPSMMYSYDMVMGKVIFMDLGPVITIGYHLHGITSSFCYNYKHREIRKIIRKVFLNQNNPCLMPLREGYVSTVRVDVTGKYN >PPA10663 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:523814:526543:1 gene:PPA10663 transcript:PPA10663 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFVNVIHRGRAVCCDTNNEKDSFMYFSDYYISQIVGIHDGVIAVGAWIESHGGLFTHRTYYLLQVQIPEITETEGLQREFDSDDADIDKTFEEVCTLAPLDHEGIIGYKTSWLEKPPEGWQKRADAKLLQKWKDEESKRRDTKLLQKWKDEESFNSYKTDCVFIYIQMEPLNILFDSHGRLKICDLGLVTDIVLINGQEVDKTRTNIGTPMYMAPEQNFWSYTSKVDIFSLGLILIELCVCMSKDKACEVFLHYQRGLTSDILKGLPDVDLFVSWLTNKEPSERPSCDEILAHEFLA >PPA10961 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2135279:2135587:1 gene:PPA10961 transcript:PPA10961 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDEARVAELRSLVASDLTPYYDTHFNLLRWIHAFPDLPMAKVARRPAHGMLMQCMRNWPGCTNIGRMESVE >PPA10599 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:137142:139167:-1 gene:PPA10599 transcript:PPA10599 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLAKLSRQSAIKLEAGPLVVSQADARYWFSTEYAGAKYYLKCRPEADYAAMRVHEKMATPPRRWGEIMTRKDDWLYPILVNSDDVATHQALIHNLFTTGLLVRFDFKSCDLRLESVKEFHFPLIEKAISFCHHSEIKIIASENKLDEAGNSRLAALLISENPLQMTVCLPHSETGVHFVDETFMKAFADSIFMPSLTAILKYNEDDRLSLHPDNNQAFSNILPQFRTLEMPHLIVDTGNVMEKLLERLRRGKAGRWHFRMVRNFHGSEVQDAAVAAGMKYSSMSHYNRIAYRIAFMNTTIFYTREESVEMYVGVDDYEGGLHRLIATFS >PPA10727 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1031435:1033970:1 gene:PPA10727 transcript:PPA10727 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMIMLTIACERCYASAWPGHYEARMRHPYLTHAYCLIMSIISTAAVYVIVSERFRQFVPKPVPTFDLKTSENKEEYTVRATKTKKPPLLSSYSFKGQRDKSFAIVVCAVDAVWSYRMSKRDWSMANSVNGKVQLASNLRVLKVVLPVETLDAGLCLVGTVMQIVSLLSFQSQSVFARHLYLTKHTFAVYTLFFLPIGVEIREYFIRRENRRRIVAVEPAPTNQTVTDMYFAGLAKAWDELFLDGSEIRNFWSLTNQGSDRVCENGAVGASDIAPERQWKPRFARKLTPIYHAPTADIRRFRQRLVGLICCVSSHPDKHSTK >PPA11018 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2374450:2374821:-1 gene:PPA11018 transcript:PPA11018 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKVLVILLLIAFIALSVVDAQWGCEYHRFLLTVEAVGAEADTEDGEAEEDGVADTVDTVEEATVVMDEADGDGDDDLTSTSIAI >PPA10609 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:178633:178890:1 gene:PPA10609 transcript:PPA10609 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPKADENVNDTIAKSKFLIDELLIPYSLTAKYKAHYIRSVKHISEAI >PPA10977 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2205675:2206353:1 gene:PPA10977 transcript:PPA10977 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAAVLAAAAAGSIVYECLPSNGDTLGVEIFMIAVSALQLIAALLVFYGCIKRRATMLGPVVTLMALIMIGVIVKIILSVASLIAPETMKSVIVATPESTGIEIVVLVVYFWFFNVFSNCFKYLKESESLSEYGQLIP >PPA10697 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:756975:759741:1 gene:PPA10697 transcript:PPA10697 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEAAEEQSAEERAREEEEEEARSRKDEHKFCADCIIGHARAALEQQVIMKGGVGIKCMTPNCKRALLRAHIEQVLDMQTRAVLDPLFSSEALLAADCDDVEK >PPA10795 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1467711:1469145:-1 gene:PPA10795 transcript:PPA10795 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSFANFAHVIEIIEIVNSVAGIILNFLLLYAIRVLRVDNIHTIVSDTIFDAQWVTAFWVGCQSLPFTLLGIHFLYRYWSVRRPHLIPLFSNKYFVALLVFITLGGLASWHLLCAHAMDGHDTSVALSIMVAEYERKYGKRIVNSWILMDQWSAVPILCVYWPYVFAINGSFLNIPGNLLHDLCAPVLTFFPVWDPVIVILLFNDYRNGLLHIVRKPPPVGPSTMQVTTTYANTTSIAPSSVTPSIIFPTGIE >PPA10723 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:995885:1021458:-1 gene:PPA10723 transcript:PPA10723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lrp-1 MMIHACRYTLGQSAPKQIFKSGQGSFSVRGLAVDWVNYNLYMLNVDSDRTNIEVCRLDGSNHKVIFTTKTETPTSIAVDPVGRYLYWADQGQKPTIQRAYLDGSRREVIVSEGLAEPTDLIVDVNSRMLYWTDAKLDGIFRVRSNGGAPELVRTDIASAAGVALLGQNMFWTDNRLEKVFKASSKPNQTSLLLSPVALTANLKDVGEVVAFSRDNQPRATSPCQVTDNLRKTPCPQLCFATPGTQAPTCACARGVLKGRTCEEPDTYIMFADGDKIIDANIEPDVKSSHPLKEPFPPVDNLQIFDVDVTMRRIYYVTENPTEANISWFAMNNAENRRLLLGPGKQKTAEKIRHISDMKMDWIGHKAYFTTGRMGKVFALDVSNEHLSTIATGDWMYAIAVDPCNGYIFWSDSGYKPHGGDDKRIYWADVNRLNIESVDYDGTNRRVVGSGYRAKSLDLWDDWLYFTDPLSNGVFRINKDTGGEAEPVVAERRVPGSLRIFASESDQKTANQVCTAASAFLCKADNGGCEQICNVVSTGELPHIQCACNDSYELVQVPGKDYPTQCVMRSESNTQCIAPYNFQCTDGSCIKLGATCDGKPDCSDGSDELGTYCNTRSCPEGYFLCTNRKCIANSFRCNHIDDCGDNSDELECSAAITCPEGSFSCANGHCINATKVCDGHNDCHDEAVSDESAATCPGLPIDCRGVRIRCPNTNICIQPADLCDGYDDCGDKADEAKLFCLAQKCADHYVRCPSGRCIPETWQCDGDNDCGEGAWDETHTNCTDAAGKKVCVGDYLFQCDNGKCISRAFICDGEDDCGDSSDEHTRHKCGNRTCSEDEFHCASNAKLAQPKYECIPKSWLCDGDVTCAGGEDENSELCKGDKKECNKGEFRCANSHCIHSNWECDGDNDCLDGSDEHANCTYSKCAEGFWQCANHKCIPQSWRCDGNNDCDDSSDEKECAQVHRMDGTMRAICKPGQFMCANGDCIDEKKVCDRSYDCTDQSDESPQCFIDECALADKPLCEQKCTDMPIGYKCECFEGFALQDDKKSCANINECSEGISNCAQTCEDKIGTYKCGCVEGYTLGRNDHSCKRVEKEPEPFLLLANKHYIRKLSLDGSVYEMAAQGFDNVVSMDVDPVDEKIYVVDTGKLRMYRVGKDDMDAPLSSYETVLRHNVFGTEGFAVDWVGRKLYLLNRQERAIRVCELDGRYCKTLIRDRITQPKAIAVHPAKGYLYFTDWSLQPYIGRMALDGSPGSADPIMKLAENDLGWPNALTIDYYSDKLFWGDAHLNEIGFMDLNGDGRHHIPAKRTSHVSSMTAFEDYLYWSDWNLREIIRSDKYTGANETVLQPVIQLPNDVRIIHPLRQPMGKNPCGEDNGGCSHLCLISAGGKDYTCSCPDQFTLLEDKKTCTANCTERQFACGGDDAKCIPKLWYCDGEKDCGDGSDEPGADICGVRICPVGEFQCANHNCTRPFQLCDGNDDCGDGTDEKDCDKPCDPWMFKCAATGKCIPKRFTCDGDDDCGDRSDENDAAPPTSLSPAPFAESPDRNCTAEEFRCNNHKCIAKAWRCDNDDDCGDNSDEPAECAQEECRRGWTRCSGSYRCIPNWAFCNGQDDCRDNSDENKEQCPSCDDIGEFRCATSQRCIPKRWMCDSENDCGDNSDETDPSCGGTSRPCSESEFRCNDGKCIPGNKVCDGTVQCSDGLDESQCKMRKCRPGHKQCNDGMCIAEHKWCDRKKDCADASDEKQCTDVSRRSCSPFEFECANSVCIPRKFMCDGDNDCGDNSDETTNECRTASCDPPLRFRCSHSRLCLNILQLCNGFNDCGPNDFSDEHLSMCSSFSEYGDCSLTQFKASCGNGKCINATLACDRNDDCGDASDEIGCDRAGISVELAGAKKDGKTCDSHHDNGGCKHLCTDIQGGGYYCHCRDGFRPSPADPLDCIDVDECMGNNTCTQICHNTKGSYVCRCHDDYENNVVVGAMTGKDCRAKGDAAHVIVAADDELVLVGLGAGLGVNRHAAAHAPDEDNDIVAVDYDFRNGFMYWVDGTARKVYRSAILTGNQSHEGQVLEVDFGGLGHTPLAISADYLTGNIFISSVADEDGQSTRVKRRSEPAQRDPNKGAIWVAKSDGRYLHKVIGGHLQLPTALVAIPALGKVCYADSGLHAKIECCEMDGSHRQTPGCSCNAFVDQFRIVHLVVPGILAKELIYSPSSMAVDEGKGNRIYWADPKFRRVYSILPDGTSRQTVVHDVNVPYAIDVFENNVYWASRETKTLYVQDKFGRGRVSILASDLEDVHAVRVSQKFARDATRQRSPCERAACSHLCVPLAREGFACLCPGEALPNPDGSCNAPRMEALVAPKQCKCTNGGLCSLDGACTCLPDFEGEHCESGSSVSRQLIGSFAGSIFMGILVFVALAAACGLAAFGGIKLYQKHELFAKKRDAADDSSVVAFHGNVVSFSNPALETKQEPTPMEYSMQQMSSTSTSTSATGGASTTFSNPVYDLEADEDMPSSSTRSASFRSTTSSDLPPPTGADIIAPAQNLMMAAGPRPEIPPRPKARSSGDKSGLVDIPLPPDDEEITDV >PPA10818 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1578982:1581871:-1 gene:PPA10818 transcript:PPA10818 gene_biotype:protein_coding transcript_biotype:protein_coding MLWALFASYIDRYESCFATTGTTPATTTTAPTAAACLTCAQNLIAITLGNGGVKGMDGDATVTTSGCAVNNLGTIMDGDDGAVDGSVVFTVTCNAAGTAWENTGAPITQLECATAAVADPCLGCAANLITVTQVLPGAKMMDGDVTDVTGACAVRTFTCQGMSPTIELNAGVTQVTDAADGAADGTATVVATCNAAGTAWEVNGMAITNVECTIPCKVCAANLVTLTTTFGGVIPTTPATIDRTGACATWQIQCTGAMNPSFDINGGQGIIADLDDGVADGFINIDLTCNAAGTAWEGFGVDITALECFQQ >PPA10735 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1097790:1099620:1 gene:PPA10735 transcript:PPA10735 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISEECLAADRLAASAPYVVFLAVKAILCYIALVLLVWHVKRNSVRWLVHTNTKIVFGAYLACNFVASYAFGVIYTIDTLRLWLGGPCPLLDFRIVFALRGIGIIALMSEILCALFLSLERLYSSFFPVQFEKARCVWLSVVAEE >PPA10576 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:36037:37650:-1 gene:PPA10576 transcript:PPA10576 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVQKGNDSKGDHALEMILLLQLLSASLFVCPAAAEYLSGLTRVGSAKAVTADILDGVTKGAGKEYAVLYNVAYNDKKSYIDVPLGEVRFNVTVYSANTNLVLDTVNRVAKARGFIYQVVCGETKDSPQNLVGVRCKVTMQPSTLTDLSFKTMRACNDAVAANTNSSKHSCSCSAKDGRAEELDCAFDYEPIHSRDAPLSTDIVNRLRAATRDLVKCAGYALPRLKMKCYHNEQYKAVGHLAAGQIHCDLKMKPLPPQPLCTFLGYLFSRC >PPA10627 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:275327:276123:1 gene:PPA10627 transcript:PPA10627 gene_biotype:protein_coding transcript_biotype:protein_coding MIYCFIWTIFFFIAACVLGVAATRYSGTAGWGAASFFALGAMCTYGFDAYLKFLGWKRDEIASGGAHPESRTAAYNV >PPA10630 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:282892:284559:-1 gene:PPA10630 transcript:PPA10630 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPKRAKLSPSDASLQDESTRLEVSSVLRTRIDEFVLQRTTFQLVDELRLETRNRKAHKLYAILVIPKTKAHLFELRYKLHRGSKSRIKRTSQFQVLFYEITLRENDQRLDEILNMIATKQIDHLQLSLNLSTIKDQMVKRPPIDRNTWWCIYRDSPAYYVDIKHVSRAAEPPMKKSIIAERPQF >PPA11011 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2347764:2349644:1 gene:PPA11011 transcript:PPA11011 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIGLIFIASAFLAITETRVTFPFSEVLQTQDLKSYYEGPNRLYKAAFKCDDGCTVYSDLSSDQIAIAQNDVVIANFTDFVGDLSIALDGFHLWAGVNYTLRSYVNNEDFVVYAVSSKAPNYNTPVYSSEGTISVDDTNRYITVISSYDATQFDQFNGSFPDRSGYPKIYAIGFDSLDDLYGSTKCRSMYEARSQYFAENSAPKIFAPITTVDFGFAGTHSVSISPSDGTSYSKSPISSVVYMSPGYVGCPFESDQVYSTRVSYVSDSFMIITDSLDISASYYSVASNEAVQLTVNEDYLSFYNFNTTSFSKHYDAGVYNVHLSWNRRTTFSGWFLQLDLGRLGEPAPSTVATIPPSTTTKSGRAITIPLSFIAILLFASTH >PPA10834 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1635258:1637313:1 gene:PPA10834 transcript:PPA10834 gene_biotype:protein_coding transcript_biotype:protein_coding MPECLDAKASLYSTELTFDPTWNHDTAATVTLKAVYQDTAPYGTDRPITVITMHGSPGSHNDFKYLVPHLAEKGIRVIGINFPGFGHSEDRDTLAHSNEERCAFVEALLDQLSIRDGLIFLGHSRGAENALMLAAKLKERTVAVALANAPGMRRHKSINYPWIVEHVCALYNNYFFLRPTIEYCMLKVYNWMGIKCRSGRVVMNSMKSMRSITFGEKKKTFLDTVNETPHIRVIFCYACRDNLIDKEISREYADCFQEQTRLVCASKDPDEEELLLKDLNKAVVDNYKSITVEFVPDGHHGQRDRARFWAQSIDKIASAAPVTVSSSDQTPEQQGKFY >PPA10848 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1681949:1682509:-1 gene:PPA10848 transcript:PPA10848 gene_biotype:protein_coding transcript_biotype:protein_coding MQALNLQLIVSSSFFLGCCLYNFNLIMLYLIPGFPETTPVVVPMTSLLLEPHIVSNCTLSHA >PPA10790 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1451220:1453132:-1 gene:PPA10790 transcript:PPA10790 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWATIPHDEERSPFTGVLGSIENGSIFAYLDQNELTPNRVRAFEWILSQMVEREFLLGDPSRLIVNDDFNEIVDLLCTPSKKYLAAMTSFDFALLPDPKGRCELAKVDSTVLIDGKLQKYELFSEWNIEFLLQKKIRKSWLKKLKWLYNTHYSWENRLGILHRRYNNGRPSQLMRGDEKTVPTFTPLTLIRMSSVLYAYLILISLSIAVFIVEISLPMPRVTRWVKRIRNQSIMRFTNNV >PPA10717 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:971655:978467:1 gene:PPA10717 transcript:PPA10717 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMHVGKMTTTLNKEGTSHADQGGGVDQASHRVWTRRPIGLPLWRSRKTSAAQIFTQGEARISSAWTRPIRLSLIRDSSISKRMTGVRELNEVALRRLRAVQEYVSLMVEMEQRLLQARIGAARARTLVGHSYASLTGVDDVEEMSAVVRVDVSPAAVFGLVKEDEEKEEEEKSEGVRKRKGKEAKGDAKKEEDSDDEEEEAMPKKKSSPPPPAFRPYGILEPGCAKEARKAARAAAELAITAATVRARIIDCDRATTKLLASLEKPELDTIFKLLAKGII >PPA10914 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1955564:1956695:1 gene:PPA10914 transcript:PPA10914 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLFFAILFHFVLGVFAEEPNAYLVLKLNSKRVAKRIDALHATVTSLFSRREYRHNLDVTMNFIPSERAELRLISFYYDETEHKSMIPEILSKAATALCDPFPGYLAFDNFFRDEKYLKGGIQDLDYTNFAALAKILNENGIVYEKNTGIANEKAGFIAAHNVKISEKPVTSSFLKELLDEPLHSSAYIDTIHFCVGNGPFCEDRYTIASQKIEDCSDKNDEKGRLPND >PPA11022 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2395050:2395341:-1 gene:PPA11022 transcript:PPA11022 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWEAVGEVVEVTEDMADEEEDGEAEVDGEVEEAATVDTAAVEDGEADVAIKCSRMSIFNR >PPA10762 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1278077:1282581:1 gene:PPA10762 transcript:PPA10762 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGTDSKPIARRQRDFEHVQLPEPQGAGSEPIGERINTFLNAYVIDTTKSVDFGYKHELVFTAITAKREFPVHTKGGKDEYAYPCQPSAIKLLRHRCLKKLLAIVFTENADHFTRGDDSKPGHLAFDNGCALYSPYQIEPLDGTIPLADAPEEFLELINGVNELRYCLTFVERIPLKDQNVAKRTAIQLIDVACWMKLDKSRHIQFDNKAYYTSTKCPDERMDGGKVVKNGFAKSIRPIGAHMALQIDGKVSPFFKSQKLLDLCEELGGSRNIGRNRQMEQQIKNLCVQTTHLPYNRIFQIKGFAKYNANELKFEMKAESGEVRTISVAQYFEDKYKMKLRCPDLPLIEERKGTKASFHPIEVLYVVDGQRVSNTKSTAAMIQDLIKRAQKRPPELMKHIEEQAYKAFLDGSTHENLAAFKYRITNRQLTSAADTVFSPTVLTANGKASMAATWSGFSLPSNKGNHKIAAYRICGDPDSIVSYRFKEKVDGDEVPIRNRKAKYVCMSCERSTSPGTKVTIRVFFPDDGQCVFLSNPADPSRHRCDWTTDDKCRPAVLLCSKIA >PPA10897 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1904284:1906225:-1 gene:PPA10897 transcript:PPA10897 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRNKLNESSWILFTNPDVGVVNPNKLIEDFIDPEFDIYLYNRFNNWEYATQYLVKNNERSRSWLKMWAEMEFTYRHLESHITSFMR >PPA10705 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:828924:833107:-1 gene:PPA10705 transcript:PPA10705 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKRALVTRMSDYARMIDRALERLRGATAPQFWREPHVLQKNLADLRDAVITINEALDHFVDGTARIAIDNASSRADELRALLLPLRDSRALIGALRQALDLGGWTLATLARDKRQPRTGLDSIEQFLIVVKRVPQECAGLLDWLHALQPDPPTVCFMAAAPATLSSATGAPLAPFTAPAPPRAVTAPAVAPSPQPAPIPQRAATAASMTVQPAPAPPRAAAPAQPVAPLQAVVTTTLTPSLPSPVPSDSDSKRLSSSSTTSSTVTSGDSSTSPPSSILKQPSNGAAKANGARVTFADDVLLSGSGCGLLNPGSQDARRNSSSSLDGASSRLAQLHAHFTSAPGPSAQVNTLLNGESRILEEDDLESVLSERDSFYQDYAQLDDPCPGRLPLNKNGLNGVNGPTGNGQRAATGARRLQIADEDRSLVRFYAPQLAQHTDALALAIDEFIDVVEHRLPPREFVQKGKLIILAAHKLIYIGDSVSQCVKDAKLSVQVRAGADHLCNLLKECVAATKAAAEES >PPA10941 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2065413:2066464:1 gene:PPA10941 transcript:PPA10941 gene_biotype:protein_coding transcript_biotype:protein_coding MYDELCTALDSANSNDDILFTVFTGAGDFYSSGNYFSREELNAKTYSAKTDDEGGFSRVLRRLIYHRKVLIGLVNGPAIGFACTTLGLFDYVVCSDSAYFLTPFVSLGISPEGTSSVVFQRVMGISNANEMLLFNEIMTAKQALERGFVSQSLLASRELIRNWKRRREMKSIHNEESDVVKELLDDPRTIALMKNKFGKNKL >PPA10613 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:190454:192020:-1 gene:PPA10613 transcript:PPA10613 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLGRRVRIGVHRREGRRRGGGKGQDDDGLHEWNGNEDRPVVAARPAVPRSTTEPATDADAVGPVPCRPSDALPLARPSAAAAADDGAARAAAAAAATAESVWCMNSNGPVLFVF >PPA10884 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1813906:1815527:1 gene:PPA10884 transcript:PPA10884 gene_biotype:protein_coding transcript_biotype:protein_coding MHNIFERATLEIIPDPRSNHRSEPECASDLSPPPFASDDPQSPPQNLLQLLQQPIRSATPIRCPDSVASTSSSCTPVLDRIRCGYNVMTRIRKSTELCMRPLELFVHPTAIDDNSFPIIPATYGLTFRTTQILISSLFDFASIAFPEFETLNAAEKWLLISSCYERVHIMESTFRCTKLFPDDGRVFVSYTMTLSYESVDIFLSDCPKNNSEEESGFDSEWMQESNEKD >PPA10618 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:224548:228171:-1 gene:PPA10618 transcript:PPA10618 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLVTLRSSQSGIALVRQCPRTSVPPAHVGLAEHTPHHTMDPNMQQQPQYYYAPGAPQQQQGGAAPPAGYYAAGDSNGYVLPMQQPQQQMMYYAPAAPGYAYAAPVYYQPTEAAFAAMSLSGQTLLPMQPQQQMQPQQTPGASSTTSNGSQPRAASTPIPSEEPAGLAAPAACNPSMVPMHVMYTQVGKRRPVGNVTRLAKMPQYYHPMQQQQGGYEQQAQQLVPVMYQDPTTGQMMQTMQPMQSQHQQPPFLMNRMMSTDPDLAPENIDDISQISHQSSPETFMETPGQRNPSTPVSVRRRVSLPPQNGNAVGNPHISNLLPSNYKTRMCTQWMDKRECSMGGSCKFAHGNQELRVPERPLRAINNPKYKTKMCKNFEPGASGLCAYAERCEYIHPNDREYAAYRARIEQLARARGEFTRYKQLLDEVPAVPRGRGRGGAASMAGGDEENKSVRSSADSSRGCGEDGGSPPPPAHRARRGSANTGGYLGSAMPPIMTRSAIRMGAGADSARYASHHGGHYGQPTLRKNVGGGSMYNLASGAYSDRDDYKTRLARVPSASNVASLGLGGGGDRRGGGKYGGPAPAKFNRRASMCHLNTADY >PPA10856 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1724762:1728539:1 gene:PPA10856 transcript:PPA10856 gene_biotype:protein_coding transcript_biotype:protein_coding MLARLLVAGALLAVGAHASFCGESTIPFSLEILESGQPVLGCARPTCFGWAPSGKPHSHQAAFYRIRGTADGFTRVEDAKSIPPFVQGDIRYQTEQIAHCEPGFGSVSCAGTNSWVGGIAPLMNISAYPTTLRCCVYEALRQSEDRGVATVGGGQIVVGGEVFSGGVQYAFDYISDITKTVNYDQSIQYDVAIRRFACGPLPGPTNVYEKELKPEHGDIRFAPRAYQDPLQSVNQPIPPPQQRDTRQGHGGSHQRGSHSHGSHSHGSHSGSHSHEHHSHSREHVHRDSFEENGVIEEIVRGDGVQLPPGTQFNQQPILPPAPPAQPPVQFFPAPQFTGVASAPVAAQAGGYFPVAYQQGGGFFLCFSRDMTVQMADGSEKRMDQLEKDDFVMSLTPTDIVHVPVTFWLHRSPETMAEFHRFETEDGRTIKLTAQHYIYKGDCSNVGRTMSVGAVSRFAVYAEEVSEGDCLYTVDGENVREVRVVKADRVVEQGIYAPMTSNGKIIVGGVYASCHTSVHSHGIQNTYFGAINRVRDLWTSVFGKSDNSFVDTPFGLELFKSMLDLIAPKGLTTF >PPA10932 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2046684:2046992:-1 gene:PPA10932 transcript:PPA10932 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFAGKVVIFTGSSKGIGRDTAALFAKQGAKVTGRSATSLEVRSLLSVLFARPKKQSSSF >PPA10577 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:38485:40769:-1 gene:PPA10577 transcript:PPA10577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-63 MLFLTALVFYLPSDGGEKISLCISILISLTVFFLLLVEIVPSTSLVIPLIGKYLLFTMVLVTLSVVVTVVTLVWFDKSQNVHYRTPTTHTMSPWVKRFFIDFLPKYLFMKRPAAPGAHRPPASSQNGSTRDLNRKKESTFSINANHSNSALLDQMNLLSPNYRASFAGASTQPSLSSMQRETSPVRSAVESVAYIADHLRNQQDDDQVIEDWKYVSVVMDRIFLIVFTVACAAGTGIIILRAPSIYDTTKPLA >PPA11027 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2410162:2410445:-1 gene:PPA11027 transcript:PPA11027 gene_biotype:protein_coding transcript_biotype:protein_coding MHENDQSHSNCPLDGQQVNNQSRRRQLRNKSVERRKAAEYELHRTALKRQVRADIPGEVS >PPA10826 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1601852:1604217:1 gene:PPA10826 transcript:PPA10826 gene_biotype:protein_coding transcript_biotype:protein_coding MQATYHACHEKIELVGSSDVALILRHAFSVLEEKGITRVTLRSDNAACYKSAELIGDLYQLSNDSLDLSRYIYSEPQAGKGPCDRSASQRKITEFTNAKRNVRDAEEIFEALTTNEPVKGTSAFLCLMGEVDKKRKKSAITGISSLYDITFEKDGILSRQHCGIGTGQKTSKSKLLSVDSNLTILKEGGFLTDDKFWVPLGDSSANGTDEEESLQKESDELIGSARRDYECSTPGCYANFLILRNLEIHETIGNHKFRSKKQTLLDYAIDSLNAKVETLDVAKEIRANDSFTNTAVTGDTGIPMGWALKKAPTGGISDNAHEEAAAYFMAQRSMESPRRVDHSNDDDEVEEEIEGEMDDEEDLVWDCIKEMHNEIFL >PPA10785 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1423586:1426676:1 gene:PPA10785 transcript:PPA10785 gene_biotype:protein_coding transcript_biotype:protein_coding MSYASLTAGLWIAAIAVGIFFLLVNQICNRRIGKHLEEKNSRCHSISSMRTRTTSISSYYANLDLEKKVELQTAKKWSHAHQPFLTVVQEEPMIEDKTSLIPIIDPRGKDVTEKSKKIFVQRSDEVESIMSGLSKIRADFFMTSNFDPIGVYKHRTQFTLHFVAQCRAVLNETAIIENLRKEKFDVMIVENFDMCGVALSHLLQPRSLITSGAGYPFSYMLEEFGIPLALSYNPKLYRERFGADFPSLEEISSHAAYTLTNSEPFIDYATPTLSRIISIPGIGAKQPKALDDSWNAILALRQKAILISFGSVAKSADLPSTLKNSIATVIGRFPDITFIWKYENPEDAFAKETKAALPNLHFTSWMPQNDLLNDDRIVVFVTHGGMGSTQETALRGKPGIFVPIFGDQPRNAGMMEHNGLGKVLDKFDLAYPDKVEAVIREVLTDNKYAEKSRRISAMLAKKPFTSREILVKTVEFAAEFGPSAALRPQSYDMNAIEYHNIDILAVSALVLVLLAYAAMRGVKWMVRRFITAQATLVKHKNE >PPA10688 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:696043:697069:1 gene:PPA10688 transcript:PPA10688 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMLLLYYDGPNCHPTENGINNTSDMSDELPKSLIGSSCEAAREVDEVKGDERREEEEEDWKGRSLVTPLLKNWGNEFSLSFSL >PPA10862 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1755079:1756168:1 gene:PPA10862 transcript:PPA10862 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIMAADFIRDLSSNHREEFMKINRENKDITEKQLMEKIDVWAKKRRLEVQSSGQWNESGNAKKKTRTGSAVVIRKIMDEHRRSFDFDRQPAAKDDIKKHITHFSSFSAAFLDVILKRTKRSLLKVPVMLSKLSSSIRDESVTIEENGRKYEKMLESEMDDGAQLAVETIFEMARMIASEERKRDVKLGTKKEKPMKRNNQEL >PPA11017 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2371803:2373755:-1 gene:PPA11017 transcript:PPA11017 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVADGAVMEGMEDTVDTVDMAAAVGVEEDGDSAVSEDLEDSDGESRTSHYSYRQPGHHWGWGGGWGSPWGGYGGCCYGGYGGYGGYPGWGGWGMGGFGGFGWG >PPA10685 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:671675:677355:1 gene:PPA10685 transcript:PPA10685 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ima-2 description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:H3ELP3] MQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAGVKGGGIFAMSLDQLTVGFRRRSTQEKIMKQNGAVDEVINCGLIHALVQGLSIPDEKIPYECAWALTNIVSGTSEQTVKAVESGATGPLIQLMMHADLNLAEQATWAVANIAGDSAQLRDFVIQSSGVEALMVLCSKMGQLTTSFVRTLAWTFSNMCRHKNPHAPLPDYNVRQDACWAVSYLTDGPDEQITLARDAGIMPFVYKHLSEDDGLVAPALRVLGNMATGNDVLTQTVIELGTLRVLPAVARKAKSSSIVKECCWLISNVIAGVPPARWDPSCSWTVWTAEAMAQKRRTQQQIQAVIDAGLLTMLVTIMQTGDYRCQFECSWALANLAQGGTTRQILELTGEKPMAALAVALGHTNADLLSNILEVIYSLLTAVATNKPDKLDALKEEVEEAGVLDKLEALQENHNEKVYNQTYKILSEYFSEEDENEENDGETGNFYNF >PPA10963 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2140170:2141138:-1 gene:PPA10963 transcript:PPA10963 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLLLSLLPAMASAVTDFTTCDNDPSLACFDTTECTAPATCAADAAGAAPAAGALGCCNGGATTTTTAATTVASATTTKSSTSCVDLLNPLTGVSDCPARASLCTNTVYLDVMRVQCSKTCGFCTSSGSFTNSTTSTTSCVDRVNPYTNISDCPNMRIYCNNTIYKPLMTIQCPATCGFCTSG >PPA10729 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1046022:1057489:-1 gene:PPA10729 transcript:PPA10729 gene_biotype:protein_coding transcript_biotype:protein_coding MFAFCPPVIPSATLPGGRVSASLHSATVTCADTDFRCTDGKCIRSEWRCDGSGDCHGGEDEKDCPHPGCKAEQWQCDKYVWQGVSCIAEYQRCDNTTDCADGSDEKDCPANPVSCSNADGTVFSCADGRQCFDISKKCDGKYDCRDLSDEKDNCSRNHTACFQYQFRCADGTQCIQKSWVCDGSKDCADGSDEPDTCEFKECSASEFQCKNKRCVPRKFRCDYYDDCGDNSDEEECGEYKCPPGKWRCPGTGHCIEKEKLCDAHSDCPDGGDEKNCTNNLCAFLGCQAGCKASPSGGVCTCPEGYKLDDRFQRTCSDINECAEWGYCDQLCANHRPGFTCSCLGDCYSLHMIHGSSSDGANHSTRGNCISNEAEKMKLFVARREGLYRINPTGPNEEPKRLASGEFIFGIDYDYEDKKIFWTDRLAHSAFSATVDVEGDIHDIKKLDLKSLIYPRSLAVDWITNTLYIIESGSRRIDVSTFDADRRTVLLADGLTLPLDIALDPLRGEMFFTNQFKLEACAMDGSRRRTLIDTHTHQVSGIVVDVAGKRVYWVDPKVDRVESVDYDGNDRRIVAQGMLNVPHPFGLALFDQYLYWTDWTRLGVTRIEKFGSAPEMIWTNKDNNVFPMGIAAFHKLVQPGPEQSKCLAMNIDNPCANADCQGMCLLSKDAGGFGVGYKCACPIGQKLIDGKRCEEAIDYLVFSSNKVVRGIFPEIVQPALAEAVLPISPVSQRRIGMYFEVECDVHGGSFFYADIMDNTVYRIKPDGEGSAPVLVTHNDGLVSMSFDWVSKQLYYVDNIRNSLEVVKIADTGLVHPDQLVHRKLLSGLNDPVSVVVHPWKGWLFYAEAHRPAKITRCSIDGTNCLVIRNSTIGRPSEMAIDFAENRLCFGDTLLKSISCMDFDGSKLVQIPIEVPPIPVAITLMGDDLYYVHQRPYSIRKVNKKSGGSPKIIRSFTGEDRSIFSLKACTATNQPVPDPSAAHPCHSSDCAQLCFAVPKGEGSSDQSLVKQCACRTGFKLNPENDHTCMRDPSEQDEVLCSNNSTQFPCKNGRCIPNEWKCDGEDDCLDGSDEVDASGKKCYEEKPCPENTIRCTNTKKCIPAQYGCDGDNDCGDYSDEDAKYCKEGSTPTCGSKKFQCDNHRCIPEQWKCDSDNDCGDGSDEKLEVCANTTCAANQFSCANGRCVPIYWLCDGDNDCYDGTDEDKGEKARREGKGAEPTSNSFPKFGGKSFAKSFKVHAQERDKLTLPRPDYRF >PPA10585 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:75123:75541:1 gene:PPA10585 transcript:PPA10585 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTVFIAVAALLAAHQVDCCGILGFIYCPDPPAPPATAAPTEAPAPNPCYAKTIRLIVIYKDKSKTGVNNTANNAANSTNHNNVSIEAGGDQTTTLL >PPA11009 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2340611:2341447:-1 gene:PPA11009 transcript:PPA11009 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSLLLIALVGVAAVFGCSSKPSGGGCGCKAKARGVLKLDGDNPVTEDFGYVEGLSLWGNPKPPANVSLEQAHDPNFHFKSCCAGRGLSAACAARCNYENYNQALLQKMLIGADECPLDSLPEMHFCAAQGRDHSTCCRTKGVDATVAGDKCLVFCDQVPDKFTPIDYTYAACFGKFDEMKQCFHGTINAKAKAFFRHH >PPA10634 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:301929:304046:-1 gene:PPA10634 transcript:PPA10634 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLCRSRAFLDCVIKHVGEYVDCYGEECEISIPYRIRSQPISLRDRDTSHRPWSPILVEPEQRKERGSRTEKRQMEGQMMNSVVIRGLSPRLLPTAPLLLLALTALAVATVCFNVAPTDLRSSLAPPPAVLARLPTVPLTALIEQTVTGVWIRERRRESGL >PPA10682 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:655220:658734:-1 gene:PPA10682 transcript:PPA10682 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSPVRAAGLVVFRRAPAIEFLLLQASYPPHHWTPPKGHVDPGEDEWTAALRETKEEANIDKDQLSIREDVHHTLCYEVKGKPKTVKYWAAQLKNADGLTQLSHEHQNWKWCSLEEAVKIADYAEMGALLREFHAKLQ >PPA11002 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2292577:2293047:1 gene:PPA11002 transcript:PPA11002 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPASPFPPHMQQYAAHSSPVPMSASPAMGMPVPHSPVMSPAPGSVGGPGPGMYPQPPTPQRPTMTQGMPLTPGGGMQMVPPGTPGAIPTSWIQA >PPA10782 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1415878:1419405:1 gene:PPA10782 transcript:PPA10782 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAKVMIILTSIAAAFFLIPKCAQANDLGQVTNGSSEDCLYLNVFTPNVTGKYPVIVSIHGGSFVARGADDFHYKGAVRNFVSNGVVVVTIQYRLGPFGFFTTFTPDFPANLGLFDQILALKWVKQEILAFGGDPNQPDDVTDGACYTDKLPCKPLHRGDTEVRCGTLVAKSTQMGTHPVYKSGNVSGFGPNSLKKALLNRHYGYLSDAQLDRMVDILIANYKTSELDDNDHLAWFKQVMHIISAERYTNHGRLEAQWLKAGGSRTYLSIFTYEKRICGMHSAIPGYDPLFQPRRTTLMSATSGLRLPNGKKAASDGKVTARDRAVADNFARVYSQFVKNGTTGWSESGNDYQWMHFNDSLDQMGKNWRAHDNYVFTEALPIVIGANPPIKLAEGIVSALKLNGEL >PPA10770 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1353228:1366776:-1 gene:PPA10770 transcript:PPA10770 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRLTLSFRPGLSSKRNAIAGDMSTIEQPIEKIAKNEEKALPDPPRPPPPSLDVEDLRRKYIKTQNLLKNESQAARDAKLRGAEQAENRLGSKPPREVVTWNGLEEELHKSDLEKLELTEGSCGTNRADNGAIDEHTSNVNELNAEILELRRNEVTTKKRMDALLQVNVSIVEKFQELRKKAKASKDFGDILREKSDLKESYEKMAKEVSRLKEGLEMEKRKQNRTNCRELMLFHENIELKKKLEETNKRNEHFTGGMAQLLAQCGIPQLQPLPMLQQQQQLLQPDPPAGPSSSVAAHIPRNMIVCLCGERENSDYVSGPVNVQAQMSRRIVDEITVDSHGSRTETSTMNNESLVRSVIERPPGERRQREQMNDEAPTLASDLAEVKLQLRTKEDMFQRACRATREAELRAERAEKFLDAKREVLEDSSKNEELETRLAELTTQLTEARTNNKFTSLVSGINLKSIGIKYSTPDPFPQLGIKQAYVPAASSTYIAYKPYSDCLRARLRLTICGPQLDRLLDVTSPHGRTIHRRFQTIPQVGIKHFPQVAIKLDSNLWELLDSKLIPDTGDWLVLKKSLEEAWRKVDAVRAEEGHKNWQLQQQLQHIRQSVMGAGIRLLDANPLHFSPSFGAGPHQWMQSAQPAGLFPGSYAGGPFAGPYAGPAMPQLVTPKRPARLSETAHPTNILEPCRPSVVGAIAFDAAIAQIEAKYNECRARGLPTVSKLLANHFKKYECPSTNVICSLCDERVEHQSIFFHFKSQQHMNKARAHNSAVSEKALRYWLRKLQRAADAAAAEAAAAAVVAADAATAPEAAADAAAVVPAAPAADAASLTDGTAADAAAPAAAPTDGASTQQKRNAIAGDDSDSEQPVEKIAKNEEKSIPPSPFATIQLSRFSSTDAENLMKTYENCRALSEARYRSATKNEASDGSKKDAENNELALENDRLKLQIAELRTKEDKFNSLKEIVEVSNCRSQHELYGPAAMEKENENEAAPAWASSNKMCEWKGVYAPHHLIFKEDLTSLPKIIRSSPEDDLSSISLERENLATKRDAIDSSDSEQPGEKIARKEENSIPSSSPATVQQSPSSSPSDAEIQQNLMKTYERYRAISEAVYRERKSIDSKNDGVDSAKKEEEKGELARENYRLKHEIAELRSKEDKLNSFINDLTRANNALKNALEQEQKKTQKLNTIIENKLASENAGLKEALDVERKELEDSINRERDLTLKNSSLKDALEVERRELEDSINRERACIRENLILKDQLEMAKKKEDDASNKERDLISENSGLRDELEAEKKNGENSISRERVLTYQNLTLKEQLEMAKKKEDDASNKERDLIREISGLRDELEAEKKNGENSISRERDSTQQNLALKEQLEVANKMVLKGNNREGELTQEIFGLNEELNVKRNRADDLAQQNSALQQELEEIKRKADEGQKRDRERFDELTSKLAQLELQLQQHQPAVQQELQQVVWTLLVVRSLQ >PPA10869 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1775552:1777452:1 gene:PPA10869 transcript:PPA10869 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNNVSNEPTTLERAYRYLLTFFALCDISTTIGHATLQPYVHMTSTGFYFFLRNPGIIVFGFPVDNVFCLTFIATYYQTFLILAYHFVYRYKTVTSGIGDSCTDTWRKAHWITVGIVVYIIYIAGFVVVVGVGMTPNNRTGFTVLAMRVSSQIR >PPA10947 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2078466:2081270:-1 gene:PPA10947 transcript:PPA10947 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNTESEDQTGHFTKDSRRVRKREEDAKAHHVWYERKGPNVIIRSQLGGTRTSFEAEDVFDVSCDHAGHSLNKAKRWELVENAEKNLLEKRSPGKTSFVEATLTAYPGRHHLHWQRAGQMVDDEGFATGKHTAGPGPLLRQNRHRARTVKGEKTKEEGGSISDPTDDREHVRYTTVEVQTRDGRTMERSWRWGQSQYSWKKSGKRNPRTDLEWCSEDQDALEEELDDLYDLQLKNEKTVDSNEKKGEGDGHESKLVGPTTTCLHPAVTTLFRH >PPA10640 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:328035:331073:1 gene:PPA10640 transcript:PPA10640 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKRRRVSDSPTVEAKTPASNKRRRGANNNQDRTVEQLRQCQDLLDFLKATKHEGKPLLEHFMRAPSRRTDPEYYKEVETPIDVTRIQQKLQKEDYSQMSQFFDDMTLLIDNALGYYKEDSEEHAHAVELERVYGEKKRLMEAGVDWKANGVKKELMDEETRD >PPA10901 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1916644:1917940:-1 gene:PPA10901 transcript:PPA10901 gene_biotype:protein_coding transcript_biotype:protein_coding MRELEKGSLEQIGRFTLAENFSMLDLDLVSRNTQKAAAEIIKAYSERQNMA >PPA10870 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1778441:1778955:-1 gene:PPA10870 transcript:PPA10870 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSILLVVVIAALATWANAVKMSPEMEKCAEQLLRILAQETNASYKDGITKIMKHIQNGHLDEAQKVYMVGECIPMKSCLDCPIEL >PPA10851 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1707117:1707805:1 gene:PPA10851 transcript:PPA10851 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFILVVFVIVSVRAAEWSAWTETPDSPCSDICGYCGVRVTAVRNCSELYKCFGIAQKYEECAPTMCRFPRNTCCAGYVKGVVGKEFQCVAASATMKAKTKLNGILLEGTRGNSIQ >PPA10684 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:668897:670852:1 gene:PPA10684 transcript:PPA10684 gene_biotype:protein_coding transcript_biotype:protein_coding MNNEVLGERAQNAEGAATEEQSRLKQYKNLAKHEASCRRHFVFQDMRRRRTECSVELRKQKRDDLLMKRRNLVEEDEEDELNVSEDGSKQQPEGGNKKPPAPVLTCDQVVEILKNNPDVQQTRDAFESVRRLLSKSKNPPMVKVVSHRNS >PPA10917 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1976811:1977167:-1 gene:PPA10917 transcript:PPA10917 gene_biotype:protein_coding transcript_biotype:protein_coding MNITTFGTMFPLCYWLVTLYFEVILPEVTVGMHVFIPLAIMANSIYAIVSSKALSCKTIFRRPVNYTKTPTTFRTRFDNSTAIRY >PPA10582 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:71661:72234:1 gene:PPA10582 transcript:PPA10582 gene_biotype:protein_coding transcript_biotype:protein_coding MNLWTRYCRGSFMEEVNLWTRIPGNGTSNATVIQCDDEGFFSTYAICAPGKCCSNIPFGITGTIALLTIGVMILSFAVLRKSRGATSAAPKDPTADTNCGKEEKKEKNCEAEKEESLTSQQLAVAAASQSRPIYPAV >PPA10852 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1707836:1709103:-1 gene:PPA10852 transcript:PPA10852 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLDFLRPIRIVLLVLATVGLIGTLTFCSFENTVDSETDAATYERLNAAIKTIADDLQRTARNEEEIDMEESVKNAYIKLLEIEGKWSTTEKISLQTHVIVRLQ >PPA10871 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1780408:1781162:-1 gene:PPA10871 transcript:PPA10871 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVEPNARDDDIVTPNRPDLFNPDGAPKQSLFYCRETHQVARSRTGTFHAGDTLVPVPLSPVSEVIKAFKRKSDPELPHGWAIRHGRKVGRYPETTKAFVKAKFDEYAKCGAKLKADEAEIQMRADRFIEPNDLMTKSQLRNYINTLKRQVEHEEEEMDDDHFEAEVEPSEEDIFLTENDFHHYLTPTKLKKFFTDVHNPVYPNTPVPAGATEFD >PPA10741 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1130564:1132989:1 gene:PPA10741 transcript:PPA10741 gene_biotype:protein_coding transcript_biotype:protein_coding MPNEKPLSTTETDARYLHSETHMILLLYPPLELPALCSRVLLAVAAVRGVQSRSLLRLPHLNWRGRGDHGDAGGAHKLRVIAKSAVEAERCSESSLCCVRALSRDTSRKPESRSWTALEWGEGITLPVSNPSPLAHTLLSTTHPNPLLRLALPAWSCRLLRPLAADAALPLCCGSPAKK >PPA10664 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:529388:531048:1 gene:PPA10664 transcript:PPA10664 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVVVPSLLVLLLLLGGLVEASDVLGALKDRNHSSHSAVALIFAFGLAVIVVIIAVVVLTLCCCDRPPPKRQHYVAAAAASGPSAAGGGLMRYDRVVAAVAAPAAGPGIQRPEQRILGSGATLYHGGLLPRAMPPNGSRYPRVHSPFLV >PPA10679 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:644955:646237:1 gene:PPA10679 transcript:PPA10679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lim-7 MRAAHHVFHVDCFKCAACDRHLRTGDEYVVTGEAIFCRVGCNGLQDPSLTPSLYNEDDSWETSTLTSLDPPVSTSPNFSSPKSEALTPPSAFPSTVPLPPAHGMPQCLPPNGLSMNGGGVGKKSKKDKNNRQATRVRTVLNENQLLILKRCYTQNQRPDAIIKEQLVEATGLNARVIRVQGQEETDRDSGRRGECGQGEIIFYMRRMRIIK >PPA10777 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1396022:1396269:1 gene:PPA10777 transcript:PPA10777 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLLVLIAALAALATVADAQWGYGGYPYGGYGGYGMGGYGGWGGGWRRRMWGGYGGYGGYGGWG >PPA10980 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2217081:2218365:-1 gene:PPA10980 transcript:PPA10980 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLNDLPPRALSRLLQFLPARDRLRMRAICKDLEEAVSHTDLHVCTDNRGIATIMKCDYNEKFELHFGCWLVFKTDDNDETRQELLRLRRRLCKKVVTEEVHIRYIDYNIIDVNYIDGLIGESDFNLLWINVSPHEYHPSLLDLVRKYSSKQVKLIMHDFHLDREVLLSLPRLRQLSAVGQMDAFCDDEFFLALIVAESPHDQHVILHVKSSLIVLFVHHIGLRKVGKDFEEQPDFDHDFMVETFEDDDPFDADAGWPTESFDLRYKKAETKRIEQSLFASLNT >PPA10810 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1539827:1540735:-1 gene:PPA10810 transcript:PPA10810 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCNRKCLVCVSPNAALHLGMDVCRACANFFKRSLVKNCRYPCRQGNHNCAVVKAVRPMCRGCRFDKCVAVGLNYGEKMRAQKEVPKAHIDSPPVIAQESLLDRIAKEHGVCVERRRVREIKMIKEYELERLPHINEEIYHSTFDCALASFNIAISENWQFFQTVFPPLRLLPYEEQKEFFRLCVPQFALAECFYRTKRTFGGFKK >PPA10807 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1526737:1528107:-1 gene:PPA10807 transcript:PPA10807 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLLIGIVVATSDELLSVNVVIRHGDRAATSGWATPESSTILFRGPGELTDKSPKDHAVVPPIYTKDVDSDGLLVPLLTCQDGWEDVVSRFNLSSTVDVQKTALVAMMVTEWPAACSTVNPGLIDAIVSELPNKKINMPANYKACAEGPAKEFMYKYIQLLAGAGDHYNEKRIKRVAGLQLCYYPCPGQPKLRIYYTHDVNVLALSHIFGILDRFNGVTPAFSSALVFETRRNANGTYVKIFQKNGQKADFVDTNNCASDCSLATVTSGASPLAITAQIPCA >PPA10944 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2070165:2071454:-1 gene:PPA10944 transcript:PPA10944 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNGIGRGTAVLFAKQGAKVTITGRNAASLEETKQQCLKAGAKAEDILELIGDLTNESFNEKLISATVDKFGKLDVLVNNAGGGAIEAWGKAMFDLPVSDFDKMIEQNVKPVLRLSQLSVRHLEKTKGTIVNVSSIGAHHVISPMPYYAASKSALDQITVQMAGSLIKKGIRVNSVNPGPVVTNFVVNAGASEEMQSKLWEDMATNPVIPLGRISYPEDIGKLILFLADRSQSEILIGNIMNADGGVMLKSAMFPDAYV >PPA10893 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1889070:1893679:1 gene:PPA10893 transcript:PPA10893 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSQTSKETNEIAIVIILTKGSIPNEYATALNTLECYSALHGYRLIIEHDDRFPECSKHMDKFFRRHCHTHKMMLEELPQDSWVFFIDADNGIANPERLIDDLIDPGYDIYLYNRFFNWEMAAQYLVKNNERGRDWVKQWAEFEFKVPASTHGSDNGALHVLMMNYLAPETKNASGLAHECLSIWDRSGHINHLFNMESCVRMVIGERTYFPAQRVKIFPKTKSFARDLWQLHSHWSTEDFILHAVKERYFKVSSLDYMAHRFENLRAALTDPSSDIVLPSPLNDSSSCDSVFPLLNKLNIDKCRNGTETWIMDTRLKINEKLHVQMLEQMSDRILKQQIHFMVNSDADPRRDELLECYSKLHGYQLLIEKDDRFEECAKHNDPLFKRHCHIYQIMTKKFATQYLLRNTDRSRDWLKQWADMEFNLPADYYGTDSGALNMHLMYYLIQETTGRNPSRRVIGERSYFPDQRVKILPKNLKPKEVKEHHHNTALIDTDEDKVLAFPVLNQLNATQCKVLFINGKEDWIMDGRLRITDALREKLFDVITMATIKEQYHHFGQEFDKFRVK >PPA10837 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1641028:1645309:-1 gene:PPA10837 transcript:PPA10837 gene_biotype:protein_coding transcript_biotype:protein_coding MWLACISMGLASCLTSIVIYLTIYTYCIDAILHTIGDLEQMTLERTAAVLAEMPSDFIVEAGNRSMCCQDGVGGYLAAFGSCMAFNVFFLVTITSHIQVVLRKHIEMSAERKKLYWKSTQALIVQAVSPLVFVLVPATIIAIIYIKEIDSKVLLDNCLDMIRAHSSESLRKILNNQELPPKAKHVDRIVQGTHQRKSCAFFWSGVITGNLEHHPLVTWKFCHLLHTMIRDGHESVPRESYQSLRRLMGLRDFWKRRQSSSYGFSSAQYCNVVCDRLLFHRKYPQIPGNLVVPNDLLQNLQNAVALNAGTEVLDHMDNLLLLQRTVFDSLEWPYVTGQGQILLAPLTLVIADTWKLYGFLIELLHHLHSVLPGVRLAIHRRRFQEVFRQMKAFLDLASKLQYFPSLPSLPLIPPTFHAIEVITILNQVDEMFLSEEPPSLLMQPD >PPA10591 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:94353:94622:-1 gene:PPA10591 transcript:PPA10591 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFGRVVFLLFLVAAPAFGFLPMISQLLAGSGIIAPRDSAAAGEEGPRPWNARQTATRIDHV >PPA10605 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:161154:161669:1 gene:PPA10605 transcript:PPA10605 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIQNGKDLMACSQTGSGKTATFLLPIMNSLLWYTDPSLITDVPCKPQARILAPTKVIPIGRHYCRPLKQTQYLVLDEADRMLDMGFAEEMLSRVGSLVELS >PPA10766 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1306136:1328339:1 gene:PPA10766 transcript:PPA10766 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIRRAFLRGEVADESVLRAERAEEDLEERDSRIKELSKQLKEANRKAEKYTNKNIKLIHENIYLKEELEDVKRYTSEVKKRKCELVKESVVHGPDTQIRSVTALSRRNTRRGVSECEMRDRKRIVTRRSKRMTVDETSNRTNVLLSSHLSDGDPINVFQLCRPNTLDSITVTSALTQLSAKFTLTKQESWRKYCGECGFEVLCCEVCETVVNKVDVFEHFFTQRHIELHIVRANDAGVSLPAVQHWLRELQQAAAAAAAEKAMAAMSAAAAAAAAITPAQQQAASMQRCLEKRKGTDAEVITIDDDEPAPPPSAEVIELREMLAKTEDMYRRIRRDDGRGGEPRQTDGLMAIRVAGEAEKRAQTAEDVLESKLAELGKSAMMADSLNEYKDVLILENGQIRSALCETKNSLEAAINAKEELTRENIALKLENHRALKVKKAAMAAELQLQRQQLTTPQIILSDAHSEVDCLRQAAGRDCHNFSAVAFGCSLPELNYWKNRVCVVDRQMSGYANIDLSTALAAAGHRLQPTAAARAAMTAAPTAAAARPTAVARMNEEANGDAISSRTKRKGTEAEVITIDDDEPAPPPSAEVIELREMLAKTEDMYRRAMRVAGEAEKRAQTAEDVLESKLAVLGKSAMMADSLNEYKNVLVLENGQIRSALCEAKNSLEAATNAKDELILENGQIRSALCEAKNSLEAAINAKEELARANVALNQEIHRLKDIAAELEEERNVGAQQRMRDKANIFELRHKLDMLTKAAVKATQEQKHQPLTTPKRSTVSIKPPAATATISPLSSSSTSDESAALPDSPDSPDSPEMAQAGNAPTKVYDANDPINLFRLCPSNEPWMAPSAVRVQLSHKYEHEIVRKKWKVFCSDSTESYACGVCKAEVRKADVFEHFLSKFHQVPITREKIAQSTLHYWKDQLQLSGSIEMSTALAAEGHKLLPSVAAAAARAAAADRAAAVQVINLSDEESAHEDDPPEKKVVKKEACNLQPALPSSSSSASLRPPGQPADVKKLEELVRLHEKKYQRACRAAHKEQMRAERAEKMLGGIFFAYQQYTKREVVDPDYGNDAEQRLAAQLVEAKRIVNSAHARELELTHENDSLKSELAEWKNAGGQPKDKLTQEITSLKREVHDFREREENFIRMKREAHERLEELRAQWNDMEGQLKEAATANSHAQQEIFALQSKINELNGKLKEASEMEANLRRELAAIKAQLEDVRKKREDVKRASLEMAGQTSKVKIEFDGGEITNHIKSEPPRENARLKKELEILKEREDETHKMNYNLTKANTDLIQELQVAREKESRVSSELGESVYKIAFLEAKIAELKGAVDVKESESAQKIAFLEAKIAELKGAADMKEREFAHEIESLKQAANSPKRSEKRHDDREKSHKIDSLSKDVNELRQKLLTANTKVIEQARTIKEVSNKLETMTNDSKRIAAENANKTISKLNREIYVLKEELEIVKKNVIDANNCKREMAQRSESVEAEINEMKRTFEIEKNKSNDLINQMGVIKGELDVVRKEAEDIKRSNLSLTVRNSNLTKEVEAAKQRETEYAQANAMLNYQLEQTKFREQIATSMNHRLTRENVQLEKQGKSSREVYKFDSYELGSEIQAEQVPLKDEKTSEETSADVITIDDEDSPSEKIVKKDDPDDIVPIPDPKLVELQKKVAKLENNFQL >PPA10822 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1589412:1592898:-1 gene:PPA10822 transcript:PPA10822 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLFLISLIGVVAATSDELLSVNVVIRHAERPGQSGWATPESPTVFFRGNGELSDQGIDNAFTQGRDFKKRYVKSGFIDKRFLPTEVYVRSSSVNRCLMSAASFSNALFRETSKDHVIVPPIYTKDQTDDGLLVPLLSCSDGWNDVIARFNLTSGVNVQQQALLKLIQTSWPAGCAGINPSLIEAIVNELPNKKIKMPANYIACAEAAKPLYATIGAGATPNFNALRLKRVAGLLTNELLNNFAAVSNCSTVPCPGQSKMRIYYTHDTNVVGISQVFGVLGSLGGVAPEFSSALVFETRRNANGTYVKIFLKNGHKANFVDTNNCATDCSLAAVTAAASPLAITAQIPCS >PPA10926 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2017985:2019249:-1 gene:PPA10926 transcript:PPA10926 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIREEGNHLIWSVDEPWVHKGMRPGHGWQDMEAAKAPLTFIKNGMTAGNSRQWEKGERLVIVACLSEGGFRCPVIWRTGKKDDGGDYHKEMDSKLKGVFKELVAEADEKNLKPILLMDNASYHSRVIDKMPTQSDRKAVMADWLKAHDMQCPDGWKKRDMVEALKKLNRKDYNKYVVDTMGEQYGVQVVRTPPYMAEYAPIEFGWSAMKRAQHDLITHTDDGRKRIEEGALTFSPSLSTEEIVAAAEDIIDEADEQPVEDLEELLYMSDDEEDEYSELL >PPA10952 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2095777:2096488:-1 gene:PPA10952 transcript:PPA10952 gene_biotype:protein_coding transcript_biotype:protein_coding MAILADLRTFCDVYPVEYIIMMSCAIGCLNFNEPVDYCTVTGICNGDEAYILSWYLPVWLSTTFFELGISLERVFKSAPGYYQDE >PPA10930 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2040222:2043393:1 gene:PPA10930 transcript:PPA10930 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIAYRGYPAEEHKVVTKDGYILTMHRIPHGRNGYSLADAGYDVWLGNNRGNIYSTEHVKHFFAIAPSLSSAHLGSVTIQIATAHPEFVEQAALALIPDRAYSLPVLVSFCGRPAMWKPCKWIFNEFAGPMDQVDEDRLPVFTAHLPGGTSNRNIKHYFQKIKNGTKYYDYGFAGNLKAYGSPYPREYNFSGFTVPTSVYYSPADKLVSTADIKIGLASLPSSAIVLNLFSPKLTVSPVASPTKMIPAGVQIMNSGMNS >PPA10759 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1263410:1267267:-1 gene:PPA10759 transcript:PPA10759 gene_biotype:protein_coding transcript_biotype:protein_coding MWIIIKPSSLFRGSFYWMFVASGVLDLINVVESFTDFRSAIYPIANGNGIVADGWAHFRLYLSFVCPITQDLYSCFIALNRLTAISLPTKHHRYDAHIFCTVLELVVNSFTLSFYVASAFFIRKLQIKKKDRTLLIFGFVVFVVNLPGIAIQALMGFGAFPRAILPVLFYNFAWVTDVKCYAAPVTMLIVNETFRRETMRICLFWRNPITPQSSIVTVSSNAVATT >PPA10569 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:14221:15107:1 gene:PPA10569 transcript:PPA10569 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFLAVRLTCRKWNELVGKSRIRIPIGLMRIAQNRLRRRSYEEKTAAQFTTYFLYEFNALLERYDPQLLLLENSLTAAVDNCDSREISANSAFIPRVEKCEYRPGCNY >PPA10620 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:236167:236995:1 gene:PPA10620 transcript:PPA10620 gene_biotype:protein_coding transcript_biotype:protein_coding MMTKSVPVTETLIALYITAPKEERSGSGNGGTVVVHVEEMENPTRTTRAGNELSLMLARREEGGREKLKVLGRGWCLMHEMAEQAGEEKPRVGLFFCVTESEQEYVCEQCSLVSFNSIDNLRAHQSSYCTKKDAETMQNTSVSHREHYS >PPA10939 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2060910:2062511:-1 gene:PPA10939 transcript:PPA10939 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLSSVGFFVLSYCLYRHQVILPSYNPFKMSARTFFFFRGALVVCLTALSLTYLISPAPDTEHLLDSFVPDIKWIRGRGSYYFQEITGTVKGVCWAAIVALVIAASTVVSLFGHMFYVLNIETHKRSKSSSTAIRKSLAILFAQYISAI >PPA10710 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:888296:892907:1 gene:PPA10710 transcript:PPA10710 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNATAAAAALLGAAHFYPAAAAVSVGDAAGHAAAMAQQYYAQNLASFANVASGFMPLGAAGFMPMSMFGAAAGAPPAFRGGPPPVFLGAPGASAAAFLGAPPAPSAPPSLYDLDATALQNQLAAQFTQATPQQQTVYYEGIRQQLDVAAASLNDSQKQALLRMDPSLSFSMKPIDLEHRADVKHDDSAPDSPMTMVTPLSGGSGEGGGGGGGGVKRARTGSNAGGGGGKKKKKRLVLVRDDSARDAARPSARQQGQCRCGVDAVGCGVDANYGIP >PPA10943 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2068159:2069668:-1 gene:PPA10943 transcript:PPA10943 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFSGKVVIVTGSSNGIGRGTAALFAKEGAKVTITGRNASSLEAFIYCNQETKQQCLKVGAKAGDILELLGDVTDEAFIAKLISSTVEKFGKLDVLVNNAGGASFVHFQKSVMETPVGEFEKMIDLNVKPVLRLSQLAAPHLEKTNGAIVNVSSIGAYLKMNPMPYYGAAKSALDQITVQMAGSLIKKGIRVNSVNPGPVLTNFAVTAGASEEMFETMAANPAIPLGRIAYPDDIGKIILFLADRSKSEILIGNIVTADGGCMLKSVMFPDS >PPA10827 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1606248:1606720:1 gene:PPA10827 transcript:PPA10827 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRLFFLAALLSVSLACIGGGGGSQCCSSPAPAAGCGGAPPCGGGPSGFIGSYPQPAAGGYAQAPPPPPPQAYAAPAGGAYPSAGGAYAQAPGK >PPA10586 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:80773:81170:1 gene:PPA10586 transcript:PPA10586 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQLLQLILALFLLPLARCGDIYREHTKHDTTNENNNKNIAESDNSSAARCHNADTTDIRLHQEKAESTKMRIHRSCSV >PPA10769 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1351152:1352687:-1 gene:PPA10769 transcript:PPA10769 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDFDDVTDEPMAAVPDEDGDVEVPLEEEVVEQRILRKRREKTTSMTSMTTVTTPAGGEKQGGGKQAPPSASSSTPAASRRRSPDAASRANSAVSTASTMARYPKRQVEQSMSSLSSKMGKIESRKMGKSPAKPAFVSTPRLVLRATAAATAATGGVAVEDNGGKGRPILRRKVLFFENLCDF >PPA10986 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2226913:2231807:-1 gene:PPA10986 transcript:PPA10986 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEPVEGILKTSITWDDFENNLRSALGTEAKLGPNKSVLDIGDGQGFVSCCGLITCDWVGAAPEEKLPKNVVIKIPSILPLRRLNDILPEGQKMFDFDEEKWAEMESQISESSQIHNNEVASYDFLEEFEGLIMPKKIYGSEILPEEKVSGQLCLEYMENSCTMNFYTNHSVEQIKQIARALGTIQACSLKKEVTNPVLHNSFFAKLAEHWTLEAFRGMFKGMAGIDSSEKTTALMEKIDTIVPSIYASFTDSQFHTKMGFRPVLVNGDLHSGNVLIDKDSGDLVALIDWQCTHLGVGVEDLHRIAIRAAMPMLIEEMYKSMVEGLSGAEAPYPLEKMPVLSDLVFGQCALFFTSTFITFISKTDKDPSVSDEIKAKRKEVMLDKVIGILEDVVDLDAKNNNTQYAEDVHKQNDSSSRSTVSRGYADGILQTSITWTDFENKLRSALETNARLGPNKTVVDIGDGNGFASCCGLITCDWVGGKEEESLPSSVVLKIPSALPMRRLNDSLPQGQKIFDYDEEGWAKLQTEHEAIHNIEVATYEYFTEFEGLAVPKMYYGIPADVEFHERNTVEQVTQIARALGKIQSCSLKKEASNPLFDQDFFKILAETWPLETFRGMFSGILELECSAKTKELMEKIAELVPNFFNVNIASSLHKRMNFKPVLVNGDLHTGNILIDKDTGDLTALLDWQCTHLGVGVEDLHRIAMSALTTEDRRAAMSMLIKEMYTSMVRNLDSVEPPYSLDTLLVLSDLVFPQCTYFFTATCLLIISKVDNDQKLSEGEKANRKEIMLKKVIGILEDTVECENKNKKHISDLNLKIE >PPA10645 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:390327:392967:-1 gene:PPA10645 transcript:PPA10645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ubl-5 MPMIEVTVNDRLGKKVRIKCNPTDTIGDLKKLIAAQTGTRFEKIVLKKWYTIYKDHITLQDYEVHDGFNFELYYQ >PPA10681 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:653004:653242:-1 gene:PPA10681 transcript:PPA10681 gene_biotype:protein_coding transcript_biotype:protein_coding MCNASELLQMLQRINTITNNRCPQQHQAPYPQPLQPQQQPLNPQPAPQMQQLQPPNLQQVQQPQPLQQ >PPA10746 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1160931:1167132:-1 gene:PPA10746 transcript:PPA10746 gene_biotype:protein_coding transcript_biotype:protein_coding MIHDGMRLTWVLLVAALLAVDVDARKKSGGGHRKEEPVKEVDEPVAEKKIVRQKHAKIVEIVDEEHTIELWCPESTWNQVEMGFQVGIKYSSPAPFPQVGIKRAYVPAASSTHLAYKPYPDCLRARVRLTIRGPQWDRLLDVTFPTWSYHDVDEDKIDEILRESRTNLGLGKGRTWPEHDGRAACPSCGEALAEIEEIDDDIEATGYVVKTDDRSVAKELGVTTFPSLVYYRRKNPILYDGEFGDSEVVLRWLRSHEEVATWDLTDDNFEKLTDSHSPDEGTLDCYNAENSACHSYVALWETVAHKLRGLVNVGKVEMGVNDDVSERFHVEKGDCPTFLL >PPA10967 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2166225:2167195:-1 gene:PPA10967 transcript:PPA10967 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQNHSGDNTVTVIVGITFAAAMYGPWRNHLSSSLFYSYFSVLFALMNVHYRYWSIFVIVTGASHILHESLSSRVPNL >PPA10781 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1410228:1412942:-1 gene:PPA10781 transcript:PPA10781 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFYIFIEHAICNEVLKSVADKFNDSQLITQRQQLGEAHRPSICFPRPSRMNQLASLAPVGLLVVAPVLSVVSVLVLTNDNFESALEVHPQYQAAGVLKDEGSEVKLAKVDATVHGSLISHAPVLPCRQAHRVQWLKKKTGPAAVAFESSDDLKAFAEGNDVYTVAYFEANLAKFAPEFTDLTTENIVSFNERVLAGELKQHLMSADVPEDWDTKPVKVLVGNNFNEIGKNSGKGQLVKFYAPWCEHCKSLVPVWEELGEKLERPPRRTGRENTPSLY >PPA10811 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1541047:1543049:-1 gene:PPA10811 transcript:PPA10811 gene_biotype:protein_coding transcript_biotype:protein_coding MYEENNRSLGNGINAVNSTVWIVECAPVKIRGAMGAMQEFSMAIGSLACQTLGIPFSTETLWPWIFVPDVPLILLSIAMFMMVPDSPVSILEREKDIDKARSVLAKYYGIPVDSPEVNRELNLERKKTEDKEREDRPGTMWLFNPFGDKEDRIRVIQQAAWLGVVVKIAYVFTGARALRAYSTFFLYDMAHFTLEGANLGSWIVAILRIPFCLIPVLFVDKLGRRLLMNSSMVNTVIALIAIMVGILVGDSLQILSAVAFAAVMLINAAGLGSVSRFYAAELVPRSLLIGTTSILAGIEAVTKIVVEFLWFPVANIIGVYSMLMFLVPSLGFLVVIWVMCPETSKRTVFEVLDDIARRKKLDVSFTEKKDLYSSHF >PPA10674 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:615220:616997:1 gene:PPA10674 transcript:PPA10674 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEPLHNDKTASYYIQCAGIFSALVVFLLFNLWQASVAHAYHRLIIRTVRSESELARRGSAPTILVVNNKPMEYASTQTFLLLGLLLLLLVPRRAVHLN >PPA10750 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1192589:1193796:-1 gene:PPA10750 transcript:PPA10750 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEEDDDGEESLVEMTAMDEVSTGAVSSISIASTGYTGSATMEYSSMEDLTDELNSIYSDNEKSELEVECPTYFYVSSEGKAVAMDSIEALDKLADFMPIPVDFTGVTFNSLDHPKYYEKESIQSMVEYTCITTRTGDIDSTTTCPIEDYRKDAAITDRADITSYCLSPSQTDVTVAAVDEGLVENEDYSVAADMTVYSVPKSERDVDISEVSVDYCTVYSYEASDVERSVELDTHTSYTEGPSEVECNL >PPA10842 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1663526:1664624:1 gene:PPA10842 transcript:PPA10842 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEGMVIQHFSKQCSSFGAWRFNDLKEIYFYNEFHIKHAFCTNAACMHFTNKQDVGFSPTFAKASSLGRVITYLPKGMTKIQQLCPNGYKKLKHLYFEKQSGRYVAVFKKSHTDAGWVSLEKGLCGANVPIKEYSCRMSKDFMYGQNLEWNTFYKGLARHGGKPVFWIWK >PPA10702 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:780502:795477:1 gene:PPA10702 transcript:PPA10702 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTPHQLQTYWTVKELFPRVSGEAILAHVDEGTERLAEMCLAGVLPAGDEFLRDSDAPINATRTTDERERRQQPSGGFWSALSASIARIAHVFTPREPEDVEPPLTDDDRARLERVLEVVDEELFQRASAALPAVCPAWILLNIDFGLEYLLDNRDRAPARGAPRRDKSGVSHLIKSRLSARSRFECAVCYGEYDSTRAVSCTPTTSTGGGWRFVRAAAAVAAPSHSFCADCVRGHAGAAVEQNVIAPSGIGLKCMQPNCANVLLRAHVEQVLDARTRAALEPHYAHEALTAAGLRTESCPRCGFTAHLSESADERQVFGCQECRHEYCRRCNRVWDEAHRAKSCDELDYEDLVAKEGVVRRVLAWFGLVPPPPVTSTEAALRKYRAAAERMTAMTVHACPRCQKAFVKLLESCMMLGRHIHIVAMDEKWITYDNPERKLQWVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVRVPPKLLSEGKILMLMDNARPHHAKITQKKMDELEMEWLPHPPYSPDLSPCDYHCFRSLSNFCRGKKFKNRDALVKEFEAWINSKPQAFWKRGIETLPDRWRQVSSACNKVTCPCGQASCYVCGAAIDGYAHFRGGADACALWEDTGAREAAEAAAELQREIAAAGSKDVAKTLRLLQSLRPVLTVCHRSVVQREVIEISDDEVESGLPLKAVKKEPQPPSPSPPLPTPSPNVVELQQRLEKTENMYQKACRAAREAELRAEQAETLLDCKNGILSDAGKEESLKDELENKLAIVSEQLKAAKAETESTVKKAIELSQLNRTLKEDFKRANWHEQEAKKKALELTNENLALKEELKGLKDKEEVENSANSAVNESSAACKQKYYKQSGIIREKYKEIKLINKTKTKIEKENVRLKDDLKLMKGYEELGKAANDKSSKLERENCALKEELEKMRGKEALMDTELKEARLALFCKNNIEKENQSFKEQLKLAKAKEEEADRKIAELELQKVAFWEYQQALMEGTAEKLAVGENTQELAMQNFLLKEESMASKRREESACKISVELSRENSVLRQELSKLREANGIANAEWPIQWTPRPLLSYFFPEMAHRFSSVQYNAPMEKAKNSMAASTIAKIGLRLPPVHFNTPPSEEPKIPDVMVKEEEIEDMEVEE >PPA10724 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1022179:1024580:1 gene:PPA10724 transcript:PPA10724 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFNDFDDFYLPMNKSMCEIIAELQVDLVYTLLIATKFALCTVGTAMSSYTWWKRGVGWLMHANSKTLFTYYYALVVLIGASYAVLYGFEFVRLRLSCFSFDYRYVLVLRTTGLAAIVASHYVIVLISFERLLFSLFPSFFERHSNHHTVAVLGGLTLISVTFFLIFYAVSDAFQLFAQPKSVGTIDLRVPENAVNYQARHLFDENRKVLKVMLPLELFNSGMTIFATFAQFFWMLINPQSTFIGRALFVEFSALSPLYPLAFSVIIYWRVGLRENATLAVLEKQKRNQDEYFNDLKKHWKSQPPT >PPA10617 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:213192:213827:1 gene:PPA10617 transcript:PPA10617 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHCRTINCSSTARMGRTTSTSERNRYEGGKDENVKVHVDEIASTPTKEGPSHADQGIQKLNSIMK >PPA10921 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1997573:1998263:-1 gene:PPA10921 transcript:PPA10921 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVILNISLLILLCRKDIGRAARLYRISCMITALLGLYTSFLLLLLQDVVILVDDCLAVVLYGSLLFYLPNRVIDHLAVAFFTQVHTMWQTISAPSVVQWMTLAHPNSSSARKLLYAYSIPALFYINTWDD >PPA10936 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2056119:2057516:1 gene:PPA10936 transcript:PPA10936 gene_biotype:protein_coding transcript_biotype:protein_coding MDTWLGPPDSAKMIGIVSIAQSSPAALVSAMPSIYASIPAETKANMSWTQEEMIDSVDFEMSPVDVNTAFTPIVDEQLGNCFTFNYANKTNQGQFKARLAGQQRALTVTLKLHPAEQVAWIDSAAISTYIHAPGTPPSQGVLYSVKGGTTITKLKYGCLKSRDELKGNYYEDGDYTRDVGVLPKCTNVTDGCPDDVIESVSRLTIYVESLESEVYVEVEKLPFISMLSQVGGQLGFLFGMSIVGILEIVILCATIGKNQCAHTSK >PPA10820 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1586548:1587311:-1 gene:PPA10820 transcript:PPA10820 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWCKAPLAIRRCSECYFSTSGRMSLGYNDFILNYLYNDGLNPLRSRDFDHIEKTEASMMLCRVICAVTENGGQYQVETRQQNDRQARSSRSQSRKVNARNEEN >PPA10602 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:152338:155625:1 gene:PPA10602 transcript:PPA10602 gene_biotype:protein_coding transcript_biotype:protein_coding MASQITHMRTAVGTGDRIILRTISIITHPMSMTAIATHPRIMTTMVGAGGVVIIIHHLWSTTIGLTITITRRRKTIMIGEGGTDWMRYMNGNQHQGGCGLMMALLGLCANSDSGSNGGNNVSPSSSNWGDSSGHSGIGSGTSGGTSAGGGSWWQHRLGDAGSRKIPNPLPPDTFGHHAGSIGTGSGSIGTGSGSTGTGSGSFGTGTSLPKGMGSFDEPTSSFGSGKKGGVSLPTGTGSFDNPAAGAGGSGGLRGGGSSSFDWIKQPSSSFGTGSSFGIGTGGLKDTSSFGTGTGGLKDASSFGTGGLKDTSSFGSGISGLKDNGSFGTGTFNQPSTSEFVGFANGRMRFKCDCGVSGVSYQEDQCVMRTPALRAPTENDGHADRTEN >PPA10859 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1745862:1747274:1 gene:PPA10859 transcript:PPA10859 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLNRIFHRDGNYDSTPPVHMIYPSREAYQMPIDYTGTGSSQWQPQQQPFQGGYPMGQQMQTGMGGMMQPQQQMGYGGMQPMQQGGFPRQLMGMPFGGGQMMGGPHQGFPQQHPHHHQGMPFFESPGGFGGDPNRVVIPGQHGVLVIEGPNAQQEFQASAPGMHHDGPQQMGQHGPRF >PPA10866 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1767448:1768715:1 gene:PPA10866 transcript:PPA10866 gene_biotype:protein_coding transcript_biotype:protein_coding MMGIIIYVVYIVGFVVTVAIGMTPSDQTRRSVPAEVLELYGNNLTDPRTGFAVLAMRRVDPVTNMMYWSTESTISILICLCLFAGTAGVIVYCIYQTTVAIKSSDTLLTPKTRRMHRHLFKALLIQTAVPCLFSYAPLSLILLFGGVTGISLGAFGNVLFLTSAIFPSVDAFFVLDK >PPA10596 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:119489:125422:1 gene:PPA10596 transcript:PPA10596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acr-2 MKNLSADGNYEVSFRSNALIMHNGDVTWVPPAMYLSSCRIDVEFFPFDEQTCDMIFGSWTYNSDEVRLSWYNDIKAVQLTDYQYSGIWDVIDVPGHLVHPAQTKESKIVFNVVIRRKTLFYTVILIIPTVLIAFLSMTTFYLPTDSGEKISLTINLLLALVVFLLLVSKILPPTSNIPLMGKYLLLAFVLNIITAIVTVIIVNIYFRSPVSHEMPDYVKKIFLDFLPRVLMMRRPERIPIFNGYFIEEYCVSEIFDASLVLPSMTATIVPFLGINVRPPPPPQPSTSNGENGNGDVSKDKNKRRWSTRLSKRLSFSVGGKNKKKQDGIEEEEESTLDATSKKSNVGESRDQRISRELKTTVEAVAYIAEHMKGQMSDKKARDDWKYVAMVIDRLLLLIFFGVTLGGTIGIICSAPHVFDFVDQEKVIKEINARPSPRLLRIGRRGKAAGRPI >PPA10982 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2221770:2222573:1 gene:PPA10982 transcript:PPA10982 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDKIAHRLRHHLLFRACAWEVDLIHKTDRDTHPLHKYWPITVAGVTESNSLVLVEQSGFIDYEGIHDHFCLTDIVKAKLHDAESVLARIMEIEKETGKQAYAILQNRDRKLSIVGKCTLDVDKANASRKKY >PPA10905 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1928373:1929345:1 gene:PPA10905 transcript:PPA10905 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKFAVDLYFDLFSPYSFIIYRSKWPMEVTLKPFDLSSIYEKTGNATNPVKLPYIGKDAIRLARYHNIPLKFRKDFIKIVSTKSSASGHRLIAAVQLGQPDKGEAVARALYRRIWIDQGDIFETKDFLEVLASCGVNNADAVLSSVSSAIVNDEIEKNTEEAIGTGCFGAPWTVVTLENGKKDAFFGSDRINVIGHMMGCKFDGPLTSSL >PPA11023 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2396035:2396412:-1 gene:PPA11023 transcript:PPA11023 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVVDAQWGYGGMGGGWGGRGGYGGYGGRGGGWGGRGGWGGGRGGYGGYGGRGGWGGGWGK >PPA11028 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2412739:2413401:-1 gene:PPA11028 transcript:PPA11028 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKCCCGLFTITTAAKIILWLTVLGGIVHAATPSILNLSLSAMLFMKVAMIVAVLCSILAIIGIYKDAPKLMKPIIVFYVIVQVFLLLEIFYSIAAAIFPKTFKMNTSFTCIPSKTKPRIYFNRSP >PPA10732 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1078693:1080458:-1 gene:PPA10732 transcript:PPA10732 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHELLILEPQNEGRYPHYGEQQTATLGVNDTVATTTRSVVGGAHHHHTSVAGSSTAPQLPENAIYYMGMSSGVNYISDPYLGGYADATYRPVVHYRPSTITVVCRG >PPA10614 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:195363:196720:-1 gene:PPA10614 transcript:PPA10614 gene_biotype:protein_coding transcript_biotype:protein_coding MLIILVIILPSITALPISPCGSCPSQSTCGVHVNGKASCICNDGFGGSNCDILLCHSSLCFGPRSICENTMGGPVCHCESGRGGTNCELIEGESHPWSRCANATFCEASFQNGKCDELCNTPFCLYDGNDCDDEESVDDNENTVIPEGKVPLPESTVVPQDKAVSSQHQLASFLPLVIALISIVCFLLIIAIIILVSTRRVVNKASTVVRRPPCFPIPPTPLPTHTPQPQPIYYSDASIGYATIQSVADSRGSQYSEYYATGYATLPIISHSQAESITSD >PPA10973 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2183540:2186231:-1 gene:PPA10973 transcript:PPA10973 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTNAPIFAEFVVIMSFWLAHIIWELVPANCILQYLALCKPHLKTTVRLAIAYGYCSLLLAWSTRYCGYFYQDEVYDNTTREVHELDCDDRFIALGGRLFANDEHSILPTYFAAYGVFVYCNSTIHRYLNSFKTALSARTVAMQRRFLIMSVMQSLLPLLVMAPPVIMFLFALTGGYALDRGTFLISFSFWAVPIVQGSVSLSFIMSSATKLAAEQSSMGKGRRANASSISIKIRDYIPCSFNSLYIVFPFV >PPA10876 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1786082:1789342:-1 gene:PPA10876 transcript:PPA10876 gene_biotype:protein_coding transcript_biotype:protein_coding MRYYAYPSTNPVYLFIVEIHPAKFPHVHVRTPSNGHDTQLLECSLKAILFALHSELKNHDEFLLEADTTVREVFFKLQREGASFETLYWGDFLPFYMNAKQKKDIVQEEFTVPKGYVISSINVPDEYEFIHKMWPFAGATPDSVTRERLENLPSVCTRDSDGQLASWELTHSLGAVTHLFTVEEHRGKGLGVLAENLLAQIYVKEGLHVYKYVVDSNVDVIRGTERHPYWSRWKIHDENNGEKEMMWSFNSFKYTG >PPA10815 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1559643:1566896:1 gene:PPA10815 transcript:PPA10815 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKKGNNLNDLKQEVKMDEHQIPMHQLAARLSTNVDTGLTRAKAAEFLARDGPNALSPPKTTPEWIKFCKNLFGGFALLLWVGAVLCYIAYSVDYFTVEYPSKDNLYLGIVLMTVVIITGCFQYYQESKSSKIMDSFKNMVPTFATVHRDGQKQQIRCEELVVGDIVEVKGGDRVPADIRVISAFGFKVDNSSLTGESEPQSRNAECTNENPLETRNVAFFSTNAVEGTCKGMVIYTGDNTVMGRIAHLASGLDTGMTPIAREIEHFIHIITSVAVVLGVSFFIVAFALGYHWLTAVVFLIGIIVANVPEGLIATVTVCLTLTAKRMASKNCLVKNLEAVETLGSTSTICSDKTGTLTQNRMTVAHMWYDKNIEECDTTENQSAGMGGGHSKGLTGTRGMLTRVAALCNRAEFKNGQDAVPILKKECTGDASEIALLKFTELAIGEVSKYRVQNPKIAEIPFNSTNKYQVSIHDLPGQDEYLLVMKGAPERILERCTTILLDGKEEEMTEKHKADFEAAYLELGGMGERVLGFCDFVLPKDKFPKGFKFETEEVNFPIDGLRFVGLMSMIDPPRAAVPDAVAKCRSAGIKVVMVTGDHPITAKAIAKSVGIISEGTETVEDIAIRRGIPVEQVNPREAKAAVIHGSDLREMSEDQLADIIRYHSEIVFARTSPQQKLMIVEGFQKQGQIVAVTGDGVNDSPALKRADIGVAMGIAGSDVSKQAADMILLDDNFASIVVGVEEGRLIFDNLKKSIAYTLTSNIPEISPFLVHIVFGIPLPLGTVTILCIDLGTDMVPAISLAYEEAESDIMKRKPRDPLHDKLVNERLISLAYGQIGMIQASAGFFTYFWIMADNGFWPKDLYQLRAQWDSRAYNNVADSYGQEWTYANRKILEYTCQTAYFVTIVVVQWADLIISKTRRNSIVQQGMSNWTLNFGLVFETILAAFMCYCPGLDNGLKMYGLRFSWWFPGLPFSILIFTYDEVRRYLIRRYPGGWRLDS >PPA11001 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2270713:2273754:1 gene:PPA11001 transcript:PPA11001 gene_biotype:protein_coding transcript_biotype:protein_coding MLRICLLLGLLSVVSIVHSQQCTGGIPSAEVKGFLDAHNKLRQSISAGTYVAKGKTMPAAKTPIPNLTWDCDIEKSAQKVASTCVFAHSTNRVNLGENLYTYMSSAAVSFTGKGKAASDSWEKEFQDFGWSDIKLTAAVFNTGIGHATQMAWAKSTKIGCGMALCQSGKQVIVACRIGNMLNNNYFGKFMERLKLTKLLNSDRVRDFLKEITGDVNKYPYCIHSDSEQFQKEDKKKKISKKRELSDCDYYEGVDDPTPIKKKGKNEAGSTVKNAEGDEMIEMEYVTVRMFKGQTYIDIREFYMEKDMTVV >PPA10660 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:496191:498356:1 gene:PPA10660 transcript:PPA10660 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIPGPGFPVDPLLLLLHSLPPLLFHSSGRAAAVTDGHYAALVRNPVPDADAARTASADAGPDKRHSLRSLLGLIIVTLFGLALFSLYTLFCGTSVSLRVIVQSVDTPAVVWREGTRSTVAMEVLSKALIGLLVIVTLCYILLMMINRSERD >PPA10992 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2248170:2249968:1 gene:PPA10992 transcript:PPA10992 gene_biotype:protein_coding transcript_biotype:protein_coding MHISDDLFNRFLHLIGARMIDDELVMENDIEGFFVANDNIMYCIGDYTIFYELTHGMIFREHGEKKEWIFNLAKMIRRSSSEDKEESEDEDEEECGEESEEESETEESDSDDSEENYEHHFKMIGKEDSILLQIDEMENEIDYDKFAELMNKKKSDFKNDVFKTTISTPIDDALLLDLVAKSHSFTLDTNISSPETIRDVYKFITQNEKPQIILLLFSDDLFNRFVNLIGARMIDDELVMENDTEGFVVFNQDHGLLQRGGDFYMAEYLIAYEFTSGRINRRNEDGNEWYLTLAKMKKNISEAFPEESEEESDSDESDD >PPA10628 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:277766:278829:-1 gene:PPA10628 transcript:PPA10628 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSMPTPSSAAATSDASFPPVPVKESKNSLISCANAVPSAKRMNLIMWSNDFAACDSFHSQSDSAHSCPCNDFFNRYILFQRHVFGRDDKRVCRVIFTATEEAVKEISIKIVAVGLILKLELTHRDGRNCAIEVEPRFTDQRKEKRC >PPA10999 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2265630:2266879:-1 gene:PPA10999 transcript:PPA10999 gene_biotype:protein_coding transcript_biotype:protein_coding MNASIGGFFLFPRLIPFGFDGAFMLVGGPVKWFTADKILCAQTPHPDDKTNAIAAMSVPAFVSLSYQTDMHETCIATSPFITIIVVRPFRTELYLWLKSGTSNTSTITVVIVNRN >PPA10637 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:308642:312058:-1 gene:PPA10637 transcript:PPA10637 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKAELYEVISTLTRLTRYSAKDTGRQLSTSECTPLLLSLFLSPSAVQPAKDLLLQLAAGSTDIRDRLGETGVIADLTASGKEEEGEGGEDGKDKPVYRASEKERCALLATFTQDAWGRAALRESGALDVLCARLAEATESTAEKATILVSFRN >PPA10959 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2130662:2131508:1 gene:PPA10959 transcript:PPA10959 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDETRVAELRSLVKSDLTPYYDTHFNILRWIQGFPDLPVDKIARRLRHHLSFRTSPWNVDAVHEKEPGWHPMHKYWPNGKCGMSGVIPRCIVCVEQAGFMDYDGMLSRFSMVEAIKALLYYGEDLLAEVMKIEKETGEQASVIYVYDASNVVYSKELVDLMAGPLMALAECMFIHYVELIK >PPA10913 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1954082:1954832:1 gene:PPA10913 transcript:PPA10913 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWKTNESDQALIKATWSEDFETLYLLGSKMYLQIFAQDATIKALFPWIAQYEKAGRDFTLETEFRTQALRLVTTIAKVVENLPHLKGLDMHLYKLGHRHVKYLSNALKPLYWVAFQDAMQNVITEKMKSITKISETDRARAIEIWKDVVVYVNTNMKAGYEDGLKGIDKYPTGMF >PPA10571 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:20167:20871:-1 gene:PPA10571 transcript:PPA10571 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMQYPWPAGMNPQFIHHNSSIGPTADDDGDDDDMFSEKTLKIIDSDC >PPA10904 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1926928:1927960:1 gene:PPA10904 transcript:PPA10904 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTVLETLSIRFCVVYFALTGDEEDNAKTEARAAYFDRYHETVMEGYAIMDHWRNGTFVLRPALALLYFDSVIIGAILIVIGLGALTLNGIHSAKRLSGYSKTLQSALLRTLCIQTAVPIICVYIPFFFLLSSSFVGFDDNGVAAAMAALNLCFPAVDAIVIIFLMADYRKGLISMIATALRGNTVDVMGNTTHVAPNGSSSVAKKSFSLTNDF >PPA10983 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2222715:2223352:1 gene:PPA10983 transcript:PPA10983 gene_biotype:protein_coding transcript_biotype:protein_coding MCPVLWNDEKHDEFKLQVTRPPHVPREDIIMRPLDTLEKLHVKAGDSSFGFTIVHVDNEEDTTDVYSMRTVFPLFQWIHGPFKVPLEDTVVAPESGLYKVWFSNSRAWFYSVTIRHHFEVIKDQVID >PPA10656 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:434888:438767:-1 gene:PPA10656 transcript:PPA10656 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRRLADLAFLFGLNAFAHSQYQAVKKEFAADNAWLHHALALEMSAYSLHLAHPQLTAAQFRCDYVENALGGLLQNGARWTSLLRAGLAAARIHTHLDQHTQAAAQLVRLTTVENDNAVGVALWSAAGSFARAKMHRKAAFYRFLAAHRWSKGGQPSLQLAAAAAAFPEYVGKRWSLAEVYSDQSCSLFVVLVFMGGS >PPA10800 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1487817:1490105:-1 gene:PPA10800 transcript:PPA10800 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEFRSFECTHRLLRMRFAFGRYASAQDENTDRVLGSLLNGSVPSPMIHTPNNAESYIIAMFWNGETFEGPRWKVIGTVFGLSVMLSGNYCVMVYCTLRIMRLLEFAVGRYACVLDDDVWSAIGPFLNGTVHSPVIHSDETADRYIMALYWMIFPLVTIYAACMVAMVPPMIGIRTE >PPA10858 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1742320:1743263:-1 gene:PPA10858 transcript:PPA10858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:H3FVM8] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKVFLENVIRDAVTYCEALFRATIIFQTKLIRGIGKKCVIVIEYLTTYFVTTLDIMPPKPSAKGAKKAAKSQKAVRTGDKKRRKSRKESYSVYIYRVLKQVHPDTGVSSKAMSIMNSFVNDVFERIAGEASRLAHYNKRSTISSREIQTAVRLILPGELAKHAVSEGTKAVTKYTSSK >PPA10919 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1985037:1986425:1 gene:PPA10919 transcript:PPA10919 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVEFSSADQLKKLVDKLTNGGKVPTETIDIYGFPAENPLYVFYFERSHHQFPQLHVRTPSEGHDCTILADGLKEMMSVALSFIENQGKELLLDADTVVQEAMKLTSPDGFKIDEIKIEKEYEGIHAALSYADEAAPELTRLRLGNIPSVCIRDEDGNLASWQMSHHYGQLTHLYTVPSYRGKGLGVLTELLLAHKLAKCGLQVFKYVDFDNEKVFGGTMRHPLWTRWVSTRENNEKEEGEEDIMWSYSIFTLVQ >PPA10833 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1633533:1635084:1 gene:PPA10833 transcript:PPA10833 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIRYYHENYPWLRGPMEWALYHAYNRVVGLHLKNGRIAVNAVKTLTNLYLERQMENIDRINENDDLHLLLCYSGKDHLIETEISEEYAAAFNGLTHMVCTDSSKEDTVAREIEKSFLSSSHHRISVFFSNDSHFAQKKRAQLIARGVVAISKSSVKANL >PPA10633 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:300323:301628:-1 gene:PPA10633 transcript:PPA10633 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKSDSVGQGESLASSSRDRPSSSIGGGGGGEKEEEDETERERKMMKQVVDNELWLVGWQANEDANLPYLMREDLVTRNQ >PPA10713 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:910009:919412:1 gene:PPA10713 transcript:PPA10713 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLPREDHLPWEATSSSLRGGRAEEADDMGDLLLNGDILDDRMREEEEDEAPPTLHENEKAVPCLESMSASSSSTELTATACRAGLKSSLDMTQTLAGSDHATPVDKQLLLMNGVLREMVDTNFKAGRAVAQLYAHIDKIPISTLGLHELAVVQFSRSFAVVAEAALREIAQLRGSHCATIAHQSAPGATAPLQQQQQQQMKHADGFSWPEEMERVGREASRGGGGGQWSSPLQQQQQSRGNAFQGFGSAPQQQLQHGNGWWTGFGGGSSPDARSSSQQPMQRQLLGFGPPGGAGATASGSNTTSSNNGGGQWNGGNRGGSASGGGGGGFNGFGGSSSSGASSSGGGGMILNSTTFSTVTSTPSGGFQGGGSTTGFSQSSSNNNFNGGFQGGSTTGFSQSSSNNGGFSGGSGGRGVGAPSSFSTGTTSSRFQGGSTMSSGFSGGGASSSSTGFNSSSNGFNGGGSSAMTSSEGGSGRGFNGFGGGSGRSPTPTFNTQSKEAAGKIKPTW >PPA10909 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1940158:1946099:-1 gene:PPA10909 transcript:PPA10909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pxn-2 MTTWSSLALVLQLAAAAAAGSYCPTECRCKPAEGIVRCEEFTAGHSFSLARIPSNTTVLHLKGHTFASLSELPPLSHLASLFISYGAIESIPENFLDSFPSLRHLSLGYNELRSLHSMTRKPSKLQILEVRHNKISFVSLSAFDHLPVLNTLDLSFNDIRTLSPALREKGPALEHLRLHANPLHCDCRLKPFLGIISSHGAQETRCHSPKRLNDAIVSEITALDIECAAPEVAATDSSTKQLRCRHGENAIWLYKEKEIDNSLIIHGNGNLEIPRGADESEFSCASDKYEESGRSPRHLSATTSQQGSASSFTFRSTDNTYREGTPVKLHCEVTGKPRPTIEWFYRNERIVASRKFELSNGDQILKVFPFLETDVGSYVCRAANAYGRVEHTVRVDLVSSVPPTIVDAPVASSVNPGEQLTLRCRATGVPRPSITWFFEGAEIPRARGRFSVSQDGTELTISHISRQDDGVFACMAANTVGSIMADARVTVKGVQAIDASFDETTLKGIVEQARMNVNKAINSTKSDLTQEGVRSVGDLRKLFRFAMPSQATELTKAREIYEESFRLNGLFKNTNPCSNMCFHMKYRSYDGQCNNLDHPQWGAAQTANVRLLPARYENGFNTPVGWNKGRLYNGYELPNPRLVSRQLVATRDITPHTTLSSFVMQWGQVIDHDITHTPFALSRTSYVSGAVCNRTCDNVDPCFNIPLLSDDPKINSANAEIYKKFPCMEFERSGAICGSGETSLLFQRLTSFIDASGLYGSTEVQALELRDLFGDHGLMRFDIVSAAQKPYLPFEKDTGMDCRRNFSSENPMRCFLAGDLRANEQVGLTAMHTLFLREHNRLAAKLLTLNPLWDGEKIYQETRKIIGAVMQHITYNTWLPIVLGKTAYSELIGDYMGYNPNVDAGIANVFATAAFRFAHTLINPKLLRLDKDFKTIPQGDLPLHKAFFAPELILSEGGLDPLLRGLFASPLKLPKPSQLLNTELTEKLFNRNVDIALDLASLNIQRSRDHGLPGYADYRRFCNLSVPLTWADMEPIVKDTDVVNKLRNLYGHPANIDVWVGGITERRHSEGLVGPLFACIIADQFKRLRDGDRFWYENPTVFTPMQLQQIKKSSLSRLLCDNGDEIDRVQRNAFMYPGNSTQLYEKCENLPEINLSVFSSCCDSGCEGSSVEDLHELPVRRQRRTTPKGCEVDGERHADGQQWEKDQCTKCKCEAGTVWCAATCK >PPA10703 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:800747:811865:1 gene:PPA10703 transcript:PPA10703 gene_biotype:protein_coding transcript_biotype:protein_coding MNCPSKSQLMISNRIAVLEDRRLARRRENGVEPSGIFGILERFLDGLLVAVHKITQSFQPRRGVFIDEGRNEIRFIDDPDAIVREPPSPSTPAPAKSALKRSSSAPAASSHHRTALLLSSWSQDMEFSSKAGDERPKPPRGTRMLRLKAEKEAASKPQPPPKKLPSSSEEDSDVSDTDKDAKQKNATKEAAAQRESRSRDSEDEDAPPGPSRGRRRQPTPFVRGASHDGSSDGADSAGASTSAASKTKASSQSSKSKTDFSDVSDEDDDDPGLKEKPKPFEDIDEAIAAIEKALREDAEEEAARKAASKASAPPPRPPPKQASTVDVSATSPPPAAAAAAAAAPPQTAQSDTVLLSEDDPTSDFVEVLAEKRPPSPTASEIEILDDAAPVRGAGSGSGYSAEDLAEMMRGSIVDSDEDAIGAGGDGGSPGGISIGESDEDDGQDGEGDDDFMHLIDGEGDIRENVDEPMEEQEESMGDTAIRRAAADAEAAEEAIEDLVESMDDVAIKGSPKKGAAGETTKRKKHLTEADKLLSDEGALKMLTTASPRRRRAAASDDKKRRTSPRKPASATEESDDSQIEKMDGGDDRDKKKKTKKKHISEAERLRMERLRVQNIPESLTPRRQSTTAQGGTSGTTAHDESPMASEVSDVAVGEKSVGEEEAEKTKGTDPFVAPTSPAARRASLVPDSSTESAESSAESEARKKKVEARQALPMKVASEEDSASDTPGKKEKRKRLTEAERLLQDEMVQKMKESQEQKRSPKKTGVTAGKSKDFDDEDDEDDDEEKSKKKRLTVAGGRLSGSPEKEQSLAKKSATPVDSEDSEREDDDTNQVEGKKKKYATRTEKVAADDAGVMEMTSLTLPSAPIDDSVEVSTDETKEGETRSKPKRKRRSEADKLLGDEGVRKMLAEEESKSRRRAEGAEPGNQAGEEPVEEPVDEKKKEEVNEEGDKKRSEEKTKRKRRLELDTLGLDEGVQRMGISPLGERPKRRGRSVEGARTYALDLDEEEEEEEEHQEDDWMPSDADHDDQEPMDEPAIPEDPTIVDEPMEPVDAQAGEQPGEKADDVFAKPATPSEASTTPSAKSAAGAVQSGAEGASSAISSAPSTEGSSAAGGVRKPKRRPKTEIENLLFSDETSIKHFEEQKRNYEERQRELQKSKISKAERARIMREAAARQQEEIAANVRRIDEAEAEEERQMKEREERQRAEAAAKRAEREAQARREEEARKITEKMELAELRELLLREGRQLRQVHHRHSSVRSSTRLEEEEEMAARGRERREARGTSVASHTSHASVRSHASIRSHVSARSARLEEEMAARRAEEAAHPERAPARMTPAQLAAVAAHMRAVFGLRAAPSSGLQPPKAEEPQSSKSVVGSKARSRLEMEEAARLAQHQSELMQQQQQQQQMREEEEERREHNRRVEAHDEQVARMMQEREQRLASAEQEQLAAALHESLRTAEHEKALRRAQGQPDSDDDDNETGDEPKPGGSGMSRQRVTLDEQLRAASVALGPPPESASTRAEIAEARRNKAAAAKEELLASRQGRPVQPPAEEVEQFEHESEAMRLATMEAIYNESKKSEVQKRLEAREAAEAAAAAAAAAAQPAADSAGSSSVSATASIVDESPTTTKPKQRKQRVREADKLKQDEGVKKMNIDNDSPDAPKQQRTRTTVHREGQIQLPDELPEGEAENMFDAVPTQKTPKQQPQQLQQQPQLQQPQHLHPLRQLPPQPQIRPNELIESMRQVSVSVNNVSVRC >PPA10898 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1907454:1908388:1 gene:PPA10898 transcript:PPA10898 gene_biotype:protein_coding transcript_biotype:protein_coding MKCHLHGSNVNMHSSQECPRNECTCRKCLLIDDRRDIANKIIKLSRKQKMEQEGNDTDYRYMCSRCRNHGIRAYKRHHIPCPFGLCKCDECNLISERQRIEREIKAACMGNYPNLHSPSTSDTDSDSEYVELSPEAKVILDLINALTCDSFDPEKFDYNALSDLFSKPYIRIPDEWIPMMGDITDLLLISLRRFPRFDGIDFTQGLSM >PPA10739 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1113582:1123058:-1 gene:PPA10739 transcript:PPA10739 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-thoc-3 MVAADSTRSGSGGGGSSSRRMVARGTSVEDFTEHFASMRRIRGFELQGASSRGYSSQLDSRGSMLYSVAWNADGSLIATGSEDKIVSVGALDLTGKFRQTLVGATHSDSVMKVAWHPTNPSLLASASADRSICVWDMRQKNTKPGRITNKAACTSVAWSPCGQYLVLGDKDERLSLVEGRTFSIGKTCDLSKAVLNEFTYDASGKHLIVALGAGKIGILDMPSLTLSRTLAAHSPQSSCISVALSPSGDRFAVGASDALCSIWNSADLICERMISRLDYMIRCVSFSHDSQLLAVASEDHAVDVAHVDDGARVVAVPTECELF >PPA10776 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1392865:1393163:1 gene:PPA10776 transcript:PPA10776 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLVLLIIMFACLISITEAGNRYYYANGNYYGYGRGYGRGFRRQNYYGNYYNGYGYYNYFG >PPA10855 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1720021:1720734:-1 gene:PPA10855 transcript:PPA10855 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGHNQAIEVVCTEFEKKHGRSIGRGGWMIMEHRRDDYQYEDPWYIMTLFLFDVVMVLSLSTAVTLGTLTFVGIRKGITVSANKRSIELKLLVAVTAQTLVPFICVYIPYFCCLNFPAFGIPVGLMTDLCMFLTSCFPVWDAVIIILLMTDYRIALWGMVSKRKSKASLASVTQRSTTILKSS >PPA10976 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2202567:2204227:-1 gene:PPA10976 transcript:PPA10976 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLLPPPPSLTGPLQPNDALTKVEYLLKDEIKGPESLVVDGDTIYTGVHDGRLLKIVGGKIVKEIRLGDTQRQFGGYEDEIHLGRPLGIRKMEGEKMIIADAYLGVFIADFENGSKQKVFDSKIPLDGEVAMFLNDIDLISNDEIVFTDSSLLYARKDSMRDILGARGTGRAIYHRISTGESKVLMRGLHFANGIQVALAGVRCAGKSNGLERLAKSPKLRQILPNHFLREVLPSLAPKETIVVEIDLNGKIVSSLHDEKGEKMKDISQISDSGEYLYFGSFKAPYKARLKKDLI >PPA10603 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:155344:159518:-1 gene:PPA10603 transcript:PPA10603 gene_biotype:protein_coding transcript_biotype:protein_coding MMQINRERPGVDEMKQLAKALPSSDRLLFRRLLQLLENFRMRDDNYRDLVVKSQGKQLEKEIIPYEEKSSCPLKSLIIAVARGVLSLVEFVRPKHGKELHCANEETLKELRGLLNKGHFGRSAGLLKLMISALATSIRYGPDSEKAGQPTHELVRGVHNSQKDVTFGQEPIDRLIIEIGSGVVDLLECAKQAALGRMENSDGDSTQAMVEEDVMIDGVTPHQQQDQAHRAVTSARSQKQQNHEAVATPVCQRPQNTATPPSRQHWVGKAAAAWPAPDAAQVAAAARGAAAAAAAAAASLPPASSSFECDECPRSFATEKGMRQHHSMMHKEAAQRRREERAASGAPSRARRSRSRSVVPPRASRAPSAARGVAADAAADSQFECDECGREFATERGMRTHHSRMHKEAAQRLREARAASIAPARAQRDRSASVAPRLARAKSAAPSSTAVLIMSARRRAEPLAKRPAAPAAHAAPAATDTTSLSDRAAASLKRAAGGLGATTPTPPSSPSPATTFNSVFAAATLCPAATSAVSAAASFNFAFAAAAAETYAVKATVSSIPYVCELCADKSFETERGLNLHHRRMHGEFAVRRQRAASLAPFRTRRSRSMSVAPRVERSKSAPATGHPCTECDQEFGSQQGLKAHYTKKHSDDAAKRRRYREFMSPARPPVKRARAATVAPEAADGPRRSILITAGKPTRFERDI >PPA10689 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:697365:699571:-1 gene:PPA10689 transcript:PPA10689 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDRREQIIDLSGLETMQAPEKPLPPLPIEEAIYENAVYIKEERMRRSPASQNAGKRSTLTV >PPA11014 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2364338:2367283:-1 gene:PPA11014 transcript:PPA11014 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGEEGVTMVDGAEDGEEAITAEGEDIMSVDGAAGAAAGVVDMEDTDGVVATVAGEDMEGDGEDGNIHMGLYSFVVFGQGTYDWPMLPALGGSQ >PPA11006 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2319053:2319840:-1 gene:PPA11006 transcript:PPA11006 gene_biotype:protein_coding transcript_biotype:protein_coding MIFETLNCAICCCMLLIFHAGLRRKTKLLLGMKDDSIRPLYSVATASDMYFDDLRRAWN >PPA10910 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1946928:1948212:1 gene:PPA10910 transcript:PPA10910 gene_biotype:protein_coding transcript_biotype:protein_coding MVELRTVLAFVSSFFGLTTTVVNLLILIAIFGPRRAYYRSHFFYVIYMVGVLIDIVALANCHLLALLPSKGLFLDTFLSSTKLGRTMYYVMYCYGFIMNANFQLNLETIYVSYFLFTDLYSGVPPYLLLLFSTPIRQDVKRILSPLMNGVVRRIDNKVTPLSTDEDQV >PPA10981 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2218487:2219311:-1 gene:PPA10981 transcript:PPA10981 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIEDLLQGVVFQVLWFIVKREEFNESVSNRFIRKHEQKKIKFTMYDILLEKEALFGLLPMRELKAFGDVSWKPDDGTFIDLVRKGHTILEIPVDISSEETILEVLKIISDARKEQYVVFEVEFDMLERFIKLMGLQRTTEGLVEMRNDEYIEDGLDDRHGFTGEWEMTYLRRAHLRVREPMNNSLWTVTVWTYAAHDQS >PPA10638 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:322496:323236:1 gene:PPA10638 transcript:PPA10638 gene_biotype:protein_coding transcript_biotype:protein_coding MPAENKVAESSSSDNKAMLAGHMAAEKVGGKRVVRKDRRQSDNENRTPSEGGSESGKSDELKQAENVLAHTGLAAQTNIDYPEAAVKAYHNKPQPR >PPA10720 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:988729:989688:1 gene:PPA10720 transcript:PPA10720 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLIEFQYLNGAVAQWLCARNAIRKVTSSTPPALRSTQTKGVKRELENCCKSDLRHAGAKLVCLICRARTGFVRLWEDLESDMPQEGQEPPEGEKSEGRKRRKDDEDKHDKNAGPRRSKRLAQ >PPA10990 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2245687:2246468:-1 gene:PPA10990 transcript:PPA10990 gene_biotype:protein_coding transcript_biotype:protein_coding MWSTLYAAAAAAAAARDHFVENVLVTHSDEKPYMCSLCRYAARRKDVLTRHVATRHRSKT >PPA10791 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1457288:1457712:-1 gene:PPA10791 transcript:PPA10791 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSWEPIHWIALAIDLWFQATSSNCITNCITDPDVGFFHITWKHLNFSTGEMEWHMPTIIAMGGSSLHTVGTGAVIGISIAVINRSMM >PPA10994 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2253495:2254198:-1 gene:PPA10994 transcript:PPA10994 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSMEFLCNLEIAVQSDLRSTTSALAPPTDLEQTTLFQLNDLSQCTAPLYISTSFSHYSSSSLERNLLFTPPPTMNNDNSNISGFAAQLAASLNSSTSSDSNSSSSFGGSSPPSGGVGGFSSPSSHPGMTAVSNPFFIGNMSSSDQDRLKDAFSRSPFQ >PPA10690 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:700802:701807:-1 gene:PPA10690 transcript:PPA10690 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLAGFNLLLAAFSVAMALVALGLLAFVLLREKSPESRSVKVKRSESFIPEWKKPENLNPDFLQFHTLMYPKKAEVKKPVRNNQYELLVPLGDD >PPA10879 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1793652:1798226:1 gene:PPA10879 transcript:PPA10879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-egg-3 MADSDTSSIEIKDALIDESWFVAGEPYSQVPTGLKPLLASQSPDSQDDPPFYERWISSAHDRALLARIAKYEHTELKMFIAQKLFKIDGIVCDEQTRLVLIEKMLLNGREQTADDHEAELKRKYGGELSTWLLDRLLPSVSECGQLIDRATHEYNRLRSLDPLCNWVNDSTDVVSRVIRCVQQTAASMANKVGWSLLMEPGTLSCHLSEFIGEFSRLEFFFSNNPLTPVEAAQIAFNKNFLTKARSKLLACGDSTRVVLRPLRDADGKVSVEDEQFRRSRLIEEIFENDGQVPETDFWRMVLQERTGLIVMLCAAVETATLGPLDRRPLNYCPYYWPKVEGQTLRFGSISVKNLRVDGTADPLFNVTHLEVRRSDDPRSEPLLVQHWQWDWRSYADLHWPFRILRRARLSNSPTVIHCMDGCGRTGALVTIEVALMQFIRGSPQPQEVVFVSSLFTRLQRRHAIMSPTQYLFIYRTLLHWMEPYVTSYYQRLALGVSWFSKTGFVHKYDKYVRDNTKITPAY >PPA10916 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1965823:1968144:1 gene:PPA10916 transcript:PPA10916 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSGQQWLAALVDNVCWSRSPSPIASSRSPHHRQGALIIVKESSSSSRSPHHRQGALIIIKEPSLSSRSPRHRQGALVTLSLFSLFNPLPSPANPPRYKEYEMANHPLACGRIQPPVFEKHGAKSYAEFVTEFYDYLNCIESTTEQAKRMLPTLLRGEARIIYQMIPFPIRMDDTIDMDVLIKEFESRLFSESELELYKDEIQDGRPVHVFADEIRRIAEKAYPGSTTEMAKNRDREARESFISGLDDNIRLDVRKAAPIGFGLAVKSAMQMESIIKKEKKDAKIDSLIQGGMTNGTIHGTNIPPGEDISEVEDQEEITVAIEDLDLDMDKDNIEDREEEEEIAVETTSEEEIEEEVAKDIVEDTGTDRIKNRLTIKKGINKEREEEDLADVSMECISVPSSLSSAFLSYKQSMVKATFRSSNSANQEKVVSL >PPA11024 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2397508:2399320:1 gene:PPA11024 transcript:PPA11024 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSTSTINFINDRIAASIIFGVGMSGIIVNFTGVLLTFRIRALRSSFGRLTAIFQHRTRHLIIFYGVVCVGHFCVYFGDGCDFYFNAETYFWEFADTPCGDSIAFWLDFIFGCE >PPA10621 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:238761:240309:1 gene:PPA10621 transcript:PPA10621 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPSAVMPIAPASLPPTAPNVIYLPIGYHDIPSTPSGSVVMMLGQPQTIVPIAVQRPITHGAPLLPHLASSLAVHPTMCGSIPIPASLQLIAGDVITTIPVMAASSAQHAATDRAAALTPHGHHPPRVPPQPA >PPA10774 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1385134:1388429:-1 gene:PPA10774 transcript:PPA10774 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFEPFARSDDYEIIEQFLSSGVSQIVMDMRDVDYRQKDFDEEKLQLFMKNLCKTNRSVCITLRNRKPGEFFNLRRLSYGPLRAVASRIFRENSGPRYEVTDQTQTPTIPTVDSKADGKNDMFFANLAKSPGPYIPGTSWSYWKRMFLNYLAIRQVTDNRIKVLVLLTELGQVANTALEGLLHGATPEGKPFDDLLSILEKHYTPKVLVLAERYKLLQISQKDNQSLSEFYAELSQAARNCRFTSVTDFEDCMVRMAFVKGIKNKNTRLRLLEKEEDGDSSGLLTLAQAFEAAQNQATSLNPSGAPLANVIGKVERNQPPRNQNQNRPRPGFDNAKRPPGHFQNRNRPPNHGRSQHGKGKPGAGSKCFNCDRSGHFASECKLPKNRFPKAHVVDYGGNSDDDLGIFQMTVQSVTVPPTLITVETNGKPVKFELDTGAALSIIDEVTWKMLGKPALHTVSYCASAYNGARISFKGKCRVPVRLDRTETLFDIHVLNDAHQKLPLMGRDMIEALRMDMGPYYHGSAQVDELSRTKTVEQRLEDVLRANADLFRPELGKFQKKQAELKFKQENPRPVFRRARPVPHALRPAVEATLEKMVEQGVVTPVDHSDWASPLVIVPKPGGKLRICADFKQTLNPMLDINIYPLPKPDDLFQLLNRGDKYSKVDLKDAYLQVELCDEAKRYLVINTHRGLLRYERLPFGLASAPAIFQKAMEEMLAGIEGVVIYLDDVTITAPNDAEHLARLAKVLGRFLAAGLRLKREKCEFLKNQIEFLGHLVNRRGIRPNPEKIKAINEMPPPNDLKQVESFLGMIQYYGKFVPNLSATAAPLNQLRRKVGEKPSKMPSRN >PPA10993 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2250360:2251437:1 gene:PPA10993 transcript:PPA10993 gene_biotype:protein_coding transcript_biotype:protein_coding MNVAVLLALFKGGMLRSMSHASAAFDFILMYCWYHNSLSHIALNRITLSWTVFSYMYMTKNDSVNYSNEYVDLPVNSSCSFISMVAYIVAERSFHPEVSTVANTKAMKRNQEYKYALVMMNPN >PPA10641 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:333675:351020:1 gene:PPA10641 transcript:PPA10641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pbrm-1 MGDEKAVAEDILATIIEHADEHKRTISPPFRLLQSPEEFPLYYEKISMPIDLKTIAEKTRAGCYTNMAALEADIKLLVSNAKVFNEPGSTIHTDADTILKVFLKKKNDSGHHARAVSNKRREHCREVVDALIAQSSSEEVDEAYSEDSEEDEDLATSSEWGWQLYWAVRNEQAEGSDESLVSDPFVELPSKRYYPDYYDEIKCPMSLFMINKKLKMGKYGSLDELVKDFALVFGNAMEYNVEASDIFKAARALKELTLKKAKQLQPTFDTVKAKKLQPTFDAVRWAAFRPPTQDNAAAEVKVVSSTPAKTPKLKALKVKEEPLDEDMMSEGSETPPPMKKKMRRMKPISTGFTPLPECKPGRKSVDELMLRFRMKLLHFWNLVYDYKEDGVYWPAGAFVDLPDRKQWRDYYEVIEHPLSMSMIKEKIETNVYESSTNLIADFQLMFKNAKTYNEPGSDLHRDASRLEKMVVEAHAAIPDAPYDSPLILKEKMGWIKTKMPMYSSKSKQPMALGAADSPAPVRHFGLLPPVPPYSDGDDSNSGSSIRSPAPSSIGKAAGATPSTPASTYKPKIPIKERMEKLPEEGRKMYELLFALKNYADDAGRNVTAAFHKLPSKIELPSYYEVIKKPLDFARIQQKLTCQYYRSPADLIADFKLMFDNACKYNEPESLIYKDAITMQRVLLEKKKEFFSRSGDQPFSAQGRGRCVVSSPGNL >PPA10696 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:744693:755709:1 gene:PPA10696 transcript:PPA10696 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLTPQEQWLFDDVKEMFPNVSSEALREHLHRDHQELTNMILDGELEMGDEYINDHLQMKEDSPPQPRRRVQQMEKENEDGARQPKQRRKAMDYEDDEEEVDMDRMPQRRQRQNTRYFEEEEEEVDMDGMPLRRQRQNARFEEEDDEEEDMDAYKRRRRTANGRGARRMQPGPMFGSPPRDDDDDDDQMGMQGGWLVAPPPSEDDDEGEEEEEQGPPATSVYGGVNGSNRKGVARMLPVVVKQEAADLDDLFDQTDDEPPKAAKARRRQSRAKTPDNDYDPNFTMRPLKGGERCSAHQGKPLIAWIECDANIVRKKTGEVGKPHLICLNCLMIRHADGRFNRTNWEYCCPIRGCKGLIAVAKLHAVLRRHAPAFLKQVQQAYRAAHPPPDEEEEEEEREEEEGDSDGTIQAASVPSTPARRHSQHVQQLQPAAVTPLVKRLSQTSTPGSPRSQHAQPKDFTNLVWTPASRRKSKARAAAVEEEPVGEGEREEDEEEELPVTEDEADELRMMASFVDREKLKKLQAHFPETAVGWLVRHADYGLETLSAMVVGGEADPLMRERADGRGATTLIKHPNSQTTLADRKQFECSVCFSDYDEHLAIQCKGATANAQYSTPVLRLR >PPA10899 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1909982:1911308:-1 gene:PPA10899 transcript:PPA10899 gene_biotype:protein_coding transcript_biotype:protein_coding MARQTSHRRNEAVDRACKIGRDRDSNFEKILGNTSNFRADLKSTGSFGGRDYENQTLTHNPIVFVHGVSHTVGTMMKEAANHFKWKGNYTDAELYGTTYDNPRGDKMKWMQYKMSCDHVKRIRFMIEMVYEYTGRQVDVVAFSLGVPISRKAILGGNCVDTNEFIGPSITHKMGTYVGVSGPNKGVAPVMMGIPYALCAMSPLIPVCNPIDGLFSGFCPFKSRFINNINSVQKYEGQHVYSIGSTMDEVVGHMICMEVTTRIGGQQGEKMYKDQKHDATFRSSFDIQLAMLSGQPF >PPA10592 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:98007:98215:1 gene:PPA10592 transcript:PPA10592 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLIENQVKTHFTQHTKCNANLDTVADDGVAPGHLHCDTTIACRRSLFGLISMC >PPA10734 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1094554:1095826:1 gene:PPA10734 transcript:PPA10734 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHVSSIMRRPRVAAGSSYYDQVPTIIDGVPCIRELADADDLSRYHADELSADEVTRSRALADEFLSDEVTLVRDLPGASVDLARTYVVPDAASVVGATFLIDAPGADARTDNLLLFSTDCVTLNRDLADARTEVIAPVQRTSTFRDAPVDVSAYFKGQWEQEEALRRTIQARKSIIRPRAIVEYPIDAVVDPPAKATMIRNAKKTPRGTPRFLQQCPVCSLHPSTVTTVCGHSLCKMCAGKMRELQSQ >PPA10675 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:621376:623359:-1 gene:PPA10675 transcript:PPA10675 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAANKEHSLSNSEKSKRGKKSSKRSKGRGSGRRNTTKSTTKSVKEKVKSILPDHVDLDFWHGMLPNEDTANLLTIRDERPKTTPSGGGFVPAAQGTKIAMEAAAGLDYLHSKGCIHRDIAARNLLIEKVTKGPRRAIGKSFQPAINAPRLSLIGHVIASAHS >PPA10865 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1764674:1766412:1 gene:PPA10865 transcript:PPA10865 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPIYSWFYEDPFHSAFLAVTASLSVASNSLLLYIIATTTSASIGPYRWLLAVFAICDIMTSAGHAAFQPNMHMTTSGFYFFPRHGEMMIGGCSFDTIFALVFIATYYQTFLVLAYHYVYRYKTVTSGITRSFTDYWSRTQWTSIAVVIYVLYIAGFVGTCAIAFTPANETRALVPQEIHDIYGIDLRDPNRGFTVIAVRRPDPVTGAMVWHAPSVVGLLLLLGMFGGTATVIISSDNHLTVKTRKMQMDLFKALLIQTAIPVLFSYAPLATVLVFPAITGEFFIVIILFKYLRKIHVMYTLTGDGMFEANEHRMMLSLAGISLGAFGNVLFSTTSIFPSIDAFFVLFFITRFRIAVIRLFHLPFTVHTGSSVEKPTDSRSNTTKVTGVTTERVVSLHSNA >PPA10756 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1252043:1253356:1 gene:PPA10756 transcript:PPA10756 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDRAYRYNFDSRLRHVYFDLKHKDDATIIAGLAKFGLTKEKLFPPGGFFGDCACCGKKAQAKAKLVKEELSSELGNVSARRILEAAYKHEVVDYNQYQELSGKDDEEIEKQLEHKGIRRENVCPQVDLSALELIPTACQPCFSAILREANALTRRDATIYGLICGQLMLRQRAKERENGNEIECPNCITMHILQ >PPA10786 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1426767:1428679:-1 gene:PPA10786 transcript:PPA10786 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTLLDYGLAMSPPKRMSDFDANISNRRRKPVEPQCKEQCRIPSCNGCKSFFRRTLLEHRNFVCESNARKGEKRHQCRACRFQRCVEAGMNPNGIEVEDQVREVLQNAIKQQPLFLTNKTHGRNRGPSRFGDIVGLESTLLHQALKSKHLQSLMFALGLRPGKIVLMDEICEIDHLA >PPA10649 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:415930:416728:1 gene:PPA10649 transcript:PPA10649 gene_biotype:protein_coding transcript_biotype:protein_coding MFVNLHFFNSTVQFNALQPASPPTVIALTEHADASNSTLSELSPPSTVRVVADDDANRDLEDAFRAATIDRPTYEAVLRLRAADSTPESEPHEVAKVAKARSKLCQRLRQENEESTTLGHRFSRACDVCLTVVPRQRACFTGCGHAVCLACAEELAAEADARRRPLACPDCRTEGGFVRLFERRID >PPA10954 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2106822:2107758:1 gene:PPA10954 transcript:PPA10954 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMTMIIVIFHVEPPLTPDDVTSVTVDSSERSSKPSNLFTTTVRGHLTALSIDTATTIVASSPFDCSL >PPA10665 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:532308:541633:-1 gene:PPA10665 transcript:PPA10665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dyb-1 MYSNTKRRRKTNCAKIGPTTLTRYASPPIELRANYDSFRRRPMVKSSRLELLLTTVYHNLNKRLDPSQQIDTDRSITLLQSFLLGAYDKQQTGRLTVFSIKIALATICAGKLVDKLRYIFSQIADPSGAMDHDRFADFLENALALATAVFEAPTFGYTEAAPAQCFPKDSTVNVSLFVETFLAEPTPSCLMWLPLLHRMASVEHVYHPVQCDACQARSFTGFRYKCQRCANYQLCQSCFWRGRTSGSHSNEHEMKEYSSYPCSQLSFQKSPTKQLAHSLHKSLQCLPTASAKAGSSPFDSRLHSSSSRPALDLSNIIPATPNALRRLHPPDPKPAAAAAAPPLFLPGQLSNGGADDEHRLIARYSAKLSGRAEYPVGSGRSLSERCLDDRTMIARLEEENSEMIREMNRLDSQVALSEDDHMSLIRERKWRLEEQMFAMQAKRRELMTQLEQLLPALNNLQSPANSVAGSALQLPDLTSRLSTAFRGSSLPATSLQCDLLSAADDITNNMSTLVRHLDTGENIYEFVAMVRSVY >PPA10743 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1143864:1146227:-1 gene:PPA10743 transcript:PPA10743 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVGDTRRVAQADGRGFSKLGMEESGVMNFWSDSGNTRSCNYFLFVCVTATSDLICYLFIPVRLLIFLATTYVWMNLVWHVNGGFLPTMRTLLGDKTQAAPVVVLWSYFVIFEAC >PPA10829 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1616245:1620946:-1 gene:PPA10829 transcript:PPA10829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-atgp-1 MALDETVPLADDTKINIEGAKFDKSKDAVHVDVGQKMIGLTKEELEHFKNDPCWKRIRLFLFALFWLLWAAMFIGAILIVVLSPKCAEKQQPKWWQTKVSYQVLTPTFYDSKGDGVGTIEGITQKIDLLRKIGVTTVYPSPVLTVQKDDFFDAQAVIDHEHVDPRFGTNDDLKKLIEAAHDRDMYVVLDMPLTSVSKDHPWMQNLSLKNDDAFIWKTVTEGNTLPLGFYDAPSRGGAKYLGYPDAAHPVLNWKSDKVKNYIMGAIRNLIELGVDGFNLDHVSRLAVDADGVSQPHEALQKLAEITADIRAFQKSKPELAEKEIVLFSSMQDMEDEMHARVIDSKDLMYTINNIFARITPVNCGMYIAKCVHDQLTAAADRFEFGSGFTPFWQFSNAQKSRLASRFDADTANLLTFTQLTLPGAMSLYYGQELGVKDGVGATGSIPQAGVMQWEPKGDDHHGFSKFAGKLFFAETEGGDGASDNFESQYAEENSPLKVYQKLAKLRQRDEVMIIGKMKRDVVKGNVVVFSRYVPAEDPEAAAGAAYVVVLNFGAEEAAIDLNSLGAGVLPKGKTLNAAEITAVTPGVTGLRARDKYDLSATALKVPGKQGILLRV >PPA10751 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1200721:1205324:-1 gene:PPA10751 transcript:PPA10751 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSASSLVLFFVISSSIVSAYHHHSNGHTTPKPGPTIEERFAEFSANRTKAYNSNSDYFEPDRDIGSALTKCPDYCKPKLGNGQCDEECNIDGCFADLGDCTTRKKCWELDCPEDGKCRPICEINSCMPIPVCKVEEMKYRQIAVLVTPAASAKAVVKMTPRVEYMISHNANDTYRKELGGFLGPDVVFLSNKQGPLVWGHRGDYRMEQVKYNPNKQTVIQGGCTFGRGSISVISLRDPLDRIISYSTETEQRKKLMELLEVGVINPRKAFEITLLVVPESVLEGILGWFYFTELYRPWKVGQFRFFLTHEIHSEINDKYIVRDPKHRTAATTRFSVDRSTSRPSSLHYLVASPVPIPINNDFIHLYPHPSANNDNSLKAIKYSIRTVDLQKAKAFTSSILVLSIVSCAFAAFIDGLEASNSFRERAVDLRTDGFFEDFGQPLRRTRFYNVRGKFYDIVSKWKPGRQATKAVMRSVQSSVGNTRLSPITMLYPLSDRLKITQKEVAEGTKCDFNKLDALQRPNFNECCTDLDDCSTTNACCHLHYLFVQRRQKRFNDCKRKPVCSVGWKEENHQLPCKCDDFFY >PPA10678 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:639584:641815:1 gene:PPA10678 transcript:PPA10678 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLFVIRSAQRPLAAPAATAPAVEETLSISSSSTSTQTCNTMRAFASLVAV >PPA11010 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2345203:2345481:1 gene:PPA11010 transcript:PPA11010 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRWSSYPDSTIVETKYGKVQGRRLIREGEKQVDAFQGILFAKPPTGELRFKVSNQNLQSGGMV >PPA10716 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:943622:967956:1 gene:PPA10716 transcript:PPA10716 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHEQAAQCWRSLPATLRSVHEFTSGSTTAAGLLLHRMNAVLWAAVDTNYGARSLDAIVALYELITVEQPGMAVRCEELPLLLFSRSFAVVLEETVRPATVSNPLYRSVMSCEQAALYRRELQRTLSTVDEFTTRATTASGLLLHCMNAVLRAVVDCNFGSGSYDSIGELYESIDREQLGMIERCEDLPRLLFARSFAVVMQEAVRQRVAATATIPDSPHIEVLKVLEIRQAEEQPTVPPNIPPRTESTLSESSYASREDKPSEKNVDEKKKGMILAQCTVPIASLRPGAFRWGETPVLDGSSDDDSATEGNNRRFAGNDASLSGQHGVGGVVEWPQYAQRGDTDGRARAGGRRVRRNGCVPKGGRQIAVLYRYNHTPSITPAPSTARLDEGELRDTEEATVPPPAGDTVSCWSCDTPCEDQLIWDDDREEDEKEEGAGATAAAAAAASAEVPPKDEEQLEKEAAAAEARLFKQLEYRAAQKAAFAIARFEFRSDVRTMNMETMVVGSSDEEEDDVVVDDGWKGVYDKCDHCSRTVAASHECSAEQRRWLQFCAFPLLRSLICCSDRRSSTTIKGGECGKRLKYANRCSHRLQTTVPSSLRNTASEASWSGSKEEERKPVTPPVVAVTAAEPKATAVAAAATTSEKLQVGTRVEKRNATQVGDPRAGFLGQDHGRPNQNLQLVWHHSRYHGVAANGPIHDYITHGSKAKQLVFAKLESNQAADEAVARLNGIVLHGRSITVKRDFSPNCSKDATATNHVRNDLTVNDEMAFCAAFAAAAKAAEDVADAGVEPEREEILDSDDEIMRDDVSNVYGKCDHCSWTVQRKYPYKKLHFSQAAIEGRLLEFYYPRAAAGKTRRYAHAKLESNQAADEAVTRLSGAQRALYWGESWPATPRPVQQVQQHPRPVLDWFQSVTNAQRVVDYPTSELQLGPNPPKMTPPEPVLPEDVAAVCRAKLRQSLLVTSQLLRMEATPAEALLARMNDLLAGAVDSNFIYVCGVCGAACGGLEFLINVCSLSSHDLPPSIGQGLDPSLPKGLGLRVDPCLELLHKCVSVLELLSSTEVREGAETVVVARGQIRGVRWVREPFHLQLVHFLLGDFRMMRARVVHEHEDFALAQEFGRDPDRHLFQLGSEEVSLDGDAGREDLPVDGTEDGEEETEEFLLSVKFRLWSLLGFLIDVHPLKFPLRIIVGDPLLIHGDDVADPIEIGSTLSCREVMRNHLGELRCLPSIMQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAGVKGGGIFAMSLDQLTVGFRRRSTQEKIMKQNGAGSSSHEQVRALYSAIARLQPQLIDHCEHLSLLYLARSLAVVIEEAVRQKEQKLPEAPGKKEELSGAAIEGYGSEQKNEEEYGSCKIDRTEKVEEGKSTVAAEYGSYTGKLFGSDKIVEKTDEYGTEEDTVADLGNCTEAGKKEEEKEKEDEKEEDAAPSLSPSKEQTMVMTSSVEENQQFPLDSFEPAAAAEKEQLPALPVEVETMKKEEMIGTLKELRHYPKCKGWMTGFAVCRYESEEVAQAALARLNTKMFLGTELHVRSLAALAREDSSATEADPLRASPTNTDSSAPEEDRDATGADSDMVVGEDTVALDSDDTDTEAVLDDSWDSLDEVD >PPA10887 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1825743:1828062:-1 gene:PPA10887 transcript:PPA10887 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIGLTRLCHQPTRQLARQRLIDDLIEPDYDIYLYNRFFNWEMAAQYLIKNNERGRDWLRQWSEYEFKVIGERTYFPAQRVKIFPKYFKPSSLDYLARRFENLRSALEDPSNDVLLPSPLNDISSCDSVFPLLNKLNIDKCRNGTETWIMDTRFKISEKLHRQMLEEMSDRILKEQIHFMVNSDADPRRDLLFT >PPA10975 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2199720:2202530:1 gene:PPA10975 transcript:PPA10975 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRREIDTEGDSPVSRSTTPEDSYSTSNVDNAENADSPGRKTSSDASETNSEQLPSTSKGRQRSTQYGCPEDCAVCGDTATGYHYEVASCNGCKTFFRRTILDQRIFECKKSGDCFIALLKEKRCQCRACRFQKCVENQMFMTLLTKSKKKNAAETASQEKIPKTITQPENTASKLIDGLMYLEIKHELLRKSTYNPRVNCGLSIENILSNSSVMGTKLKPMPDWPLPPHKKAANWLMTISDHVDKRVPLPDIDYSKFPTNFKSWFYVDLIYAIEWARTFEFFHKLGAVDQRNLIKNMVWECSNMTSSYFSYSNNSDTTIYPDGRVSYMWKPRGNRHYNVIPILMRLKMDRTEYVLIKALALCNPSWEVLSDEAKQILETYKEQYANALFNYCMRRDTIDGPSRYSFLLGVLDTLMRQAKTSKDTHTLIGLYKLRAQPISLINEISCFVFQ >PPA10619 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:234223:235218:1 gene:PPA10619 transcript:PPA10619 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASSRRKQSRPARIEDDEDVSEKSPPGTPHSQHHDENGSCGVPGDDRCENSSVEIRAAGSIMGPIRARRLASPLETTVGAIATLLDASGNALFFEVAADEQLLTAVRPTASIDDANCVVMEDEKYIKT >PPA10946 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2074811:2077076:-1 gene:PPA10946 transcript:PPA10946 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNLMEEQVLISVREYLEYLDNIKDKDRVDLHWPIQLMTGNIINDILFGYRYKYDDCDKLVTYVEDFKKWFTGLGTSPEIGVGMVAPVLLKIPFINYHCLQKHRDNMLKVSQYVVDNVQECLQGYNTDDEPSCFAHAYKQRMQGNSSLDDVNLISTCNDFFMAGQETTTTTLRWAMLYLALNQDVQDKLRKEIHSVVGRERLMRMEDKAKGHSIPKGTFVNGDIHHVMARDPVFVEPGRFNPDRFISEDGTILKKELVERMVAFSLGKRSCAGEGLARVELFLGLTATIQNYRILPREEDPIDLQPLSMIILQPKNDQFVSESARIVQLRVS >PPA10728 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1034066:1043530:-1 gene:PPA10728 transcript:PPA10728 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCNGFETCTGALPVGTVPGGSVPLRGRKAVHLAAEPLRWTGINDCNDGSDEDACVINTGTCSSEQFQCVSSGICIPKQWKCDGQKDCDDGSDEPTSGCAAATCKPDHFKCKNSRCVLNSWLCDGENDCGDGSDEAETAGCKPPALAACPFDHWQCGHSEVCIPMHQLCDGKEHCPGGTDEGGRCTRDLCAADRAGCQYKCHNSPEGPVCTCPFGEQISNKTRCEPLNECLDPRTCSQKCVDEKHGFTCLCDAGYALDKDDKRTCKVADDRKDMRIYVSNRNRIYWSDSKLENWRTFAAPVENAIALAWDSVTDRIYWSDIREKKIFSASQNGTNVTVFVGEGLDITEGIALDWVGRNLYWVDSALNTIEVASLDVPGARTVLIHENVDQPRGIAVDPRKGVLFWTDWGQNPRIERANMDGSGRMTIVSTKIYWPNTIALDYTTDRVYFADSKLDYIDFCNYDGTGRTQVISSQKFVQHPHALAIFEDSMYYSDRRLQRLQVYPKYPNGTLGTYPSHTFSKALGVAAIHKALQPAVPTSPCASSPCSHLCLLSPGNPGFACACPLGKRLSDNKRSCVEEDKPFLLLVQKNNVFGVQMDAPKNTTPGLAGLVPMAGLQNAYDAAFDAAAQEMFVLEHPAKARTLASPSTDAAVFRCPSGAANRTLVVRNMVAEDPYALAFDWNARNLYIANKISQTIEVVRTAGTTYRAVILKNDQTPTAVVTPVSIAVDADKGVLFWLDRGGGASDVKVAKANLDGSNPLVIASNDLAELDHIALDTANQRVYFSEAKAGRISSITYDGQDRHYVLNDAGKQPRGLAFFSDRLFYADSAFDSIDVATIIGDGQPPPFEHFKKDVENLVNIKVVQPRASSATHPCRVANGNCDHLCIPKQFSQHSCMCASGYQSDGATGCRLYDANFLVVATKNKVIGIPLDENQNGVAMQMINGVAITSIDFEYESKTIFVAESSGINKGVSA >PPA10794 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1465342:1466774:-1 gene:PPA10794 transcript:PPA10794 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGYFFFPRNDGKLATAITFIYVSSYYQTFLILSYHFIYRARRLAILTAPGALIDLYNINLSDPDVGFFHITWKRQNLTTGDMEWYTPTILAMSISGMLTISTGVVIGISIAFIAQSIKSPALAAKTRKMQQLLFKALLIQMDALIVIFFIPRFRVAVLNSLSMLPEFSLTRTTAIME >PPA10584 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:74241:74507:1 gene:PPA10584 transcript:PPA10584 gene_biotype:protein_coding transcript_biotype:protein_coding MRYALIIAIFALCAVQPCECTCSGMIKDAKKNGIYDPGGRRRPVMPTVAAKL >PPA10578 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:42219:47704:-1 gene:PPA10578 transcript:PPA10578 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVAGPSRTSAYGDVSDDDERRGRRRREEDDEDEEERRPPRRGSAAARSLAWSEEYAPTRRRLVPVLDPLLDFPLPLPVPECLLAAEPTRSSSTSGCCLNLPFKVSTVILLTCALFLVYPVEANRDANRLFEDLLSDYNKLVRPVDNNTETLVVKFKLKLSQLLDVHEKNQIMTTNVWLQHSWTDYKLRWDPEEYGGVEVLYVPSDTIWLPDIVLYNNADGNYQVTIMTKAKLMYNGTVEWAPPAIYKSMCTIDVEFFPFDGQTCEMKFGSWTYGGLEVDLQHKDDAKQREVKELFNSQHGMVPETASTSRTTTLPLNGIFLACRASDTKSG >PPA10861 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1751751:1752801:1 gene:PPA10861 transcript:PPA10861 gene_biotype:protein_coding transcript_biotype:protein_coding MACTYFDAFMIFATGLWRSKLSTAYYAATFSQTMPLLMHLFIYRYVAMKMCWGSYYLYAPDDEAIDYLKPFFIGEFAGLEYLAQLYWGSEDELECANTCPPETIKMVVPKLFVFAPCATIISLRMMKLGNHAWITVLPNLVSFSVSIFPVLDALIVIFGVRSYR >PPA10784 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1422645:1423253:1 gene:PPA10784 transcript:PPA10784 gene_biotype:protein_coding transcript_biotype:protein_coding MLQWRSDDYYDTSITSCVDLLNPLTGVSDCPVRASLCTNTVYLDVMRVQCPRTCGFCTSSGTSTNSTSTTSCVDLVNPSTNISDCPNMKIYCNNTIYKSLMTIQCPSTCGFCTSG >PPA10574 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:30939:32433:1 gene:PPA10574 transcript:PPA10574 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPLLSLALFGSALATNHASSSNTITYGKREAREAGWPTGWGPAPGGGNSNGGNYGPGVHVDSQNTGGGTSNNNIKVGKREAGGWDVDIQSTNTGNANSQNTVTYNKREAADSPSSSYGSSNSNSDSSSSTDYKKREAANSPSVHVDSSNTASSTNDIIFNKREAAEEQNYSCSCSDINNNGNRVCNECDGSGCRNVSYCRNGKRDTEYIERLRRTIEQRAFVQQRR >PPA11003 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2295405:2298826:1 gene:PPA11003 transcript:PPA11003 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHPMTPQSQQQMQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQMMQQQQMQQMQQGQQQQMTPHQMAQQQMTQQQMQQQQMQQMQMQAQSQAQMMQPGQAQMQQQMVGQPMQPMQQPGMQGMQPGMQPGQMMAMGPQGQMMMQGQQYGYPMQPGQPWGQQPQMMPPHYVRKPTMMGAAAMPGGAPPQRVMIQRVPYPTGPGYPPGTMAPQQTPQQAAQQMQQQMPAGPQYAVRPMPPQYPPIPSQAGVPSQTPGYGYPQGTMPVQSPVPYPGGATVPAGMQPMPQGAVPQQYPQQPQQRQQLQQPQQPQPGQQMPMRPYMQSPQGQQMMAAGQQPEAHVSIRDRKSQLALKCPEILDEWTASILMIHRSQLTLDSTADVLSCATRIPVMALGKCDEISRALHSRRTLLHVFPSLEACT >PPA10806 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1518476:1521919:1 gene:PPA10806 transcript:PPA10806 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKRPDIQGIRGLAIVSVLAFHLKEQQFPAGYLMSSILAKEKSITIQVLFDFYIRRFKRIVPLYSILLLALFLLVPLLLLSRDVGKFLTDSKWAAIFATNRHSMKKRTDYFAELYDSNVLTHTWSLGVEIQIITLSSHAQSLHEHFEQPLIVYFGDISYVVYLVHWPVVVMWKSYWDIIDLACTDIIICIVITLLISTFVHHTLEQLFINTSTEVALVVVGVVYLFMFCAVRFHIPQRLNVAMEAQIPVDLASAIKWNQMESHPPTYRERPFKECEDDPEGLEMRDGYSAQPVYECLWKPAHPSAKVRILVLGNSISHRATKILRPILENNVEVKEMRLFAQSGNSEHLRRSISNIATDEPLARFVDFLKPLSANSKFLVIDEFYPAARTPTGVAPSMYKRLLRRHRVDDLKGRLKAFNDIHSSYFRRLDQLQSHFPNLIRHNTSAPLCAEQKGWCWWYNRRNLHAYFTDNAHFTPDGLELQRASYKKIVDELIPALKNRNNTVIH >PPA10819 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1582421:1583218:-1 gene:PPA10819 transcript:PPA10819 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQVGQGSKEMDGDVITRTGAGCATRTFTCMAPAANNPNIEINGGDGVILDGDNGAVDGVTTLTVTCNAAGNAWQYMGVTITQVECAAGM >PPA10880 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1802564:1804733:-1 gene:PPA10880 transcript:PPA10880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pbs-1 description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:H3EM88] MDMMGEDMRKAIPALGFYPQQEISTGTTLIAMEYDGGVVIGTDSRTSAGSFISSRTTNKITPITDNLSVLRSGSAADTQAIADIVKYYIETYSLLENEPVTVYRSSQIFRQFLYQYRDSLSASVIIAGWDSKLGGQLYAIPIGGFTTRQRSTASGSGSTYVLGYLDKAWRPNLTKDECIEIVKTAVGLAVFRDGSSGGVCRIGVINEQGTTLTLYRPDKPGFPTVTLPEAHNTYATHVKNQ >PPA10771 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1371928:1372713:1 gene:PPA10771 transcript:PPA10771 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKRKIVSTSASGAPPVVTPELISQLVASLVNPNPSSSVPITTTTTPPPTLTLPVTPQPPFPPASQGGEGTADGSRGSAVIEARLQTVARSHKHQHTESLAHRLNRIEANIARSLTETARLDQDRVNLLAQVTELRTEVRGLQYPPKPSILCSPPRRPLGARVHSDGTTVPNTPAKGLGTEEQTDDLTGESKDDNED >PPA10737 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1107145:1108325:1 gene:PPA10737 transcript:PPA10737 gene_biotype:protein_coding transcript_biotype:protein_coding MYSMVNEELTSSANVVSGFRIANGGTIGLSGNLAGEIVRLCGDGDPFTDLLLSSKPTSLVLHFSCVQNLIDEDFLEDYAQACTFGKLSVGSKYEPASELSIERFSTKLALLQTLEITRLVVDSNEIVKALMEDFIIIMK >PPA10836 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1640355:1640876:1 gene:PPA10836 transcript:PPA10836 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLSMISQMVPPAFDGSIWLNITNNLMSCNCNSNEYSWFVIIRRLNSCETNVEAQGSVKLDKL >PPA10780 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1399924:1404448:1 gene:PPA10780 transcript:PPA10780 gene_biotype:protein_coding transcript_biotype:protein_coding MVWIHGGAHFKGGANDFHYKGAVRNFVSNGVVVVTIQYRLGPFGFFTTFTPEFPANLGLFDQILALRWVNEEISAFGGDPDRITLFGQSAGAMSISGLSLSPLTKGLFNRMILDSGSVLVSFFVPNDKRGSIQRIRAAQWCKIKDDPMSSETALAELRKCLSNLSTEEIVKDDVTLSHPGSLRWAPVRDGAVFPDDPEVLARSRPAYDALLLDMPVEQAMFAPAYKSGNVSTFGIRSLKKSLLNRHYGYLSDVQLKRMLDILMENYKTKEIDKNDHLEWFKLNMDIISAERYTNYETTHSNDICYLWFTPSEWEKAWMEGKVTARDLAVADNFGRVYTQFAKEGHAMPPVIGKNPSINLTQDVESLLKYYGAA >PPA10920 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1990710:1996015:1 gene:PPA10920 transcript:PPA10920 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGRCITLVGCIMYIFVEFIPSGRRWWMLVCYMLFGVGFGTSPLLRSYVVRVTSDENRASAFAMLNGAGVLSVMVGPIAQLAFADLPYPGIDIIPPNIKLNIYSAPIWVAVITNIVAILISVFLLQDAPAVEEKQSDKSFSFSLAAIRELYSKLRGLNIPWILVALIFVEKMVSGLFNATMPSVVGPLMTSMYAFDGQEIIIILGVSQVLVGLLAVAFTVGFVLLKLGNRVSCRVLFAFSNIMMITGYVITYPYPFDSMPMQPFNETSRIGCDREEYAWCDTQLVVNIVPFIIVMIINMMQSFIVIADDAISIIGPIYGSAIFTAMGLNFLSIFNGCIYIIGTILWFSAWRWLKPHWDDKKHYWSRRGITGPEAQFGLGNLNDLQDHYRPKSLVIKNWTKQYGKVYGFHEGHRKILVISDLEMMNELLVKKFDHFTARVPFPMNEGEEDGPKTQLVQARGGHWKKLRAIASYAFTNKALRHIQRTVEDSTLQMIEEMKKHKGEVNTLEFYQELTLDVISKIALGQKDAKIFKNPHIETCRQIFSLPQPNFLSTIPLTMPLMKKPLTFVLGKLASASEHPYIRLLKEVEQTVALRKKAREAGSLSSGDFIDIFLDAEVDLVENQLTDTFESKGSRKLVFDEIVSLCIVMLLAGFETTANSLSYLTHFLANYPEVQDRIFEEVEDVCPGDTIEYEQLAELRYTDAVIKESLRHYPLASFVVSRECEKSTSLGGIPIEKGEYLLTDTWSMHMDKEIWGEDAEEFRPERWLEESSRARVAFQSFGEGPRMCIGMRLAYMEEKTAIAHVLKNFRIKKAASTNPIKLVGCLTVAPERLDREILVGTTMGSVIDTVFNDRKVTAAFIGLQSIPFTLLCIHFLYRYWSVCRPHLIKLFSNNLVHNLHDVPDVGKCHCVV >PPA10758 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1262754:1263179:1 gene:PPA10758 transcript:PPA10758 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFTLTLLLLSASMCEAAPNCGVICNMKPESEECKKCIKDKVTVREKRWAKCSELWEKDRDGYWLCQSQEGRH >PPA10927 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2019640:2020001:-1 gene:PPA10927 transcript:PPA10927 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDHQSVARFHLTAFLHVANPIAIPDPTDSASRKTHIAHCAKTRAFILRAHEFFKENNIADMTPKFLSAMLSIHQDTVHRVISDSTTVVPLNRFPPCGPPPLKRTKKAIQ >PPA10748 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1175424:1187320:-1 gene:PPA10748 transcript:PPA10748 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTNPQSERTSGRSGNTQRPVGSHHAQFAMRTTLSSTTNHNPMQCREHERGSHALEWSSLDLPRCAAVLRLGTTPGTLLHAALRDNECRDATAAYGTYVLLTYSTVAAVAGAVWVGMLPLDSALAYLSVASLVSLVGGYALFTFPGLNTPNAIPVLITLVHVALIVANLLHRMEAVEMNRMDELACWLRDGLFTSFIPMATLTMTATMGRREGQMDELAVNCPGKKRMINEDSSEHPAEKVMKIEEEEETGRLFQFQIATTNINDANGQQPAVKAVKVSVVRQSSDPSDVVAELRIRPVVRQLLVQPRVFGLVATAPVEAGAYVAELLGQVCRERECPNRDLVPGSLNDHTFLMEKDGVKYLIDARQSQDATKCLRRSCKPNAKLERANNEDSNELPAEKAVKVEEEVSERATINNEDSNELPEETTVKIEKEDENEEPLSSTSPPHHSQPLIELQKVDTAAAAASAAAAATAPSADSGEESDSEKWEISCICGTKADDGEEMVQRWEHVDCIFPRTKKVPSGNYFCHVCKPRPTELTREQARAYQDRVIMEKQLAKERLEKRKRAAAAKRKEIQGSTLPLGPMGSFAKVMMSGTQMMHGIEEDTEAAISNFQSHGSAAAQRDKDSSMNENFFDECGDFDVIVIGRRERSIAEATVLATLLAKLACSRSKCEHNMKLEEIVMVVESKEVGQAAQCALEQTYPGIIDRLSYSPIHPHTDVLIVLPQTATELLDTVTNDMRIYVRGGKVYEERDRKVGRLIVVSHFSSGETAIRRLQRERGFSMFTSIDRNTLAMNQNMDCDDSESVTIPKAAHPHISRHAPFAPVKLYKMSNPNATAPVDVGATEDSQPNDQQSRGSSSSKYPDILTAALDLAAREREREDNAQLRLALASKANEAHNTANTSMRDTVKECMRDNSELVREIASMRKDLKQSMKNEVELSRTNLELPEISSLKKDMGSSFPFLSFPNEMIKRIFSFLDFKSRLRLRLNRRLRAIESETKVYVDEFLIEERSTNLMSLWVKGGVSGKNIGDSHTENRASSCNIEYNPAAKKCVYQWSIKAIRRSTTGTGRMRHLKKIQHRFKNGFREGTVAVSQKKRTQSAASN >PPA10841 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1659438:1661098:1 gene:PPA10841 transcript:PPA10841 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFDHPNDFHLTSIPRDDPQAFEDDLTVLTHSSASALDEVYLSKLENTCEESELQLQEVKRPSKKDIFYATYPINTVRNVARLFSSSRYIAFADSDYLFSSGFYEKILAILRGYVPVGSTNALLYRIFEVEEKDSRSRNHQMTKEDLRALIAVNKARVFHEKHWPQGHTVPRLTGWLTAPESERPGLFDLNISLKHRPFWEFQFTGLRDIPLFDENFPYRVDNNLELRWEVCRAGYRLLPIEDLFVYHTLSKDDKGKDSNKRKDAIKRKNYGRTVKVKKALKERMDEFYPNTKSECPE >PPA10624 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:260136:262068:1 gene:PPA10624 transcript:PPA10624 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGRYTTTQTTVETREYYAYDDRPTVQLEMPKFDGGYVRSLGGILKIICIILCLLCFLCVLIGGPGHYGGEICLTRPYLALYRSIYGLVKYYRIHKGNLLPVTLGCAASRVLYYRRCSEEAVRKHRLNTERRAVRAVTSNGGIKGESASRPRLSLF >PPA10957 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2127025:2127790:1 gene:PPA10957 transcript:PPA10957 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAREAVNKTGEATSEKVTNAYEGSKGAVHRAGDAVSNAAHTVADGTIGVANKVGHAVSDTAKSAKDGVCHAGHAVSDTAASAYEKAREAVVGGKD >PPA10742 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1138156:1143526:-1 gene:PPA10742 transcript:PPA10742 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDYLIFLKYLPFSAWLEEQDGCLHMQGMHSGFQQAFNALFSAHCIGYPLIVISFNAKYYYKEWRLRLQQGDVSDTNENLFRLLADSIPMDFDATRMYRRLDYMDDEDDDYLEEVLPIEPPPEKPFLERYPNANLSGEKQYLLMLSPEERRVLLTPQELQRFEKFEARRNEKKSSKAGAENTTPVASPAENGHSSSAAANGVQRRHNGSRCCSLLP >PPA10798 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1475934:1477213:-1 gene:PPA10798 transcript:PPA10798 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDEDEVAAKRKAEQQRAASCAPSAKKSRASRSATTAPSAPIDAAPINMFPRRWKHALPPVIPVRKSGGSSLMSKARRQASETSRRYASTVKRN >PPA10573 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:29472:30582:1 gene:PPA10573 transcript:PPA10573 gene_biotype:protein_coding transcript_biotype:protein_coding MNAILKTHSNSIKSPIIFASLAIAATAVIVAKPSAAEVAKMLAVSSEMTKIFTDSFANVDGSQFNNNCKYNNGKSNMKMAVGSIKCAHFFTPPKNAVHNLKETLATLKKSIAIMLKKNEYSAKYSCNNEKDAKHKEHMARGGTACTVTMFPAKKP >PPA10611 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:184111:185343:1 gene:PPA10611 transcript:PPA10611 gene_biotype:protein_coding transcript_biotype:protein_coding MDEERGEDHDHPPDEHGRKKKTRTVFSRSTVLQLEKTFDAKQYLTTSERAALAARLGLTETQIKIWFQNRRNKFKRAAPGEESSPISLRHHSLFDPAASLAAFMNAAAAAGNPRENNDHVSSFHMLYRLIRHEHGKALSGYFSCA >PPA10846 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1676685:1678654:1 gene:PPA10846 transcript:PPA10846 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nhr-120 MNDAEWSNSYDNRAFDSSAIEGQSKSKRIVLPLCPDLCQVCGGVSTGYHYQVSSCNGCKSFFLHKKQKRRNCRSCRFKKCVEVGMNPLGNAVNFEQEYFIKENRGDKKKVNLNNVITSKARSFMMMLSIMRLHISSEKLSDQICGIDEL >PPA10606 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:169322:170415:-1 gene:PPA10606 transcript:PPA10606 gene_biotype:protein_coding transcript_biotype:protein_coding MFWQAVHAMQMCVCCCCEALPPDEYTETEEEESRRESESCADITVRVVPVAAPAAPPARNSTTPAAPAAEVAAAAAPPTLPEAPPVYTLHDPVFLAQLKHATNKEEKAALLLEKKKRELLLGRILLERECGL >PPA10933 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2047420:2049616:-1 gene:PPA10933 transcript:PPA10933 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFILLALLALFVFEQSTAQLSFYQCSYNNGQVIETGYPTRPMTAAEKQQMVVYEKQWDQWRVQFDLFMKGAAKMPSVPVVPCFCRKVVIVTGSSNGIGRGTAIFFAKAGAKVTITGRNAATLAVTKQLCLSAGAKDSEILELIGDVTDDSFLERLVSTTVEKFGRIDVLVNNAGGVSFANYGKMITDYPIAELDEMFAINVKPVLRLSQLAAPHLEKTKGAIVNVSGALHKQLSPMPCMAAAKGALDQITIQMAGSLIKKGIRVNSVNPGVVATNFAVAAGAPKEMMEQMMAAMAANPIILFLADRSQSEILVGHSVIADGGVTLKSVMFPDS >PPA11013 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2359464:2362464:-1 gene:PPA11013 transcript:PPA11013 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVKITLFVVLILLLAQPAAAFFGCDKHIPDQQNLLQGVITDGAVVAAMVDTADMEDTVDSDIAFCCNYAQGAVGTEDGTGGGGYGGYGGYGGYGGYGGYGGYGRWGHHRVDGDAKENGDVAANPSKDARILDISREQCNRRSDFGDLGRIPEGRQSRMAILPTGLVSPFCMMGSSASHKDHAHHRSVVRVSEVERMLVPQAIWHFQTLTYQMMLPLGSLFGTTYWFFDMTYQLYAEWAGRMLMMINCSICLASPAINLLCLPPYRRSLTGWKVMRTILPLFALLLFLFVSVVASKDDNFFDGGWGGRSDGWGGRSGWGGREGWGGKAGSMRQHVIKGGWNRGMKK >PPA10953 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2097716:2100293:1 gene:PPA10953 transcript:PPA10953 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSLFVGLLSLLAYATYRYYRFVARYPKGPTPLPFLGNSLERNFGAQDESFANLSEGFGGLCTIFFPSPIVHIRDYALIREAYIEKGDDFVDRPRLRLLNLIRTFTEDGGVINSNGDIWREQRRTAISILRDFGMGKNLMEELVKSSVSEYLKHLDEIKDKQHVNMRWPIQVMIANIINEVLFGFRYKYDDCETLIRYLEDLNVILSAFGGNKLVRLGFGFPILNKLPWISYHTLHKHTARAKHTNQYIVDCVARAMESYKEDEEPKCFVHAYKQRMMKNDKLDGSNMMATCADFFFAGQETTTTTLRWAALLLTEHQGIQDKLRAEIHSVVGKERLASLSDQSKMPYTRAVLYEIQRVANILATNVLRTTTRDTEVGGHFIPAGTVVNADIHYLMAHDPVFENPQEFRPERYLADDGKTLKKELVERTLPFSLGKRVCAGEGLAKVELFLGLTATIQRYRLSARPGQTIDLEPLPQNIKIPREQKIYFYT >PPA11029 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2417551:2421319:1 gene:PPA11029 transcript:PPA11029 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGNSSLRILLVSRPALVMSLVFIASVFLIIWGIESSCGIQLDIMLLRTTICSCSGSLDSRALNIPGLVTGKVAHDVTLELLKSIPDEGTRWRLGASPQLLGMSGHDCSPVLYAVANGDFKQVCKLDVSSAQQSTTSSAREVFQSIDKRGTPAKRRSKMLRLKQKRHNRMMERQSELKMWLRKVNAYIKGESSDWIVKEVLSKKEHLLFFNPKGKGNGALLRNALTKELEDNFNARATMKKDMTLIRSYTSGTGGGKDPAMEALVAKHESPSEADSLLMSLLKNTEVRVKETNGNDSVEELNNNDQPGTSMVLHKKKKGKITAGGLN >PPA10708 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:882423:883256:1 gene:PPA10708 transcript:PPA10708 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAIACALLGFVVLLQTVAYHILWDMKFLARNIAVGGGLHLLFAETFEEQKSLFAGVPTMGDQNKPKSYMLLAGRVLLIFMLLSLIHFEMFFLQAELLDTRK >PPA10872 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1781623:1782951:1 gene:PPA10872 transcript:PPA10872 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEHVSCASQIEGRVGGESNAKVKSTVEQLLWSVKTGDFASAQKALRETDEVDRTASINKYMVDACGSMKTCMVCPLQMA >PPA10801 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1496165:1497410:1 gene:PPA10801 transcript:PPA10801 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNIVLTGGNRGIGLGLVKELLKNDKVDKLFATTRDAAKSPELQSISDPRLVIVEMNADCDSSIAKAVEQIGKSVGASGVDILINNAGVLYAVDINAPIDRKGAAKNFEVNCVATMAVTFAFKDLLKAAAKASGSAQVVNISSELGSISLTWGPCAPRHFTAYSMSKAALNMYTKTISMDWKADGIRATSVHPGWVKTDMGTDAADLTVEESTSNMADMICKFGEANNDNEV >PPA10765 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1302198:1303486:1 gene:PPA10765 transcript:PPA10765 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSKARIAKRRKATEVIVIDDGESASEQETRTTFSNCAFHKLAIVTMSLDSAITQIGAMHEEYGKTLRYIGSCTARELNGPIRSLKCVWGVWGAYIWRMHIVAHLLSHSHVANQF >PPA10593 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:98994:99430:1 gene:PPA10593 transcript:PPA10593 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFLAVAALFAVQQGECCGGLFWWVYCADPPAATALKPTAAPEPNVYKHTSKQDSKVNTTSNNAANSTNHNNVNVSAGGDQTQVLL >PPA10821 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1587665:1588849:-1 gene:PPA10821 transcript:PPA10821 gene_biotype:protein_coding transcript_biotype:protein_coding MHFIVEFHLMPILQAVVLYVVTIFSIKHFMKDREPLKLQLPVLIWNFSIAILSGLCAAVMTEEYFESTFNKGFNGASPLCSTRKEFFSGKNGFAVLRKQPLHFFHWYHHAFTLYISWFGYSGASPSSRHAIYFNAVVHTLLYSYFFLTTINIRPPKFIGRWIMMAEIIHFFFILYALIHLTYLAHVLKEPCQIEPYRLVITCKKQSKKVKKID >PPA11020 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2378378:2378714:-1 gene:PPA11020 transcript:PPA11020 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSLDTYLTGLWRFGNLRCGPYRKEDAEEWEADSAAEKVARAKAQRKERTAAER >PPA10687 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:689783:691335:1 gene:PPA10687 transcript:PPA10687 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGTFLVPRTVILLLLLGLQGARSIPKDKVAGYPVILIPGDAGSQLKANLTGKPELSYLIEIPLQTVHYACDKFTKEYFDLWLDVTTFNNETKTSNNMPGVNVQVSEFGSTSAFEWLDASKTSQGRYFVDLVDALVSYRRDKDVAGTPYDWRRAPNEHTFYYAQLKLLTELIGSSCCIPQG >PPA10972 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2180478:2182043:-1 gene:PPA10972 transcript:PPA10972 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMAAVDPTSHIVFNWTMAVLSFLSNLLLVILVRTVNVSSMGSYSVLIYVSAVMDVVIALSNAVVVPNIHMGKFSFVVFGVGTCDWPAIPGLVSIYIFDLLFYQTFALLCFHFIYRYIVLLGSNSSLWELSIWHWTAIGIVFEMVFNAIMVFFISHFEPRKDWKPDARLVSDMQAYYGIDMTKPFGHFHVVYAEADAESESVSVNWPIVIYTLSILGCDDTVFDLRSEDDEIVNERQQHSNQHTSAVFSCTCHSDRGTSHDFVYSRWLPSSSSADR >PPA10768 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1340399:1349046:-1 gene:PPA10768 transcript:PPA10768 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDAHGGRHSSKKRDAIAIDDDSASEEPVRKSAKNEKKPTPNSSQSSSSTTSAEDLEFQQNLARTYERYRAAREASFRAKKLLDAQGETVDGSGMADKASELARENESLKVEIAELRNKEIAANDLKSELARENESLKIEIAELRNKEIAANDLKSKLELEIKTLKREISELKNSSDEKDKLNDELTRKNTALNEELETAKERADKEAETNSSLKKVLEESRKEEKAAANREIKLIMENTEMKRELKSKKAKAEQDINDLTVECLGLKKDLEAVRQSGKEGIDREAKLASENVALVQELEEIRKRADYDRIMDTARIKYLTHKLAQLGDQQNHEQSPEPIEVIRISNYQSAAQDEVPAEEPQSPAAQLQPLQQNPLQLKLLQSGPDDVDVDSTADQTVRPKKKKEKKERSRPAKIHERGDPINIFQLCRPNTSHSISLDRALRQIRDKFDEIGAAKIGECCKNMKSDKRIKCSVCVKRIKKHRVFDHVLSKEHIDKVRSHDAAVSAAALQHWLRELQQAAVSAADNEDTETGGAANDKRDAINSNDSASKQPIETLAMVERRERLSKKRKIFMDSDNAESAEEQQTKKGMRVGKGNERQESASEPPPSADPPSADAEKPREEFAKANDVFESACSAAREAKLRAERAEEQLDSKPRHGFADWEGLEKELEKSQLIRENDSLKIELISLRNKEEAANRWINDFEAYNIALAVKLEIPDIAAEAPAREEGDLEAYNIALKVELDDAGITEEALRITEGDFEASNIAIKEELEDDWIAEAPTRGEGDLSDEVAVLKQELDSLRNSEQFERSLKTNSKLKKELEVAGKREAEISRIRELNKKFSSLKEELAESKENEQTVKNELNMMKKKGEESPNNKSVMHLMLKKEPKEAREKGENALNRERK >PPA10706 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:834312:835514:-1 gene:PPA10706 transcript:PPA10706 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVAEENKRSSAASSLSSEGANSSFDRNSTSSSSADEKTLLQPVQLVQQQLLQQERQPPPPAPPMVYPKPATSTSALPSVFDSLNGARPASRKDDFLPSSIAEDMRRNESNQWKTIPTRVNGQLERAQQQRNLR >PPA10670 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:591638:593244:-1 gene:PPA10670 transcript:PPA10670 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTLVEASAGDRKDGQPESLNVETAQPSLLKKGGLFGNSAAVSHKVGDGSPSPASQNGAEASSLNKNGDSDSGANGDEVDLEGANGNSTGDDETMPIDEPAADDVEAAAAADKDDEDTPAEEAAVPAAAAGDEPAQAPVALPAEVGKWRN >PPA10570 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:17519:18360:1 gene:PPA10570 transcript:PPA10570 gene_biotype:protein_coding transcript_biotype:protein_coding MCNEPLPDVTVSMALNASLRLRPLVKCHYSFAKTKYAAEVASDKFFCVGELCGLNEDMGVRTCVNVTDLGGAEPIKNLGFFDYKRKFYLCDKPFCNGNGSVVLASLNASWTTPNTLGG >PPA10847 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1680157:1681701:1 gene:PPA10847 transcript:PPA10847 gene_biotype:protein_coding transcript_biotype:protein_coding MNLARLTTWIELAVSVSATPLVFLLLYTLITSVLNRGCNSVQYPIILPSTLLQQLHRNIIPHHDRTNDNRILIALPSAMLMQDGLSDGERHQEVRDAATVSNVYFDAFNNALNKKRIGQYRVK >PPA10812 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1545593:1547441:1 gene:PPA10812 transcript:PPA10812 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLALFLLSSVVAEDKLVFVQSVWRHGDRIPKETYPTDPYQQDFWGMPWGEITQITIQSADADRCVMSGMAMMAGLYSDSPLSLSSVPSWPTGWYPVPIHTTPKKEDREMEIYCPAADAMQQTREQTPKFQDFLAANWNLFSLLHTKGGEDNVAITYQTIKDWATTREDFNLTLPDWITDDVYNQLLQAYWDGHDFLDGIAGFGLPQDDKLIKLKGGFLLHDWRSNLDNAKQGKGTVKYHGYSGHDHTVTALLHTLGAKQAVMGVDIAQYAATVICELWLKDGEYFVRFLYIDNCTSNARPITRLINVCPDDSDFCPFDQFYNDSDAFSTKKDSDCDV >PPA10680 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:650302:650840:-1 gene:PPA10680 transcript:PPA10680 gene_biotype:protein_coding transcript_biotype:protein_coding MMNDVVRRRSRQMMISRAAGRVEGGGNSDRGDYVVCEQCAGNCCWVIDQRSEIKDQGSWIRDQRSEIRDQRSKIKDHSEHDGLDRSLIMWGENPGGWRPKGGGGWGMEANPCIIAGSGPPERSV >PPA10738 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1109274:1110789:1 gene:PPA10738 transcript:PPA10738 gene_biotype:protein_coding transcript_biotype:protein_coding MPSADAPSLPSTPLSSRRAGRAGSACTESTEAATSPTAAAAGARSLTSTPVSSRAATRVNTPSFIALTQASIEKHASLQSDAVIEKKTPSNSSPTLAENYAARFLRTPTSATTTTFKTSIQTTPVSTTTQSPAAARCGRSNRSTPMSSPTPLPISCAECGDQFRFTHLLKSHEKAHKAKPIKWCGLCVAQLSPEDDIFKHINDVHITEVHYHNASKDDRQAVQNLFHRREIAD >PPA10998 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2263834:2265559:1 gene:PPA10998 transcript:PPA10998 gene_biotype:protein_coding transcript_biotype:protein_coding MAQALYAKFIVFAPLVAIYAAWFLFSIKWPERGCMPWEYYRKNSIFMRWAGEYFNYRIVKTADLPTDKNMIVGSHPHGLFCLGMFMSFQSHVSGITRLFQGLKTWSVTLDGQFLWPLRREILMWGGSGATSKKNILWVLRQKEKGNAVSIAIGGWNEGMMAAPGKYYVKLKDRKGFVKIALTEGADLVPVFHFGENETYEPVVGICPNRLRNMQAHIVKTFGVCPPLLIGKSLLGLPWGGLVPIQTRVETVIGEAISVEKIPNPTQKDIDELHTAYCNRLVELFETHKVNYGIEPDQKIILY >PPA10915 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1957188:1957659:1 gene:PPA10915 transcript:PPA10915 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSFVQSGSSFGRRSAFSPYKPAKTNCSQVLTPVIMPHTVHNNLYRYLGFQNTMMLPAEYYEDRLMRNDNARRRRKAEKKREEAMRDRIHVRSV >PPA10971 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2176784:2179138:1 gene:PPA10971 transcript:PPA10971 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFHGKYLRHLNHLHVLPQVSSSRECAMVCSLLPEPPAAMMWKKLKLLVRLELTLSGYVP >PPA10749 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1189504:1191822:-1 gene:PPA10749 transcript:PPA10749 gene_biotype:protein_coding transcript_biotype:protein_coding MWMLLLALALGAPALFIASKPVMGVALTFFFPPMLFVVHVCRGRIQTLIRFLSLLAITCCSVTTVWTLHWLEAYLGDRTTPWLLAVRSAGVLYFLPIYFLFVQPPPTDVEGGEGDGEGAELRQIEEEAAREEVEEDEGVVDDEERDLVLHN >PPA10987 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2233054:2235560:-1 gene:PPA10987 transcript:PPA10987 gene_biotype:protein_coding transcript_biotype:protein_coding MCQQSATEGILGTTVTWADFESQIRKSLATEARLGPNRSVLDIGDGNGFASCCGLITCDWVGESPEENLPKTVVLKMNSTKGLRRLNDLMPEGQKMFNYSEEQWAQMEKSMSAIHNRECATYEFFEEFDSISMPRKFFGKHVEEGSEVSGQLCLEYVENSQMMNFHEKYTVEQVKKIARALGKLQACSLKKEATAVELQQDYWAKMAFIWPLDTYIGMFKGMVAFDSSEKTKSLMEKIDALLPVYHGANLPSTIHKQMGFRPVIVNADLHTGNVLIDKDTGELAALIDWQCTHLGVGVEDLHRIALAALTTEDRRASMPLLVEEMHKSMVENVYGAEPPYSLETLLLVSDLLYPHCALFFASIFIAIIKDKKPGISEEENLKRKEIKMDKVIGCLEDVVEFDIKNTKHMGNLKFKSD >PPA10652 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:422339:424665:1 gene:PPA10652 transcript:PPA10652 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAVLPNAADRQGFDDYLRDLIQESAANQPPAPLPNYHCTLPLHLHDQLQEVAENFQPALPLQPNYHLPQLGPLQDLAAAQPPLPVPNYLAPGLEQIEPYLERFLVGYPEDVKQRIIGYTRNIRQMGVYGALRDAITASYDDRRTALKLQHERDQYALVDCVNDFVHALEAAKQMLPSFIHQTQQHIANVAHENVLMIDYEMHEQLRAHAAAEFLHTGLALPYHDYQQAGGSARDVAMALTAVRLLLAYPNEDQRAAHNNVQLLVTQINNRAVMANRALSPIPFGYDVFPDAMNGNALAPMEGMDEMAGGNGEDQRQEEKEEDLAIVYGDEEEADGDEEDGLEEEGEEGEEEEDEEDLAIVYGDEEEEESEEGEEEEESEEGEEEEEQSDDEDDNDENYETEEEFNARIAAKRAADELPGTLAKRYTRGCTICMTENPLKVSPFSVSSEIRACMTACGHITCGACAEYMADRRRLECPHCRADTTYVLMYERASGRSVSSAQASA >PPA10694 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:738024:738658:1 gene:PPA10694 transcript:PPA10694 gene_biotype:protein_coding transcript_biotype:protein_coding METTYVCGICCEEAATALGVPCVQTGGGGDAPSEQHLFCGSCIRGHATAAAQEQPRSAL >PPA10661 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:516164:521685:1 gene:PPA10661 transcript:PPA10661 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFGRTLDIILICLGSVFLLLTALALCIGIAIARKRKKAKRPDRIHIPTIAQEAMMEAPMAVDTSIPVSNFANRVQLLKKDDALLLYEEFESLNRQTFTLMEKKTTTVSEANMRRNRFFDVLTFDHNRVVLHKRPPEESYINASYIQSNDNSRSEFIAAQGPIGPPEMIPQGRENTVAHFWEMIWQERVDCLVMLTRCIEHLKLKCAQYWPNELGTAIDYGPIQVELVAVTEYASAIQRTFDVTMGEETRNVTQFHFEDWLDAKGPENTQNLLDLVLKIKDHQRGKSSPVLVHCSAGIGRTGVFIALWQLISTIDTNPLEPIDVKGMMTR >PPA10715 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:940837:941971:1 gene:PPA10715 transcript:PPA10715 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQARRAGVPAADRCVVWCACSPRDLVRDGPLRSLCLPTRQYDDKPLGYALAKFETNEEADAAVARLNGERLCGAPVAVRRAAFTVTYPDAAEFRPASAADAPPASRDHADAAASFEEQPPRFGTGQKELVREGAHALPRFRCNPDAPEFRPAAAPIPFTIRDHADAESDRFYVQQAAAWDEDGVWGSPEHERAFVFPPIRDRAQRGCYPEARQKSCWDADGVWQEKW >PPA10962 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2138279:2139624:1 gene:PPA10962 transcript:PPA10962 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIERLQQVQFNGTELISILSEEEFNYRRAGRWMDDHIIFAMQCVGAYLVSIFALKHWMRYREPFKLRVELAFWNFLIANLSGLGVLAMSYQLATTIYYEGMNATICTTQDQFYSGRMGYAMFCLLLARLPEFIDTFFIVLRKQPLLFIHWYHHACTLVVGWFVYSTAFPAVAYVMFINANIHTAMYSYYYVTALGLRPPHLVAKSITFWQVIQFVLAFYGMFYVCWYHYVKGRPCGINAETFSAILAMVGSYLYLFTDFFITKYTGKTVPEHKQLLKKSHS >PPA10731 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1074625:1077524:1 gene:PPA10731 transcript:PPA10731 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQFIEHVLPSICNKALKSVVSKFNASQLITQRQQLGEAHRPSTCFPRPSRMNQLVSLALVGLLVVAPVLSVDIEEEENVLVLTNDNFESALEVHPQYQASGVLKDEESEVKLDKVDATVHGVLASKFESFRAGKPTEYTGGRDADAIVKKKTGPAAVTIESSDDLKAFAPDFTDLTTENIVSFNERFLAGELKQDLMSADVSEDWDTKPVMVLVGKNFNEVGKNSGKGQLVKFYAPWYVEIAMKTYTFVRTLQVARARLGGTLREVRQFFFKVLIAKVDYPERMC >PPA10725 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1026379:1028669:1 gene:PPA10725 transcript:PPA10725 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEECSIVYAASISVAYNTILIVKTLICLGGAGFLLRQWIVYGVGFLGHANSRVLFNFYYAMFILLGLNIGVLYLIDFIRLRLTCALLDFRIVLALRGICISSILSAHLILILMSLERLYSSFYPAKFEKSSSPKIAVALALLVVGGSTTYVMLNLTAGFALFYDHKVALADPKVKENAARYIETNIALSITNGCSLLIFFLDIYLNFYRKIKQNLTLGASYQLVENRRVILVLMPIEIIDCAFTLLTTVAQILHAMYIKDATPLGRQIFLESTTFIVSYPLIMAIIIEWKVGRRKRAVLPDETSIDYFENLQKFWA >PPA10958 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2128702:2130468:1 gene:PPA10958 transcript:PPA10958 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDDTRVAELRSLLADDLTPYYDTYFNLLRWIQASPKASPWNLDHVLEVERGSHPIHKYWPDSRCGLSGVIPRCIVHIEQAGYMDYEGMINAFSMADVVKGLLHNSEQMLAEVMQIEKETGEQASILYVYDAGGIVYSKKLVEMMVGPLMTLADRMFAHYPELIKYIVIVNVPSFAYMLWKMVKPLLPIRLLSSSHWRNEIRTLMDPSVCPVFWNDAVHTTFSLNMERPQRVPQHEYKALPEKDENMVELHVKARHVQWIEYQLEKGDTISFRLISDSSFGFGIVHADAGVENDVYAMRQLVPVFSSIPGPLRVAIEDAAVAPETGMYKVWISNSKAWISSLTIHCHFEVDKAKNTD >PPA10668 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:575212:584836:-1 gene:PPA10668 transcript:PPA10668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hsr-9 MFFCRGRRSSVKSEETVGTEGTEEAAGGGEEEEEEIPRRRGRPGARKSGPSKTPTASAKKAKKAAEDEEDPFDMDTELDKHPEPLRNITVEKGSFGEMKYTRSPAASKSKYAATERSAEEKVGNLPNTPAATGAPKTIASAQSTRKSLGGSPAKRTPAAAARGRGGKRGGGEEGDNEEMMDEDEEGAAMETPQERKGRGRPAGGSTGRKRKASPTVATSAKRAAVCVTIPELDAESQWSADHPEDDSAPLVPGARVFAVFQKVFYPALVGERDGLGRYMVEFVEDKIVRPVPPAGVVPLSAVTIDKECLYQSSPDADPVYCRVLRSANAANAKDWLEGAFRLAEMGGDETEHDACWTSLQLDMATTEWKKYVNAKSKTATKINTDNITSLEDRRERRSRVHNDTAVSLATLTSGGTPEPSARRVAGARKTPSASASCAAAAKPASAKTASGGRRGRKPKSATAAAAAAEDEAGDEEEGDVNMEEKEEKMEVDDEKDGKEERKKATIECLLQLRNT >PPA10597 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:127568:132433:1 gene:PPA10597 transcript:PPA10597 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLINVDEKNQIMHTNVWPTMKWYDYQMQWDPRSYGGIKTIRVPPEKVWLPDIVLFNNADGNYLVSFYSNVVVENTAQTCQMMFGSWTFKPDEVVMNYLDGKRLVELNDYSPSGIWDIMDVPGKLTEDRSKIIYNRSKVSYRIHIKRVETRYSVLRKTLFYTVILIMPTVMMAFLSMMVGLYRMRFGWEMSGKLQLRKLAWPGFLSPRRGFGEDHTCHFDPARVGGVPPSRIKNSPSNIEDDPVDGQVTVVIINVYFRGPTTHIMQPWVRHVFLKTLPLMLAMKRPDQDWDRRRSSVEGSERRKMWKKTVKRDTVSGSMQSTGSNGAVDAKRIPTIREHHPFCPNSGESDRKAETRTSKDVGKAPGC >PPA10935 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2055180:2055751:1 gene:PPA10935 transcript:PPA10935 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPVLTCIRTSRFQCLYNVNTIAGLPPAAGTCDLSCPKPLSQCIYLELISTLATGQACTEMASKHPRQPF >PPA10764 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1289854:1300045:1 gene:PPA10764 transcript:PPA10764 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPEKWKLGNMDRFVQPAKCTGDMFCIVFERCYSDRDAEDAIRNLFDAGRQRGMDIDSRNVKIVPMSSSFDELRSFMFSKVGRAGAVIGFTSSNVDCVHENLKLFEAETGIVTLHCTKRVIDQVLQGKPLACGNIMMKLNQKLGGTNFKIAPPQELAKHAPKLAEFSKTWFSKTRMFFGLFVSHAGPQSFADRSAGVPQSEPTVVGLSFTTTMPTKQDGWWFMQEPGENLILDMVEHVVKALKCFHKANGALPNDIVVYRNGKSEGEFKAISTESAQFKKAFALVADNYSPTLTVIVVCVGSNYRIVTEGQGGLDNVPPGTCLTAEGCNPFYKEFIMVSQRAIMGTARPIRYNVVTEMQGKVGKVLMIDELKLITNALAYTTGIVTAPISLPGPIESAEKVANRGRNNYKATIMSDCDASTASSGPPRELRHDGSSDFFKKLSHKMETKVDHRREVITIESDDDDDRPAAGQQPAEKRVKTDEESSPDTPPASPRPLGTPLSAQEADALREQLSKAERLFARSRRSARIAELRAENLEDILEKKGDIITAAKKEEDLVKKLDDVSKQLEEAKWNVEESRKKEIELIEEKHEWAQEKEQWDREREGLIKEQDKLKTAYDELKSKAQAKDWEDAMEKENAECAAEMAKLIPLYDALKSEAEMNDWKAHMREFKKKRAQWGREKEELREETEELRKENDKLKAKKMSVSGGGRSYEPPSSPHSAHSLVTRADESVHNEDNEEAHSFEINRNFRENEREREAWIRETEELRAANERSEAQLKEKENQWTKEKEEWTQRVDRLTTSNAQLQRREANATEQMGKLLLNEVDGLKRAKAQGGGSGGGVSSRPDGPPSNVALSESQRANEVLKKSNSSLRRREKELEEKLKEMEEKAKIKLAQPAAQSVLCRCQELNERANTSKNIMQMFRPYITDSMPLDQAIAEISTRYEEWKLNGRAFRRGQDFKNKALKCDACVEMVDKLDVLDTSSARNTLTGSPDPEFFALIFDVRARGAHVSRIAVLFWKDLLKRACTDAAAAAVTDAAAELAAIAAGQQQPQSQQQMPMVAQADEKSGIRADGMMQE >PPA10650 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:417151:418233:1 gene:PPA10650 transcript:PPA10650 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSHILSTSWCPYPLSASFKPQLLPASSSPFDVFDDYYHVDESVPTVEPTRPSRRYSHAVRVQQTPQPAPRRPAPRWFTMAGERDRFRHPVTVEREKSNVVTDVLERMNNSTGLIFCDACLLDKPSPLFVLIGCSHAVCEQCADSTGFDVCLPPRSASLTCPRCKRRSEVVSMQQQHDKSQPESRPTAPETATIPKRRNGITNSAFILSPGKGGLKTAPGTSPDSSDDDYEII >PPA10974 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2196709:2197391:1 gene:PPA10974 transcript:PPA10974 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDCCCGACSAATGAEIIATFIIVFAVSSVVSVVTVVSVIQIVASILVFIACKKIYPQMMIPMLILSCLSMERTGDNYIYA >PPA10579 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:53020:54708:1 gene:PPA10579 transcript:PPA10579 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSKVFLATLAVAAAAAGAGKPTEAQLAKMRIVLNEMNAIFKKLYSCFLSFSKGWRFISHFTILSFTILAGKRALGIGRPLASQPPCRRPSSPTPRPTVLICKGNGGNALYVPIGDVRCTLVFRPAANDKTFNLNATLTDMAKSVVEMGPRTNYWSVNHCNDEVRDKHNEIVQKGGAVCTFHLFPAMN >PPA10788 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1438889:1440225:-1 gene:PPA10788 transcript:PPA10788 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGIASSGALCFVAISVLYMSSLVSDLQSLQSEVTENMDEFKVMAEDTWARLVKMHINPTGSSDAAPTFATLLGRNKRQANSQCNCGPSSRGCPAGPPGPPGQPGERGQDGKDGEPGRQGPNGIALAVTFDTPGGCIKCPPGPPGPDGEPGHQGPAGQPGRPGSAGPAGNPGRPGSDGQPGQPGQRGHDGKPGAPGQAGQPGVNYTPGPAGRPGAAGRPGPKGPAGQPGQDGAPGQDGQPGENGRDGQPGRDGQSGQPGEAGSDGLPGSDAAYCPCPARAGAVSEAVESPSGRVGYRRAAKRA >PPA10642 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:372841:379261:1 gene:PPA10642 transcript:PPA10642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pbrm-1 MAPGHVRVYVCKWANCDFQFDCAEGLAEHVILYHTSQIIGERNGDGAVDSENQYVCMWLTCLRNRKEVRASRSRPCLGCTVTSARSTNYFKFVATENGAGGSQGGGQLVQFPFGMHPSQAPPGALLAGGAHGGQPGMHPSGAIAAPPPHMQHPHQQQPMMNGHGGHHGPPGGGHPGHPGEHGGPPHGTPVRMLNGVDPRYHQSPAGPMHGTPHGYGGPMQQTSHHPGHHPQQGLQHPQQAQHHPGMQQAQQHHTGAMQHQMSAPGPMADARTVITLNRNAAEPVFIPPPSSVHTRRVLHSETYLRYIESLSNARQRSVSQYDRSLRAHPRNTPTNPGRLPANWLRETRNGQPVKEEDVVRALWRLREELLQSTVAVERDYAGVL >PPA10868 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1772448:1773759:1 gene:PPA10868 transcript:PPA10868 gene_biotype:protein_coding transcript_biotype:protein_coding MNMANPLYAWTFTKPFHEITSSQHIGSYRYLLAFFAICDITTTIAHAALQPFVHMTSVGFYFFSSHPQAVILGVPFGTISCILFIATYYQTFLVLAYHFIYRYLTVTSGLGASFTAFWTTAHWMAVGAIVNVVYIAVFVVAVAIGMRPTEERRRMIPAEIMEIYNLNLSDPRSGFTIVELRKVDPVSSNEEWIVKSVVSFGSCVLLFAATAAVIVFCIYKTNAAIKFFRPQSAYAKNKKDAPSIVSRFTNPDRRPLSVLIRATIANSTHRRRNR >PPA10966 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2165339:2165718:-1 gene:PPA10966 transcript:PPA10966 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRYYVPVSEINKFRTTRELLVLRFSIPWVGRYSDNCDDKRLSRRPNKDVAIAKSKEENGDELVRKFLRVSIFQFHGRRLCVS >PPA10775 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1389601:1392244:-1 gene:PPA10775 transcript:PPA10775 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMRKKDERDGKRMSNTSHCHDILSVGSEVCANDIVIATASPLTRGNHFEQRLTFALVTVNNEAALEIDIQLPDKQEESSKLTALSRILYLNSYFFLSFVHRVIPSGITKIVLDGAVICSEVVIIPANADLPPLPAYIELNPSPHRSDRPPPPQLPSYASRPHRVVSQEPPQREEEEGGERKMWKRREEAWKCQSGNLNVVDML >PPA10895 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1898242:1900494:-1 gene:PPA10895 transcript:PPA10895 gene_biotype:protein_coding transcript_biotype:protein_coding METKNVHRLALLISCILFYAIIECYAAIHGYGVSIGYDNTYKECVVHQKLFFRRHCHAYMEMKHKLAENEWILFIDADIGVVNPNKYNFFSLNSYLVKNNERGRTWVKELADYEFNVPDSFHGEDNGALHPLMLKWLVPEQQNRLSDLCMSIWNRSHNYVDIYAMHACTRVVIGEHTHFPEHKMQIISKNFNKTATLSEEVRKLGELKIALIDPLPNITLPSPFNGISACDVVFPVLNKLDIGKCRNHTEKWEMESRLEIDIEERTEMLGQMADILMKRQMEAVGNMTERLQIDSFLQYWD >PPA10721 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:990432:990768:1 gene:PPA10721 transcript:PPA10721 gene_biotype:protein_coding transcript_biotype:protein_coding MLCPNAYDDILAEIDGFVSLPTTHEDYGSHRVTMADLEAMENYFLGTTHGDSFTTTHDDFEDDDDEARDNFIQSLRAADEESKLLIEIHSNF >PPA10923 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2008450:2009137:1 gene:PPA10923 transcript:PPA10923 gene_biotype:protein_coding transcript_biotype:protein_coding MVTASMCIELKSPYSWMSVDDDVKNRREMVELGELHVRDQVSRLAPLFEKADVTDCELHALLALALCEPDMKTDASDTLLSLLDSIRSQTLREVHRYYKVEMMMADFSARLGNIMTICHAVRECNSHFQEYLRTYATLFDAHSADKMMEKLFL >PPA10965 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2161272:2163028:-1 gene:PPA10965 transcript:PPA10965 gene_biotype:protein_coding transcript_biotype:protein_coding MERPQIYLFLLPLAFITLILYFKMLYVLWRKRDNNGSLFYKLIRTQAVFDISYVLVFCVYEVPTDWPVMYNFLFGLNETVLVQLFYAHSYTCINGQILGVTLNSIGRALAVRYSTWHITERIDKMSQAKVAFIHCTPPIIFGLFIFFVETPSHFQFVEELTRVTRVTDVQYVQINSLVSMTSSVTGAVVSMTYRCWNTEASILSTSFILFLSLCAVAAYYLNNGLLSIINTSAMFNLRKHYYAFAFPISLLNPWCLLLTSAKIRKDVLGQKLSQTALIQFLPSEVTPPKKYDVFHRSQ >PPA10752 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1207008:1208397:-1 gene:PPA10752 transcript:PPA10752 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHGYLIWFAVVDVQQQVAFDRQKIEELPYRLGGCRHKKGLIAVFSIRRPIDRLLTYNDHEYVRVMESLGLGMQKEKHLATVNLFTVPESVLEGFQGVYQYSYHYKDWHQKYRFEPAADFAAKYLDSGTRTTTSKRCRHSKLG >PPA10632 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:287986:296227:-1 gene:PPA10632 transcript:PPA10632 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHSRVFHALCTPPCRMTRFARRCKRCEQDAEFGSEILRAFSASVDSDFGHGLLMQKLASDQRETRVAAAIAKISLIRNRCRLSRRPSGEHSSSLQVLLDEVRRILLERDYDTESRRSLYEGGPPTLVLIIGAVSTLLDTNKIKDAFEIDATWKGARYGRVQGGEGPSTSTTAAEKKEEKVGRAGVGGDEKEEEDMVSFEDTQGNVFGEIALANLRKGSQYFNMSYHLIIIHHPDYRAPSQGMFSSDMVEARERRRKFVIDETEEGCTREEFETVSMIKAESSKIKDQPLLFLFRFLHCLADCSPSICLCQKVQRVETCMALIRLADKYLCSSLSTWALGPHGPARRLLDGASLHLFLPLALQLYTHHKVMDACLVTLLRFSSDGNISQALAAIAGDSPIVDEFVQKMTKFIQSNSIISSVWSLPQ >PPA10839 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1647441:1647670:-1 gene:PPA10839 transcript:PPA10839 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKYDSIMPILIMDKFAVKQSESLRKILNNQELPPKAKHVDRIVQGTHQRK >PPA10969 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2169782:2170184:-1 gene:PPA10969 transcript:PPA10969 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTAAFYAKTIYADIDVRIITLIPSQFVKCWKYLSHPVFYFIECSWIIGI >PPA10744 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1148368:1149785:-1 gene:PPA10744 transcript:PPA10744 gene_biotype:protein_coding transcript_biotype:protein_coding MSALETMTNCSLAYVKLIAVWFSIVSADVLTGFRVELMWPCWMLLCSFYDSMQRRSAFVHLTSQTTTGDTRDGKRGLGFIN >PPA10816 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1566952:1570671:-1 gene:PPA10816 transcript:PPA10816 gene_biotype:protein_coding transcript_biotype:protein_coding MTIINDADDGSADGSTMLALTCNSDGSAWENNGAAVTQVECAVEIPCLMCAANLITITTAGNGAKAMDGDVTDATGACAVRTFTCLGTVANMPNIELNNGQGVITDGSDGTVDGSIMLVLTCNAAGTAWESNGVAITQVECAVTPQCLMCAGNLIMVTTAGAGSKAMDGDVTDTTGVCAVRTFTCLGTSPNIENNNGVIKDGDDGMVDGMTTLVVTCNAAGTAWESNGVAITQVECTIPCKTCSANLITVTNALPGAKAMDGDVTVSTGACANNNGAIMDGDDGAVDGSTMLAVTCNAAGTAWESNGVPITNVECTIPCKTCAANLITVATAGNGAKPMDGDVTVTTGACAVRTFTCIGTSPTIVNNNGVITDVDNDGTTTLAITCNAAGTAWESNGVPITQVQCTIPCKTCAANLITVVTTGNGVKPMDGDVTVSTGACAVRTFTCMGSNPTIENNNGVITDVDNDGTTTLAVTCNAAGTAWESNGVPITQVQCTIPCKTCAANLIAVTTALPGAKAMDGDVTVSTGACAVRTFTCLGTNPTIANNNVAVMDGDDGAVDGMTTLAVTCNTAGTAWESNGVPITSVECVLPCKTCAANLITITMAGFGAKPFDGDITVTTGACAVRTFTCMGANMPNIEINNGFGVIEDQDDGVIDGVATFSVTCNAAGTGWESNGVTVTQLECSSIPPCQLCAANLITVTATLPGSKAMNGDVTVNTGACAVRTFTCAGAIGATIEMNGGATQRADGDDGTADGTATLVVTCNTAVTAWEVNGVAVTNVECTIPCLNCAPGLITLSTNGAGSKPFAGDTTSTASGCAVRTFTCQGTAASIEIDGGVGVIDDGNDGMIDGTATMAVTCSPDGTAWQYFGFPVTQLECSALPGG >PPA10622 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:240798:243776:1 gene:PPA10622 transcript:PPA10622 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPRTPKRRRCASPAAAAAAADTPAPALIAALSAPALDLSVPLLKRRRNHTEDDEAGTNEANKPSSSSSASSSKDSPHRLTPQTPSADAQPPLDHHHASPAGTPNDGDHGTPPAAPQPKPFPCACGAGFSSEATFLAHVTTYCQLTARKSDEAGDGKELKRPPPKCGQCGYQPSSASQLAVHMRNHHAEGDYSNKSATVIPSNSQNTPRAGQRALRRPAGTARDQAFDDLLGLHVARKAYVKGRVMSEGSLDVSPSAPESARTLIDA >PPA10824 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1595513:1597973:-1 gene:PPA10824 transcript:PPA10824 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPRVKELRSLVSDHLSPYYDTYFNLLRWIQGPQAPSKVGTISPKKRDGEGTRNSSWDVDSVHLKKRGYHPLHKYWPGTRCGRSGVIPNCIVHMEQAGYVDFEGIFDNFPVTEIIKACCHTVEEMLADVMKMEEESGEQAHIMFVIDAEGLEYSKKLFDLAMKSMKALSDSLSDHYTELISSIVVVNAPAWANVLWSMKELERRYVNPSYLIPNIVSLHINMLSSSNWRDDIKSLMDPSIGPTFWNDENHKDFKLTMVRPRKIPTVERKEHPEKLDQLIVKAGNEHSMEFNLNEGDALRFHFTGNGNFGFTIVMKENEEDDDVLSMRQAYPFFPFVPGPLSVPIEDSVVAKEDGLYKYTRLI >PPA10629 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:279180:280725:1 gene:PPA10629 transcript:PPA10629 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPKRAKFSEGISFSQIESSPLEASTALLNRVNEFALQRTTIQLVDELLFETLYAFALSKLPCSLRNLWMQSGNIKSSCGCTFGFINSFSYSGETASDRHKRLVVQEPARILCGHQTYIKSGRTSHEEIDDHQKA >PPA10832 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1632152:1632860:1 gene:PPA10832 transcript:PPA10832 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDLLDPDDRTYRTPLGFTCGDRELTLDTVFQDTAPSGSPLATVITVHGSPGSHKDFKYITPHLEQAGLRVIGINYPGFGLTQDSDDLQHTNEERTAFVEALIGRLDLTQRLIFLGHSRGSENALALAVRNTVGD >PPA10873 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1783812:1784101:1 gene:PPA10873 transcript:PPA10873 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVQGILGGESDARVKTAVDTIIAGTKAGDVHVEHKTQKSLPYMGAECSQLKNCFICPLELA >PPA10646 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:391224:392083:1 gene:PPA10646 transcript:PPA10646 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHMATRRVTASHTDLISVDWNINDYFIADIAEKANLARFGVGGDHCPDTSKDFVLVWAAIIQTHKMP >PPA11015 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2367837:2368561:-1 gene:PPA11015 transcript:PPA11015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fip-6 MISAKISLVLLLIAFMALAGGGRGYGGYGRGYGGYGGYGRGWGGYGRGYGGWGHHHHHHHGGYYGGWGK >PPA10831 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1628685:1631826:1 gene:PPA10831 transcript:PPA10831 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNAQDDAHLAVTVAARLHRSSWCKMKYAMIISTLENLVIEFLSNTYERYPSLRGILHYIMHKYYNRIGLRTSSGRVAMNSIKTMANISLHGQGPNEHIRVMFAYAGNDFLIEDAISREFSSEFTKRTHLICKTSAADEEAAASKEMRKAFLTDGQRTVTCEFVPDGHFLQKFRAAFIADAIVAMLQATKNDRNIWIIALFSITIANNTDWPYLARVKY >PPA10955 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2119846:2120519:-1 gene:PPA10955 transcript:PPA10955 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPALFLFAFVSTVNSQCTGLDDPACPSWAANGYCTNTGHPMEERKKYCGVYCGFCNLDGSQTAAGGGDTLEPCDDAYANCVTWNNDPTNNFCSRTDYSSSMKLLYCCKTCRPYVLG >PPA10853 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1712935:1714524:1 gene:PPA10853 transcript:PPA10853 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTFRMLSDASLSTTVAVHLLCRASPELSNPEERSATYPINVVRNIARQFTTTRFLLIGDMDHLFSVDFERKMRDLALRTLEIGKKRVLVYRFFEIEEEESVARNHRIGKDDLRKLLNSDKAVVFHSMINMTNGHEIPKLEEWMASEEKERTGIFEGHLKYEKREWEPQIVFTRDAPMHDESFPYRIRNNLALPDFSEIRPNTSLIF >PPA10911 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1948282:1949842:-1 gene:PPA10911 transcript:PPA10911 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQDPLRGTWCGINEQGKIGVLLSITQLQKDKRTDVPSRGRIVHDFLASSLSGADFCDSLASNAHEFNGFTFVALDRPIGNEFQMHSFTNALVDETKTISWNNDVNAVGNCPPHKTYGKLGHGKQLFENILDGIGETATDSEIAESLFAVGTDRKECYPDAQLDSQIDKYDPRAFSSIFLRVGSPPMYGTRCQTALIISEAHF >PPA10881 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1805190:1806106:1 gene:PPA10881 transcript:PPA10881 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDECFKCHQSGHFARNCPNVGEDEGRRGGGGGGGSTCYNCGNTGHFARECQEQREDRGGYGGGRGGGRGGGSSECYKCHGIGHFARECPSQEAGGYESRGGYGGGRGGYGGGQKCYNCGKPGHISRECTEAGSGGGSEKRCYNCQGTGHISRDCTAA >PPA10850 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1690343:1697972:-1 gene:PPA10850 transcript:PPA10850 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCREITSESCLIYFRTRNSGILSYQGIEKDLADKIGVVCGFVGFGGGGNSNGFGGGNSASFGSSSGGASTANPSGGSGFGGGSSGGGSGFGGGSSGGGSGFGGGSSGGGSGFGGGSSGGGSGFGGGSSGGGSGFGGGSSGGGSGFGGGSSGGGSGFGGGSSGGGSGFGGGSSGGGSGFGGAPSGGGSGFGGGSSGGSSGFGGASAGGGSGFGGASGGGSGFGGGSSGGGSGFGGASAGGGSGFGGASGGGSGFGGASGGGSGFGGGGSSGGGGGFGGDSGFGGGSGGGGFGGSEFNNVGGGGGGERPRGCFNCGQEGHRGSDCPEPRKERGGGGGGCFNCGQEGHRSSDCTEPRKERSNACFNCGQEGHRSSECTEPKKERGPPGACYNCNQEGHSSRDCTEPRKEKKCYNCDQEGHTSTECDQPPNPDKKPASTHIPEEYETAALFKEHIEQGSMFDKFFDQSVELSLGSGRKLENAEVLKIETFEELFLPDLMASNVKKAGYSKPTPIQKFAMRNILDGKDLMACSQTGSGKTAAFLLPIMSKLTKDGDLSNISETTCAPRVLILAPTRELAIQIHKEATKFSSGTMCRCEILYGGTSVRYQKERVQKGATILVGTTGRIKHFIDEGIVTLDRTKYLILDEADRMLDMGFSEDINLIMQNGKIAEKEVRQTLMFSATFPSSVQELVKQLLKEEYLMIVVDKIGAANKCITQDFVQVAKNTKKSTLLEMVGVDIKKYEQNKDLEIFKLKTLIFVSSKKMADSLGVFLSESGIPTTTIHGDREQNQREQALNDFRRGRTPILIATAVAERGLDISGVDHVINFDMPKEIDEYVHRIGRTGRVGNAGHSTSFIDAEHDAQLIAPLINLLSEAEQIVPDWLSQISGGIFNTDAGFGGDGGGSWGGEESGGVRNPLQHETEPCSV >PPA10792 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1458318:1461884:1 gene:PPA10792 transcript:PPA10792 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLITFRSKYRVNEKKIGKYGYFNYHSEENGMKRFGDKEEVRQKFKSNSRFYFDKNNVMTIALGKDKATECATGISKISQLLKGQCGYELKDADFARAGVIAPQTIDLSALRKLGTPTSLVKGVIHLTQDFGVCTEGETIKPEEAKILKFLEEKLSVFQVIVSITPGTHNTEDAMNRQLRDKERVAAAMENSNLIYAVNKSLTPRLSYRY >PPA10840 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1655784:1658630:1 gene:PPA10840 transcript:PPA10840 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSYSHANFIGRLSDVLVEGGLNVTTLISEVRTTISDGTSKSHIVRVEPQEEAYRLWNTGEMPPIFDGSSHDLRSILSFVPHLREVFLLQCRHLLTKTEMIEQLRREEYDAVIGETFDYCGFGLAKIIGAKTVIATFSSSLNDYTAWITGTPSPWAVTQASYSGVLDRSISSRLWNLLAVGVDYYVNWRWAGAANDAFRERFGNDFPSVEEMVANSSLIITAGDPLLDLARPTQRKIVDIGAIGIRDANPIDAEYDAILNLRPKTVLFSMGSVARSASLFPEYKAALAETFKQFPDVTFIWKYEEPDNAPHVAGLDNVILRKWIPQNDLLGDPRVSALITHGGKTSLNEVGAKGLPAVFIPIYGDQTKNAAIAVKLGFGVFLNKLDLANAHLIEEAIRAILYDEKYSIAAKRVAATIKDRPFTATELLVKHVKFAARYGNVKSLDMEGYDYPLYIYWNLDILLLVLMIPLISILMLGWCILRSSSQSTIVNKEKMKQN >PPA10805 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1515584:1517838:-1 gene:PPA10805 transcript:PPA10805 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCFSVQFVAAFPTSFGSISAVVTSEDVWSGKATTTMMPGSNYRIYAVYSSSAPSNVYASNVVITGADNTQFTVASLSRAKGSTVYFLDETIILTAPISISDNNAAPGTGIPPRVSFSVYIVSVNVPVAPVISTQLVNGVVDCSLAKLNAPSCTVLSAEMNMLLSGIDTSKIDSIDVKNAGFDSVTDDFNVMTVTKQAVGSSIMISGPIATLYNSGVTSANFGFSVSRDGGYTGSLTPGASTTLLSNGFLSVGEAYSMTINQKTLTRNYDFGSEIQVTFNPIVGNYYPNQGDSVNCGENAGSVVNDRCKAIILNVVVGTKTIEGTRFKVEIETTAGALSNSILTLLIASIFGKLYL >PPA10666 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:542404:543440:-1 gene:PPA10666 transcript:PPA10666 gene_biotype:protein_coding transcript_biotype:protein_coding MWPEKGEPPGSAHHSTSMPPIDNVGKGSTTTVAAAAAAPSATIEMQSIIDEMIHDYSPCRYHLVEKTGAAINISLLLRVNHDLRLG >PPA10864 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1761814:1763102:1 gene:PPA10864 transcript:PPA10864 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEFGLEWDRDQLLHLAAGTRRVYWPISVFLILPIVLFVLIRRTHMDRDCKIAFVAHNVRRILEIPETHVILAIFDVYNGLFYQMYTLLPYPVFCCTGILCDEHTSPRALLTILSFWTIAICVPYLFVMIRMHQKMLIDNSPLKLSYRSQALLMFIFSAVLVSNVYGFGAWSVESAEKAQILKALFTSVLFILPLVALFIFLVTPLGVLLGPLRVTLMV >PPA10719 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:986076:987895:-1 gene:PPA10719 transcript:PPA10719 gene_biotype:protein_coding transcript_biotype:protein_coding MNESRKMREGMASFIAGLAGKKVLVELRGDKYANGTLESCDCYLNLRMSNVTMDQGGKNGVLREFFIRGKHIRFIHMDKYEDVVAANNYDIVAWEKYEKRNSSEERLTPVVIRLDST >PPA10677 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:630485:631407:1 gene:PPA10677 transcript:PPA10677 gene_biotype:protein_coding transcript_biotype:protein_coding MATHPYSGHAYCVPNDANLDRVSIVKLLNHSAPLLSHTLATSLEVLLAFPAADSLVFHYWYPLQHLKIVVGAQKLAKKN >PPA10783 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1420501:1422009:1 gene:PPA10783 transcript:PPA10783 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRAHHLKLVTLYISSVCFLHSLAPEAQVRKLILKNTPQYDFSHNRLIGLVDLHHSWALPSILFIVGTALPCTLIGDCCIVSSPLLTMYFVRPYRRQIERMVGLRSEKVRTRSQWTENRKEDVSEMSFSKLDPGHRRST >PPA10804 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1510074:1513042:-1 gene:PPA10804 transcript:PPA10804 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAVAISCIVLVCKLLLRNMRLTGTYSLILITTFFGSISAVVTSEDVWSGKATTTTLIAGWKYRVYAVYSCSAPSNAYASNVLITGADNTKYTVASLSKAKGNTVYFLDETIILTAPITISDNNPSSGSGAPPRVSFSVYIVSATYPVAPVVSAQLVNGVVDCSLAKLNAPSCTVLSAEMNMLLSGIDTSRVEYIDIKNAGFDSVTDDYNVMNLEKRAVGSSIMFSGPIATLHNPGVASASFGFSVTRDGGYSGSLTPGASITLLSNGFLEVGEAYSMPINKNTLMRKYDFGIEISVGFHPVVGNYYPDQGDSVKFSCTKATGGTVVYDCGENAGSVVYDRCKAIILNVVVGTRTIEGTRFMVQVETSV >PPA10937 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2057784:2058222:-1 gene:PPA10937 transcript:PPA10937 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKAHGGVKGAIGQFTVEEYDEMLRNDLIQLQNVLGDNQFLMGDPRVDCLALGHIGHAYFRLPQSRSYIHELIDSAELSPFKQYLERVVKTLF >PPA10580 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:56528:57760:1 gene:PPA10580 transcript:PPA10580 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRTALLLLLLAVPAFSILSFLFGRPKVTKVSVSEVKTNVQSTSINERFAQSNNHPAQIKRTAAIVKEVNDIIARSFTDEGSNKVNCLHDDVHTDLPAGAVRCTLLFKPKNRDSFDSSASTGRLLKAIDHLNTIAQYSSYTTCNAGKSSKNGEVVESNGVECNVYVYPIQK >PPA10753 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1209099:1219671:-1 gene:PPA10753 transcript:PPA10753 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAEKERGGKTEVITISDGDSDMSLSDGEQPAEKIAKIEEPDCSPPPVRCSPEDANQLEETRTGEMIATTKNDELTRDNSCPWGELNEIRKVAETEKDRVAELTLRNHELSSEIAFIRRLVPGVLRLPTPLPDGIPPTHILSPQFTSPPTHLPTPSLLPHTSPQFTVPTNYPPPQLTSPNLPPPSHMTPSHNQLTSPQLPPPNLLPLTMFFPLSQPSPPPAPANLLPPSPLSLLPPPPPAPLPEDVGELQRQVREWNDKFQEACRIGREAEERAEQASTGMVRTSVMLNNALSREAELKRTISALKEEKEEMRKKMEEMIGKNVSLAQLVKHEGNRQQNFIDQLPMRRSTYLFTIGDPIDLFQLCRPDGPESISLDDALGAVQDKFGECGEEWWKQYCTGTNLDVKLACRVCEKKVIVSKFIPDHFTSQKHVDAVRARGAAVSQPALQHWLRELQLAADAISKVAGRVFRFCEGWRVKAVAPEMLRSKRNDDDDDVVIIDPPPTKKARQTAPPVASLPPRSPSPSVVVLHQKRGKATGVEKESQTAPPVASLPPRSPSPSVVVLHQKRGKATGVEKESQNSGEESLATTVPPMDNEEQWSKTHPSTRSAPPPPPPAAASPEVVELQQKLAKTESQFQRACRIARQEQLRAERAEGLLDTKSGIVADKKKAEDARTAQIEKLKKDLALVSAQLKVSKEANARESRKLVKANEALNREAAELRRVCQNGYAENEQLKERCRRAERRRIERAQLGDEWAVNEAVDNAPAFELESDIPEFKLFGKWNLQEVNVADISLVDYITVKEKYTKTPCRCSSTPLSCRPREDSTRIGRAGTVRRQSVDVAPFRHVNQSYRYVAPRHWSSRGRIP >PPA10908 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1938248:1939635:1 gene:PPA10908 transcript:PPA10908 gene_biotype:protein_coding transcript_biotype:protein_coding MASFYEVVDLITEASVVPVFALYDIVLISLHAAFEPKSLTFPGIFTCVLDFPYGSRPDGGWNIAAILVALSYDIVGGGIVVVVVLLASLTFRHISVAFTLSPSTRAAQMQLLKTVCVQTFIPIICVMIPRGMYRMQQVLR >PPA10648 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:406987:415619:-1 gene:PPA10648 transcript:PPA10648 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLISETGNRRDVDRDLGKWRDMERAAFHAIAGTSTGFRPAHIVSDADTDNARVRETPGGERFRVQLRVRNPLPIPLQLRDVHLGVADVERRGEGASSHHHPRSRRQSQEGGGGHPEQLEEEHEGVRVHRIDSLRLQPDEARVIELWVEPAAASAAGGAAAAAAAVAAFRVASMRYQLCCAPGVMARGEIALEIRGKRLNKTEKQMKSPTYATDERLRASVAARAWPLCDVRATRTPAPGGAVYCDQLITLNLEITNAGAEPVEGLALAVDAVDRVMVEEEEGGEDGGSSGTLSLPVSALDLAATPGVRAFALRRGATGARLDPGARRRVVVKLRAPSTPAASHAVSLLLLYRGPGGGATREWRTRVECRVAALLAASTRVLDPYHGLVALRLANALTADDAAMARAEVTRVRVAVAARHRGAVLLAPEDGLAAVRSAAPAAQEDGGERRVRLESGQSQEVCVRIAAPGADGGGGGADASGADADWTLVHGEMPPDWPLPVPEVYEN >PPA10997 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2262980:2263335:1 gene:PPA10997 transcript:PPA10997 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSAGSIRVEKNENPTEDEADTLHAAYCKKLNDLFEDHKRNYGIPHAENLILY >PPA10949 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2085175:2086828:1 gene:PPA10949 transcript:PPA10949 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRHYCNATIPCTLEDHRGQLYYFPYKFGSVLAPEHWFANNKEDIYNLIENYWSYSIYVSVFYVLAVQIVQRAMESRKAFELKLPLIIWNSALAVFSLVGTIRMGEEFLHVITTRPLVDSISYAYDPHQPAAFWAFCFSISKFFELGDTMFVLLRKKPLIFLHWYHHAVVLVYAWHCTKEIVAGARWYVFMNYAVHTLMYAYYTVSAAGFRLPRLLSMVMQQTYENLFFSFAIYASFAILFSNFFNKSYLKEKEQKKIQ >PPA10588 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:84879:87224:-1 gene:PPA10588 transcript:PPA10588 gene_biotype:protein_coding transcript_biotype:protein_coding MCNILGILPFRPVSSGVQWSSVNTAISTTSVTYVNEEDTKKAEGLQIKPGTGGNMEKLLSLVGNTVVMLGFVNAGIADKMPMHIQEAFINGLMCEFDQADLDKKLIQALYGQDSMPAEARKQEEDFFREKKGLTIKIDCTKTSGQDAEAEKCFCEEKKPLFYCMMVIIKTKAGKQKKICRPLALKALEIASPAFFKLYDLESSKNVGFSKAAHSGNVGDVSGNEDVAMGKLFCEARKDTVKAAIVNLYAADAMYWMSEAALMAILFGKTKRDLTTACAQKTYTDNKYGDCLCEQNTGFSFCIYKVLGDFFVEKGYLKCEETAVSFDKTKKPDSLPSLSPPSSNAATASGLLLLPVAAAAAMLLQGRWGRSPSHPLPKSPTKAPRKILFGCD >PPA10991 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2247265:2247732:1 gene:PPA10991 transcript:PPA10991 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKALRTEFIHEGLLILNPDHEFKLIGKYDTIRLEVGMLEKEVDYDHFDELIEIRKARFQTAQVKTINMQNIDFNRISPNRMEQLLDGCEMEIIKFELSREHYNQELS >PPA10809 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1533118:1534977:1 gene:PPA10809 transcript:PPA10809 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIVMSEDVSRQCLICSTPTSSVHFGIDACRACSSFFKRAQLSGHRYPCRKGDHKCDRMALCVVVAKCSACGMAYDGPMRKYYPKNTMEDSTPSPSDKEESLLDRIGREHSACVKRRRVQEVELVKLHNLSRVKHPTEREFFRLCMPQFALVDCFCRTKRIWGGFVQYSMCSVLLCSDINSPEVWVGMEEGGPMREELLDAIRAYIHDQMALMLPSFDKADICEREMHACLALMLCESDPENAFADRFQSFFDTSKWQAYSKQQLLHQCLRCVNIEECDVHSKEFTEVHATFLK >PPA10844 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1672943:1673855:1 gene:PPA10844 transcript:PPA10844 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHHNIDCTSQRNAHARRAYCFRHQIVRCIGDLSENIFATVHVVRFIIVNGLSDGERYQEVRDAATVSNVYFDAFNNALNKKRIGQYRVK >PPA10787 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1434090:1437674:1 gene:PPA10787 transcript:PPA10787 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRFDDRVAIVTGAGGGLGRTYALELARRGCKVVVNDLGGDGHGSSGTSAMADQVVGEIRGFGGEAVANYDSVENGESIVKDGKSINIVINNAGILRDVTFVNMKEIDWDLIFKVHVKGAYAVTKAAWPYMRDQKYGRIVVTSSNSAVYGNFGQVNYSAAKHALIGFSNSLAQEGAKYNILSNALLPTAVSRMSKSVMSDAMASMFLPEHVTPLVLYMVHEKFVDTGKLFEAGAQWYGTVHYYGNKGKVLPEATVEDVRNNWNDIAGMDEIRYLESSKDHMVELMENLNEQKAKMCERVDQRRMSVDVVDSVLAAARLGKKEGGWDDTNKRKESLLDDTEEAGCEFHRVMVREATVEWELGGRNEYDEPINATITHDLSKSKI >PPA11000 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2267635:2268990:-1 gene:PPA11000 transcript:PPA11000 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDSLPSELFSHILFFIDGPVRLALRLSNRALEEKVAQSDLHVPSNSYKQKDVTLHTRFGDWRMEFGEGLKFTLPFGDWESALRIRKRLFSRGYAKRLFINSINFHEVPVSIIFELIDEIVYEQVYLSAVQDEEFGEKFVQLIRKFEDTELNIHVYARMLDRETLLSLRAGTDLQIVEECRYDGKYRDESEAMPFQLKLAEKIPLPHTIFYKNATAKAHYRMEENKTIISLAIENVLYSST >PPA10693 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:726123:737893:1 gene:PPA10693 transcript:PPA10693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pbs-5 MWGLTCREDERDTEDALGETFDATAIEAPVSGQFTFPNPPLGLQPKGFVNAHFGPDSSAKSLQFRKGTTTLAFVYEPLTEKDVGGIIVAVDSRASAGEYISSKSVMKILDVSEHMVATMAGGAADCQFWCRTVNKYCNLFELRERSTITVSAASKYFANVLYGYKGQGLSVGSMVAGYDKRGPQIFMVNSEGDRTLLKVCSVGSGSLNAYGILDTYYKPKMSDEEAMSLGRRAIMHATYRDAGSGGVCNLVHITPTKKIRYPGVDVSKLWYEFADEIGRDIANSHVYGRRWSIDRISMALEQLTPDQQQVYWEVKEWFPHASRAALITHIGQGLDDYSHALIALCVDETIPWMIYALIALCDDGTIPQDDYDPEDLKAEEQRETTELERAMRASVLTEQHSQLLQQPHQLEPQVVRVMHVGAATAGLAAGAAAPLLQQLQQPVAAASKPTAAAAAAAAAAPPPAQAQPQCSICKKSTSSVARCHWPINKATGDLKEEDPNAHACCAKCLTSRYVFKKITITSRVAISCLKKGCTREIHQDRLVSDAGLKPALAHWMLGFTEVTVGNARRDNTVRELKRAREEEPEITDEERERLTVIMDHMDHDKFAYLVDQLPGVDFIWLLDNIDCGTVEMILDRREHEDTVPKRRRRRLDKKGVTNLVEFHLLFVAVASREI >PPA10808 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1530638:1531547:-1 gene:PPA10808 transcript:PPA10808 gene_biotype:protein_coding transcript_biotype:protein_coding MADPARGDTNFIRQFWPNQQELKDFGFIRIKCEDFDEARDHDGRMKIALRKVEARPKPPSLDAPVLLVCPSGVHRAGTFAGLDIVLDRISAERKVGLAETVSILRTQRFGVFSMFQHYQTVADIIVLRETLDCCTMDSYLWFNMDSFDGLSCFSTGP >PPA10984 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2223645:2224180:-1 gene:PPA10984 transcript:PPA10984 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRTLTILAIVGFLFISSLDAAKPAKGKGKKGGEVKAEKGEAVVLEESAVIGEIPTPKIFRPKQMKMVTAYDKCKIECQKIRDQQDLHSYAAQLREELAAAEAILQAEAPLEEAEPAVAA >PPA10797 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1471802:1474140:1 gene:PPA10797 transcript:PPA10797 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVFTATAIACYFDLKSREAGLNKLPEGAEWARIPKKRLQAFRTSVRESSSKEEELPLLTPKEPSTRSTKTPSKVAASRLDQEEKHKRMIEAVYIASGRAVPAHLVSSTRTAVPRSLAAAKAPPGATVLPPQQPQPASDSVPVDKAQSMSGEDSVRTMGTVEV >PPA10600 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:142066:146419:1 gene:PPA10600 transcript:PPA10600 gene_biotype:protein_coding transcript_biotype:protein_coding MSERERLISSSSASTSSTKTIKVVMMGDSCAGKSAILERFISNTFDDASTSTIGMDFFSKQFTTRSGKSIKLQVWDTAGQERFRQLMPSYIREAGVAILVFDLSNERSFANLESWVLFMRRQRGDKTQLVLVGNKSDNERQVDSTAILQFLIDHEGVPYIETSARTGENLFETIANLPFESEEDAVEEYVRDRTRTIRLSVSGEKAVEEERAAKTGCSC >PPA10878 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1792809:1793379:1 gene:PPA10878 transcript:PPA10878 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLALASLCFIFVVFMAGAVKLTPQMEKCGEQLLQTLSKESNKEMKTTMNKLIKNVQKGELKASQEIINNLRDDQKQYANSNYWVDACLPMKSCLECPVEL >PPA10956 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2120892:2122338:-1 gene:PPA10956 transcript:PPA10956 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVRLGYFLTEVALIAYDWVFNFFFRMYPMTPYSGFYCGGPACKFIENRKMTAVLMLILIFTMVANVFGFVMFAVESDDAAIIREGVQRLQYNGVLRAIEK >PPA10714 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:937370:938072:-1 gene:PPA10714 transcript:PPA10714 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRSDHRGHVPICMRWSRELARFHKYEPEQIISREEYAKDFEDGCFNAFIAIDEETGKAAASDDDMRIDKQSKSQYHSAKPLSPRPSQQILAAKARPQFNRTY >PPA11025 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2404316:2406347:1 gene:PPA11025 transcript:PPA11025 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVKLCTVDYIHFASASFPEFAALSSEDQQLMLRNFSVRLFNAEIHCDTFRRFGAQEGKFSMATITTCYDTNNFEFVSENENPTLNKLFARFRQGYWPITVFIIHPLAIVVILKKNHFIILHRVVCRTVSLSHDASSSTNVAVEQ >PPA10825 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1599402:1601637:-1 gene:PPA10825 transcript:PPA10825 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLLISIVGIVSATSDELLSVNVVIRHADRAASSGWATPESPTILFRGNGELTDDGIDNAFAQGKDFKERYVKTGFIDKRFLPTEVFVRASEVNRCLMSAASFTNALFKDTSKDHAVIPPIYTKEQANDPLLVPLLSCQDGWDDVIARFNLSSNVNVMQTSVVAMMQTMWPAACSTVNPALFDAIIAELPNKLINMPANYKSCAQGVHYLELLAGAGNSFNELRLKRVAGLLTNELLNNFAAIYQKNGQKANFVDTNNCASGCSLSAITAAAAPRNHCSDSVRLNRSLIILVSDKHVELHIYIAFMHIGYETGAKS >PPA10988 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2236554:2240241:1 gene:PPA10988 transcript:PPA10988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pac-1 MLRELEQIVEERDRLKASIEAVPSNFSQLSPRSRSAQKLYVDDILDQMEQINVLIEDKYILLKETLSDADMPIWLKGKSDSLSITLVGHKKPTQLKLFRRQSSLNRIRPLNAQPYPDCLRARVRLTIRGPQWDRLLDVTFSTWSYHKHFYSQNVQTEGMAPLDFIMLYCWYHNSLSHILVALNR >PPA10711 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:900179:900694:1 gene:PPA10711 transcript:PPA10711 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTSSSSMMVDEMPLAALTSMDGGRGGEGRGVVKSDFMGSGKPNSFHCITRPDDVIVVVDAGRAAVGDVDGRRRKGQGQQKKEPAREDVREEHGRIAVVH >PPA10672 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:601502:602207:1 gene:PPA10672 transcript:PPA10672 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDPNDFDSDDEAILEMFGVRRNVDGRAVLTQESDSIPDDETGMLIQSDEEFDQFSDDEMANMEVFH >PPA10595 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:117311:118178:1 gene:PPA10595 transcript:PPA10595 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acr-2 MNDKKHEYNYLIRPVPSVYSKAIVVEFGVAMILLINVDERNQILQTNVWLTMKWNDYQLKWNPAEYGNVTHIHVPSDRVWLPDIVLFNKRMD >PPA10659 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:463030:463369:-1 gene:PPA10659 transcript:PPA10659 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEHKRVALSNAKVKDYIEDINQEMDKFGHRWCSIHRLIEKLTEMKTAATMPQQESVANSEEDPDPVGSDATSNTTMTESTAARDASTAADDDISNE >PPA10888 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1829013:1830073:1 gene:PPA10888 transcript:PPA10888 gene_biotype:protein_coding transcript_biotype:protein_coding MLNELRESSYVLFIDADVGVVNPNKLIEEFIEPEFDIYLYNRYNNLEYAAQYLIKNNENTREWIAMWADMEFTSPKSFHETDNVALHILMMHYLVPETKGEESKIGKLCHTIWEKSENVEDLFTLRACTRMMIGDMSEFPEERVKIFPKGQGWAQTTPREGPPRQRAL >PPA10757 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1260305:1262246:1 gene:PPA10757 transcript:PPA10757 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLPRLAYPSINLRDRWLSSFKAGKIDFATYISLYWESDEMIERALERLDRGEAIATHASAVSETLESAVRSEPSTPQASAVAEVQEVVATPTPAAGEDAAARPTPAARPTPALIPPEDAWIRLFEAHEDGEIDQATFDRLSCKRGVEIAAELLRLGIGPHRAEPASLVTSRPPGAVPEPIVPPTAAAATPAPILPEYAWIRLSDAYSDGEIDRATFDRLHYERAHVIAAELQRLGIGPDRLLPFEASEDEDDFDVDGFSDYEDYEMSEDDVETVSDEFIVQLQKENEQSQPRDYSRACGVCLCHSPVRRGTKERRRKKERWPQKQRYSTTDR >PPA10950 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2087531:2088782:1 gene:PPA10950 transcript:PPA10950 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRTEVRGGAYWITLDNAKRKNAISNKMWSELCEAMEEADGMEEILITVFTGVGEYYSSGNDFNPKEMAGVDQSDPDYEAGYSRFMRRLIKHTKVIVGLVNGPAIGIAATTLGLFDYVVCSDSATFLTPFPFMGFSPEGTASVVFERIMGTSKVKATEMLLFGEPMTSAQALQRGFVSHVFPKAEFAQQGTALVEKFAKLPKHASFCSSVLASKELCRGQQWRREMLTIHNEEYDLLKNIVADERTIELILKRFSKAKI >PPA10928 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2033898:2035171:-1 gene:PPA10928 transcript:PPA10928 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGVTDFVFLAANLVRESVAGWSIAVPIAISAERSFATIFSSWYEKQSLGTLVVFIVQSLESLRRSSYAAYCLDRYDRMPGRIRKLTQMSSPPHLNKTDIYFTMLSKDLHSAKKLSTISKISII >PPA10695 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:739483:743839:1 gene:PPA10695 transcript:PPA10695 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAGVKGGGIFAMSLDQLTVGFRRRSTQEKIMKQNGATIVRAGLGLRCMADNCTGVLIYEPNCSKRIRRPHKNRLKLKMLGCQRCPFAAIVDLPIGEQQTFACRRCAFEYCRNCNREWSARHEGKSCEELNPEFIRRKVENALSEGAVGVLQRCPDCNIPFEKPKVMSAAQRAVILQQAMAEAAGDQSMPDCGF >PPA11004 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2309377:2313745:1 gene:PPA11004 transcript:PPA11004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pis-1 MFTSARYPPGTPTNSSPLQQQQLLQQQQQQQVGVLGAVGGPPGTPGTPVQQQQQLAPDMRSPQLMSPSQAQMMQQQTQPMMHPTARQMSNGDMQMRPMPQQPQMPQMPQQVPVMQPMQPMQQMVAPPHVQPPPYVARDPAHGIPRPDMALVGCHFIVLDWERYTTEKADQMAIKTMVRSLGGDIEFGVKAYESQRPIVTHGIISYLLFPRPGGPATAVEQTLHFMIKEGKRIVTMQWLVDVVESRKLDVPWRIAHLPVPMPLEQMRTNHGRIVSVAGFPDTERGAVKYMVEAIGAKMMPGLTQHTHLLIAKNPSSEKFEKAREWGVPIVNLQWLVDAYLGNGRVDLEHPRYQVSQQPPIEISCGTFTLEMLHEHYKHILGSAWRVPIPLTDDHWHRALANKQEIDNSEKAFPLKKLKTITTFRMNEWHLLRLNTSPAPSEEEITAAHEAEPPTADRKQIVVLFEGFDGELEEWMGRKIRMLGGEVTDDIVKCSHLISIDGKRTIPLLQALALGKNIVSPQWVNTSYEQKQFADTLDFFLRDDENEKSFGCNFKLSVMRARKGKVFEDCEFYITPSVYPSPEAVKNLIEMAEGYKEFKKLVEMAGGIVHADPPSPAKIIECLETDKPYIMIATECDMYILKYLTDAGCTVYSTEFVFSAIMKQEVEPLPSFIIPPNSCAPAPLRLPSMGAPSGGGSVGCGPSSASSTPSRRTSTGYAATPPGPVPLTPTQTQVEFASPQTPRIKAN >PPA10814 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1552504:1554457:1 gene:PPA10814 transcript:PPA10814 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFILILHVALLAYCYGINRLLGPARAHRKERRGGNYGLAFMDNSMAKEQRRFALRSLHDVGFGKATLERVVGNIIWNITFGITLEFENPELVKFRQIQQDTIPLLANPFMMFVEQFPFLRKVDFLIGSPTKKLAKLNENIVDYFREEIRTSEANFNPDNRPSCYVEAFLGEAKRRADAGEPIRNFHFTQMLNCASTLWAAGFNTTVSVLRMAIIELVNHPEVQRKMQKEIDYVIGERRIRNEDAKLLPYTCAVLQEVTQLLLAPQSFLSSRWFTTTEEFYRPDYFCPERHLNEHGIFVKDSRINPYSIGKRSCIGEQLARMESFVMTATFVQSCNFTPVSKVPPALEFTTGLSRTVEDFLVKIQPRN >PPA10653 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:426205:428597:1 gene:PPA10653 transcript:PPA10653 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPPDIRAAPIVAAVLPIPAARPGDVEQARLDHLIQRARADTQGNALAVQLADISERLRERPGHFEEMLALIERRRDGMEAEIERRVAERAAVLERDAETRRAVEADARDDMLRMVMAMRDVSEARTRELEVAAGEAAEREQQLRAQMRAMELQKEEDDRVLGQQPMRELFPRDAAEMEADAPGARVRAMFEQIARERADGAQDQLIEVIVDAGDPHPDEAIEAHDEEAAEPADAAPIEAIEAHDEDDGEQDDIMVMIEAAVDDTDEIEARDELERLVGEAAVVAEAAVVAEAAVVAEAAVALQAEQQQNIIEQLRLIRVPLVWMEDIDDDDDDEDDFANMDGIDDIIARLRPEDGEEIMNDILRPDADEEEARGVSEAQRAAWQVERNENEQPDNLALRYVRRCAACLCENPRVRAVLTTCGHSSLCLPCAQEATGGRERAQLDCLICRARSRYVRLWEDLENEMAPQAGLEAPVEAAEQPGLAESANQQPGPSERRKRRKDDDDNDEPEPAPRRSMRLAATQ >PPA10940 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2063186:2064536:-1 gene:PPA10940 transcript:PPA10940 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFNGKVVIVTGSSNGIGRGTAVLFAKEGAKITITGRNSASLEETKQLCLKAGAKNGDLLEIIGDITDESFNVKLISSTVEKFGKLDVLVNNAGGASFENWAKKIEDTPITDFEKMMDLNVKPVLRLSQLAVPHLEKTKGAIVNVSSIGAYLKINPVPYYAASKSALDQITVQMAGSLIKKGIRVNSVNPGPVLTNFAITAGAPKEVSEAMFASMAANPAIPIGRIAYPDDIGKIILFLADRSKSEILIGNIVTADGGCMLKSAMFPDS >PPA10671 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:593762:594659:1 gene:PPA10671 transcript:PPA10671 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPTSVKDVDQDEVVKRIAHFLKQTGKVKVPEWSDLVKLGVTKDMAPVNPDWYYIRAASLARRLYIRSPVGVNTFRNIYGSKLRRGVQPNSYAKASGSVIRKALKSLEALKWVDKSEDGKGRILSKQGRKDLDRIAADLRSTAAPAEL >PPA10979 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2211956:2213158:1 gene:PPA10979 transcript:PPA10979 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIFTVEDSVGIVPRERTQAREGRAGFARYAHSPGDAGNNVNFGLIVHWA >PPA10598 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:134733:136998:1 gene:PPA10598 transcript:PPA10598 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEWNRNANSFPWNLGAKNGMCIGIVRVPVYCSLGDEAVKAIDAIEYITEHLRNDNESKRRSEIKEQSSKIKDHQFQQRDDWKYVALVIDRILLYTFFAVTSCGTAGIIFSAPHVFDYVNQTEIIENIKAAAEAEKMEAVHGFVF >PPA10894 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1895982:1897603:1 gene:PPA10894 transcript:PPA10894 gene_biotype:protein_coding transcript_biotype:protein_coding MALIFSLIPLLYTAYEHWHFTIALPDLNFGWTFQIAVAAGRTWDASLDEVDFRHFDGDSEYNQLALQKYSPEEVARVYKNPWALWKYKYKPGGRPNGLPSILRTFQLSCAAQLAVRVMLLSTFYIRWYYTFLRCIPVLNAKTGIVLRSLAFLAPKLQLIESIFAFLISCLHQDNDATLPVREALSEYATVAAVITFVATQV >PPA10875 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1785483:1785971:1 gene:PPA10875 transcript:PPA10875 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTPEIEGCMAQVETRVGSEGDGRVKTAVEQLVANIKSKNLPAAQKVLRENNEADRNMAINKYMVDTCGPMKTCMVCPLELA >PPA10639 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:324175:327013:-1 gene:PPA10639 transcript:PPA10639 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKRRRLLSESPQRSRESSAGRSNSVARDAEPPPPLDVAALPAIDLFNADDLPAIEEAVNQLRKILITRETVTGFIALPDRLHSLARLLQRAANKVAPDNGWSSIVRSLVSLLGNMCNFSMRVCFELAQPRLRYPTIATHLLESGPTPRACLHTKASVLRLTGNLCQKKESARSIASCGSLIDRVSQMLEEENESVRAHAFRVVRLLVERNFHRTGVQ >PPA10608 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:175093:177049:1 gene:PPA10608 transcript:PPA10608 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIMAIKVLDTSKRYLSMQCDEASGWKSKQSRFENNEWISEDENVAAAASPLEVECVNQCKATGNGIIYNTDDQNAAIYCPNGFKLPTKCYIPKTEGNQFQMVHFRSAQSSVAVVVGGGLLLIIAIVVVFFIVLKKRRPENSENGTDKLKGRRGKHGKGNDKIGADQPSSGPHQK >PPA10730 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1065773:1073855:-1 gene:PPA10730 transcript:PPA10730 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLSRNRTAPSRTLPRGASGSAPVAAAPPPGAYPTAARRPRGASVDAVAYRAYLAPGKRPPAAYAANRRSRASYHEASGTMPAGYMTGPTVMDHYPSYHTVARPINRTPSIYQPSYTSYPPAQMQFKERAAKEADPNRYGLAWRCIVKGAQLLLGAAILGLVLGPMRATSLVDFVTTTRTEWQGVVVGIVGTMTIVTLLLLVSAIFMHKNGGWRKVDAHASIVGFILYLLAACIESYYAACYPPNGPRLNLVCHRPEWIIATILCFINVILYVIDFILAWMAGFFKPEEDESSSFFELGNFRGLGCRGSSQERKETVVFLFIVFSVAVVFTMVLKHFSKLSSKKGTDLAARCDALQRAIVEHDEYCAKRGIRGRAETFDTACLEGFFLDVNVLHAWLSVNNLQSNIEGVDNADNADLLPTAEEFIEIENMRRSFNMVVATIKATKQLPDDMDMDKMADEADVEEGVEGATAGRAPSLAMAETPHQLISARLNPSASRLKSVDAAPPTPGQLTAATPPPEPETPTPGGECPTDIWLTLEMRWWRDGQTA >PPA10699 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:763180:765845:1 gene:PPA10699 transcript:PPA10699 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEISPDQWQLFWDLKEMFPNVSGAAIKQRLHQGHQAITELILEGQLPAGDEFIGDSEQPVTVVAPEPAAVPQPRAPVRPVNLIGNGVPMPQQPEERGGAAVAAPATPRMSAPSTPRTPEVAKERKERSKTCTLCAKAKPRVAHCHCINDDPLLAEEPVLIVGDVPR >PPA10772 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1373578:1381397:-1 gene:PPA10772 transcript:PPA10772 gene_biotype:protein_coding transcript_biotype:protein_coding MDENDNERCYSTCESENGDENEEESDSDESSEMNESPERSEGEEEVEEEVEEEQPVPPTVSRCSALERLEFEQKLADEYEKRRAAAEAKYNAQLLLFRADPRFELHEKADPTNIFQLCRPGTPDTISMKDAFDELNPSIRFTPYPMDARWDANFKQWPLKCVLCDSTVEAGYVVEHFFNNTHYNKVCDRGAAVSYPAVNYWMGKMIRCDSPLKFRISPREMPRIMSVGRRKSPMMSVRIPPDLLTGGGGNDQSNDNQRGQAREVGEHCWAVLHPLAETSDEIARDDDSASEKPVEKRARNESMSTPHSPSATTGQQPAASSFSADAIWQQNQCVFRVKYRAAASAAPAAAVSAAAVLPAGLHTVRAAASTLQYWNDIISSGQSIPHSSPIAVQQSHSSSSVNTEQQPDPNIGSINGGVDGPTKEADKNVEISESTREINRLKTENAELRRLKEELSHTNNNLNEELERTKKQGECFSELTALLTEQIREGTLERTIAERRNRELTETNAALTEALDEIKRKDEKIEELEVVIHKMRRDGEIRETKLERALRANAFIEGKFKEMKTQNDIASELKDLQHQFTKLKEGLEKEKRADDRRTTRDVMGLFQANVDLKKKLEEKTKTTELMVDAAARTVWRPAGKTAAADTAAAGAADAADAAVAAAAVVLPEGQCAEGHRCK >PPA10712 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:902247:905861:-1 gene:PPA10712 transcript:PPA10712 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRLPSLMEPLHQLHSSTYEVDKRQPLMYPYKMHYQNGSISEYKKEFPSKNDLDDSPWKRSQSSVNLPVLKSPACSSDSREGYDNEKTMIERERTIITENEWAMRVMQSNQMNNVFRWVEGFRIQVANLNTEIERQREHNTLLRDKAHDKIRWYEGWRKCVRENGDWKEDWHFSTASLICGMKDQWSGKTTRGHDTTATALTWALHLIGNVTMYRIRTTRRAYGTVKGMEDDSKCVRNSSHKEKRSRIRLMEKEEEEERRRNGKESLPMIVIDLYLVKETERVGQKIIELIAETNEGTHSERNSFCGDGNIGRRGRSKIPSLYYARSGRVSRRYSKLSQPNQMDNRVVEEAGSGHLVKMVHNGIEYGDMQLIAEAHHLLKDAVGLNHDQMADVMDEWNKGELDSFLIEITANTLRFKDEKGETLLPKICDAAGQKGKGKWICFASLEYGTPVTLIGEAVFARCLSALKGERVRASKEPQSDVDPSTLIKDKKMYMHRRLLASYAQGFMLLAEASKQFGWNLNYGAIDLMWRGGCIIRSRFLGDIKKAFDSNPNLANLLVDNFFKDAVAKAHVRFILCSMLNRDDCYI >PPA10625 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:263387:265196:-1 gene:PPA10625 transcript:PPA10625 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLLLVLLPFISGESENEIGEIVLSPHGMGKDHTQRVVLPVSNGHIPPLPCVLLNAGAHEHGQTFTKGNFHYMCNNGTAEVVACIAEDSSVIQLGRTFVRNGIRHKCNVNGDSVTYEQESMCFENGIHYNVGDSFRNGSFKLTCGRDGVAIEGCYLQNEATGILAPGESRIVGKYRHECEKVAEGKVRYTVKVVGCVKDDRVFNVGQVFTDKHIRYQCQLDGTLNVMGCVDEGGMFIELGRDLLVNGMVHRCYKIDRTTFFHK >PPA10802 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1499840:1501243:1 gene:PPA10802 transcript:PPA10802 gene_biotype:protein_coding transcript_biotype:protein_coding MYMHTKERRKTFATSHFVFIIVGYGVSLLSLVIMKIYSMKFRMTGKQGSRHDSKTNILIAFTGASLLLVASPSIVMIGLRMISIANMIINFIFRADFRQTFFRCMNAAGITHHKDELFSHLHHTTSSHH >PPA10594 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:100017:103671:1 gene:PPA10594 transcript:PPA10594 gene_biotype:protein_coding transcript_biotype:protein_coding MCFHSRFILALENKMCADYVTEKAYRYKELIVPIVFSRSLVEHVLPPDAFIALDDFNSTAELRERLERLQRDDEYFAWINRPEYLGIRKPKGLCKLCTDLHASPRPRHPSLALAVFPDEHQCIVVTPRWGSALDLTVLAVIALIATGGSRLMLLLHTSLLLLITPTISSSYPLINWFSLDDLFGMKDWTYQSRDLSKEPLVRGLQYRRTYPYSVDPAETKPAATSTSTTTTTEPTTTSTSTTQAPTTTTNTVAPTTTITTTTTLASAPELATTTSTTMTPITIASSAVITAIISDALGANSDGLQPLATLPLAEAPKTETKRENGDPTTACPDNNDSKRIFNRGVDFPLLNGIDGDPSTQSFTCPPNTLFSSYSLCAPGVCCSNIPVGITGTLIVLTIIVIILSILVIRPFFCYKKKSDELLPVVYNDWKGTKFSRDVCEVEPLSLKGDSLAFPFSFSSSVHRKGTLSDHNFINSWLGFYTKFSLNFMLRFCAH >PPA10885 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1818035:1819275:1 gene:PPA10885 transcript:PPA10885 gene_biotype:protein_coding transcript_biotype:protein_coding MHGIFKEMEKQGAFFGASPNMYSRRGIRAKLPNKDGGPNVGVVMLDLKRMRETNWDDLWMNETLWNVHEFGPPTASEQDIFTSLEYHHPSWGFRLPCEYNYQLEENTDISFCPTETKSGYSFFFG >PPA10830 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1622274:1625450:-1 gene:PPA10830 transcript:PPA10830 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKKYADVMQMFLQRGKSSKNFSSVVNTCRVLTAEEGKISVEFEVDKRMTNHFGTLHGGCSASMIDEITTGALVATPRGLPGVSVDLHMTYLAGANVGDTIQLDAEVIRQGKSMAFTKASLYRKPDMILLATGLHTKAFPSNTTIGKDSIGQADVKSAHFVSPVEPSSSVNPEFYQTMLRFAQMDKAESTFSGRAWNAHLTHVDEHRVSAEFVVGQNQTQHFGTLHGGCSATMIDFFTCCGLIVATGRPAVSINLNMSYMKSAPLGTLVRIDAQVVKVGKSLAYTKADLFRVEDGVAIASAKHILAFNKRVVR >PPA11007 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2332426:2334334:1 gene:PPA11007 transcript:PPA11007 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAMHLSQAFRVLGRYNTKHLARLTHQFKRYPDEYSLSLRIQLKENVSTMKKIEFGVYSYTVVLARLQH >PPA10891 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1884596:1885726:1 gene:PPA10891 transcript:PPA10891 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNRCMKCLVHGVSSTHCPDECAHFNCRCRKCKLIDLRREVTSRINRKQKINLNFEKVDSDTRYTCSKCRDHGYVAIKKYHSLCPFAACQCKLCDLNEERKRVESELPTIQSTEKDVNNNILSPEAKVMLDLIHVLSVDPSSFNPDNFDYQALSNFFNKESIVVPDEWLPQLPNMFELVHEALKRFPILKDVHFQTGFSCVAIRDLHIEL >PPA10657 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:448089:449596:-1 gene:PPA10657 transcript:PPA10657 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLKHAYHQRESKASVPHAPILDPLSPVGGPPLSPERFPALIEREGNEETIGINKLLAGRWMGADSRRVTTQADKDACARLIEEYARNCLVR >PPA10945 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2072040:2074446:-1 gene:PPA10945 transcript:PPA10945 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLGLFPLLAYALFRYYSMQDYSKQYLTSFKFAPKFDGVYTLFAPFPVVVLSDYEAIKEAFLDRGDDFAARPVSVVTDQIFTYAPNSGVINSNGDQWREQRRVALSILRDFGLGKNIMEEQVLSSVREYLEHLDNMSNKERIDFHWPIQMMTGNIINDILFGYRYKYDDCDKIVTYVEDFKNHNIGMLQWFIGLVSSPEIAVGMAAPTLLKIPFIRRYCLDKHRENMLKVSEYVVDNVQQCLAGYNTEDEPTCFVHAYKQRMQGNSYLDDVNLISTCNDFFQAGQETTTTTLRWAMLYLALNQDAQLRDEIHSVVGRERLTRMADKPKMIYAQATALEVQRISNGHTIPKGTFINGDIHYVMARDPIFEEPDRFNPDRFITEDGTALRKDLVDRVIAFSLGKRSCTGEGMARVELFLGITATIQNYRILPLEDDPIDFEPLSMIILQPKNDQFVKIEKV >PPA10761 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1272917:1276192:1 gene:PPA10761 transcript:PPA10761 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVPTRKNSTILSRCTSCSGIIKQFDSFQIVISTIAILLVVYIARYALKRTIFEQVSKELIIALSFFIVVYSGCLIVQLAYRYAASDKCETQVPKILIFNLYLVLIFDILNALASIMLWRHNKSRLRAEQFHDLILAFHRRQNLYAMRQFMPIATLHAVFYVVFFGTIYFSEQIKSRMSPAWYTFTAAISNVIPHYCFFCPLLFVLLIRQGRFERISHINSMIQSENNADEKYFGALRVQWN >PPA10900 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1913683:1914063:1 gene:PPA10900 transcript:PPA10900 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLELNSTETASKTESDASRLSSAQMIVKLIDSLSLDSINENDFDCKALLHFFNQPTITVPNEWIAHWPRITLLVNQAIQRFPSLRGIDFNIAQQ >PPA10903 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1922523:1923901:-1 gene:PPA10903 transcript:PPA10903 gene_biotype:protein_coding transcript_biotype:protein_coding MFMGDRVNSREGKGISSIAFILAKNKSGRVDFEGMVDNYSITEITKASLHGLEEMLADVMKIEKETGQQGSIITVMDAEGIEYSKKLFDTTLRTMRTMSEFMADHYVELVSSIVVVNVPSWANMLWAMESPKNTIKLVVKAGKDHCFEYKLAKGDQLAFHITECPRSTLYSPIRYPGHFW >PPA11019 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:2375518:2375765:-1 gene:PPA11019 transcript:PPA11019 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMGGYGGYGGMGGWGRRRWGGMGGMGGYGGYGGMGRMGGWGGMRGMGGMGGYGWG >PPA10929 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2037569:2039558:1 gene:PPA10929 transcript:PPA10929 gene_biotype:protein_coding transcript_biotype:protein_coding MEIITHRGYPAEKHKVVTKDGYILTSKRKRPSASAAWASEQQLRLSVATTKPVAEWHEMGEYDFPAMIDYILEVTQRPNLFLVGHSQGSHAFIIATNHYPEIQRKVKHHFAMAPSLSSFHLRSFIIRLATVQPQLVTKLKSGTKHFDHGTKGNLEAYGTKHPRDYDFSQYSVPTSLFFSPSDKLVSTEDMKIALKILPSTSIVRVRNLTGFGHFEFIL >PPA10817 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1574718:1578565:-1 gene:PPA10817 transcript:PPA10817 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHSIEYCPSVCKTCGDHLIMIRTDGGGAQKMEDDTTVTTGACAVRTFTCKGAQASIEIFTQRNVPLEKVLALFHLVLCLLPELTAGSQGAIDDGGSGIATFTVTCNGAGTGWEALGLIVTAVECSAVPVCRTCDAAHLMKTTTGAGAIFDEQTTFDTGCAVRTFTCMGRMAKITVTSGAGMINVGDGTGTATYVVTCNADGTGWTNGGQTVTAVECSETVVAACTQCATTLITVDPADPTDPGSKTMDTDMPGMTGGCSTRTFTCTGSSPVIEINGGAAMVTNAVTATLVATCNAAGSAWESNGAPVTELNGMSEFDNAVDGIVTLATTCDATGMFWTYMGLKIFHYSLGVNGGAFDTCLICPLDLITITMNGNGAKEMSGGDVVTTSGCAVRPFACIGPAVENMPNVEINADANGVFMDADDGNLDGTANFPRNSFSLSNIAES >PPA10686 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:681186:686426:-1 gene:PPA10686 transcript:PPA10686 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGSVPAPPALLLLLLVGLHGALGAPPVRRKNDKTPGYPVIMIPGDAGSRLLANLTGKPETVHYACSKYTTEYFDLWLDLTSFAPIKIDCWVDNMKLVFDNNTKTSSNMPGVDVQVPNFGSTTAFEWLDTSKASQGRYFVDLVEALVSWGYRRGKDVVGAPYDWRKAPNEHTFYYAQLKVLTEQMYRWNGNKKVVFVAHSMGNPTLKYFLDHVVDSDWKAKFVRSFVSIAAPWGGSMQVVKLFASGYNMNYYRILLPPSALRPMLRSFTSSALLFPNEAAWNSNEILATDKGKNKTYTTANIQEFFADIGYEIGWEQYKVAGPPLIGGFNAPDVDTHCIYGHGMETPERFDWDAGYFPDYPPSIAIGDGDGTQNQGPKNCGSQRASSQVCLNWRTEAKKKLAAAKANGSKENGVRITAHDIPGAEHMAIMKHPAIACDKCCTTKRERIINLLVSSFPKLSRLCLTC >PPA10726 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1029564:1030318:1 gene:PPA10726 transcript:PPA10726 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGLQQLQQLQQGLQGMMQPTQPELVKMCSTVTVLAGSGEYIAWIAIKLFAGIFGAIVNALQLWRRGTSWTGHVNTRVALGYVYAWNILFGLAVGSFYVYDIARLVATDCHLFDYRIVLAFVQ >PPA10889 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:1874786:1875343:-1 gene:PPA10889 transcript:PPA10889 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKNSLNNRFIPYESIETEAVISMDDDVYVTQSELVFAFRMWRENRNRIVGFPERPGIEPGASAYRAGVLPLNYLCT >PPA10612 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:185872:188627:-1 gene:PPA10612 transcript:PPA10612 gene_biotype:protein_coding transcript_biotype:protein_coding MDVILGSGTRFPSDDLSSATNALLSSPTDEGPSARSPGDSEVDEDRADDDPHGLDRSGGELGKKKKTRTVFSRSQIGQLECMFDAKRYLSSNERAALSTKLGLTETQVKIWFQNRRNKCKRATPEQWQSDPLKMTHPLALSDRRESNKER >PPA10607 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:171945:172119:-1 gene:PPA10607 transcript:PPA10607 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNTTYDDGYIIFVAMLLTAAMISALIVGVCFFRGFPSPIVKKYTKYHEMY >PPA10700 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2:772916:776238:1 gene:PPA10700 transcript:PPA10700 gene_biotype:protein_coding transcript_biotype:protein_coding MMFPPAGQLPRYQDKFIGASGRVLLLAARQLRLRPELALIKRSGSSATKRKLKRRDRAGVTHLVESRLKLQTTFTCSVCFEEYRTHLAVSCVVQRKEDTVDEEPETHSFCAECVQGHAKAALEQNVIVRSGIGIKCMEQRCANILMRAHIEQVLDAATLAALDPEFANEAILAAGLQAENCSRHGVKMVCARGCV >PPA10707 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:877016:877343:1 gene:PPA10707 transcript:PPA10707 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGVEDADFTVRRLLELKKVGPTVKEWTLECFRVCGINDCKERDSLDWSSMVCFLARVSPCVGMYFFSL >PPA10890 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:1879494:1883585:1 gene:PPA10890 transcript:PPA10890 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSYRLCVHSRRSDFLTTGVQLPSSSQFLLNATNALYQQQNSNSSLILLIGDDIKWQNTQADSLREDGKPVFVLPRLKSASSAVVDWHISRKYCDTVLLTASSSTFGWWLAYLSKGQNVYYNAEFAKNPKLLKQFEPSQFFPSSWISLNSNSGLELLILVLSSPSNIDRRNSIRQTWANPDTSKGLRERKAKVFFIVGNGKQAKEEMQSEIDVHDDIIIVDVKDTYMNIVYKVGCNVFSIIHIAGIHCAAPFTLKVDEDVVFHIDRFLERIHTSFFPDRADIYCHVYHDKAPLRQEWLEWYVSPEQYPGEMYPDFCAGPAYVMTRRAAQQIMNNTQLLPDIQVEDVLITGLISEEAGVNRFAYQQMFERDNYTIIRLLIGVKDTTNFTRSSGRNS >PPA10662 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:521744:522233:1 gene:PPA10662 transcript:PPA10662 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKRLREQRIKMVQSSEQYCSLYDAVVLYLKSKGLNRNRDVQSISSIDTDSRYDIQEVSAV >PPA10934 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2:2051077:2055915:-1 gene:PPA10934 transcript:PPA10934 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAIKRNELFDNLVACCTPALSTLACASELHRLAEADRFKSLKKLFLDFGQWCTVACLNKITAKNKWIAVMYTLVFVIMLVLFIYISANLIMKYLKFLSSTDLTLDVQSERFPSLSFCNENPLKRSIVDSDAAFADIAKMLKQFEEEEQETRTTDDYGIYADNMSSQRLTRAKTMLRLLMNKLSDLDRIRGGYSFTDLVSECSFAGKTCTSEDFTAFLHPDYGVCFTFAIDRNITRAGSSQALKMLMTVNQDSPTDAVFDYLPTTESASIRAVIHQPGDYPDFGMNGFNIGAATQASISLSKFTNTRAEAPYGNCTNNGEDQDNYYSNFTYTFNSCQYSCLQRLAWTKCKCVDPLYKKSAEHTHCFTPADMLCLVGLVQNDSLPCDCFSPCNESRLKRTITYGVYPSAKYKVATGTQAQRGVLLEDQEGGREGDVSDDADDYENPPTTPLPKYTCNDGKLKTSEIRSTLGDAAANCRIAYPQFFDNPEYTIIQGWPCLSNKTCQACVMLTDLSTDRSAWPCSYYTYEQCIQYNEASTTGVTCREFFDNFDFIPTGVDIPNITAGNWEMGDGPSSSPCRDVNTNAGRDKCWMADACARIPTSSDLTKVADSHHIDAHFLWTADVNSSTTTCELSFGSCEYANKNFKGASECIKWYKRNGLTLELYFGTLAVNTYTQSPSYTFVTMLADIGGHAGLWLGLSVVSIVELFTLVVLCCKILCYKKKSDVRKTSDEY >PPA11229 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:1188913:1194036:-1 gene:PPA11229 transcript:PPA11229 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPECEWLFSLLDDEDGIVDKRDRNHSELVVQVTKILGNAVLGSDESEEFLVKKLKDGLVWEDLLNEKPEIMDAIRMGRRRRLNWMDDVERRADSFTLIVDGILGTEKGRRLKGKLIDAGVIEDAVKALLYMHPALYGTVESPEWKTYLGRPALKLILGLLKGMAKGDERSQVEIAKRALPMLHRLEQVSSAEHVGTLAENVMEALSQNKDVAKLIDGVRDETKQKKRQMAMAMREKQLSRLGMQMGRKGEVKVSSRSIVNEPDLGEASDPLTSCCICRESIFIGTRVPATYAYAIPYRAQDSVPTHCSLSLSVMVHVDCHYNAIRRSNGGRNADEWTKAALHNSGAKCNMVVPIPVSEASVSDWNAAVNRMEGDLEAVVPGGGPLTRYRVFLDMCNLIRKFVLNRSFSESAGGGGRESNMQYLGILHLLALHLPEGELDGTMASERLNSFLLTTLTLTHWNEERLDVLRAFLRDIEIAAAKGVARESLLRESLLQWTFIDLYFNRVIPIDGEDRFKWLQSHFGETLKKTVDLVKYFDTTLLPVKKNEDLAKIIGVDMNDALSLSTVTTPT >PPA11063 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:172898:176132:-1 gene:PPA11063 transcript:PPA11063 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSDESRSDEETDGTECSSLNSDDDVQISINGDGSESAQLSSPFLSSPLLPSSGSLEPQTVRYVPNRDRNFNHVPAVLDLTGRGNDPATIYASENGESNPGTYLADHPEPLSSTPSKKKSILQWLKKWFKKAWMLRHSSGRPPLLLSEPPQSYQLRNNGVDTSIHVNRTSREIRIARQNSHGALISRYSQAFTFLGNYSYDAREKKWTHLDESSQVRILKLMRTNANIREFEIFDGLKETTLMVNVLDGHSFKKCTPVRAPQCNLERVFAARPAHSLVFMHCDPLSARSDHHPFKQLQCNLSQLLLPTLTTIARSDPFEYTRPYKDDQLIYGFADYTNPNIFHMNQLRLREDMQWEVLHQGIDLLL >PPA11116 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:409743:412123:-1 gene:PPA11116 transcript:PPA11116 gene_biotype:protein_coding transcript_biotype:protein_coding MERAGGIGICHVVLFKAALVLPKTQKSSSVGIGRETARKFAENGAKVTITGRNIAALQETEKFCIRSGSKKGDIHIVVGDLNLKETQESIIKETIENFGKLDVLVNNAGAMIPNMEGKRGIDIPDEELRAVMDNNLFTCSSDNGQSRLPNCSMVSLTRLAVPHLETTKGAIVNVSSIAAQPHLSKDFYYNISKAAVDQLTTQLAGNLILKGIRVNSVNPGATSTEFVTRHAGEEVKQQLKAAWETPDIIPIGRMGKVEDIAKVILFLADRSQSEFIIGQHIIVDGGSSLLNNLIRMITQ >PPA11048 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:123914:125805:1 gene:PPA11048 transcript:PPA11048 gene_biotype:protein_coding transcript_biotype:protein_coding MSVADKGRYVLDAARGLEYLHSCFIIHRDIAARNVLIRNGQAKISDFGLSMVGGMKKTKMTNISTKWAAPETLAQGTYTMHTDVYSFGALIYEVFSFGLPPYEDYDRTAEKGGLRAYIIYGGQTACKLNPIDPDTPVWIKEMIDRCRQRDCYARPLFPEIVKKLETQLGSKASLVGLLVAIRERFQKFIVDMISIADYAAKEENYIKADLEWLHRHTSFESLEDEEQQKALRRYCPYLPNTEHTFGPAAKREEHDGVVPGASTPVPATIPDEKKKR >PPA11198 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:962109:963370:-1 gene:PPA11198 transcript:PPA11198 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAKVSNNLMNIQDEMSEFASVDHLGSIFLNGIEMMIELSNKGSDSQEFNKSVDSFKQTIRQNKSRDDKRESDPLRNAGYEFCEAISMAFSDLSKKAKVARVHNEMVLARPSHQSHSNKPTRETRSSGKRTILLERRIAETVVQPVEPLRLLRCGDCPAIFKHQTARLEHRRIHAIEGPSVADSVKSRAERKRKVDYSHDKETVIHPVKAARLSNAALPPIDELECQYCEKQYKTTRWLNKHLMDKHNVVV >PPA11188 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:912807:918681:1 gene:PPA11188 transcript:PPA11188 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDEEEDVEGGHGGERYGISTMTSLSLEVTVRALWLMRGRELIPLREAAAGVVVAVEMEEAVPVLSTVTESEEGQEDTVGVVVSVEMEEAVPVLSTVTESEEGPGHGMVARGYGGSVVAVEMEEAVPVLTVTESEEGQGMMVARDTEEPLVRVRISPQRLEDEDRLREALKTVSLYDPGLRENGELALITAGEVHLEKCLQDLKEMGIHDLDVSEPIVPFLEAVTVDATTTRDQIEAQVTECRKGDSLHLRLRVVPLPEELSTLIGAATKAVRAGEEVETMKEKMLTLATTVLPTLKGSWWYKQAPERIRELLSTRLWSLGAEKAPENVLINAIGDYKRRSAWED >PPA11173 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:803442:807036:1 gene:PPA11173 transcript:PPA11173 gene_biotype:protein_coding transcript_biotype:protein_coding MITSNVTSYTHPVRANCNRDPRVNSYAKASGSVIRKALKSLGALKWVDKSEEGKGRILSKQGRKDFDRIASGSVIRKALKSLEALKWVDKSEDGKSRILSKQGRKDLDRIAADLRSTVAPTDAVVFFVEFALCAELRARMHVSTTSATQLHRNSIAKKHTSSY >PPA11142 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:555210:562990:1 gene:PPA11142 transcript:PPA11142 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLPSPALSEPPDTSAPFVAVLVNLCVDRVENLPLEVAELHLILRVSGETRTLYSSQKLEGEEDDINDKVSLIVDDIGRSLTFEVIGKNKWRKDNVVDRGTIELAQCRLFKWQELSLVMEHVSIACSIQVHPITASQKEEFCKRWGSSGVRVEHGRSIGKGDPNEPPDAAVKFKLGTEKYKSKVITKSIEPEWGESFEMRLPKGVEVMEMQVLHLSGIPRDKAIRQEVVLGPKSSLDIIVSVPAGLTNSSVLANVAPAYDTRFSLLNTFRAIPEVGELVVKIIRADNVEAMDLGGKSDPFAILRMGNLRVRTHTHYATLFPEWNRLPVEDIHAVIDVSLFDEDSTGKADFLGRVSVPLLEIQGWERRWFTLKDRKLLKPAKGRVLLEMRLQYNMVRAVIRTFTPREKEYLMVTPKFKPSVLKASVNEIRRFGSSFTPIFQRIDDVMRWKKKSVSFLSMLIFIFIILYIEIFHLPMFLIALILNLSWNAWVSTKEGPATPPPTPKDNDSNSSILSISDTLLEVQETLAFITLLIQRFRNASDMTSPWLTCLAIFVLSAATVLLYLVPLRYLVLAWGINKFTKRLRKPPNFVDNNELLDFLSRVPSDRQLKEWSEITPAESFTNQPKKSVDSKL >PPA11055 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:153035:154588:-1 gene:PPA11055 transcript:PPA11055 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFKKRAVEETAAEPIVQKRAASEANTTTRCSCDKIDDVRVCTYCVFTNFMKSECHTVSDCGRFRLNRHRRSINSISKYEASAIGFKKRETVAEPIAQSDTSADSSNYRKRKATPPPKCKLEDATSKNRSSLV >PPA11180 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:830451:835398:-1 gene:PPA11180 transcript:PPA11180 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNPDQAKAILQPLVSIYGTSLRKNLFQGYMEALCGFYSSDAVFINKGKNCAYGREQIKRALELIAGYERTVSDQVFEATSDLLVYKAVITRKLEETIVTANCMQIFRKEGHQWLIIYDLFEDSPSQKPRATASEVQQDEADEVAAKVARNGDGDEDKNDTQPKEAPSAAVQRELSRKIDRVAELEEQLSLKESKIIGLNDELRQAAMTFKTTLALKNRKISDLEQKLDEKSKLLNEKTKEFAAEKKKIIEMTMEIASVKAKAALIAKSVMPVKLLDPTEKFIQEDSIIVEMIIRETDIPQSEIYFGPLAVQPAAAPALPVPALVQPPTTIAASTAPAPRAAIQPAASTSPSTSAAQHAAATTTAARPAASTSAAVRPAAATAAPPQRDTMHPAAATAQSASAAVSSTSPTVAAPVIRAVPRTPTSTVQPAAAASASPSTQSTPSTEGVPSSSSVVVQTTTATAAQTPRTVHGLPFIDTTANVPTGLRNPVPPRVTRSSSSAGPSILSAQQATPSSVAERPPVTNSQQSNAPITLD >PPA11069 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:195344:196420:1 gene:PPA11069 transcript:PPA11069 gene_biotype:protein_coding transcript_biotype:protein_coding MKLICTASRSTHSVKDISASKAVVGISNGEGIYLRLSLNATVYNYNSGVEVGRTGEIIDCHNSRVNSIQIGQMDRSFITFTVPIALHRGDFGFDECYNSSGTLSLKFVHEMKYSSAKKRAMKFTSEYWHYTDISCVFKKSEMVNY >PPA11093 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:298307:299710:-1 gene:PPA11093 transcript:PPA11093 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSIVLATVLLAVASAQWGVGSPYGYGGWGSYYGSNNGYYGSGYYGQAYDYGYPQQYNYGYQYPSYQYQQSYYPQYNYGYNTYNSGYGYNNYGGYGGYGGGYGGYPGGGYYGGGMVTNLLSMLIKKK >PPA11176 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:812158:814086:-1 gene:PPA11176 transcript:PPA11176 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAATPTDEDCIECIKSGYPAVIPSYSHACDNRKRKAAMPPGFPEIPKFPDMPEFPSYPNNGNSNSAGSHNNIPTGSVVHSTNTVNGKKREAEDFHSSCKCNTKCMHNRCIESGKNDDEGKLYAVYPKVKIKKTMKTHTITS >PPA11160 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:689756:694024:1 gene:PPA11160 transcript:PPA11160 gene_biotype:protein_coding transcript_biotype:protein_coding MVMNRLEKLIYIPSLSAESLLTAAADPFIAGLASTVVEAATIDGAKSTLESLISNSRGVESPTGDKLLSFRSSGYENPDEPKEVKYEETDPAIDEVLTKLADESDMVNGALRNVKLNGHGDSTPSATTNNGHLSHPSPLDGGGVEVHGNGWPEGTTMHLPAPSTTTTKGAAAGKFKLAGPVHVDMVTVPHRGKHILLTDEAASIEFFSSVRSSIYLLQTGGDVPVHVLDGWKRGKGAWAANVPSRLIPTHHNETVARWAADNQEELAEIGLTVATPVDLTTLTYPDGQAKACTVVL >PPA11044 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:100015:101514:-1 gene:PPA11044 transcript:PPA11044 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEWIYRYDRNLLDKYDNVMTSPGDSPYENGALRNSDHEKMSIEREARLLTGTGICTMCTLKTIII >PPA11105 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:371162:373578:-1 gene:PPA11105 transcript:PPA11105 gene_biotype:protein_coding transcript_biotype:protein_coding MTYADLLKEYHISSKRGFVLEHPVTDLDDAKFQRITSGADVGTIRRSLNYIEDFDVDALTTHEEQRHAKLFLETIVQGYLYMDINAYEEEDLSKVPDRLPESLALPCMKLSQLLGMKPVISHASVSLANVKLIEGKDNEEFVAENLELIIPRTYMKDADTEGYSWFFRVTAEIEAGFAPAIHSIGSACYESIQGNSEIDLEESLTAIISSCEKARLGFKRYRVNLPPRVFYYEVRPCLWGYDQLPNGMKFGSSEEAVKYRGASASESTSMQVVDAFLNINYNPMQKGIIVANRSFMPAGHRKFIEYVEKAVAKDDNDNSLLHRIHSHPLFPSAMKSLKDLRSEHVNLVTLYVITQMKSGSESPVSPGKMLLGFIKSFRDACIVTEKSE >PPA11201 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:975180:978399:-1 gene:PPA11201 transcript:PPA11201 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDYGMAMKWAIVASIADLAQLPAIKSFGSWLAAEITSEARQLGTQLILEPMPSLVTNVLVGDIGGNCAAYEKMHKETPGVQLVVHILPHENSEEYNWMKSLSARYGLIRQGILLENALTHFEGNEKTEVLRNIIQWMARRSAELARGKAGHEKPFDLRVGPDEFLVKTKPGMINDAMVKTVVNGVLHGTDAGDADSSVRVAGLPHGMSEFQVASIFRNLSVCGVSIHADEAVVTLKNKFHAHQAAALYNKFQLDKYHHIEVVPLSHAVKEQIKAV >PPA11103 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:357537:361559:-1 gene:PPA11103 transcript:PPA11103 gene_biotype:protein_coding transcript_biotype:protein_coding MREALYSLVILTALSVRGILAEHQNELDAVFVVDISNGNRDAFISQLLRARETVRFISQLPNCTARYGLVAFHRTAVSIIALDSEVASNVYKYSQVLLTLRPRQNARANLTVGLKAAHSAFNTLAYENDERRKIVFLLHDGEAEDNVDGVIQMLNQARQSHITVMVIASSQSTHTNSLLGLVDGEKARLYKKGADRLPFDKTIRKIASAGLRRLTSIHRKRPSLITSRIFASNTLSLERGGNCSREIDLVIVLDTSGSVYHFFEEQRALAEDLVRRLGDPKGLRIGLVRFSVRPTIAVPLDAPLNKDEVLERVHLTSFTGGATRISLAMEAAMEELRVKGRKGVQQYIVLLSDGHGQETWREASTVGEKVLASGIITYAASTSNEWNIDELALYVAERDRIYTRVRHPR >PPA11210 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1068063:1070157:1 gene:PPA11210 transcript:PPA11210 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mat-1 MNCSAALCVRPRDYRAYYGLAMVHQKKEQLNLAQLDIGKAIEINTQNSVLFCALSSILHAKNDIDGALLVIDKALRIDPHSVAAHYHKARYLYDMRDYSASLRVLEKLKERASDEANVYFLLGKVHKRLGDTDKALLNFHWASNMDPRGESMLSDLGEHMEEEGSSST >PPA11184 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:876290:878252:-1 gene:PPA11184 transcript:PPA11184 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEVRDAGLPEDLQDGLAAEKQQEQLLMNKLAATGKLPARPASSFLQKKLQQRKFFDSGDYAMDKDRAKQTDPSKPHPLAVAGGAVTASTAPAPAMVAVSAASAAAAAVPQASSPEPTEMNDDGLTIPRPDNVPQRKIF >PPA11225 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1147929:1156415:-1 gene:PPA11225 transcript:PPA11225 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFLLNQSEFNFICSGLDTTDAGHLNVVLNVGRILAAYGTMLMDPKAKGQLPQLAQTWHSIISGYFEARASKRPIDGFTTMHLREGSRVRIELIKMFEAIKISTIQNFLISYFASIYTQALAARGRAHLRIYSSQAELFTGHKLQLAHMESLEEVITILLHQALSTEPHKATLLRTKADFAYVRNQLNEAAVSYCELMVAVKPSLTMPLTGKEGVIDDSVWNHLRICLRKNNQQTMAACVCQLFKTGRAKEFRKAAEAIQERACLDASDDCFGLIYDVQLAEALTDTYKKRGQQQKLEALIDVIASPTMNPNNGTEVVQREVRRKQKRLLNTLAAMHFGIHVEDIQGESRLLNMLENQHAKTDAEVAETKVPLEDQLAFFKELFNGACEDRHALLATVTVLNERIEQLTGQVHLLEYEMDLQSRLHEGLDGMQISTKVDEDVGARAIKAENNLAAAKLQATSWRERYDEVFDRLCQLEKKEEEREANAAQDAQQTVNSSPLSRPNSPLNRSGDTAGERERSGDLTVRVAHEVETNVRNMTKVGGTGTVAKKGQKATGMMAAAKDITVTVHSFNESAAKDAQLLNLLGILQPYRANSGYVLNMDASLIRIFGSLNDCDEKKLLELEQRMFKSNVRCHVCQRHTYFLNIRGIFEHIMGGTHQMALNSTGSRISQAALDSWDAVTRDIAKTKKEPLLPTPKVKPTKVQPTPAAIKPLSPEAKTLVDLLNDLMGCTHEGHKPASNALDHLREICEKWKKLNLKQKTATGILAKGTAGWKMVCVPCKEEIIARSMFFHITSVKHHKKVRHGAVKVCEESVHTMMERLESVHK >PPA11193 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:944422:947211:1 gene:PPA11193 transcript:PPA11193 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSPEEAPTRYGNILLLAPALKALTQLLIENMTLTKFFGLAEIDSLLAEFILDDPNDQNSSQALLQAHLAQQLDPSSSSSTIASPLSTKSDLTHL >PPA11096 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:312002:316022:-1 gene:PPA11096 transcript:PPA11096 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSRAATVRRCQHTDWELCERVRLQELNEVDTLARDRAAQMEKTMRWWSECTANWRSKWSTVRDERNRAREESHAMRDALEETNERIEAVNRAKRVIELELARAESALHKVKKERIVSKVPEPEPVPVRKMNCGVEARPTTMNEYTQTDDQYILPRSASLSSLQSTRRVHIGHKFDRDPHKPCLEARVASLETELLMANAKCAELEAAKGAAVDENSKTISMSSGNSPLIFTFQIEDLRRHNEENLRKASKAEQKEIEKSRAETEEMRAEATTLREENEKLLQQIRELREAAAAAAAAEEETPTPPI >PPA11139 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:544278:546861:1 gene:PPA11139 transcript:PPA11139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-inx-16 MLANINGYIANVKSTHDDDSIDRLNYVYTIYLLLGFAITLFAKNYVGEPIQCWTPAQWTGIWEKFSESYCFVENTYFVPMNASLPSSPIVRQNKEMIYYQWVPFALSAMALFFYFPRGVWKILSINSGLTLGEIMSAARKDAKKKKAQETSDALRPAFEHCVYDPTSATADHRTYLSNVYLTMKILEVVNVLAQLLLINLWLGTEYTFWGFGILRDMLSGRQWQHSGHFPRVAYCDLSVREMGNINNWTVQCVLMA >PPA11162 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:698015:701851:-1 gene:PPA11162 transcript:PPA11162 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPPASPSLISFRYTWTVRVNERQLSDEESCILHVSPKIATVHDHVSFQWNLRIHGTNGKLNSDDETDIDENGEPSAPINYVAVELYFIDGPVDVKAVVGILNKDANAEDAHKAIVEERKSLKMIRGRGCELTDTDRADVSDYIMNNVGKLIHLSVLIKMDAKLFDPFTYLNTVLPTPMQSFLTANYNARVNSKKVLERAAHQSEAEG >PPA11172 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:794955:805266:-1 gene:PPA11172 transcript:PPA11172 gene_biotype:protein_coding transcript_biotype:protein_coding MGDESAANNLSFVKLARKHSKMLTSADINDKHMGFLILNYEGRSSSWHVPDESTRVIDRMSKLWTQLDVEDYVVNDKEYILKDGCSYPLREMEKFMTLGKKYFGHLTSLDDDKIAVGYLLVNHEGRDCSWHVQDELHRIVDTLSTLWNETVGGYPLNHGHGGGSKGGDRKLKLEEKSSIKFVEGDVPDFSKAMTGEWGKNPKQPESSFMSKQKRYDEKRDYGSKYGSLDRQQQLGGNSRNQMSDEESVDDLMEKLEAKHGEEDQKKIEEGRITFKKPTKIVADGKTKEEDEKDDPFQYSSLRRLTRLGGSSESPSVRPSRDGVALGRRQRAALGCDRAATGAGCLAPARPTTQHLPGMREIPQLDYAILKYTPQVLGKGTFGKVIKASYGMHGFVAVKLMDNAGKENSMKREIIRLHTYGSGSEHIVRFYGFTINPKLGYAMEFMDCGSLDDLLNKNKHLQYRIDHVFSWLRQVALGARYMHSVGLMHRDFKPHNLLLRDRYLTIKIGDFGEVGEVKQTMTNCKGSAPWMAPESSLRQVFKGKQYTTACDVYSLGIILWQMVTRKQPYPGITAYTILWNTAQGARPLQTDCHPLLWTMIEKGLGPVDWSSFLITVAMAGERILLPWFPIELLTELHNVDDGACGAAVDGPRRRVHEHHDEDVQRVQRAADRHAAGAEGWGE >PPA11146 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:576894:579902:1 gene:PPA11146 transcript:PPA11146 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEDAMVALLQIEVDGIEVNELGGKLECSPQEGQECLNRLLAAHKVELIQVDGALRVKMKKEEHAGLSNEERVLLTLVDEGSNKGIWIKNLRDNSGLSQIQIRKALKTLETKKLIKAVKGVGTTKKCYMPYDAVEDVSITGGTFYSDRQLDSELVQTLLSVCVAMLTNRRKQAVDRNPTDLLLQKQASFATAGEVATFIRDRKILHVPISDDDIERILEVAVLDGLIERRSDGAVRVIDQSIIRSSPLVSVPCALCPVAIDCAAGKEINPCDCKYLTDWLASKGNQYERQGRKPITVTSND >PPA11153 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:635354:639458:1 gene:PPA11153 transcript:PPA11153 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVRDEFGDDLSIDMNDVMLPSTSRGNRRPVDPSCARAVEYGTGGGEGIAGASGGKSGTDGQGQRKGSESHAIARGHGSQRGESANEWRFTFPDWMTETKRRMFPCVAQPGDHVVYFRQGHENYINQVEAKRLYRIDSKMRPGNDIGMEEFAIVDDVKFILKPYRLIQLKLAKTDVDGRRTGFAWTVKHHDMPNVPDFIILRHYFDEGINMGLEVGDEIESAIDNQWWTGTVDNANNNDKYPNANWSSLRVLWESGEDDTISIWDVRKLTTTRNGQQPVTDEEAAAMADVPYVEGDWPEGDDQGEATRARVKSILETLAPLETNKEFAEPVPIDLFSDYPLAVVYPADLKTICERIDNQYYRSVKCYYLAKRHTHYEVTTSIVYDISGPRKSFTSRART >PPA11128 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:461755:462093:-1 gene:PPA11128 transcript:PPA11128 gene_biotype:protein_coding transcript_biotype:protein_coding MIILLVTLAIVGILCYSLFINRIKGLPPGPPPLPLLGNFHQFEADLDKKFFEWKRKYGKAFTVWMPNPTVVITDYKI >PPA11227 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1168617:1175029:1 gene:PPA11227 transcript:PPA11227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mau-2 MVANGDQIAQQMLAMAEYFRQRYEIRRAIKCSLGASKVAQSDCFKILTVHQTGKLYHLYTHESEQAEKFLKLAYHQMSSTAMVEGTQQTMYDVMREYRLEAACMLAEHHLTEGLPSEVAVFTKDLADARILPHLYTRLAFLCAEGAMGERNSQNALRILDELRFVLDQRQETKVLATYAMISRLHVQAHLLQEVPQKELENIARTVEAVPDEMTRLNMQIYYMCVQLANFADTGMVRTSKGLMKTMQQLAQVKTLNRGFRWGDPTYITALALVCVFTQTSMFQNCNRERAIKYYNHACRSIKDYSERSRTLESGVANSVRRLKMLADETMCQTKMINCELTSACETLHEMLSDMNTHPALQVMGVPRAHLLLGILALYLENSDQAEKQFNAAVATNMPWDAHFSQLLACHRGLLYLQNARQAEFYDLDDEIGVSKLNTGGTLHMRAILKFIHALHAYLNNKVNDCSFEKLMNTIRECEL >PPA11113 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:400119:400706:1 gene:PPA11113 transcript:PPA11113 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLAVLSVLFCAVTCQHYGYPPSWGSGSSWRDVSYLRGEEEVAWRAARETINDSFYGGSRYFMIPIQVLRAHKKDQVIEMEALFGESDCKMRGVPVRQIDFRNCAVRQGAERAIFRLIADDSRRWSGIEVWKIRDVYSYEGF >PPA11240 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1285487:1291997:-1 gene:PPA11240 transcript:PPA11240 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNTSVDRARKWAHFEAADIFYVAGVLVAVTIHNHHNPVSSLPTPLIPPSSAPWRRSLRPIPCLVCSSSTPATSPDRHAGPSESTVNAFLPIIYKNKHNANVAHVFILNRLHELGIVSFTPARTARCERPALVNYDDLYVAQMAERHGGCILSGDRFNDILVNEKYNTAIVLIYRTLRELHPVLRDRLNLRFIPTPYEMVEVGCDRFYKKEPTFIVDRGGQDDVVQRLYAAKHSPCYKKCLHRRRAFTEQARAKLLHALDELLDELAEREAIRIVQLKSEEPFRPSHRPGPPPHPAPLRPAPIEQTTVAEETTTTVEDTVDEEVDQLTTADEEEEMGEEADAELVPVERPSPSSLSLSLDLSFLDAVLSPVSSSSSAGEESSAAGRF >PPA11168 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:774680:778920:1 gene:PPA11168 transcript:PPA11168 gene_biotype:protein_coding transcript_biotype:protein_coding MAESQVHEHVNNLWSSSSMGDGGSSLFDRYEEDTVANGMGEGAVKEEEMEDIEEEDPFDDVDGSQANRQIAMLNEILAAQSQATIGMSSLITSTSPLDLATLLQATAKEEEGTGEVRPPSSTSSSQCTPTGGASRRGVKREMSEGSASTPDSKRMASNREAANRYRERKKQEMEVMRIEEETLIGRNAELRQMELQVQEEIRQMRDRMTATFGFAPPYEHEPSVFEISSCLSLQDRTPKRIPFPKGLMSSLITSTSPLDLATLLQATAKEEEGTGEVRPPSSTSSSQCTPTGGASRRGVKREMSEGSASTPDSKRKASNREAANRYRERKKQEMEVMRIEEETLIGRNAELRQMELQVQEEIRQMRDRMTATFGFAPPYEHEPSVFEISSCLSLQDRTPKRIPFPKGLSPAEQNRESVRRDREKKKARFEALKAEEAILAMSKEMLYTQVIKLQGDINHMKQRMRAIGMIVPDITYEY >PPA11166 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:755210:755662:-1 gene:PPA11166 transcript:PPA11166 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWMCNRPGTRELSEFTGFENSVLEALARKDSSELELTTKQESDPTYVLRANIGGKGERDRACDGGLSISLDTIKLSEFIGFANKVYLSGICVRIIGTEQHIQT >PPA11206 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1038013:1046021:1 gene:PPA11206 transcript:PPA11206 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPLVELHPFYNVFVYLNRFKNIELPYRGYWQVRLTSDGSSCSEWDVKVEGKDRREGEDTLLPACTQSRCAVSRTVEVLYTDEEFQLADGFIFSFKANGSSKIELEFTIELWYCDKDQPPRLDKFQKLNSRRMSLSLEMSKICSVARLVHWDYGACASLTLSCIGSLVSLMPRRRKDPPDPPLSEALTRQYGEMCDSLLGTSRTLTRFIKNSSKHIGVELAHDAWEVESRESMVEGLVISPSPWKTLEMDAISLSTPLDALFKQVVELCGRNEGVFRHLLHAFSVWQSRRLSEAFFTLDAPSSALSSPLLPLSALYQLISTHDYIRRLPLHPLQSPQLDYTEDNMAFVFEWAFVFEEVFHPCTPSSSPSSSTSPSAGSLTPSSTTTPESTLTSSPSDPQQAYTESECIRNQPLFDERIGEENGNKTGVHLIVLVHGLEGARDDLWPYRSSLKRMLQGREVHFLCSRINEGSTWGDVEQLGKSLLKEMDDHMASLRSSVTRVSFIAHSLGGLIVRAAVAREEGVMKAICVEQVEIK >PPA11080 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:250132:252014:1 gene:PPA11080 transcript:PPA11080 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLVVFLTFFAISSARVSFEDAEVLERADLTNDKAPFECRSTCRVYTPTSKALRIIDDKGKNVTTFAAVRNQAVGKPLELAGGKYFVVNDEGTPSPEFTLYVVQKGAANYDTLVYPFPQKRVNATNARFVTVLTDQPGLRVVGIDGEFGAANPAVYATGFDSVSKCRPVFQSRSSDNARLTSVVVFGPIATVDFGSDKGTHFVTVEGDNSSWLEHGARVARGISLKLAADLAIFPRTPAVVIQVNNEIQKLTDTRTLTKDYEDMHGFTVSVDWKRGAGDCLSHFAIQIDNFEKAD >PPA11190 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:920395:923924:1 gene:PPA11190 transcript:PPA11190 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRFHGFTLLCQCDDPSAAFRELDSAIVTGYELFVSQGPLCAERMRGVAVVVEEWKVDVQESGVSGQLMAAMRATCTAAAKKTPLRLVAAMYRCVVATSSTALGKVHAVLAQRKAKV >PPA11073 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:213951:223457:-1 gene:PPA11073 transcript:PPA11073 gene_biotype:protein_coding transcript_biotype:protein_coding MTERINFKLAHNGITHKFASSFSDGQLFDAVRQKVTSVVYDDAFELFWKDDESNIILDTVADLATAIDFARAMREKPTDTPCVHLTVAVNSPVNAESNETASNEAEEVTAAAAEHAVQKEEQTTAAESANTEAAATEAFVEDTEAVPEENPSGAAAPAAKAVQQEETKRDRSSDVSEQTSVDSTATTPPAEVLAEVQKQLRSLVDSSLTEKWLTDLRTAMAPLYNPLNNELQSPSETCAAEIAACLSALEKKIPNELKGLLEQLSNKQLREFVHGYWLDDYASERFPLSHQLTHFTYREAAQNHYSYLEAVSAVKMALEMAVFCPEIDFEDYSDDEEEEDDCEESEDESDDGKVTEGEEPTTHPEWIPQRSSDIVALARLNMDLTHATKTYLSPMEVLQRSKSDPATSSADAPEEPSEDTKENVPVDRLERFREHLRKISDLPDDPFWEETVKDTLSISSIDYFQREERGDNHPKSKIESRIAKILERTLEDLPKDLQTELESLSSEALGRMVILDWTDRIVEEKRAVRNLQSRGLPTDEMATYCSLSTLHDLMFIGMAVRMYPKEIRKIAKHIMNKHDEVMKQKRADEESKMSDAERKYRREASARFTLMQELGKYMDCFKYIAFMSRMVPAPSPVPRDYAVEYSKWTELASSLEMTQEMHEYTNQPCLSEVQNESQSNHIPSSLLAALLFMNAAAAFSPEELGKRLAKQGIQKSTELIRSEEVRALEVFIETHRDTPDAAPIPPAKTRLDVMIGWVDKQAAAILRAGQERLKPVFEPLTPTLPNMAPIQRVPHFSHPGQSQSTPLTYFPTVNANMNIPFPIPSPMTAHNYQHQQMQQQQMRYPQPPNVPQQPQPISNAQMQSMLQHAQHTHTQQPVSPLAHFRPQPPQYANLSTNDQVHIQPIYIQSNTQPTMPPQHPDAASMGQAYPTYMQPRIQPAVFPPHLSHVPNHPPHHPNSTMNVQMQPSGYSQPSYVHQHPPPYDSYPFPSQMLPQYVQPQPVFRPFVQPMQLMQPVPVQQVQPVTTSSPSHGSPAPPTLPKENQATAATSELNDMKAKIEELEKFIKGKLSMFQTKIFAFEEKSENNNCEKENKEVDGFKEKLVEMEKRLKVVEEELENLKVFRFAPTVSEQQLFNEVHVRVAKIIDDAFKLYWNDGDSNVLLGTVDDLVTACDFAVSGANSGKPPCVHLTVKVMRAAHIGSEVAAAAAVAVDVQPTAAPAATETAAMAPADKALLEFHKHVKVEQEVETSNTTRTVIISSLPSFRLHHDPATSDVRLQIHFDDASEYSLWEGCVTSVFKYLNLPENSLAIDKHRVRSEIMMNRTNRAQGYHACHERIELKRKLLLVVPSEALDQLLMFLHPLAIETRFLHVQVTGGEKILRNLGQFLEEANVEQLTLTQETEDDKEQYWQWYRLIRRVKPFKLRVNRINVAQELLFFLTDAADFVDSIWVGSLKSKEAFPFIYIRLILRRKCSHVHISDSATDIPIRQINLLTQFLVANGKIGTIIVPTTKSIKPMRYEHSQATISARNHDKENNRVVIENVL >PPA11133 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:503152:504233:1 gene:PPA11133 transcript:PPA11133 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAIGVASAGALCAVMVSLLTCGVILRDIQDLQAEVELEMSEFKGVAENTWARIVTMHINPSGSSDAPPTFATLLGRNKRQAGKQCNCGPSSRGCPAGPPGPPGQAGARGEDGKPGAPGRPGAKGIALAVTHDIPGGCIKCPPGPPGPRGQPGHQGPAGHPGQPGRPGPAGNPGRGGGRGAPGAPGQRGHDGKPGQPGRAGTPGVNYIPGPAGQPGSAGRPGGPGPAGKPGSDGRPGNDGRPGGPGRDGHPGKPGSAGVPGESGSDGMPGSDAAYCPCPSRSGAAPAPGPSAPSHGYQQSQDNGGYRKAARRA >PPA11246 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1320086:1321916:-1 gene:PPA11246 transcript:PPA11246 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMVEVAADEAKTDENGGPVVTVENCPAGLTRNQFRQMDSLLGASLADLTEPCQLIGVLQGNGQGILIYEIYPTLKKGVETMHNLILAGSLLRCTQSVITIAYAT >PPA11115 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:408029:409656:1 gene:PPA11115 transcript:PPA11115 gene_biotype:protein_coding transcript_biotype:protein_coding MDSENAYKIKAYRYVGYAAVSFSSLAVLSLAVTLPLVANYARELKRTSMHELAACKSFTNDVYTDVLSMKSLPSHNRTARQSVYDLPVDNAPQVHEEPSCNGCCTPGPAGPQGAPGRPGHPGAHGAPGSAGNPGRPPATPCEPLTPPPCPQCPAGAPGLPGTPGELGPGGENGEDGNKGRDGRPGAPGKRGENGAPGKNADKGEPIPGPNGQPGAPGPQGRVGPAGPPGKNGAPGHDGEKGQPGNNGAPGNDGEQGEPGFPGQEGTEGEKGICPKYCALDGGIFFEDGSRR >PPA11076 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:232641:238560:-1 gene:PPA11076 transcript:PPA11076 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEFSMYENRFGSRDLSLQAAAAAESLNSTSFLDQIDDGIGELRDWAEQLERNSSSSRVFDVDKTGLGARAAHIHLSSTRSSAAIHGMEEDDSEEKDEENEGGRGGDQGSVFLDDSGKYFYHRPLESCNLSMFLLGRFKMQRPVNWSIPEETTYPFSVFQQMRGTRRSANNSNREVFDPMTAIYWKKDDHQVPSFPVVFFFSKKHRKLELIEFTRTISQAVIDLGPSFTDRRVRSIVRDGESGLDGILQSPLFECCTVLRCEVHIRKNCKDALSDEGDSVASFVLGFTVLGFSLAVFLNAEFGRDEAVKHSSYLLNMDAYRLKQSFDDAKGGKKGGVRRWGGESTKSSHGRVCLAVEQGDMVTDASPQFLNPKLQTRRPLALPPPSRTRQTDGMDEDYTQSDQLDMDVTYPATDDTISFTEAPSENKESMFTRLKTAGRIYNEEKKREAEKRKMMFDEEDDETTVNVVVESSVKPANLGNEMVEAASSTEKYDLGDFVTPSVLPPKTNLHHNDNPQTEADKLFLLKEFDVDLNSFGVAIRYENRRFKIDRIFIHFHRHHLELFPRIALLWGRNMRQRAYELIQIAHPDQRQNLETTTSASGKHFIFFTEAEVSSLKSA >PPA11065 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:186000:186980:-1 gene:PPA11065 transcript:PPA11065 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFVLISVLIASIFAEEDDWNPDLVGKLQTFYSNGTAKYEVSGTAQEKWPSTWFEKEVEPWMTIEHSCGSVNACVCKSFGDRRVNFEATVDVNLERPGLEHCPMCDDAREQRGNE >PPA11032 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:8328:9646:-1 gene:PPA11032 transcript:PPA11032 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVKKEKKGERSGCFRAFRTTIRSILSRRSSKPSPTFHVSMSASVFATPTAAAAAAPLSFPAAAAAAAAEPVYDRISLCSVESDLDQEPIPAYIRNICARLHQQPAMPVGVAAPSQLLQLQQHSSRRLRTSPDVPEDPQPTGAESAFWTGITIDKSHEETAPVPGAPVETRLTIKDQSANRSHFPVALEIIANGAEVTLTFKRGSISGQTTHRPVILTADLDDYDTIDIEWNRECAYDLMELSEDVRVKCKFAGDRHFITLSNAEIYA >PPA11031 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1258:7851:1 gene:PPA11031 transcript:PPA11031 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVDETTEDGCLDDNEKRTKVRLEREAACEAEEIDRMETRMAELAIECIVSGLDALKSSSNTKPDTSAEILFPLLDVIFKYGQTDQVAAIIKFGRGVVDDALREHIIQSDCLYFIQGVGHIIGHIFKETPITKPLRVLLAKLVMQYPYHTLPRLLFYYDAGCNYKVNLSFLKGAEETNIRNVIDFAIKKRQEVAGDVNKIVKAHFMFRYVYVSHASKTRLKPPGESIDTVLHITSVNDVFFQADGLSVPKVLTVRTSDGVERRIICKKEDVRQDAMVEHLFEAVNTILRQANGGRQHQELRTYKVIPLDLNNGLIEFCGGTISLKELLCGADRVSGLHKEIHPEDMTAKEAYAEMANARREEKVKVFKEICKRFRPVFRHYFYKAYGSPRDWSEKLDAYRRSLAQWSIVCYIVGLGDRHSSNVLFEPSTAKFVHIDLGMILEYSKRSLPVPERVPFRLTRDLVDPLIGDVLDGHFTSIAVDTIVALRKKSSVLLGIASALLRETMSNFEEAAPRKDSSLISKAAIGRLRDKLEGTDAGFAPQTPEEQVRRLIGEATDIQNLATIFQGWMPFL >PPA11220 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1130793:1132500:-1 gene:PPA11220 transcript:PPA11220 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPVLTRARFATRRMRKEEEPAKKPPNQPSSGGAVPPVAKPPPPSSEEKKDEEKKDKTAPKEEKKEEPKKEKSKKETKKEDEKKEEPKKEEPKKEEKPDDGNYETLGNVEAAVDVMKKKKEEEEKAKKEKEEKEKKEKEEKEKKDKEENEKKEENPEKKEGEEDKKSQAGGPEGENTKSKVGEQSEANPPPKSKLQEAPPDDGAYQSLANLDKQ >PPA11163 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:710131:710828:-1 gene:PPA11163 transcript:PPA11163 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAGVLVDKMLCDSEKPMEDLGDMRDELKQIASEISTSCENLTDESAEDELVGAVVDDLQTLAQRMRRVSLSHSPSSNVGGSSSSSPSSSASVTPTNSAAHSPAALDSPRPFEPSSPSSSRFKRVELDVGY >PPA11110 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:394389:394755:-1 gene:PPA11110 transcript:PPA11110 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFPSITVAVDGVEKMRAKEEKEQRMFPPSVDQEKAAECLCQQCPPRSTQETADYCCSSLFKFTPLQKGVLLRDGLASKMEEFGRHQCIIGDPLFVNYIMRESQTQI >PPA11148 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:590149:600981:-1 gene:PPA11148 transcript:PPA11148 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIHALIKTLVYSPLHNMPSDEDMAKEFEKDGSKRVEDDKRNISQARIQPFRIDNLLGTAFATDGGENERDENTREYPEVDTRQNISHVISSHTSRSVASMRGALVAAFDGPENIIIKDDLPAPEITAPNQVLIDVHTAGINPVDTYIRSGAYGKLIPLPYIPGREGCGIVGSDVEHVKAGDRVCVMKENGITTKTTVATTVFPFRMGACLGVPYLTAHRALFTLGNLKTSDRVLIHCAANWCGVAACQMARLFGATLVVGSAGTKDEGEAVTRNGAHHTVCHRDAKYVEELKKIAPGGGRVGIVGGSGEVKINTQVLMLKEASTYGVNVPGSTVDELSAFSSMLSLFTASSSFRPVCNRVYSLEEFPQSHRDIMDPAQAAFTPRIGGMTRLLASRSLLILRGEPTLPLLQGIVTRDVRHLEEKPSTASFILDKNGRIADEILMRRREDAVLIECSAQRRVLLKNALERYRLRKKVSIEECDESVLWSEQKSEDGAEADPRVPSLGWRVYRRASGEREGDEEYREKRYSLGIAEGDELLGLLPWHSNGDLLGYVSTNKGCYVGQELTARTATQGMIRRRLVPFTAASTVSGDVHGPDGKKVGKIVASSPSRGLAMLSLSSMDKDLRSSEGVAIKPFLPDWMKDLDLAKVHMSTKHLKKLMEEKRVEVEKEEEESESEEEVGGPINRFAMLNMGDDEETKSATEEEEEEEEVKVEEKGDNAGW >PPA11170 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:782046:784732:1 gene:PPA11170 transcript:PPA11170 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRFDEEFKVEDDESKAALSDTPNSPMLLDWETREGLPADEYNHLGWLHPADYTFPSSDCVAQEYNADMFKGCEFCLASYAVSHHAEHMRLYHPDKIQWERMVVPPADTHETNENYHTLKAMAVAPVDARETYENCLAPTNIPVAPVDAHETDENYHALTNQHSTRRRHSATEEMMEQLGPRPSLDALRLIAKSPLSAERQLGQRLLEERGRKDSNKWGRGPALKAPEPPRLMPSRLSDVSFLHVDLRAPLRERNEEDNKPRLC >PPA11040 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:63270:64895:-1 gene:PPA11040 transcript:PPA11040 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDSKTMIATKDINREFRTNFALRCVAGDKGIWKCEADVDDVLNQAKVHLAQSKGIDAVTKLVVADRYGLCDLKDDCLLSFSNASELHAKIKNSAEYDNFSGEMKIAICDRMMKLNL >PPA11059 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:162805:163133:1 gene:PPA11059 transcript:PPA11059 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYACVCNDFGEIAVNFEWTLDINLESTDLPFCELCNDPRSEEFNTTYV >PPA11030 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:384:1235:-1 gene:PPA11030 transcript:PPA11030 gene_biotype:protein_coding transcript_biotype:protein_coding MCKGNGIINKRRVLLPTPKSDPIDKLILASHFNMNRFLVATPHPTRPLFKKMYIDDVEGASSFANLRFTANGSSIIMHIHHKDGRSASFPMDSESFDEVHIQWNDTVSIQLEGFEKKTIVQHFGSWHVITID >PPA11215 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:1088534:1094466:1 gene:PPA11215 transcript:PPA11215 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLASQRDRRTRTPNKRYKIEEDETVNIDVEEDIEEEEEAIIDPNNDPDHIEEIEEEEGPRRINDQDDGSLQTTTDPSVFHCSEEKPTYFYLDILERAERHDLGLSDNIVQPLHGSIIIYNVPKYMNNGRQGLDYDKLRRCMRIDGYRMFNAGTHKVARSVILNRLENSKTTVGIPTRKERIAYRSYNTLYNTRDSRKIDPRFKRKEYWFDQGKHILFHYIGDDELAKKVAATTHHGNCRRNFAPYQRTDPMVLHEARTKILNGEKPEDVYESMRQSSDPTRVLKNIKQLRNVKERIDAEKKRKAQSFFNIHSLHDMLKFEGTRQPFLHNITAVRVVAQMVCEPLIEEFINTANLNANQTQTQVLFYDTTFSSCGYQVTLLSFRHDIFDEDPPVPLAFLVGEALTPRDHVSLFEVLLECFPRLRTLQLALASTVPLKIDHFWPEIVEIRAWNDAKNMLKRELIHTLQKPGTDWRMTMRMWEDLTSIDNEEAFDKKWESLRERFDLDTLDLLENRFVPEWKAYCAQWTLDEKNIKYKETRGVIRVPLGSFEYLVNAMNDAKICDESSGRLHIDGVIGCLFYICQFTINEMERGYYQLGSFTIKEQWFSLTDEPHNIPRQPFAPYPDEIITFVNEVALNTTFPMAKRKRMSMGLQQAEMHMATPSIRVKMNDPWDS >PPA11123 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:437645:440513:1 gene:PPA11123 transcript:PPA11123 gene_biotype:protein_coding transcript_biotype:protein_coding MLLILLCLIGVAAYYFGINRLLGLPPGPPPLPLIGNMLSFQWDIDKVRVFLEWKARYGRIFTVWLPYPMIIIGDHKVLQEHVVKNGDVFLAKKNPEQMMEIIAGGQYGLAFEDNTMVKEQRKFAMKTLHEIGFGSAALEDSVYHYALEAVTRWKKSGDKEVDVSENIMRIIGNVIWNVTFGITLEFDNPVILEYRRVLKALVPLLANPFMMFLEFFPFIKKGIRNTEKSFHPDNDPSCYIEAFLIEQKSREEAGKPEGNFHYEQMLNSAATLWAAGFDTTVSTIRMACLQLVNNAEAQKKMQKEIDDVIGDRRSKIGSFQRIRFDDNKQLHYLGAFLQEVYRVVNVLPFNFRRQTTQHTEIEGHKIACGTTVLPQFSMVHTDPKEFERPEYFCAERHLDEEGHFVKDPRITPFSLGKRACLGESLARMEVFVLIATFVQHCHFEPIGKIPPAVEFAAGFSRSVKDFRVKIRPRIQ >PPA11107 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:380368:381476:-1 gene:PPA11107 transcript:PPA11107 gene_biotype:protein_coding transcript_biotype:protein_coding MMDHPSEKLYIIHFTVLREMTQIAASESMQMLKEAFDEYESLSTSDKEAVMLWSKELRIYDTLFDLYENDQLIRDTFLN >PPA11117 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:418804:419483:1 gene:PPA11117 transcript:PPA11117 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNKSSNKQPVAHHPSITEVQRLIDRQNAINNEKVIEALEKLPKFNLKKQDFGSFVDEFNNAQAVLNAKLEVKTAKRCPSPFGGGRPGRVKRRNAKRGGGDDAGSDADE >PPA11118 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:420692:421670:-1 gene:PPA11118 transcript:PPA11118 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNNIHDISINAEITQIEVYLHGDSLPTAHDAVSHFDEEYEMDHTVQAAFDNFIQSLRDADEESFNRGERFSRACRACDRDHPDSRVVSQACLHIVCGECAAFAYEECPICRVSATFTPLLEDPRAPRACTSSYWPAPAERVLLTTCGHAVCRACAYTASGQAEERGEAVHCTLCGVPSTLITIEEELIEDVDSITRRFACMEH >PPA11041 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:65611:77797:-1 gene:PPA11041 transcript:PPA11041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pms-2 MGTTVEVRSLFTTLPVRRKEFERSAKRDFHKVVGVVQEWNKISDEKEFALATPSVRFNVYSELATVGRRYHALSTPGGDASLSQVITELFGGSEAKNEVIEIHSDETTMEGGGLEEGQVDEETVKEAREMRLDGFVSSPLHDNGRTSSERQFVYVNGRPVDYPKVCRAVNEIYQVHNRRQYPTLVLKITMPAEWVDVNVTPDKRLVFCQKERALLYSIRSTITAAFKPYENLMASLEKKSTEVKKEVFSQSFATSPVVKSPAKSQKSNLFPVRKYPSVDSDAADSSMRSDCSEINETDRMNSVLEDLHANDWGLGGGGGAGWRTGGEACTGSDKHRSAARPKLVYHTAKGPSDRPDTISPDGSSRDTVAPSPIDEVDPMGTVVSINTMEPGGFRSREICQPQTKKSKTLPTASSMGMRTLQSFSFKITPHVSSQQGEGGGGGGGGEVEGVLLGGPFTGNVELARFEASRENRMDMDARRRIVNRSYDEEREREDELIGGMHNDEPEPANRQESGHVATNIEVLSQQSIKQEVLSQSFRGTVEDEREDTVEQMGDRSKFTATRKEQKLKCSLDGILKMREKLTNRMEEEKEKEEGLEELKEGPSAVNEAAAEEQLRRAIRKSDFADMEIVGQFNSGFIKSAVVKNQETIRPQPLSMGAVAETIVRDNIGIFNASGFRFVFPEDGSGAQLVSLPVVHGASFDRKDIDELISSLTVHPGVMVRPSKLNKVFASKACRSSVMIGARLTKEKMKTIVNQLGKLDHPWSCPHGRPTLRHLANLKKLEDIE >PPA11122 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:432434:435027:1 gene:PPA11122 transcript:PPA11122 gene_biotype:protein_coding transcript_biotype:protein_coding MIFYLVLLAIITLVCYSLFINRIKGLPPGPPPLPLIGNFHQFEIDMDNVKFVEWKKKYGRVFTIWIPYPVVVLSDHDLLQEHIVKDGDKFSDRINPKVMMDILVGGEYGLIFNDNNMWKEQRRFALHALRDVGFNNATVQNTAIDYSQEIVARWKQQGAGQKPVDLTMGVMVGVANIIWQQTFGRTLPYDDPLLERVKDLAKEFVTTMAHPAVLAMELFPSIRKVDKFIGNPIKKFIDVNNEFLALIEKELAIVYKDFNEDEEAKCFADAFIREMKRREAKGEELDL >PPA11035 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:27764:30813:-1 gene:PPA11035 transcript:PPA11035 gene_biotype:protein_coding transcript_biotype:protein_coding MFGREDLLSGTEFLASIYGTEKDKVNCSFYFKIGACRHGSKCSRTHNVPTFSQTVLLKNLYRNPIVDPRMADAFNRAGEKNKEEQEYFDDFFEEIFSELNNKYGEIEEMNVCDNIGEHMIGNVYIKFMDETSADKAVADLNANRWFNGEPIYAELSPVTDFREACCRQYELGGCNKVR >PPA11186 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:901528:902569:1 gene:PPA11186 transcript:PPA11186 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVLRMEIDTPCLGDVAGVPAAADQPRRLARSLDRACGEVADALVLADISLLLLDVVRRSY >PPA11208 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:1061906:1063649:1 gene:PPA11208 transcript:PPA11208 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSSTTASSSPSSTASEKDVERMANICQRMYSLALVHHNFVNFKLADAENEIALMHPQAQDTSQVTLARARIMLEKGAYKSARMLLETHHKRFPHKVDGMEMLSTALWQEQATIVVEE >PPA11202 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:978762:982962:-1 gene:PPA11202 transcript:PPA11202 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEGGVEQVAVLGRVACDTLDTAVDAVAQGRANVAPDRLPWKTLLTLFSQCIYGGKIDNAFDQTLLDCMLEQIFTAKSFEPDHKLITRYDGEDALKVPDASRRDVLLDWADKIHSTQLPSWLGLPNNAEKVLLQQRADKLLKNMLAVADDELAFATVGEEGGEAMKPQWMAQLIDLAGLWLKNLPKELTRMKRTVENIKDPLFRFFEREVNLGANLIARIRADLVDVMAVCRAEKKQSNETRALISYLQKGQVPTHWMQYTVPRETSLKEWLSDLAERMKQLARVASSASLRNEEVWLGGVFTAEAFITATRQQVAQTNTWSLEQLHLHVHLGRSGAKDVFRVSGMQLRGARSLGGNKLELSDEVTSPIDVVEMAWRKEPAEGAKLPLYLYGERRQLVASLSFQVADPTSFYQRGIAIVANSNL >PPA11112 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:398183:399293:1 gene:PPA11112 transcript:PPA11112 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLVVLSVLFCAAAAQFFGPPPSWGSGSSWRDVSNLRGVDEMEVAWRAAREEINDKYYGRSRYFMIPVQVIRAHRRGRDIELEVLYGESECRSRGVGARQISDRTCADVRRGADRAIYRIIVEEARRGRGKPDIEAWKVRDVRPGERF >PPA11214 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1087516:1088277:-1 gene:PPA11214 transcript:PPA11214 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tin-13 MLTPHLHHLRTRKIMEELMDIEQLKKLTPAQQEQVIAGVKQQAAIANAQNLITDLSEKCTQKCITSPGSSLSNSERQCLQRCMDRTSEERRK >PPA11039 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:61235:62603:-1 gene:PPA11039 transcript:PPA11039 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSRSPSIRPPARHFLLGAERPRFFVDRLFVNTGLEISIGRAVPATRSLQETTKETDIKPAHLAMIA >PPA11056 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:155124:155869:-1 gene:PPA11056 transcript:PPA11056 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLIALFLCAAAASQPCHPNPLPIKPCFSRRRPRCTRSLFCNPCLEIVGVIDNIAGEDTAAIKQIQLKLKNGWSPESICKEFKMCN >PPA11052 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:145950:146955:-1 gene:PPA11052 transcript:PPA11052 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVGKEFNLRRVRETVGQLIAIVSRNIDTAIILKNGVENVFKEVAQFELSNFIHHITPFIEKNPRTVQWDEVLTELSASTQCLPSMRI >PPA11129 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:468616:469983:-1 gene:PPA11129 transcript:PPA11129 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSMGALAEKTLLGIREDVSKTLQSLGISQERADEICPVAVPEAVEGGVTRPVCDLPTLFEALAIRGVRSAVCTADSRRATVNQLDMLGIAPYMDLVVCGNDQGIIPKPSPHCAIQICKKLGVELNEALMVGDTVADVKMGRVAGLRASVAVLSGIGNKETLGQYSDFFKLIFDLYSAKTCPNCRY >PPA11066 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:187360:188373:-1 gene:PPA11066 transcript:PPA11066 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAVLISVLIASCLCADDLKHDLLGNMEPFQLSPNMSAYEIFRADWGGWFDRNVDPVMTIEHTCGTDQGCVCKDFRDRTRREIDSLYAGSTDINFVTTDLMECDLCSQARKARGL >PPA11192 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:939508:943329:1 gene:PPA11192 transcript:PPA11192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nhr-49 MRERGFFRRTILRNQKFTCRFAKRCVIDKNFRCACRYCRFQKCVSVGMKREAIQLERDAMSGLPGGPSPVKKGRMSPVPNSSSLERSAVITNLMAMEFKISTLTTEKMAPFHAEEQNQQQTPAVMNEISKMTLLLMVEWAKHIAPFSELPIEDKVILLKNYAPQHLILMPAFRSPDSTKSCLFSNTYIGKDQSGGELNGFAAFKTSNITPRVLDEIVWPMRQLAMKEEEFVCLKALAFLHPEAKGLSSTSQIESSRPV >PPA11230 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1195796:1223603:-1 gene:PPA11230 transcript:PPA11230 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHFELLTLADSISTSSTLEKQTAFDFVDKFHKHIDRLSIGDRECISSACKKVIEWLARQETDKLEKKDWFSIVRKLCTSDKIVCENEIDMEMHANISQEIHRANSAFLVDSNVILRLIEMCFNKDEPFEIEPTVSDPKTDAEYNNWYERISLLHTFIECGWKDLTVEQANALRYSASTILKMIPAISADREKIFIRSILRVMNKVIALVSGYEGFQEFAKSILALYRQVITETTDPRDQEDVVTSMLVSLNGLEGGENSQETIDLLWEMLMKMCARVREGDSDGKRTSPHADFHKNLDIWLTSFVQSTIQDSLEEKVYRREEICNWIISTLTVSTSILKPSFEDFETVVRTCLSISADHSPSLGSSLSRLYTKLMQHEAYNFEQQKELVSIVFELWTASNESNALILLKKMFEADSTHSMMLRSAQQIIDQDESSDKKKSLLLEECVAVMENEKKSTLARTLVEKINGMELKIKDESKKSKEEGRKKDKKSDEILLDRLSYLNVVFGAKPDDELTGHVRKCKYPSTDLSHFNELINSKEYLTMLEASMKLYFTFEAKRKRVACSDASFLPPVNVEYVRKIVNGQDLKYYHHFHYFAVHSAVSKSKEYLDYLIAMNGGPEIEPLLTELSSFKIMDRMILSMLKIMHGDREWLPLKYNSRYNSSLKYIVQCLHDGSLTSLSPATLKLITLAATSAETRYALIEFSKDHVDREQARPRMIKLIQIVKCSLMSPEERNKLMDGVKTSVQKAISAILVAYNENNSTFEPLQIQQKLITILRHHVGIKGINQTTVAYMDLYLMELRQSNANHSFECLLSLLLSALCEAPTLKYSMESGRMGKIPLSPYITTPLMDRFTRLLLSLFSTNQSKFLPHIEWANVSKKPVHYWLDMVGDEGGHASTSLPLLVFLAKIIQGTNMREEPRAFILDYLNEKGPSFVLRVLRASPCKPVFFHALIPIIEYLRDRSELTSAVDDMLVESVPLLFSDPVREMLEFFPVLMQSTDRYAQTQLHWRSLAFPFIDEDGREELVDPIFASFRLLAQMSTYKRLILTMLETLGVTLQMWLAGIDDDCLVLRGVTCTPNTQVIWKVQLISQAFGYISDVAEIVRKREEKSRGIVEEEGSEEEELEEKLLMLEQREEEELSACTFVNTSKEFTKQHWYNCYTCNMVDSEGVCTVCALNCHRGHDLSYSKLGAFFCDCGAKKCSALKGAEKRPPVKRMKRMKRAKREEKWANTIIVPQDVSREEVDKYLKMSQQVMSVYAPAVNNLILSIELAYEGKFNIEARRQSTIEALDKLKFKYDDLIMMGKIIENEGLEQLDRSSEPVERSSKECSEIIRLRDGSTLFVHVNEHSSYLSLVYMGVGGPGGELGDTRVEREILPFPPKRIAVKEDCIAITGVEESESSDPFPQLGIKQAYVPAASSTNIAYKFAFLRVSLDSGDIVHKEFLRMKEASPTNPILKAAWYMDVEDKESVLLAVATIQFVKIYNVFKDVENHEVELVLPMGNVVDLTFIKKKHADYIWVAILSSPSHLYYHQLGGPGPSILDDTRSIYLTNQLPLPTHNSVVSLHFSQCTYMLYVSFQNELYAVNVKDGDVMELTEEMFHKVVVPSVESFVGWAESDGLVAAISNPAPSNKAIFMYPTLLEFDTSENAPAPDGIIQPQSQIIVQVVNLPQSGHSISLAPSSSFESVMGLVILSGYRRPAMLFTGNWVAQPDLWMEHRPMKLEKIAMVEEEEIGEEGSQDQLVTLFETSSEIREVEYTCKELEEFYSSSDLTTRLKTEGKMSVTSLNKEEWTMVIRVSKRHYEVKGLRLEVGGSEGPKSIFYNGKEIKLNRRMRSIYDIPLAPTETINSERPLTIRLECKEAGPTTVISLRAFGDSREDLYARCLTFPPLNLPYQCVLHALNMIHEILAYGMTLPLMVVGDRQDELREWVKESGLLVITPRSCRPAVTAAGKKLLRRYWKDDRKKYESLKDRAIIESLAKYGATRELRLPAPVLRSYLSALREMMEKRYENMVTYGDEFFKSVICMGSLLYSQMEWMEGEEATLSLLVSTYVQFICTLLANDHQTADVVIEQLVHRVIVHHKTSRLWKKLRDEVRRTVRHSLNGTGLKNGSWMIRLIVALIDYLMNDVRMPLPVWWKDGVTITEEKIDLPMMRTLTSMDAVLEVTMSAMREMDGPAIVTILSETIEKIDMISVISVPGDPTIGGVSKGGRKKRERSVEEEEAMKEDADADGEETGGEAGEVDEETQEMFDEIVYPLLDDPTRRDKLLSINFRLMEEALKFLSGLKENQRMDSNWNAVTANSAGVPTSICLLEYYLRVGRWTLFLPTLFLAPRPPIVGLSEDRKRIICEFVMLDEAVPLREASEQLLFLACNCDEKKSADTKNEYVILRNLDTLRYDFLHKLWYTHEDSTAMINIISAVRETAGEKSECWRRLRWILVLTSKVTEPVGVAMLELIQTALRITKEGEINESRSSQPPPSPADFSIADTLIECVQSKSILKVLIMKYLLNREEARRWLMHSVCRSLMQLARRRNQMEFAKMLFYEVLPMGRRLGERGAQLADLCSAYMPTLLPSATLQAIATEELVNLEAMLAKLEQSEQKGAADWMVQAGMGWKEALYISPDPCVQCLKPHETIESFKLSSIKGEARYTTNAMMYKLNCHYEISKVVIKLADVKKARSIRGVSVYYTWKAIDSAIELKSERSMWKHVATTKVSVIDTEIVLTVSIPIVTNGLVIEFNEVADTRGSSEVHCPRCSSLVRPNPGVCDNCGENVYQCVKCRVINYDEKEPFLCSTCGHCKYARMEVAVVGRVQPTIVISPDNDKPMASRASKTMNKLQWEMDTNRAILRANTLLAQSLALHSHPIPVVTWPVDGKVTMDPSYPQLPAIGPNDSRSLALSECMRKIRSASESLVSQNLQLVSIREAMRKMERVFTPSLHNTTHLGFYNASDGGCIGCLSSSLIHSISLLRALSSNQTIAATILAHSDLIYNRLVTASQCIDILRDELESLMIRLCESEEGVDRLCASVRQGRIAPSALARSLLMVKSRRWSERMDTLLECAMTREDDDEAQIGLVHVLINTMREAKSVLTMMEERRKAKRFMGERGPSTSNKDSDKDDEGSWAMVDAIINSQKDIKVDDIEKERNRQKEIETFLWRLVFSRSIFVRSLVGRLIRSMASVPGQAGTVISICLMGLRQARTLDDPSRCDQFFLAFNCLIERGQIKLRLFQANFVLHLIGVILSESTILSEWERGEQSVSHSSGGIVYHCTNLLTNILSGSWLESLFLASSRLSLIVPLLHSCILLRRVTIKRNRHIARALNQLENLLRRCTAEEGLSLLTAAVACLSQVKDEKSRADVVGVILETLDPREKQSSDEFLIQVDKDAAQEDFLQGRMQQNPYKSGDASMGPLMRDIKNKICRESELIAFLDDDNGMELLVNGKIISLSLPVKLVYDSVWKPYHPGEPMVIIYRMRGLMGDAVEPFVEKIDDTSEGECVGEEEARLLAAFSQCGGVVTTLALLSSCSLSPNGCLLLVQLRRLLGHLLKVDKMKK >PPA11067 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:189995:193704:1 gene:PPA11067 transcript:PPA11067 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVGMAKIDVDKDGFPQYPYVYFTEEDMGSKKENKWTRKQKAIGGGIFLVVLLIFSYGLSRSYANADIYSTTNRYAEAPFILKGVTQMPAIENASVVTPEAGVNTTAPTPSTNARNKRNVAAFNSMIEKMEALKGPYTCFTRSRQMLLIGFAEDVVEDVSTLETCLGYCKKPPPPVTGYECKSVMYYHNEQECILNTESHHTKPELFIPQEDNFKVDYFDVTRNLKTKTCPKAKDNSKGEGCMFESSQPSYISLANDSDHTQAKKWTKTPKALAGGALFGVILIAVVLVIISTKADTPDVPATTTTLAPTSSSTSTAHSTATTARSLRCKFENARPNGCTQTAGPGYYPVFVLEHNGTVGHPVISTYPEKFEECWEDCYASHKCDVMLYQNKNCTIFYIGHDAPTPGATSEHISSAPSTIHFEAFAQKTLVGYASNFYLGCQSPELCLGYCIDAQRNGFKCKSLMYYPDKKHCFINSETRRTKPDAFIDELKDIGVYMDNMMDVDNQAEMKGFLTKESNDKLVA >PPA11167 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:770688:773443:1 gene:PPA11167 transcript:PPA11167 gene_biotype:protein_coding transcript_biotype:protein_coding MTDALSAPSAAPHDFYADHPTSSCNLIIGDNTLKVSSELLGMHSHFFRSLFFKVNPEYKGPVEERGEDGEKIVKPAVEPYDPLADTTPNLIRTEIKDVRLDNVKVKEVIDLLNIIYPSDAPITDANVSYIVELADKYLFNVIMHECEQHLTSTSELPKEDRLLLADKYHMCDLVDQIVKELTKTEIQNLINLKQW >PPA11189 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:918949:920081:-1 gene:PPA11189 transcript:PPA11189 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSNPLRPAILKLFRAGIPRHDIVLRLAIPKRTVYDAIARYLELGSEEDRKGRGRPATVSTPRNRERIRKRIGRNRKQSMRAMANISNTSVRRLIKSQLTLRPYKYLKLHGLNDRQIKLRRDRCRLLLMRCARAEHFSTVFSDEKIFTIEGKMNSQNDRILAHDPEEAYKSGGFIGQTSHPLYVMVWGGVCATGKTPLVFVTPGVKVNKEFYVKHILQDALLPWARSHFGQSHWTYQQDSAPREFQRSEQKGVSRGSVDCVSSRKN >PPA11046 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:117896:119222:1 gene:PPA11046 transcript:PPA11046 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAFRATSSSYYDYYDDGNHEMMTQHEQQHRYQEMTPINGYIKNQYQDYGYPTCEYQQPSYEYQSQDHSYAQQDPYRNVHQQPMNGQAHQVATPGYHYVNQIPEHQYHYAAPINQPTDGCAYQQSYIPQQTPLPDHGMVEQVPIIQSTTPIDAYQSSYIPRESSPSHDFGMSELEEFIGTPENQLEEANRETKREKKKKDENRVKRPMNAFLVWSQEQRKKIREENKYLHNSEISKILGEKWKKLTNKEKKPFVDTAKKIQREHMKANPGYKGRG >PPA11178 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:827187:827950:-1 gene:PPA11178 transcript:PPA11178 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAFLLTDSRRASSGDEVLLMNLPPISTALALHTLWNMQFYLEYDAWNDETTEKMSALFVRRCIRAVKRHCVGSEYNEIKPMKAKSSQICIPESSRK >PPA11090 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:287638:290075:-1 gene:PPA11090 transcript:PPA11090 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVLLLAALAAAVCISAHLPAPAAYSTASESTAPGQEDVHTRVKRYYGYGYDYYGGDYWYAGRTIGVIVGVLLLLLCCCIPCICLAGIWFAGWFGIKAARSKKHQQPGNISYIGSRGDTQSLRLSESQSFGLSESETPTRGAADTGQLLPHLRLQQQLQPPLLILFRPRPNPLPVLRLLPMLKTIVRIPPTPIINLPHAASNLDVGIPTISTSSTRQKTISMPSQPPIHREKLTRTDPHDFEPLPVV >PPA11171 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:785728:787964:-1 gene:PPA11171 transcript:PPA11171 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVGDTRRVAQADGRGFSKLGMEESEGPVGPSTSSASGSGADASLRAVRSRSFAYPQQPPEVSPNRGPHTPAQASIDNGEIDPIDSLERHLKPMEPIWGDAESEEKFNEHSAYAYSLFDTKEKSAELTREKHRLIARLNDAIEVNKLLKRKAFLEKTILDCYTKVENGRPNASSRTPSSPRME >PPA11249 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:1327963:1328486:-1 gene:PPA11249 transcript:PPA11249 gene_biotype:protein_coding transcript_biotype:protein_coding VAKSSKDPSTSAEKAADKDGPSKSSTEDNRAAGKTLAASATATGTNRKIGTSPEVKGGTKTIASWLAAATVSSDEFTTS >PPA11159 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:679703:688338:1 gene:PPA11159 transcript:PPA11159 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSPSSTESAFIFSTDDGSDAYVLDIKGIYALVDGGAEPLGFTNCLRTPSASIVSSAAPSGLINAREMNKQPDSLVAVIGNLPSAAALKDAAAAEPLRELYKTLSLPPVVAQLKGAKPEPLVIHKGLSSGTLSVYTLAGDAKDAEAVAKLTRETVKCDETGKGMEEMAYQSGTSESIEAAAAAASSVLLMVWQPVREDAPIKRVKVRGVKRVYSSSAPLPRIQQALDRARALPFLQSATASAASLKAKPAAGTAARPTAGAAAPAARPAARAAPAATATAAAKPAARAAPTARPAASLRAPPTSIAAVPKSSSARASMPARPAAAAAASGPAASSAARPARASTATSSRPTAAAAAPAARAAARPTAGGAGKEEVAKKTIVSKSAAAPSSARASPSGTASSRTPVKGAAAPSSARKSTAAAGAATAAAGAAVATVAATTVVAAAALSDPTPVQHEDAESAAAAPAAHPSISVSIDDMDDDVHQTPGGLPVPASPTIIPATPQPPMSPEPMDEDHHQEEHVPSSPEPSEVSAVDVDDKWLQQQEQPAAPAAEEPKKEEADVVPSAPLEPEPTVPSAPILEPAASVDEPECVKELVEEEPEPDHKPALPTPGSDDVHEDLGPKKDEEADEDPYKPALAADEEPEPTQPAAPAPTPVDPSVTGGGAGEVGDLLGDFGGDQPQQPGDDGLLDSLEEPMKKMQISMDTDKLAEELGLTGDGEQKRAEGECSLLDLTQESTMDTDLEKTQYQNTAVTSKRQ >PPA11071 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:204259:209872:1 gene:PPA11071 transcript:PPA11071 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPLSLFSVSIMWCIAAVTTSLITFLMFKMLDAEIDEWYLEAKEMLMDSREFTIASHFHHTGRWPRNVYPPRQCVCPLMPSTACPAGPPGPPGFPGTPGQPSFPTGFQHRYAAPSAQPVFSNPYQQQQPVRQVQQLQQPQQYAQPVPQPFVQVRPLPQSGTGCTGSGQLLPPRPGPAGPPGPPGAPGFCPTVTVQGAPGAPGAPGDPGPPGPRGPPGTPEIVPYHSQRVSYRYIYGPGIPGKPGRSGAKGAKGASGTPGRPGTQGGPGDEGCPGHPGRQGKDGYKGQERASPREWQWRGGIGHMRLSSLTLIVLTSSPLVPPYGPRGSNGVPGRCSCPSPNYASIPAPTGQGYGNIGGGPAAPPYSAVQQPQQQLLSTIYNGPSPQFGYGEASPGYEIQPSGYSSPPPPSQHYGPQQNSGSGYGQSNTGSGYGQQSPQPDPYGTGSGSGSSNLGYGSAVQASIVPAPNYGTRSGLDSGSRAPNRYGTDPPPFRPYHEGRRFSFPPRTLGTRTFVSSREDSPMVMRHLWLCLVLGVVATDSNLNTSEKKDDKEDELLLVQVVIRHADRASTSGKIAPNSEEVFFRGHEHLSDQGIDNAHKQGADFRKRYVDSGFIDRRMVPSQIYFQSSAVPRVLMSAKSFAAGLFDKTDRGDTVLPPILTHMNIPTDHTSVYPRRTSQKNLLKGYLFI >PPA11100 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:341796:343023:1 gene:PPA11100 transcript:PPA11100 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGWILLIAVIVIAIIYWRFCNGCRSRDRTLSMASNRPERIYSIYNPDTIKKDDEDNNNRLGVPKAKARVVLFAVVIMDEIIDTMM >PPA11092 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:296897:297368:-1 gene:PPA11092 transcript:PPA11092 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLKLLLLLTFCAVIYGQYVVEETVEYVPGDYDYGPGGVPPPGYGYGGGYGYGYKPPGLVSSILYSLGLKKKK >PPA11182 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:866303:867142:1 gene:PPA11182 transcript:PPA11182 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQEVVEAIALAAPRTTRNVSLIVYVDHGKTTFADSLLASNGIVSIEN >PPA11213 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1086020:1087282:1 gene:PPA11213 transcript:PPA11213 gene_biotype:protein_coding transcript_biotype:protein_coding MGYILPVHAAILAAIGALFFAISFVQVKQPDIVVPSFQVALRQHNKDLATALTNKPDSVNASVIMQEELTRLRLALRGRQVGEKPDIKMVLHVAMGVGDPRLPNRIAWLPALLCCNITVVVATVIIAVFFTKTLSDHINNEARHQLGEVDMYTTSRLDTILRKRKAINPHQPTEETNETEDMDLDDDLSRLAARVEKPVALAVLPIIIFISAIIVQACLLQIFQGGYVSYKIFALIRRAASLGPMECTTVLDHIVLVLNFPTQIVFYMMLARIINSFNGFFYHLFGTDEEETPMLLTDADVQ >PPA11157 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:659405:665264:1 gene:PPA11157 transcript:PPA11157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hum-1 MGDTRPVAQADGRGFSKLEMEESGGDSRPPIAQFHWQSKVNVQHVGVDDMVLLSRLSDAAIVDNLKKRFQANSIFTYIGPVLISVNPFKQLPYFGDKEMEQYQGAAQYENPPHIFALADNMYRNMMIDSESQCVIISGESGAGKTVAAKFIMNYISKISGGGHRVQGANCQVQHVKDVILQSNPLLEAFGNAATIRNWNSSRFGKYVEIIFSRGGEPIGGKLSNFLLEKSRVVRQNAGDRNFHIFYQLCAGADANLRKNLGIGNLDYYNYLNQSGVYKAEGTDDEKEFQQTLHALKVVGVDEERQLEMLKLVAAILHVGNITFTEHNNYAAVQSEDFLEFPAFLLGISTADIKEKLTNRRMESKWGNKTEQIDVTLNVEQASYTRDAWAKSIYSRLFDYLVTSVNKALVTSDHSHSSIGILDIYGFEIFENNGFEQFCINFVNEKLQQIFIELTLKAEQEEYVSEGIKWTEIEYFNNKIVCDLIENKRPPVLTRRLENPENM >PPA11247 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1323114:1324464:-1 gene:PPA11247 transcript:PPA11247 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQLRLNEELIEKLGDVVDAPFDIWNNAFLHEPTDDDSDRIQASIDESNAEKDKREAAEIEKNKREKAAAEQNEKIRTERAALLTKMKSGGNDQVLLNDVGKVLTAAETKACEDILILTSKIKDKDKRFADLKLEYGDKFKRYADQQKKAADLSRKLVALHKECEDTVQRMNSARSKLDENYKKIADIEEAENHIQDKIERAKQRVASASREFEEIQSTVLRIDGRCWYLIRRIRL >PPA11134 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:504893:510817:-1 gene:PPA11134 transcript:PPA11134 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVAWADEAQVSRESGEDEESQQGEDIDERRLNRRAYDYLCRLLEVRNWLRECLKEAEDSDLIPPVTELETILANGVLLARLGHSFAPETVPWGKIHDKDQARYRRALEYKHTDNIMLWRRAMESVRLPEIFIPETVDVYEGRNMKTIFCLYALAFHLYRMRKAPPIRNQAGMAVFSSDEMARMREHLKDSKVPEFGAVGGILSDKRLSSDEASLMQALRAIATAISNKDAPALLSALQCPDAGILYVEPNLGEEYLTSLSSHDEELTKSSVQNVVILTNNEWAECHLNALLAEEGLAVDRSRLYTVFDALQIEQTREKAFPLYIQLLLGKQANALVEVKIAAEHGSSLDSLSALSHPVLGLTTVEENSKLYHTK >PPA11232 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1231969:1237380:1 gene:PPA11232 transcript:PPA11232 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGGGGAMGTGTGGGATWPHHTASAPLSQLWLGRQVHATRLLVWSQLVLAAAAAVLLLAVATDSIDPVRLNAAMAMTTSPREEQTDVHDYEDSSIVNDSTESTAAGIPSEVGPLSRFARPQTVAEQLAEKRNWATGIAVLAYFYVISIFLAWWGVQASETQGRREEARDGDCRSSAGARDGSRFGRWLARLGSASCLRRATTTVLLVPWLLSVISLLLPLLILSIRLLGQSCAYLTTSEKAGGWGYYGHELWFKYFPLAGVWAIALYFVAGCCGAFVFAWRRADGRYGGTAYGTYPGLRRGGPPGRFASGGVPLRESAAAASFSWTFRSTKGTVALDTLRYLANRSTADRYRITNKDGDLNKSEHLLDGEQPLVQEHSQSHAEGIHEEDGG >PPA11138 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:539504:544071:-1 gene:PPA11138 transcript:PPA11138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-inx-15 MDLIIKIASAVTQRRNEEDKVDRLNFQYTSYAYVFSAVLIMGKQFMGAPLLCWTPAEFKGGWIQYTHDYCLIENTYWVPMEDPALPPPAAREKMELPYYQWVQFVLVLLAFVFMIPHFIWRSLNWTSGVQVRSIIESCMQTMGKPAQRETVQKKIAAHIYHSFEAMKSIEQRHKFTIMQRIAHGQTITLYYIAMKVLYIINLIFQITIIHVFLGHNPLAVFREGVNSDWKNTGLFPRSTMCDFEVRTKGNINRYSVQCVLSLNMFNEKIFAVLFIWLCILLCITIANTMHWLTTIYSTSNRRNLAVRMLKSQGIDQNTLRIERAAEFSDDSLKKGEQKALIEHESNAFEQFVELTPDQATVIRLITANAGEIVASGVVKELYNVFNKRELDN >PPA11075 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:228104:232800:1 gene:PPA11075 transcript:PPA11075 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRINFKLDYNDVTYKFGSELTGGKLFYALKDAVASIVQEFSYELFWNDDDSKMPLESCVDLNTAIDYSKFKRTNPNCTPCVLLTVLSTDAAAGHQNHKYAANEAIPTETDPVNRILIKQHLRNLFNSALQSPWTMELRSAMRPLFCPRTKRLQAPSEICAAEIAVELAKLEKRIPGKVRDRLKKIPIKELRTLMNVELFGSADAASDTVEQTSQSTDPKIVAATAVVLAVELSLEQPWVEDGNKAGEVGGANEQNEDVDGAQMCPEFQKQLKVIELFQMGEYVEDPEIINQLREEYDVEFEKVHTMICPDGKEIYVLTSDNPPGDAQNKGQTADKDEVCSDEDDYEKEVDELDPDCWMTKRATSILERANQRLDAAYAEVLYDSPEEFLKRAKADEIKTVLPVVSEKERLARMRQRLRMLNIAAPALSRSSIVEMERQIASLDVIEYEERPELRRKWPSTRTNIAALILRTLDGIPEEIVQQLEQLSAGELARIVLLNCKDRLTATADNVWSPSTPQAMLYVCAAAAIYPKEIRHGITATINKGTATIEAKYEKKYGKDCVQKDNAARAVLSLELAKYMSYDKSCAFERLMLPAQLKKESENLLKHQKWANLIKSFNMTNEMRSIEIDEGTLHDEIAAKLYDCVRNFNSKTLGNWERSGITEYAADLYDEWMERVYKKLKWEVRTSKTKDPLVTMCSPPELAAIMIIGAAAELSPSDAQPIWGKNKRKANIYRFQMHIDMAEYNIAKSVEMHVSEAAQTFAELREAVSNEGAWADTSIPAREFIPWLDRLAYAILERGLEILEPVLAQLPHNVPQQETHEEEVHHVIEQVVNPNALLTQQGQSLQHLQNDIKLIVDENPKQSEIERPMQPAAAPLLQNSVLEDAVAPASTVAEVAPVTSQEESQPAASPIQSKPQLMTSAARAADIAAREHELKIREKALQLKEEVFEICATEFDQKMKQFESKYYELNRRVTELDSTEDMSYFEETVKNMDRRLKKIRRDLCLREEYEFSNML >PPA11152 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:624371:633551:1 gene:PPA11152 transcript:PPA11152 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSTSADVVASSSSTGGSPSTSRGEDDQRAVDEQTQFELLIAIERFLEGGNFGKAAAALRKEINQRKLSSMANAPGNITEHTLPTMISRLSTLSDRLIAPPVKGLKLRLITNKRSSLQKTNDVLLPPRRHSLLHGARGAAPVPKTKYSPIEILRAREMGARVTAAALPGAGAISEYDMHYKVWLHLSSCFCVTFDRTENYIITGADDNLIKVFNVNLGLLKFTYRGHASEIADITVSHCNQLVASASTDKVVRVWKLQNGETTSVLKCHQAGINSIRFLPFVENGNNRYLVTTGNDCIINFHMFNQETLEFPQGSASIAFTRNERDQHGAKMISICYSEGGHVVVAGESHGTLRVYRVHASGLIEKTNDIIAHTDRVDSLMWANRGMKFASGSKDGLVKIWRYQCAEWTETTLALCQEELVLICGMSAFQTNANAKNRYKISMICWTKDDSYLITTGSDYSFRVWDATDGTQLHKLSFHTDDVYHVLPHPIHPHVIFSAGHDGNVVAWDVVRGVRLRTMQYTVTTEPVSIYDLAVARNGTLLATVDNKGYLHVVGMGTNKQKFIKAQFFQTDYHPLYTDEAGFAVDANTGLAPHLMNPRLTNEKLELHPSEFQAMVPDRDYPGPTGRAGEEEVILPEMLVCPWLKTDIVPRLDKHEMEHWTARQAALSAAESEDFSREMQRVRADPAPIVPFNLSPVRAPRAAVSFGAAFAAERQAVRAENQRNRVATAIQMAQLRRNVSLRYLGMNPDGRQAERDAAPIDNDDDVAVADDDSDLGDGMTEDETETSDEDYESDGERRARRERERERARARGAETEAYSSQGTASRPRRRVEMEDDDDEEEMDESSQQSRSGRTQRRRRVFGEKVCQEEEGVWRDIDCDPDY >PPA11241 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1290762:1298788:1 gene:PPA11241 transcript:PPA11241 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRRGTGSGGVTASMGQMTNGRFCATESGESLVIVDSNGNKHACNVEDVGSLEFYFHETGPSMAPVYNVIIVLRFAKDLIFLCGPVSPVDSFVLTVSDAFKMFNNIQFLVIKFSQILKKDVVKAVPFTRMPRCVKEVIVQVGRDYESDPIRREGKETHDCATKEPKAISNDGVVDLFSDEEEDSTSSSASAYYDIGGEKIQISDAGIKSLEPGLYLNDEIINLALRLAVKKYPSVCLFDTYETDKIFRIFKNGEYDVNSTMEESVFKNNFAKYFFKGKGNEETPRELEDTFFDKKMLLFPICQSRHWYLVVVVNPLLADVHNYLTPAQPLDTSAMAYFIDSLHGLKGHDTMGHRVNLTWTCIFSFIRLAASFYAHSYLSPNLITCVYESNLPPQTNNSDCGAHLVHNTELMAELYDVMIKAPPKVRVSEEQREKVMEKVNGFRTRYKTYLERCNLKGNDN >PPA11136 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:527266:528992:-1 gene:PPA11136 transcript:PPA11136 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNQHNVEQRSEQMSASQTVDEEQEMPRDMQDVYQSMRQQMQQMQQAVSRVQQLRDQLMRLQEIVELIEPRQQHRDDGQRRESLPPFPVRYQDPNLDPSDDDDDEENIEAESPREIRERAAELRKENEDSSSERLRFSRTCRECDADDPRCPVRGGYVHLYEQEKDDSDMSEFSRACPICLCHSPRQRAVFSNCGHFFGIA >PPA11238 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1279430:1280620:1 gene:PPA11238 transcript:PPA11238 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSTLFDDVKNCFEEMKNPDNVEESLLELSQLDVTSEVLEATNAPRLIMDYFSHGTCGDLARRLAMDWLRIRSPKIPKLLLKRRAKMGDSVAQKMIRISEDEENISSVCSIMEDNEEDEEFNPFTANDNVSRAPTAKRAYLSPSTCFNSRSTCPKKLPEETPLEEISQRTAKKAKERSPSSTSPSTVSKKASEEARIAEISQRIAQNKAAKDAKHRKTVVISDHDYTAKLRRSRMSK >PPA11234 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1255437:1259906:1 gene:PPA11234 transcript:PPA11234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lgc-51 MFPSLLHLLLLPLLTVHSYEDDIDSVVASVEEGLDAHRHRTLDENGCYRAFATPADLIESIMQNYSRSAIPDETPVPVQVEVTVQDIIELSVLSNSFTADIWFSSIWHDPRLAFAHLDTCRANLSFDERFEKQLWSPNVCLVNTKSTKVHSSPKANVLLMLLPNGTVWLNYRVQVMAPCQLDLRSFPIDKASCQLVFESYSYNTATVDWMPTAVTLLPGITLPDFTIDSVKTYKHTEDYKAGQWYRLTVELTFYRQYGYYILQMYMPTYISVFISWIAFCIDTRALPARIVLGVNSLMSLTFQFGTIIRSLPPVSYIKAIDIWMFTCTAFIFASLLELAFVAYQDKKMILASSNSHNAAFLAVFNFIKALQPFQKPEEVNNDNQDTEYSLLSRSLHESEERRKAANRRRRDKVCDLGTRIDKASFILFPSAFLLFNIFYWTFYLTK >PPA11143 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:565782:567090:-1 gene:PPA11143 transcript:PPA11143 gene_biotype:protein_coding transcript_biotype:protein_coding MARATKNVGKKSGKKEEKKGVQKKTSAKNVQKATKARAGKKGQSQKTTPAKKPTSASNRKSKPQVAPSKPMRKFRQRATIGQEDLLSRLPSDCLNPILYMMNQYELNVLSTASQTLYAATVIARPKSIKRRFANITISQVDYYKTFVVISKPDPRRNNYHRTYAIVRSTLKLSLIAPLHHKIGIDEQFLQDFENIRSQPLKSLKFERCAFDKNSAMRKNLGIQAFYLVLISKPMYLV >PPA11155 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:647758:648638:1 gene:PPA11155 transcript:PPA11155 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRRFQLGETEKTREVAFPKWTDDLAPKYQPYDCKKAADDWEEMVVQKRQIEESKQNQTKRSIPNRPFFFGTEEVATSATSSIKSINKTIKCLKNLQFAAGSLFPNAGEQIRALETMGMELRDFHTLHRDMRMVRIDEIGLRYRSSVQEARAPGAARPSTTFEKTAHPKNGPPTDSSQLCVCRARCKRSKVYGRWIGTPLQDHNGSISPV >PPA11050 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:130001:136112:-1 gene:PPA11050 transcript:PPA11050 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYSHPKQMENYQKDIGGQCERYITISPVENSEARKVTIIMVDKYGNSVEGLHLALGPTTLKLSCFIFEEDNTFGNDDNKLACKFTLEVNDDTDIRKKLTTTAGGAFTVDSRITTKGSMSVSGKEGGTDGAAYTSKIEDVDLTTLKILCRYDRVDRLSKPNAVDRTEFQAVPEAELLLPNPKYKGQTDREAPKAFEDDDRIQCPADAPMQYKKNAASMDTVWKLLKDGLVCRRGVLTLAGDAKKVVESKDQIKCARKKCGVCEAPPKMDGHGTNTPVFDEAKEGGCRTLKCDRPYIVIKMKDSSEIKLHPHSLIACSSDQKLSPNGNQTNTKNWELDEKNGELAEASCTTGIFCKQLNPVRTEPCESSNATCQEAQILEVYDEGRGACPVGFDVVYVVPGQGNQITLNTFKCDNSTGKWNATHSQSEWAFNGGQSTPPSSPKEEDGKVPIVDDALIRCKKSKEPENRNEKIFLGMTVLEVGCFAAGLFLVVVAVIVAATFYVCMVKKRKAAKKKAMESVSVTFKEPPKYGKGKNGSKRDR >PPA11205 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:1033276:1036996:-1 gene:PPA11205 transcript:PPA11205 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGESSTSLASAPIIEPEVALAQKDDVYSYVKAASLALLAETRASELEEALKRDDSLTKFIGDSQAPVLAIEKITTIKDSQEGDEEVVVTFNVRNEVEYKTERSTQVVFIKRTGLIEADKPIPDQVRVTVLNEGNPYETLLAILGKAMTPFFKSFTRETAKGERDGDKLVPAVEKSLNEAEVALLHLQQNIEIPEINLVINPHIQGVIAAAAAKKEKPKVDDLGAGLIEDSDFLNSLQTGVNRWIKEIQKVTKLDRDPSSGTALQEMRFWFNLERALQKIQQKRDADEVTLTLEALKCGKRFHAIVSFDTDTGLKQMMATVADYNQLMKDLPLNELMSATDLEGIGSALVSVFSHLNKKIRQTKYPFMRLLAFIEAISRDVATQVLKVLGNRRLMHISMSEFDQLMNSCFLVFSKWDDQVDKITKNAVTTKL >PPA11087 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:281529:282017:-1 gene:PPA11087 transcript:PPA11087 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTEMRILCKALYCHFRNVEGLHCNEACDENVRLYKKVTQSTGQPDPDIGTSKLGCKADCVRAGACDKSCEILCDTHWMYAHRDLYEAEYLQWAKTTGRM >PPA11126 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:450873:454277:1 gene:PPA11126 transcript:PPA11126 gene_biotype:protein_coding transcript_biotype:protein_coding MPWIFLIQVDESVVVFLLGRSSALEEESDLYGDLLQVAVEDSYRNMVYKIEAGFRWLKESVRSDFVAKVDSDTVVHIDRLYNYLSKYEKAQSGPWFACYSIPSTAPVRDKCNPWYISESDYPYDRMPAYCNGPGYAMNRGLFELIVFEVEDAFLTGAVASDVGEIKMMVDVAFHRYTEITDCDGNEPTLSMQNTHFQLDDVIKPRKNLTAAWNWLKSQWEASVYGQASSDFIVTFCKDDEWKCEKASVMGQDSAIFICRRLGLCYAPLTLIQRKLAVVTALLDEPLRSKNDVVLMKIDLV >PPA11244 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1311669:1313576:-1 gene:PPA11244 transcript:PPA11244 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLHLIPALHLSFVVAIVQNSPTGCFYAGESRSVGEFTLQEHFRMKCCSDGSVQELGCLTDQGLIPFQHGGQTIVIGQFRYECFKNGTQFYYQRSVIDTYTVQPEGPTGCYFGGEKYALGQESSTRHRRFNASLLLIAFRALFLVADRFSYKCSSTGVAVLLGCKTDTDGLIELQLLGGSMVKGPFKYECYKNGTAPHYRRTVITQW >PPA11131 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:499844:500172:1 gene:PPA11131 transcript:PPA11131 gene_biotype:protein_coding transcript_biotype:protein_coding MELTDSPTTQTERPSYTDLSGEKVQYQQYEVAAESSYPNGMMTQEMFIE >PPA11228 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1176238:1181213:-1 gene:PPA11228 transcript:PPA11228 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNPPFRVFFVESVCDDENIINSNITEVKINSPDYKGIMSEEAAKEDFLKRIEMYKMQYEPIDEEADEDLSYIKVINAGKSFYVHNVNGHVQSRVVYFLMNIHLLPRSIYLTRHGESEYNRIGRLGGDSPLSENGLKYATKLKGYFETEEISELRIWSSQKIRAAQTASRMAHLAAHVEYWKVLDEIDAGICEGLTYEDFEARYPKQFGERDKDKYHYRYPSGESYEDLVARLEPVIMELERQSNVLVISHQAVLRCILAYFTNQNRDDLPYLKVPLHTVIKLTPKAYSCQVEMFKFDIAAVNTYREKPKTDGTRADGDLDLCTVDNGQLSIDGVSDILPSRRSLHGRRAFLGKSGSSESLVH >PPA11223 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:1138898:1142381:1 gene:PPA11223 transcript:PPA11223 gene_biotype:protein_coding transcript_biotype:protein_coding MQVYNPRDFLRIDFMTRLRKTMAILLNEARLVQREGAVFTPSSTLNSLFEYVDDLLVEDMSRPLLEHQREAVDFAQELACFVRDLQGMRLRIITDEQPTKDEKTEGMEEVERERIEKDKELNDRIEKKAKELREQIEKKLRDARKREERFERNEKTPELTEAQQLAKKKPKELVRQKNITLGMLKEQKAERQREERERKDREWREEMDRIARIEFQFNPVRGRGLPSILKKSNGNTRESIGIRGSDPSPKAPNSLEDDLFRPYDVGTTILFSSNCGRATQNISKEADCSREESAMNTKPPCGDQRDKELNDRIEKKAKELREQLEKKLRDDNVAMGTISILRGVVKEEDPDLPTTSAKKFPILYARPMSSSRKPPNAAATAVIVEQSESAMRTEPPCKGNDNAPMPVDPGTISILRGVVKEEEPDRPTTSAKKFPILYARPTSSSRNPTNAAATAVIVEQSESAMKTEAPCKSNDDSPMSVDPGTTSILRGVVKEEVRNSLKFGP >PPA11237 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1268166:1282147:-1 gene:PPA11237 transcript:PPA11237 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKLDANGDPCICTICKCGNHKCDHVDSGLKTNGGIEGRTEYNAQYLQKGAERARQVRRGDNLAQDGDFNGLSTHNADYGERANVVRAQDNLANTGDFNGLSTHNADYVKKQGARAALIRPDQHVVQSGDFDGETTNKADFRKKQGARADLISTDQGVVQSGDCEGEQVIQSGDRKRQAERQGQIRLQDNLANTGDFNGLSTHNADYVKKQGARAAMIRPDQHVVQSGEFDGAERQGQIRLQDNLANTGDFNGMSTHNADYVKKQAGRTQQIRPASHVVQSGDFDGVTTHNADYNKKKAERQGQIRLQDNLANTGDFNGQSTHNADFVGKRADRQPQVRMQDNLANTGDFNGQSTHNADFVGKRADRQPQVRMQDNLANTGDFNGQSTHNADFVGKRADRQPQVRMQDNLANTGDFNGQSTHNADFVGKRADRQPQVRMQDNLANTGDFNGQSTHNADFVGKRADRQPQVRMHDNLANTGDFNGQSTHNADFVGKRADRQPQVRMQDNLANTGDFNRMSTHNADFVKKQGARTQPIRPSSHVVQSGDFEGATTHNSDYINKRAERQSQVRMQDNLANTGDFNGLSTHNADFIRKQANRQPQVRMQDNLANTGHFNGLSTHNADFVGKRADRQPQVRMQDNLANTGDFNGQSTHNADFIRMQGQRAAPVRPSSTLANSGQFAGISTHNADFVKKQADVCPAEKVLARPNQYNIQVRNGHRHVYEGGRAYADLEFHQATLRAEREIARKFDTKATMDPCRLFFYGFSECIEGLLSTVIERIGEIEAMNDGEKTDEAHSLPDAADTPAPQDPSENAAGAIPPPQARSLVDGEAQDPIRLEDRATSAPATGNSDAVTASTPSTTATANAQPIQTPPAVPESLGNGMVKMEDIDEEEDDSQPGDALMNQSHSGSDASHSVVKEEEMDEVNKHAAPPVVNQFRVGHPIAPLAGMVRRPSQSFNGFDAPSTSSTSNVPSGSKTTAQKFLELPNQRLYYRAPRPTLHAVGKKLLKRDSTRPKFNKEMDNMKTLHCLFCHMRDMNLDEFVAHLLQGHNTTPSKSRLGFQCKCGFLGMNARAARLHKYSTRDMDVNAFVEHLNYAHNTTPCKV >PPA11217 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1110017:1124242:1 gene:PPA11217 transcript:PPA11217 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLPAQLLADPRLVPLREALPHSLDCSLLCAETAWTLMREWTGKSSFESARLAVEYAKAVECKTGSVVDRRLRCQLASLLWSTTLLGALKAVSNQSRGAERDLHAQLVPTHRVADFIICLFSLLSSLVPDVDGDEEAETLLESERARVEEWIEPVIVKKDKGARDRTSDREKGERCPLLLLLLLYQMRIPRGRAASTAGHQRLVPVHAQNVPGPLPMSRDEKMAVGRSPSAVRDLFPTQLHGVLFSNLSSRVRSPDDEWYSTLFVRDLTAQWKKINDDNVKHEYDVITKRRAALIERACNYPNPSTRDSLLFLCGEWQLMDMWQASDARRCLREGRCEEGCMLLATLPYKTRVAILTPAVAARLKMLAVDMEVMLSTKDERTLSDLCGDEFVDDTCADSTKKLMHILKSWSSAANPTKQLLLIGKSSIEQMDEKNDDGVKVEHLVLDAGPIIDSAHSIPALAHKFYAPMDVISELRDGKTKKALEQLQLTCDIIIREPSIEALRLASEGAKKTGDFRSLSIVDLKVIALTIDLQRENGTLTGETAIAANAAAAAAAAAKAAAAPETVMKTTDEKKEEEEGKEGEEKEKIQIDPRSFLPDGFCPDEVDSDDDEGWITEDNVEDAHGMNVELNEKPKVACLTTDFALQNVLLSMGLELVSIAGKRVARIQTYVQRCRACYQICPDKAKEFCNKCGNKTLHKCAVSVDANGDQVVHVNWRRLAVTRGLRYSQAAPKGGKYVLPDKVFEDQRMPRLAPTRARTEKAYDQTFEIHDVTSRAAALGVRTLTNASRRTGNVNAKKPAGKRRGGKQCRHKETKTPHRGMMMRRGQWTVLQGLHLPQTTTRSALGHHRNPHRSELLELERKVGLFDMFGLLGGMLPQAMGLLNQMMGGEGAPGGGASMREQAAAGGAANAQPLGDPRAPHVAAGVDRVLEVLQQQQRLWEDMGDRMDQSVEERRRRAEAAGQHGNNDPLAAFLGQLGGGPAASGPFHAHPPQQQQQQQVLGPLQQGAGQPLPHQRAADAAAAPRPQQQQQQQEV >PPA11101 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:344061:344419:1 gene:PPA11101 transcript:PPA11101 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLKNDTGIPTAMTTVPLDVFFLVLSIAALVGIYVYKCSFENGRSRENEYSFRKRKRVLVLDEHLFISFEYSRGRWIEK >PPA11130 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:478045:486880:-1 gene:PPA11130 transcript:PPA11130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pes-7 MRRTLIDVNRAIRSGRKPDVEEKIRDAAHLILPSSYNDDIVSNYVDAVCEAREKKTKGGKIEGKKEEIKENGVKEDNGKDDDEVETDKSTEVEYFHHSFRLGGYWVETEERTYSSTRKDPEMDGALSKDDFVASISSANARYESALADEKRREEEEEAAKKIQENYRKYMKDRDQRELKSADAPSLRLVRKFVTYLQDKDYDRTDELEIEKSKARVTNLVVANQRLDRDLTDLDEKIGLLVRNRINLQDVITEKNKIRDEEAARLNREGSLRRKDRQQAETLEQMFYHLQTDPEYIVNLLEARNSAELDRTISSTVAPSFGFLTERREEFLMVRLLCEIVRRDLDEITKPDDLFSSRRTPLIASLLNDCIFDSINDRVCDVLVDEMKAFFATEKKENQAFNLDPIDVYVSMYGGVKPGDAQTALEDKKVAEVLEESKSFLAEWSERFSNAIIAKHHIPRSVKYVLLFTADELRKRFPSSALPDQQKAVAELAYEAWIRPSLTNSRHISTATGKETTDDGMAKMIAVTKFMQYAVANRGYGNAKWYLSSLNRQIHIINQAFKNFVMESISSVQYLGDLYEGLSEYTHYDPARRPVLALPGRTIVDVINSVKQNVAPMTVSPSAKPFRALIESLNAATVDDERTLVLYLHPMSSVNSYEDEEKAKEARNIQEEFVNAKRFIVDLLLSGCVGSSIPELLEYRPTPAVESTHDRLFNEQPKFENVSAKQTEIAKLLDSLESVRRVDSDNNYQDIVTDIANDIRLATARRKERTAQRKSLEESEGRLRRQRDELSERLNQYEVYLDTCLENLSKTTRRISILSTTEKAGKISKARTSADTRQLHKSTVEKLFRKGVLVDNKIAGSDNARRAAKWNVEFARSEKKGVFEVRVLDGKEPLGEYTVDFQDLLQAQYRSEKQYTVKNSLVFSINELIAHINKKFYGQ >PPA11054 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:149498:150593:-1 gene:PPA11054 transcript:PPA11054 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLVVLIFHSLRADDSKLLSVQMIIRHAERAPIAQFSSEAAAKFFPRGLGGISDVGIEHSAELGRQFRQRYRTMELIKSNTKEEEMYIRSSPVTRSLVSAASFSYTFLGRPANTSIPIIHTTRNEKEETVLTVADSYSFRCKVNAKYRIKDRACSMVRKMLSFLMDPRKYILFF >PPA11224 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:1142542:1148023:1 gene:PPA11224 transcript:PPA11224 gene_biotype:protein_coding transcript_biotype:protein_coding MFAHPILYEPPTGSCKPPTAAATAVIMEQEESATRTEPPCKGNDNAPIPVDPGTISILRGVVKEEYPDRPTTSANKFPIVYARATRTRGRRPRKSAAATAVIMEQQPIDLSKLTDNTDDAARRQLEQMDTTIDNVASRKNDPPLPAYCLKAAMGRGTKRPHRATSSFTFPKLVESKEPISKEPRKEPVKPVPSPSRFAVVRETARKPIRVNARVDTVQRVVVNTKPDDYMFSVPAVPRYAGGLKPLKTAAGRPPAFNYTLSVDRKVSKATAEQEKNIRLVRGKMMIQWNNPVPALIDSTSTDSLFKFFGLAGHRAPEEEEDIEEAVMGVASGWAYHCKQEEEGDVVQDLAAMHRRMPYAGDPRKVQANAAYVCDIQEMMQSDQPMADPAESIKSASGTIKSATGMSEESNASVDTVQRVVVNTKPDDYKGLATLVKSYSPASFMRKNDRLQEPQEVIQSEQLMADPAESVKSTSTDISKESEKAISTSTPAAPSMSFGSAHTRPHWMYWMRNDRLKLMAGTICPFCNFAVEKVALLCDHIFDCHPTHVDNTLNIYSCSTCKVYCNRIYKIYEHWQHTPVVPSLCAQIPRILSQHHVAPEKRI >PPA11145 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:571845:575683:-1 gene:PPA11145 transcript:PPA11145 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHSSVWRLTLSCEKKCRLWQCIFQPKWYVPDRDTGSRFSHEESYEEVDSILSNETTHIQLCHINAKKMTPGCFKDDIMHVIVKIVIKEQNEFSRACTVDMFSPSRFFNVALKMNDGTIYANKQFLAMHSEYFNALFFGVFKEANQDEIDMQGFDIRIFHSMMCLLARFEGGLITRYNVEDHLEMADCFNIKVITRDCEDFLMREERAIKLIDRLMIADQFRLDRLLDSSIAKLHVSDINTMNDSGDYDLLSLTTQSILAKRETALGMPPRRADSSIVVLP >PPA11114 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:403486:406754:-1 gene:PPA11114 transcript:PPA11114 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHEEEEYSEEEVDEEVAEVEAEEESKAEEVAPEEEAAPEVKPNRPAVVQKEIEPAEMTEAEAAMLAAKKRHEQEEEAKLLDYEQRRKLEKEREEEELRVLKERQARRKQEREEEEREWQEKRRQEEERRRQEEEERKAKSEEEKRRKNDEKMRRQQMMAVELPGGKNFXXXXQTFLAAVCRGVDVSGLLPNDLKEKIKQLHSRIVKLEADKYDLEKRQERQEYDLKELAERQRQSARNKAIKKGLDAEEAATSKHPPKITTASKFDRQTDRRGYGDRRTMFENPYVKPPPSIVHGTARPPPDWGRKENEELEQLRKNLEPPKYVEQVKAEGDAARPPVAPIPLQIPDKDFEDAPAAPAPEAPVAEEIAA >PPA11175 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:809621:810596:1 gene:PPA11175 transcript:PPA11175 gene_biotype:protein_coding transcript_biotype:protein_coding MSERTWQDKVASWSEEGFSAAEIVSKSRVLRLLKKKYVVKKAYTPSSIVDKQKIGPIFKFVFDSYLEDNQKEGSKAVEEINALFGEKLSPNIVKRIREAQGLGTDGVRYGHSVRMVNRPPRLAFCTHHLSVGTMFTHHVFTDESMVQAGKRGRFCYVLKGDTSSRVKPRYKHPPQLMVWGGISWEGATPLVLIRKGRNVDGPVYQSMLHSSSKFPFPLFHTLSGCHN >PPA11082 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:256350:256737:1 gene:PPA11082 transcript:PPA11082 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTNEKSTAEPAVSTRNLQFAPGEMDRVASLNMEIERLQTSKTPNAIVRTQELIEERARTINSALARQQNRNS >PPA11187 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:904571:908822:1 gene:PPA11187 transcript:PPA11187 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSPANLNVLFSSASHCFAFSLLDFAEIYAPKLEIASEELVTSLFDDFYLSGKNIMPDAYSKGKKTVFEQLVIAPLWQVFDAGQKEETGLVALHEMGKKLGVTVKSRRVGEAMDELLRGWLPLPRATFRLLARCVSASGAMEGRRLTMLCGGEGEKEGGGLREVVRSCDKDGPVVFFVAKLVQADGHRLALSRVFSGTLKEGATLFARSARSDKVQKVV >PPA11058 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:158815:161137:-1 gene:PPA11058 transcript:PPA11058 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSHKQPYVYFTDEDMGGQKMTKKYTKKQKMIGGGFLLGLLLIVIFVVVRSSSGTHGAVAEEPQVPSILRGVTQMQTAKTTALPTTPTTTVTPTLKTTQASTTTQTTIQPTTTVKTTVMATTTIKATTTSTPKPTVASTIETTTVSSTTSTATSFTSSATTTAIPTCSPGSNLVTIYEHNPPVGKHLSTIGNVSHEECQEHCQHVKGCELIAYRNSSCSMYEKGSETILEGFGFFKDTCVKSDRMCNASIHFETAEKKILVGFPEETLPAASIEECIALCLNSDEFEIPISCRSANFYPDDEECTLNGADRYTQPDSLLDDPYDNVVYIGNLCNGDHHENADEIRAEDAKDNSTEHLLEEEKTIHDPTHHELTNATTLPGNESTNASTECFVRVPQMALISESYFYVPEEVHTVDDCLQYCTTE >PPA11164 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:711650:715044:-1 gene:PPA11164 transcript:PPA11164 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNVSPPFTTALNGVAFTWALRLCDECVVAAPGENGATSLRQVFLMLYYKEGPAPEIFIENVKMTINDHTTGAELLSFPLTVESADLNKGMGCPMTLSPEEQNAFTTFIHTQIDKFLEIRCDFKLKSSLFKPLSYLPSVDSACRSQRIEKAVNQFIEDLSSGKIVIPVLEEEDTGEKFAAHRRAFMFGCDHVEHECLREGKAGDEDELLKHTLAHKYFNGVLLTGVHYFEDFVSLVEGVLEAKLPPLKRECERFICREIMARYQQFS >PPA11179 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:828725:829576:-1 gene:PPA11179 transcript:PPA11179 gene_biotype:protein_coding transcript_biotype:protein_coding MSIARRFQLVFLLKLPERERESLMRAFSTWNRGRWIASYCLGTTNRRATVWTLDTMWSRRLLVETNHSW >PPA11236 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1263358:1267098:1 gene:PPA11236 transcript:PPA11236 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDHPASVKTPKKSRESKKDDEGLSTKEARHKDAVPLDHSMSVKTPKKSRESKKDDEEISTKDSRHKGMSPLDHSHSAKTPKKSRDEKALSTRRAKTSKKDRGERKKEDDGQSTKEGRHKQAAPLDHSVSFKTPKKSRAEKKKDEEGLSTKEGRHKSPAALDHSHSSKTPKKSRVEHKKDDKGHSTRKAKTPKKTRAEKKDDEKHHEMKDAIPVVVKTPKKKEREEEKDQHKARNTRLLIFDLWVTMPNSVTPRKESEEERKEEEPKASASSSGDLEIRDQRSTGHPPPLIFDLSLVQVAAVVEVKNVEEVKKVEMADALPVEEKEKGKEEPKMEHKEDFKPEPSRSKEKTGSKEVAVEDTSDKEKRYRRKTTGVTEDKTPSKDSNEELKKDSKEEVKKEEKKDSKKELKKEKKDSKEELKNEEKKDSKEEVKREEKKDSKEELKKEEKKDSKEELKKEEKKNSKEELKKEDKKDSKEEVKKEEKSGGDDRKAGREEDEERKEGKPLEEKTMEEDEALKKEEESKRMKGDNSSLAVVPYEEWPVPGVVEWIDGVTGSNVSFSFVAHLLKFDFVRAVPPAIAKVQHYLERSKIKYLILDR >PPA11084 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:262751:268113:1 gene:PPA11084 transcript:PPA11084 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVHFKFAYRAKNHRFAPTLRDEEMLREIKDRVAKIVNGNSDYALCWIEKDHKLSSNLLHPLETTDDLREAVEHAGKATEKSASQPPCVHLSLETSMAPGQSASIAQSSSVSSTLSRRVTAQLAHAEHFKEFPKRDLTRNYGPRKSRIDIYKRSSPIPIPPRSPEIIESECIYEPRDYGIEDELRSEEEQSNFVKSGMYSVQILGFFMDGSVESHPLLLLFVLPPQLNHLLASLPMNAPIVCQNIATDQNHDQLVRDDVLYSDDPSFVVDIDAIDAPTSTASTTTETNITRPPRTIFYCNHFPPDRHSTPPPNRSLYEPLSNCFSTRDLLSEDEHSHKENRFGQKNALSESYVQAKEVLTRSLRFGHSAHLPSPPASPSPQRWRHDNPYTDSLRNIYSSLHSPRRDDSDPFTDSLRSRYSSLRSSRRDDSDVSLFTNRFRMLNVPESSTAETDEGIGELGEEFRPRWLLLQNGGNDCFLNAALQYMRRARCLGKALGEREQPEEMDEKRERKERVLNMLTCLMEKEGRVHPRKFRQALPSVISGLERGFLYTQQDAYEILTQIFDDVIPESIAKKFFIESSTRRRCRDSRECKGNERSQAGAIHYQHIERRNQIVDLEQLLSFEWTAVEGQTEPRHCSDCCLCCERNRENKKHDEDKCRACKLYKRDYVEEQRFSFKGDSSYALVAFNILHPGERMDWALSQNCNMDGMKMMDHHWRAVSIIKHSGSAYMNYSRGHYVTYTRQDDEKWYLHDDDDVPSTIGERYRTASSPPYAPGITDMQGVLAILFEKIA >PPA11108 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:383451:387366:1 gene:PPA11108 transcript:PPA11108 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPKVISTKLLARYLQFWFSGNAQFLFVGLAGITPFWCLIIFAPSVRRSVFSLSSDKSTVPHPLLSLNSSYNIHFQH >PPA11226 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1156721:1168018:-1 gene:PPA11226 transcript:PPA11226 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEMETYPFGRDTQPLHDDGKAADMNEEKVQTGFGKVKVTIYGDRKRHPLVTFHDLGLDSESNFQNFFQYVSVAEFTEKFCIYNINAPGQEVDAAPLPENYVYPSMDGLAKIVENVVDHFELKHFIGFGVGMGANVMMRYALNNEHRLDALVLVNCVHTKAGWIEWGYQKMNMSYLHKTGMTSFTVDYLMWHHFGRRIDECAADIVRQYRVFFQHLPNPSNLAALIQSYVARTPITFSRDESDGPKLKVPVLQIVGAGSAFVNDTVEVNARLDPSKADWIKVSDSCGLVLDDRPAAVTEALMLFLQGLGYFPTMNVVKLIKKIQDTQIGSYAADVANVEDCVHELWAAPKENWLDHFVNTNRFKKLLNESPKSDAHREELRNLVIQFAEQAVQVEAETDTLIKKQFGQDDISFHQRKASCLWLCCLAAFAGIDWHFESLMEKTTDVILVNAIFDRIDAWKETMCNPSSIPFTSFLLSRWFLFVHNYFRIPPPEAKQTVSNPVNQLDVALQRFDHARAIGLRLRGRFDDSLRILEELATLATNEQKKLFVPRPDCFLDPFLQYGGQSLSIGIVGPNVLVPEDTLRPRVPSGDARDTQGLSTEMVVAKINFELMSAYFCAGKMTNALGCLKQVLKFSPVRAFPPSQLPSIIRFCERKLNGYAAAFRIPLPFPTATPSTSQAEVLGTITSTDACPRRVPQRKYGARRDRRAEQARPMDQPVSSIRLDPLAMCDDMWMGGPTVGWRAKMEKEGEEKRGKVVEIGGRRAKMEKEGEEKRARVVEGKYEEGKPKAIEMLRYLCSPPVHTTLSPAGRAIVRGIARFMIATGTGSQPLVDSLALPAPTVSLAGVRKAQPNMPPSEASIRSMAASDKPYWTLLTSFDAAELQAAYNLEGTQYTRPTMLSRWPESLADLLLQRRPVDELHALLLGKLQQLTEMGDGERWESCLNTYMGFFPTTQPLLEIAIFEATRVQMLCFNKQLLSPHSDLKQASVNVTRSVAMKLKKVSQVASCVAPVRWLFEY >PPA11125 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:447170:449034:-1 gene:PPA11125 transcript:PPA11125 gene_biotype:protein_coding transcript_biotype:protein_coding MQNYSQIMKFLVEQEENSSAALIVAFLALAANAAIGEDFLRRVTVPTGPTNNPYAHVTVPTGPTNNPYAHVTVPTGPTKNPYAHVTVPTGPTKNPYAHVTVPTGPTKNPYAHVTVPTGPTKNPYAHVTVPTGPTKNPYAHVTVPTGPTKNPYAHVTVPTGPTKNPYAHVTVPTGPTKNPYAHVTVPTGPTKNPYAHVTVPTGPTKNPYAHVTVPTGPTKNPYAHVTVPTGPTKNPYAHVTVPTGPTKNPYAHVTVPTGPTKNPYAHVTVPTGPTKNPYAHVTVPTGPTKNPYAHVTVPTGPTKRPYRLA >PPA11194 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:951950:952571:1 gene:PPA11194 transcript:PPA11194 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCIAVGMQSNAIQSERDILGKRKKPEDADAEDEIMQSLLNSERLVQQLRTSVIKSTEQATYEITRAKIEPSDERKATVS >PPA11177 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:814947:816601:1 gene:PPA11177 transcript:PPA11177 gene_biotype:protein_coding transcript_biotype:protein_coding MSIARRFQLVFLLKLPERERESPRLIANVQRIADARVLNVESWAVDRQLLPRHYAGRPCGLLTRCGRVCSTRPTTGKEATHAGKAPFKNCFCIDSLLSASYGSGAGPSHFHAFLLTDSRRASSGDEVLLMNLPPISTALALHTLWNMQFYLEYDAWNDETTEKMSALFVRRCIRAVKRHCVGSEYNDQVAGCVLFGLQSKLTSRTVPLLIFDAIVMDFLFESLANESKEIYE >PPA11095 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:306707:311091:1 gene:PPA11095 transcript:PPA11095 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEIIDMICHGVTLEDIANLQESNPELDRAIDFVFFPRFTKMRIMMDANGSTLTVSPRSAHSLLGKSSFSIPFDSPKSQQIIRACTAVDSITISVSDHAHHFRILEAINRSGIAVTKLVVNFYTPKDCAECKVITTRDKGCRGLMNRAHRKITTFVREQSKTLTKLKVSTSEEDSISVELRPADGASTSSESRVIYSHAADSALNQSLINPIFTAFNLGKASGPGSVHLTVDWRSRLDLALQGAFMVALPIGHRGGVNKMRIGFSSKVSSLSHDEIHSCLEAFSDQLSETRRLKELICDFKSSQGRNREISEPSITVYVYPGIRRTEPESPQSRQLLLFFQIDPHLLDKTVSVLSKQLVFAGTESHRIRMLFDAPIIEEPNRIKQEWAALPKLCTLWGQEELHLLGRYDPVARKLVDDLFEQYFSNGKKIRPDEAEKRMRERNDILPAQRMTFDLIRNRITTLLSQKKEHQRKEHGNRQRRYVKLIDDFERDLAEEEISLDDIEEEVDLERPLDEDDLIITSDEIYDLVHSNMEFFDNPSEPVFSDFGEFEQ >PPA11089 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:285514:286258:-1 gene:PPA11089 transcript:PPA11089 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHCKDDCEKLKVSRVESDEHTHVMKCEDGHWIDINGALLFNRHLQCHEDANKWHIHDDNAQNNLKEGDNVKCHAIAPIIIWSVVLCLLVIAFVVAAVLMSCRYCDCCLWHKSVSKGKQTDVPLLENMKTAEEIGASLKEEARFLLAHYTR >PPA11078 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:240610:245650:1 gene:PPA11078 transcript:PPA11078 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASVRVKQASIYADDAERIIDVLSKKPRPGALACASSFCLQNDMSKGKMRIRRKRGWETATCSECKKGFHASCCLLLTDELYDDDSMDCPRCKKWTITDYKKKVEALSEKLNEARDRELTTLTSVRSTNDSQLSILRGHGSRYEKIKAFLASKGVSRSNWFQSYTGREVREAILWTKDDELRYGSSKTPDHQSPMRRLSVCDQKDVEEEKGIEVKLSLPDRSTNISTDVPSSPTRRQSLKHRVASPPLSLILPISPSNHDNELAHNGKTHRFARGAQGDQLFQAIKDQVASIVKVDAFKLCWNDGESTILLESENDFSDMHEYAKELGKISTKPPCILLTIGVNDSADALPTAETALKAQQEEEKESKELTHEDDEESEDTKDDEEESSGEEEDAASETADAAASPVAPAAPPPNDNIPTLESLLDIADEKHRAAMEKLRALADGMKTDAEKRLNVQSLNVHHRKKMEKANM >PPA11181 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:844229:847523:1 gene:PPA11181 transcript:PPA11181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-zig-1 MTKQHDIVNLLFAPRHNTTSWLDAENREQFRGIVHTEHPEEWKQDDPTKPNFTLGDVVLTEGGSFNLTCPIFSHPLPKINWKKGNTLIELSSRISVIGDRLTITDVNFDDAGEYTCEALNQYTVDKTRTMRPRLSVTRKVNIKSELSRYLTQRTRNLTYRTR >PPA11033 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:11571:14399:-1 gene:PPA11033 transcript:PPA11033 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAYDEKGERCWTTHGVFDNAQEMADLAKKCKDLSAHYLPIEFDGNMTIEEKIPHMEAWWRQSHDAIVEKGVQREVLEKLVLNSNIRLRDAADSLMAHLDAARVPLVMFSAGIGDIISIFLAQRLGAVPSNMHIISNMMTYDDKKVVSGFREPLIHTFNKNGKVISKEEVNFFHEMRKRDNVILMGDSMGDLHMDVGVENHSEADTLKIAFLNQKIHLLDFYTANYDVVIVDDQSMTVPRTIMDAITATRK >PPA11064 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:177210:182317:1 gene:PPA11064 transcript:PPA11064 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVLLIFVLIANCLGWVTVKISVSGVVRCSKAFEYSVNMWDEDSSHHDLIGAIQHVKPSGPAEKAQQAGRFDIVGVASEQWPGDNEIEPRMTVEHTCGTEYACVCKAFPGTGVEFTTTVDFDLENLPSGLVYCDVCAYAKKRRERAGKHHRLVSESLLSKGKPIKEEEIDFENERPIISEMDFRRNGSVEADAMIDNMFQCLEELDEDENEENTSEMFPSATNIEVRTTANEEHLENDSSSSIPEQNTLPLFHSQEVAIKTEPVEEERSEATEWPVSEEMPRQAEKEIKMEVTEEVEQEGPSTTASFNGNQIVVSVEWQLMNAHNETVETEEGIEEETRGEVIDVAKSDVETAIDVESVPEPSVPSPPKVVRRSSRVVSREVEETEEQGADSDFWIGKTSSNKCPRCDEKVGKCGATRKAHYKKYHYDVFFAVAPKMNDVERWISCRIGASGEDTAKDARVCLSCNNNQLYRGRIALLKHIKQCHRTDFPKLKESYRLYSRTRRPEDLAVQRMLN >PPA11199 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:964761:967188:1 gene:PPA11199 transcript:PPA11199 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSNSSPRYRILSSTKSAALKRRSIVCNGIRRLEPLVKYARRVSFWKGSQIKDQRPEIKDHLEWEEGILKDVIPLEHLHQTTGLRHVTSISLNWIRVTVLVYGNRPRHAAGDPPGASSYRPTSETPLAAHSSKEKKEGDGQFWFPLRREFGFVIGDAESSRTSLKWNLRNPGKRRAIPLYHFGMNYSIKQLRMMNKDKPVTSVLGAAIRVNRVENPTDLEVDLLHEKYCNALVDLFEKNKALYNFPDDQDINFY >PPA11132 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:501058:502260:-1 gene:PPA11132 transcript:PPA11132 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQPKPLQSSYKNADADFFLLREDLAAVVAEFSTLSETERLFLELGVALDKDDRDVFIDLLTKLTDGKEFRKENMDFYVAELKQRPLVHWLIEMKLVLNFGVDVMLE >PPA11222 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:1135702:1138480:1 gene:PPA11222 transcript:PPA11222 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIANLEDLSFVFAPRREEEKGAMTKFVFRSEQSSGEILSKSGRFRVGAVAAAAVGGEMRVVEQSRGVAMEEGEREGAITIDIRVHKVTTEELSRSHRFFYSPNHQFLLTCGRRSFEVEGMALVPNTSFIARPSPEITSLHSRACLALFYGDSSVLSEDESDEEPIRISAISPALLQFALSLVAFGSRHRLAIPNSAHSMALVRFLDRLSFSKANTFLIINRDDCMAADRFKTAVLNRILTHDVDVREDSLEFIDYMGDDGVRKKFFGRFVFLECLSGIARRYAGKISMSNLVQLDQRRVYLYSVVYRRPKIRFVFPEGQMVFALFADFHPTAINSLLSKILVRASYRTHPVASRPTQRMFPLIDLSSPLSSNPSSQCRVAAFTHAFWLSYSSTSDKVHKVFMCRGMPDNGLVLGSLMTGAELIPLVVKNNSKVTYVSLL >PPA11156 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:649466:653970:1 gene:PPA11156 transcript:PPA11156 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGLFEVPEWGCQEGTSTNTCTIDGFLATLLCAKWKDPFFLGRLLGDDLFTTVCRQFAGGKGKLSERKKAFIEALYPTADDGEYDLWGDEQSMIHERAKRQSEIVVTATCVDCYQELIETRDAFIVRPFEGESLVDAIHATIAAPVRDFCDKELGYCTEKKEVTLRVNETTWMIPIDLSQCPAHIVNPVHIAKLPPLITVGGVVFQLGGVTVYINYKDRADRKKSGGHYSCVMWHEMKWLYYDGMAAPKLQTIRKLSDINRLKENDQYLGI >PPA11109 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:392762:394378:1 gene:PPA11109 transcript:PPA11109 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGRYASIGALHQLSPIIYGVWASNVEDEFNKVRSLIKDYPYVAMDTELPKPLGQFKSKEDFNYQQVSCNVNMLKLIQVGFALVSDKGELPPSGDVWQLNFMFSLGEDMSVDMLQHAGIDFDRLQTDGISTDVFGELLTTSGSA >PPA11245 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1314259:1316633:-1 gene:PPA11245 transcript:PPA11245 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSTLYDDLKNCFEEMKNPDNVEETLLKMSRMDVTSEVLEATNASRLIMDYFYQSTCGDLARRLAMDWLRIRTLKVPELRLKRKSKMGDSIAHKKIQNSVDEKNISSVTAIKENNEKKLNQIPVKERIPKPTVEVAKSVPLPSAPSTSFLTRLTTTPAEEYRPDDDPAMRIAHFQKEAEKYAAKSRQASPPLDVSTSSTSYATPSTEKTLEQRLAKEIEKFEATKRTTKPAPAIAASNASGVDRKKTADETRFAEVLQKAAKKKAEKDATQRKTVVIPEHDYTAKSRRSKKEFK >PPA11099 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:338819:339199:1 gene:PPA11099 transcript:PPA11099 gene_biotype:protein_coding transcript_biotype:protein_coding MATWYGIVLVVALCLLIIGLVVLLCFRQCFRRKRNDDVVESEEGRSRFVFTIPMTGLPRAIKQIPSCLAS >PPA11127 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:455481:461646:1 gene:PPA11127 transcript:PPA11127 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVLLLAIAVVVYHYGINRILGLPPGPPPLPLIGNMLSFRWDLDNVLLDWKARYGRVFTVWLPAPMVVIGDHQLLQEHVVKNGDVYLAKKNPEQFMDIMSGGLYGLVFEDNDMVKEQRKFAMKSLHEVGFGSAALEDTVHNNALETVSRWKKSGEEVVDVTENIMKSIGNVVWNVTFGITLDFDNEIVPTYRRLQQDSIPLMAGPFMMFIEMFPFLRNLEFLFGNPIKKLKVMLDKSNGMTVDAIRTTEKSFNPDNQPSSYVEAFLREMKKNEEAGKPMGNFHFDQLRNAAATIWGAGFDTTVGLLRMCCLELINHSDVQMKLQKEIDEVIGERRVVNDDQKQLPYTCAFLQEIRPEKISMYDFALGTFKRVLEEVQQGVGIVLPESFKDNGKKHRPDEAEKRMRERKDILPAQIMTFDQIRNRITTLLSQKKEHQRKVHGNRQRRYVKLIDDFERDLAEEGISLDDIEEEVDLERPLDEDDLIITSGEIYDLVHSNMEFFDNPSEPVFSDFGEFEHLLKATFPFFKNPDSSILKQVMIKKIYRLGNVLPINFLRKTTQDTEIEGYRIASGTTVLPQFSMVHADPNEFERPDYFCPDRHIDDAGAFIKDPRITPFSIGKRACLGETLARMEIFVMFATFVQNCHFTPVGKVPPAVEFNYGFTRSVNHFDVKIEPRN >PPA11072 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:210415:213927:1 gene:PPA11072 transcript:PPA11072 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPDTQCPDNWDDMYEKYGFEDDGKSETRMIQVIKTMARVPIPEDCKSIALRDLDALISENSNDLTRPFLSEEQRVCADGGAKWLMYKYVGMLGGGGDDYNEERAVRTVGVLIDTMLRNMEKAAQCGKDCKDLERFRVYCTHDTNVLAIAQLFDTVYLFRNQTPAFSSAFVLELRRGSKGLYVNMVMKNGQDVGFEYAEKCKNRACSLEAILKEKRRFATPSFVGCSTDAKDVNDDEDEEGEEMDYTLPACIGVFDSFRER >PPA11219 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:1128873:1130225:1 gene:PPA11219 transcript:PPA11219 gene_biotype:protein_coding transcript_biotype:protein_coding MAISQRQLYSATVSFKTTLALKNKTIENQKKELNDTTTRIHALETSMKEFQKELDDIRQRGSEFRPRLHSTPSMNDSLVIRAVFTGVSKIKDQAVFSKTTVSTHCKWAIQIRKNETHLELYLVGSVLDEYTSFNRRAYVKFRLLSHEDCSVLDEYCFSKMQEFSTAHPSWGKNNFITWEVRDL >PPA11203 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:997177:1012632:-1 gene:PPA11203 transcript:PPA11203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dhc-1 MGRILVGLCQVGAWGCFDEFNRLEERMLSAVSQQIQTIQEAVRGGGEMQVDLVGKRLNVNANIGIFITMNPGYSGRSNLPDNLKALFRSLAMTQPDRQLIAQVMLFSQGFRSAETLSNKIVPLFILCKEQLSSQCHYDFGLRALKYVLVSAGNIKRERLARDGDSSLDDVDEQKMLIQSVCETLVPKLVSEDIVLLHSLLNDVFPAVQYTPNDMTESITNLNHGLMLVGASGSGKTTAWKVLLKALEKWEKVDGVAHVIDAKAMSKDDLYGVMDPNTREWTDGLFTSIIRRIIDNVRGETSRRQWVIFDGDVDPEWVENLNSVLDDNKLLTLPNGERLAIPPNVRIIFEVADLKYATLATVSRCGMVWFSEEVVTTEMLLTGYLNRLRCLSVENEALTALDATPSRAMAIQRMAASALSQHLSIDGLVALTLEYALSRIEHIMTATSHRLLSSFFAMMNYSIRTIIDHDSSQIDFPLPAEQIETFVCRSMLCNLVWAFSGDTKWKGRQEMSDYVRRTTTLQLPPNEQVPIVDYQVALSGDWQPWLSKVPQIEVESHRVAASDLVVPTVDTVRHEMLLSAWLSEHKPLVLCGPPGSGKTMTLLAALRSQQDMDVVNVNFSSSTTPELLMQTLDHYCEYRRTPNGVVLAPVQLSRWLVVFCDEINLPSPDKYGTQRVISFLRQLVQMNGFYRTSDHSWVSLERVQFVGACNPPTDAGRHPMTLRFLRHVPIVYVDYPAQQSLIQIYGTFNRAMLKMQPGVRSLAEALTNAMVDVYHQSQEHLTSDIQPHYVYSPRELTRWVRGIAEAIAPLDSITPAELVRLWAHEALRLFQDRLVLEEERKWTDELIDGTAENTIWQLDHWQHECHCDIEEHEEAILLGDFIAAVTILEFPRLGRDYVIPINSLTVDASRYFNSSCDLSETLRRPILYSCWLSKHYLPVSRDQLKEYVSARLKGFYEEELDVQLVLFDQMLDHVLRIDRIYRQPQGHLLLIGTSGSGKTTLSRFVAWLNGLSVVQLKVHSKYTAADFDEDMRSVLRRAGCKNEKICFIMDESNMLETGFLERLNTLLANGEVPGLFEGDEHTTLMSQIKEGAQRQGLMLDSHDELYKWFTMQNQLDGDDYGVMVMRNLHVVFTMNPSESGLRDRAATSPALFNRCVLNWAGDWSDNTLYQIGWSITASLLKVGCELTQMLDMECSGYEPPLGLPAACELLPSPPTYREAVINTLVHAHKVVKKLNEQENKRGRRTTATTPRHFLDLIKHYTKLLHEKRRELEEEKVHLNIGLNKISETEEQVKELQKSLTLKSKELEEKKSAANAKLKEMLADQQKAEEEKRLSEQLQKELAEQLEQIGIKKAEVQKDLSKVEPAVEEAQTAVKGIKKATLVEVRSMASPPAGVKLALEAICLLLGENVGSDWKAIRGVMVKEDFMPRILSFDTDSLTPELIKQNGGS >PPA11150 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:614640:619756:1 gene:PPA11150 transcript:PPA11150 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVQRRSGSTPDSASLLPPPPSPSPSSPLPLNNMGVLYRLGKLFSLFFLMIYDCFCAFFSNIAPIGYCKYKDITGQIVLITGAANGLGRLVAIRLATKGATLVLWDRDEKGLATTKEECEKSEGCKVKVYTVDMLNRQSIAQAADTVKREIGPVNLLINNAGIGIGGKLMEVAEDDIRKTIDLNMMSHFWMAREFLPEMLARDAGHIATVASMGGIFVSAQDMIPYCASKFGAMAIQEGLENEAASMGKHGVRFTTVCPAYFQSTLLDNLTTKLSMSVMTPEYVADATVDAILREMRIVMIPRTMYLMYAIKGSLSNLHNPIAYRSLGGVHDVPVGLGELLEGVHSIAYRSEGGGSGEEGGEHRGAGGEFVSRRPAQHHSCREYGKKEDTKISTRSSYAVYLPYADDSFCMRARGLMTSERQK >PPA11037 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:50097:51819:-1 gene:PPA11037 transcript:PPA11037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3EMP5] MLELKAPIKVVGDQHGQFEDMRAMFHIHGAPSSDNKYLFLGDYVDRGPFGLEVITLLFCYKILHPSSVYLLRGNHESRPVNMTYGFYKECKLRFSKELFEAYNYAFYCMPFCAKVGSGILCMHGGISEDLTNFAQLRRIERPCDIPDLGICADLTWGDPDAKVDKYESNLRSLEESPRGASRVFGYNALQEFCTKLQIGLIIRAHQMRHFMSHALVPVPLSCDPLQVATEGYHIFEKPGMDISIFSAPRYCGTYQNYAGVVSISKDDVTYLIQI >PPA11124 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:441241:445151:1 gene:PPA11124 transcript:PPA11124 gene_biotype:protein_coding transcript_biotype:protein_coding MLIILILISVAVAYYYGINKLLGLPPGPPPLPFVGNMRSFQWDMDTVFLKWKAQYGRIFTVWLPYPMIVIGDYKVLQEHVVKNGDVFIAKKNPEQMMDIIAGGQYGLVFEANDMVKIREIHFAQEQRKFAAKSLHEVGFGSAAVEDFVYHYAQEIVARWKNSGGEPVDVSENIMRAVGSVIWKITFGITLDFDHPNTMEFRKLRQALIPLLANRFMMFIELFPFIRKFDFLFGGPTRKLKEAVEREHAMLEEAIAITKQSFNPDNEPSCYIESFFAEQKRRKEAGIPEGNFHHDQMLNSAATLWAAGFDTAVATLRMCCLQLINHPEAQRRIQREIDDVVGDRRIRYEDNKQLHYLNAFMQEVARHFNVLPIMFRRQTTQNTVIEGHPIHRGTTILPQFSMVHYDPAEFERPNFFCPDRHLDADGHFVKDPRITPFSVGKRACLGEALARMEMFVLLAIFVQHCDFAAVGKVPPAIEYTNGFNRSPKEFKVRISARQRCHH >PPA11141 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:550521:554624:1 gene:PPA11141 transcript:PPA11141 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-inx-17 MDYLVALLQTRGHSLDVDTIDRVRYLHFPRVLSALAVFLFTRSYVGEPVQCWLPRQFTPFWEQYVETYCLIEGSYYVNVNDTNIPGAEGKRKIIYYQWIPFIVLALAAALYAPRVIWRLYQKKSGLDVSSVATDLKKRAKQLADTKIHLDSSRFSSNLAAVIVFHKILSLVIIVLLLFMLEHFFGVGWALEQTTNLFRGREWHESAQFPRVTFCDAEVREMGGQVHTWTVQCVLMILFNEIAKRYYLAIKPSEFECSVNMFNEKIFFFIWWSLIVIFVLTALNILDWIFFMLNRSEQKRVIMALIEKNTKTSKSMEDAFVTYVMRRSGASFVRLLSFSVSHFEMEEVVRPLWEDYLTAREKKTDGDDKPYIDDDDL >PPA11197 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:959497:961885:1 gene:PPA11197 transcript:PPA11197 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPRGDKTLQQEVHGDNLNDFVACLSAGGRLKQHAEHDAVRKPERQINKFTRYPDKNRFFNAVLFSQGSIDLQPEIKEDCSYINASRLPCPGGALIMAQAPMKETILEFYRLIWQQQINTIVCLVNLEDREQCYPYFERKAGKKITCRKRFRVRTVAVRTEGKHIIHYELKIENYLEKGNITSRVLNVISILGWEPDSQFDVKVIVSAIHSADALKRIVPAADNGKCNLRQATFPNRYTTQPVPMLIHGCSGIRRTGVFALAYLFSKQILGKRQINLLGMIESVRMVRYGVLRQKNMFYLLLECIVSLITETGLVKPGSDAHVHAVQVVKQCYKNSSGKSAKRKVKGAGKGTAEKLDTSQKSTKKNKNKQADDDDEDNEDGTSTRNSTNTKASKETTGKDSGKSGKSIKKKKEKK >PPA11151 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:621470:623222:1 gene:PPA11151 transcript:PPA11151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-uev-1 MFVRVDLPYNLSLDSSLCYRVDVPRNFRLLEELEDGQKGKGDGNISWGLEDDSDMTLTRWTGTIIGPPRTPFDSRIYNLAIECGPNYPREPPTVRFTTKIHMNGINQANGVIDKRILSTLRSWSATYLIKTVLEDIRKNMMTAKENMKLPQPPEGTMF >PPA11211 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1074266:1077214:-1 gene:PPA11211 transcript:PPA11211 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAIELMNIVNRAVKAGESGTGLLESINTLCSHLRTFGQQIELTNKGALDTVFVSLRQAACRDAGQLGKAARLRLMQLIELRAMKWRPNLSHSSYYSKHIDTTSIPSSSTSPVPPVHQPMPHQTEHTLSNRDILSSILQQPLMSPMLPMVSPLLPTPVHLRSPQLLPHTDLPIPIPSPTFYLLPALANMGIFPFLGPHPMLERLPKKPAKPVLREEITIRNADSGKLMGVKGRRVALVEQISGSVISFQKVTDLKSKARTLTITASDQESIEKAKSLIEDTIRRNASPVTEDRDPIQDTTYSREQLMALRDESQFFESKAEIAIIAPELLIYHKI >PPA11196 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:957769:958945:1 gene:PPA11196 transcript:PPA11196 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVSKAKEDRGYKLVALKQMTATKEHLEEHYGDLKDKPLFPALISYMVSSAVVAMGLDVVKQGRIMLGASNPLASAPGCIRGDFSIQTGRNICHGSDSFD >PPA11161 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:696077:696925:-1 gene:PPA11161 transcript:PPA11161 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEERMGNLDKLRPNMADVRDCRRGSVDRRGSIDTLKDHCEMAPDHEHLFKKLLIACCDGCERRRASISDRLEEDDGEDGDNDDSDDEPESFECTEQDKEHVHDQK >PPA11061 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:167022:168046:1 gene:PPA11061 transcript:PPA11061 gene_biotype:protein_coding transcript_biotype:protein_coding MVMARSLVFCALFALATAAVAASAADNKQSAAAAKQLIPQLPQNRNLFCFNCYEMIDIIEQTWLIDEPATKTRLDNWCDAVYGHLGDMAAQCKKWIDDDLENIVDKLNNGWSAEAICKDLHLGAVKPKIRAP >PPA11165 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:754994:768319:1 gene:PPA11165 transcript:PPA11165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dcp-66 MNGLDLSTKSSSSGNNGAAPAAPAAPVMNAGPMTLTLNTNGGGSNGSTDGGTPTPGTPLNGSSPDKAMLEAGQISPSSLRRSTRASAIKAQQKIKDGDVPQSMEADNSVESEDDSMAGDDRVEKKDGPDGESAAKKRKLENGKALDQFSHEFSIKLEDDGGVYMLDEGSELSSLNETEIETLKKCYDKLLARELTTEQKEERTRMIKQAEADLRNEEAKLTMLKKMRASQIPKHNPVSDLQRKLAANVAANSAGAAYKPPVAGTAAAVAAAAKTSAMAGQMAALQQMLAGKGGAQAQAQLMALLTSNASALMPNMTPQQKEMLNRLGQTGTAQLLAAYALQQQQRGGQQMTKEQQQMLAAAIMQQQQQQSKMQQQQPAAAAASTSSSNAAASSAVSAAAAAAAAAATAATNSGTSTPSASTAAASSANAAATAAVTNSGATTAQKLAAARHAFRKTADGQLITQTAMPKACPNELFFIPNPNAPDFLYMLGLDQVVGRVLKDKNAIRSCSERPYECEECGSDFATGWKCIGTKEEDLHLYCEGCLRQAQKKKLRHDRNSQLKKIYSKVAMQEKEFEKQISDGKLDGMLATVFAQLQAQNPAAVAAASAARPTAAASPAVQNLSTKPATPSVPSPAMQKPATPLQSTPSGSKTSSSSAAASSSTSSGTKRPATSASNNSQQLQNQLAQLAQLARSNPMMAAAMMSGGLMGGQAQAQAQAAAAAAAMAQSYGPMLQQMQQMQQLQQRMQQQQQQASNSNANAAKASSSSSSAAAAAGASNSNNNSVAAAQASMAMMLQQAMQNARSGAGGAASQNAANANAMATMAQLAALSQAGALSGAQGAFSNPTQLLRQMQQLAAAQMQKKK >PPA11045 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:115409:117566:-1 gene:PPA11045 transcript:PPA11045 gene_biotype:protein_coding transcript_biotype:protein_coding MECKKILDDETKERLDAFFTTAFAALEQCVAEHKSRQQDNEGSPDVSSIDENHSTDEPSHEEIDSFTTDDNVVETPKQCVQSPQAEQSFSSTIVSDVFPAQRSPSPQADQISAKWKSLPLSQQKPYFDEEKRRKSELVKDLPNASAHKSEKRKSSVRKNREKEGSYEL >PPA11137 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:530816:536966:-1 gene:PPA11137 transcript:PPA11137 gene_biotype:protein_coding transcript_biotype:protein_coding MARAKKSSNVTKEEGIDKKSKGVPKKRSAKDENSSNEALEHSSGVNPIATVVKKQAKSKGSKSKKRKQVEQSKEEEVDAEPLSCEKRVTIGKDDFISQLPADFGQPLVIYKAKNTLHCDKMNVHELLKKSEILARDALDALATVSQTFNASATSVRSRAVKRRCYNFSVSQFSSIQVNASVVTIDPQNEDHLLAYSMCINTCFGRGSKQLPKRFAQPESLPKNSRNFVCFDSNFIDIFKNNRSEHMASIKFESCIFDKELGAEEKRRQANHIYYILFVNEIIAAKPRTYPVLVRLLDDQQRAVDELFRTQSDAASSLAQTSSNYNRPMRLQQLQQQQKRELQQLQYRHTERLDDFHPRNREALLRQATVEELEAMRRRTDFLKILKKEQRERFVAQNRQQAAAFQQANEDVRRRIEERSAEIRPISTSRLSTTNAPARRSEGARATERDRQGAEHRNRSSWMRRTDPELVPFGPINVDLWIESQMTVSGRDVPFPTMPSPHTRTTRDSFAVPFPSLPRPSLMFFPIAPSPPLSYERPSTSPTRVPLMPTSMPPRRLMPQWDPHATREPTIREPFGLFPPFSGAPESSHITDRDVPSTSFSLVRDFIRQEVAGERRVPFFNDPEYENFSLRNPRDSLELLFGWDEGLSGLDLSPPHPIPSAVQIDFPPAQLASFAPPGVPESLHVSHSEEPVGESLEAVFRREEAEAERSRLFPAHPRVSPRATREPDPRQVRQREPPARAAQPVEQRDADEFVLEDSFGSPPGSPPPADVLADPDPVPVVRAPTPIFYASSAADAIRVPTPIPHAPIAAAATLLAERERVIRELREENNG >PPA11207 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1056267:1060645:1 gene:PPA11207 transcript:PPA11207 gene_biotype:protein_coding transcript_biotype:protein_coding MNHDQENSDETLLLLSDCYFRTGRLEELFHLLSANPLTHPRIRYIFAACCFELKKHDECVRTLSDTTPNARNYLHPVLAQSSSAPFAYTLLSKLYCKESRTDEALKVAEKAARLNCLHWSSVQEYLYLGGQDPSEVFHPALKQFAPHVTDENQDTGERINLDLLNDEFDDPTATMVEFEDIDDENEEEDDLSPTHSTPVIAAPARTVDAPRKTTRKAVAADTAALSAERRTSLRSTTRSQRIDENETTSTTKRQTIARAAKERTMSTSKSRGTDSKSPKRKAEPKPLGSRNSNSLRSTSSTRPPRVAKVRSTPVATRASSSTTASASKRPESTGGSTPTTGGMVVGKGSILNILNRLIHRIVRHFSYVT >PPA11077 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:238704:240160:1 gene:PPA11077 transcript:PPA11077 gene_biotype:protein_coding transcript_biotype:protein_coding MIGELERPRMNSNSSSQSNYRRSDGSGERRGSRGVLEARPRINSNSSSQGNYRRRDGSGERRGSRGVLEARPRINSNSSSQGNYRRRDGSGERRGSRGVLEARPRINSNSSSQGNYRRRDGSGERRGSRGEPRGSRADEQTTAAAGDSGDVPVRIPAMVLF >PPA11043 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:97923:112886:1 gene:PPA11043 transcript:PPA11043 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQRRQRRVRRARKCGQGPSPSASNVAVGPLSPTTTTNDQRNVSGPIWTVTRRERTELSPVSAEMRERLGSGGDPSSPTSSTPYHQRTVTTTERVHIVQMPVPVSSLARSGEEAALLLSTLAAGSSTTNAAQSVSSTTTTFTQEPMPVIGGLTAAGVPLFNGIFIAPDSRKTSTIITTTTTTYHVVEVTDSSSCSSGDEFECSNPSQTLVMFQMVDRPLSHSPSPDEEHTLTVDIPLLRPSSRGDVISPAPSSPLYVVTARRDTSPTLAHPVHASLNIDLEILPMPTSPSPDQELSSSPELLDYPKDTDLSTMIASTSTTPPEHRSLYADDFDQTPSTMMKSSSDLDPMPLSDYVNVYHAGTSYDDTYPDVMTAPGKHYPVSSPFEGELESTQRTSDLPDEPLTSHVSVYHPGRSDEEPVPSVSDAAHDEQPEKSGKKKLDIGEKAKEIGDKIGGLFKSKDKHADYPISEPFEGPYDDTFRSSELQDEPLTTHVAVYHSGRSDEPTVAHPKDVEVAPAVEKVKKATDYPTSSPFEGELESTQRTSDLPDEPLTSHVSVYHPGRSDEEPVPSVSDAAHDEQPEKSGKKKLDIGEKAKEIGDKIGGLFKSKDKHADYPISEPFEGPYDDTFRSSELQDEPLTTHVAVYHSGRSDEPTVAHPKDVEVAPAVEKVKKATDYPTSSPFEGELESTQRTSDLPDEPLTSHVSVYHPGRSDEEPVPSVSDAAHDEQPEKSGKKKLDIGEKAKEIGDKIGGLFKSKDKHADYPISEPFEGPYDDTFRSSELQDEPLTTHVAVYHSGRSDEPTVAHPKDVEVAPAVEKVKKATDYPTSSPFEGELESTQRTSDLPDEPLTSHVSVYHPGRSDEEPVPSVSDAAHDEQPEKSGKKKLDIGEKAKEIGDKIGGLFKSKDKHADYPISEPFEGPYDDTFRSSELQDEPLTTHVAVYHSGRSDEPTVAHPKDVEVAPAVEKVKKATDYPTSSPFEGELESTQRTSDLPDEPFTVEAPSRVVDEQEYIIDSSSVDFPHVDPVDTIHREPEEEYVIDSRPVLDHTEDQPLFADSTRQLRQRVDDDEYIIDSAPADRSPETPIGQLEEMHVSPRDADATRTFDDLYGDAPAAEDIHPVHDKRAPVETTTIASHEFSVVTDRPSTVEDRTIETAVIDEAAPSDITDRPSTDRSSLNAESPLDEDVRTIETVVIEKVSAPSDVPDRPSADRTSLIIEEVETLSDFDELVHRPAVRVEEEEVKVADVVHPSDIVSIPDIVVDNIPEISISQHSPVELEYSDVESTDIKVVVDEHSESLDRLEEGESVEERRPESTVHVDQPAVATYDDFDTSRTVTTTHDYSIRNYPVEDGPGYYGAAHEDVVVDSHRVETTAYPVEERQPADIDSRQITTVVEHDYRDRPIEDTLPAASGAADVVVDTRRAVTTTVASHEFSPRDYPEEVDDDDEFIIASRPTEPVHEVTTRTEEVTVERNVVEPSAPELTDEPVSPIYQPLKAEDITVVERPASPVHEPLKTEDVVVEPAAVAPMIEDEPESYTTGHSLVLERRSFVDKPLPETPEPIYDVVVEERERVPEVPAHRPDRELERLQSVGADLSSSSVVHDRRDDDYERFDSALSPEPRKPSGMALSSFTETIERSKKAGPKRERDEPMEFQRRRTPEELTYDDRSTYVTPREELPPLVVSDIRPTDWLEREAAAAESARRSLSPLTTTTITERTERYTRKSPTPDRPTREEPAVGYERPREVTELSVERLGERFVPILPVREIERMDREEITELSLERLAERADDRLEHTPPLGWSVSISARRPPPRTPSPELISPRRHISPEYRRFEQSSTSPRGDTTSPIWHDAYDSARLSRRDSSDRAAAAARLGVAADLRRRQLSPHSWTTIRERTEISYVRRTHHTSSSTRRSPPDSSRYQTWDTTASRMPATTRGDFYSLSPTREFHAVSPRHPIQPVRYRGQSEDTRKTSRRRVPPTSQTSPVAPCDRPANCGIRRDGPSWTSMGIHHPVYPPRGGLYVERRESAMNGNGIDRPSNGVDHQRPATNGYGASSRNGSFSARAVVPTTHATYSTTQEQSATSPRGGTNTPSYYTYMEQRVFRVPRPEYRATPVDARRRRMEESGAFEPVREVSASDRTEVFTRYPYSPEYISPTRRAESNIFTLRTPQPPIQHVRGYVERDDRGVEYLVHRPSNLNELPPMSDRIQTLPDIEDGAQLCRNATVPPYDESEARARRRTIRRQRQRMRNYCNML >PPA11200 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:967498:973772:-1 gene:PPA11200 transcript:PPA11200 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIWNLFGEEKKKQQHQQQQQHPQPQKDQPAQQNNRDDGSTKGSEDGEEDTMVDRATQTTLSREVINEPATPEAGTPVASTPVRDERSVDEGAKKKSKNRRKRASRSSEAKTPKSKTSGSNTKRKRSKEVIKVNRSKERMGRDEERGGKHVVVAEAREIAAKEDNEEFENDGGGALAKSKIKTRDLKWKEESSSEERKKKAPAKKIVEAREVNKADDEEFENDGKAPMARSKIKTRDLKWKGSEESPTKTTDEDRSGTKTPKKKYGGIDGKVAEAREENKADDEEFENDGGKAVMAKSKIKTRDLKWKSKEPMHESPGKTGSGSDSGGSEKKHSPKRVAKPKMVEARDVNKADDEEFENEGKSMARSKIKTRDLKWKKEEPEKPVIAEARELNKEDDEEFENGSGAIMAKSKIKTRDLKWKKDEDASTPSRETRSHETTTTDSTEARSPIRIVEARDVNKADDEEFENEGKSMARSKIKSRDLQWKKEDVSPSKPAPSTPEKTQPSVGRVQRTPDKKKDKEEKETKSVMSPPPADSPEAPTQRQSIMQMLLPEKKKESAERSVMAAPSSPASPSPVQTSVRKEVVVKKEDTTVDKSAATRSRHTSKNDETSRESADKSVKKRRLLPSLNLSRKSKSRHDKKDKHEEKEKEREKEKSSDSSHSQHSHTDKSSKERTSVRLDPVDVAASAVDRSMKSIKSIFKASSTLSIYHDISLCLMRPHSLLIPVRRRKYSNRLDIRRIYWMFGFAGEFLSQFLKQPVKLELAFVESEKDCAYNFV >PPA11111 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:396261:396929:-1 gene:PPA11111 transcript:PPA11111 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLMLAAVLLSVSAAQLFSAPPSWKSGPTWKDVTNLKGVDEMEVAWRAAREEINDKFYGKSRYFMIPVQVIRAHKKGRDVELEVLYGESECRSRGVGARQISDRTCADVRRGADRAIYRIIVEEARRGRGQPDIEAWKVRDVRPNERF >PPA11183 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:868091:875080:-1 gene:PPA11183 transcript:PPA11183 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTQPEKQCTICHKCFSSTSSANRHLRTIHQIDTSMGNAGYIVDKEEGDVPAIPAPPRLPMKEVPVREEARPSGSKVCDLCQKSFSTGSTLNRHLRNVHGVDTSVKPDTSSSSAVLPTGTLDSSLTSLILAFLPQPLEIAVDPKETGRGKSSTREDDLSRDSPALPSASNGDAQPEDDSSDNDRPYDECLEIRCDLCGKAFSHPSTLNRHLREIHKQATTRKEQAMKPMCGEILRSQSLALRHRREVHGSATAISRLGTQCSMPGCDWAGQTHRDLVSHAQAEHATPTAPFEWQSESFDTKDHFERWLDELRKQGVQWYSRSSKTVDGVKQEYRYCRREIGGRGKKEETIRTHCTSYIKLLLHDDSSVSAEFCLDHLGHVDGYAQSERELLGDEMDEDEEAVGKSEDGDDMHDIDGNDDSLDDDVAGPSNDTRNRSDRMPQLDSELTLSSLSTSRSRLCRSCGKEFSCGSTLYRHLREVHKEDHPKCETLAIRTPCSVCGEIFPSGSQMRAHRSTAHPGSIGHRMNCPIDGCEWNGSTHRDLIKHAHSLHSTPSSPFLWQTRSFLSQTEFNEWLEEERKRGVHFYIRRSEKRRSLKVENRCCYFEENRARGVASPTRQRNKFSRKSVCSCTCYLTLTIHDDGSIAAGFCLDHLGHTVDLDARPFRIGSSRDGRDEDEDEEEDEEDDEEEPIEEYANDQPAANGSRQPATAQELRRFQEALAPILTLSARLRYSHEINRLSAYLEDAFVATGLDVGNNASLVAGGVKRPFENKSMVRGEISSLLLSK >PPA11097 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:322973:329412:1 gene:PPA11097 transcript:PPA11097 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gpb-2 MNVERRQLQVFRKSKFWRRSQPVSAESRICLLAAPLQAQWLTRDFFGCARELKLIIMTSISFLQKPTTSSSSSAAKEQTKKTEPASEIASRAAESIELLAREAEELRVKLDAERNKLNDIPIEQAADRLSPLPHTGIKSRRLLKGHAGKVLCMDWSMDKRHIVSSSQDGKVIVWDGFTTNKEHALTTATTWTMACAYSPSSQLIACGGLDNKCSVVPLSFDDDIQQKKRFRAVATHTSYMSCCMFLRSDNLLLTGSGDSTCAIWDVESGQLIQNFHGHTGDVFAIDVPRCDTGNIFISAGADKHTLVWDIRTGLCVQSFDGHEADINTVRFHPNGDAFATGSDDASCRLFDLRADRQVCIYEKDSILFPVNGVDFSASGRILFAGYGDYRVGVWDSLKATRHTTLYGHENRISCLKSSPDGTAICTASWDCTIRDNFSSFIHQYCET >PPA11060 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:164130:164544:-1 gene:PPA11060 transcript:PPA11060 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDGTYIPYCDPVCPNRVRKAATSPTDEDCIECIKSGYPAVIPSCSHACDNRKRKAAVPPGFPEMPKFPDMPTFPSYPNNGNSNSASSHNNVPVEFKDQKSKIRV >PPA11121 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:429527:430903:1 gene:PPA11121 transcript:PPA11121 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQDSNEDEEPRCFADSFMKEMQRRERKGEDPGTFTHPQLVAACYDLWTAGFETTVTTLRFMLHYMVSHPEVQRKAQREIDENIGKRSIQMEDQKLLPYCNAIIQEVQRLANIVTLNFTRLVTTDVTIDGYTIPAGTGVIPEFAIVHMDNKEYERPEYFCPERHINEAGEFVKDPRITPFSLGKRSCLGEGLARMEIFLYFTSFIQHLYFSPISCVPPALNTKITFTRSPGPYEVLIEGRD >PPA11034 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:15206:16892:1 gene:PPA11034 transcript:PPA11034 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVMLGLDAAGKTTILYKLKLGELVTTIPTIGFNVEQVTYRNLAFTIWDVGGQHKIRPLWKYYFQNTQLGILPGSNVKLLVYANKQDLPTAISPTELTHKLNLHALRGRDWYVQSSNARTADGLLEGLEWLEGQLR >PPA11057 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:157224:157644:-1 gene:PPA11057 transcript:PPA11057 gene_biotype:protein_coding transcript_biotype:protein_coding MEHECKSVEFYHDLKVTYFEVARYLEKNPCATVTPSRTTRSMKNETGLKEGEECFCV >PPA11158 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:665527:671758:1 gene:PPA11158 transcript:PPA11158 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLDDTCAQNHGQSEGVDHQFLSTLNKACAHHEHYKSGADCFVVKHYAGDVVYNIDGFAERNRDVLYPDLIQLMHNSFIRELFPHESAIGAGKKPTSFSTKIRSQANELVTSLMQCTPHYVRCIKPNETKKPNDWDENRVKHQVEYLGLKENIRVRRAGFAYRRAFDKFVWRYAIISEKTWPNYRGDPKQACEIICATVNMDRDQYQMGRTKIFVKNPESLFLLEEMRERKYDAFARKIQKAWRRFNARKHHSKMKEQASDLLVGKKERRRFSLNRNFALVGKRERIDFAATVTKYDRRWKVSKLDMILTAKHITLIGRERVKKGPQKGQLVEIVKRQIDLTRIASIGLSPYQDDFIVLQVKEDYSSLLETAFKTEFITSLSKRFKERTNGGNLHLDFKQQHNITLKKTRFGGGTRTVSFTLDAGGSAQAMLNGSSKGLLVTIGPGMPNSTRPSLARPSGGYAPGRQSVRRQKARREMSEQLDNAPRVIGGGAAPSSHARQESTIGGGAAPVGWNQQENTRPSEPAFVPPAHAAAAAAAPPPSAANMYGAVATPFANGGSIQSMLRPVQNSQHAAPAAAAAPAVAPRPKPPLPSKPRMTPCVVALYPYDAQDTDELSFQPEDQIELIQKHESGWWQGRIRGQTGLFPSNYLICTFAYLAVVIVVSDDHGDSY >PPA11062 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:168110:168765:-1 gene:PPA11062 transcript:PPA11062 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVNHSQTTENAQQLGRFDIWGVGEEQTPVLDNEIEPMMTIEHSCGADYACVCKEFPQTGAQLFRTTVDFDLENLPSDVVYCDVCEQAKYKRREKAASTKVS >PPA11144 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:569609:571293:1 gene:PPA11144 transcript:PPA11144 gene_biotype:protein_coding transcript_biotype:protein_coding MHQIYKIKITNVKGYKIRPSLFSIGVNERIRVYVYYLGQDKPTKDRISVVFAHHTNVKVTVPQAWDAVKVMYNTPEKRSYVTVLFKEDPRRSIAEMNEKSVMGKSITSNSQAFGSYRQDPSGSAGSGERENNDSYYAPPPTVSKPKGGTVRKRSSGKSNFSTEPSEETNEEPNKGKARKKTVSQTMEATEDDSPAPPPPPVKPRSRRKVQAPPPPAAEEEEEAAPAPPSPVRKPAVVRRIKSPARVAAEPAEDEMAEAPVAPKKTRKPVQQTEEEEEEAPPPPKPSPAKPRSRRKVQATRPPANDEEEGAKKGDSGYAVTSPVRPRTPTPKTKPRKKSSGTIDPLESLIAESKLVYIIQEGSKEWEEEEEEQKDSKG >PPA11174 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:808023:809192:-1 gene:PPA11174 transcript:PPA11174 gene_biotype:protein_coding transcript_biotype:protein_coding MYIDEGTFTEMNAEKDKYDRGEKKIPKKIKNPILRVVEPHESEEELSEDEPLLEALRKLSRPVRGVRVKQERMSVEPERKKHRGNSLPPSTLAPPSSTVLPLIPPTTVPPRSTKKAIAVPVLPSSAVAVPSLSTSLSQPGHVTLDTIFEKLVDIQSTLSLRSSRQDRLERKVGDITNDIVGIRHESRGLVEVTESIQKDVSTLTTVIEVVKDRLPPPPKGPQYDQYGLTEEKIADLDDSNDGILIFAGKLDALLFESTHLPHQQRNQDMLRWLLQVVMHRRRHSIGEEAKKWRTQIL >PPA11147 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:580083:580775:-1 gene:PPA11147 transcript:PPA11147 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTLSNSPALSHSLTGEGHEEPRDGDTESTTLTDPFPPKQSKCDYDRELTDAGNSSIAQESLVARFLHSLYPDFNEGEGIAEGFARAMGGALEFARGALGGVAGGGDERGMEEEEELDIEIEDEEEGRGQGR >PPA11149 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:602751:613024:-1 gene:PPA11149 transcript:PPA11149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acr-11 MERPVENATEALVVNIKFYLQQILDVDEKNQLVSINAWLSYNPILQKEDDLPFGRSQSKGAKASGTLLQKTRAKYPGPKNREVPKNGPLSESDRVLQLDERREKIQGWRDYKLGWNPDDYGGIQDIRFPGTSDHIWKPDILLYNSAAEDFDSTYKSNLLVYSTGDVTWIPPGVLKFVCSTIDVTWFPFDDQVCQLKFGSWTFHGFAIDLQIDAEMVGGVKPTYVDNAMDLSTYVENGEWLLMSSPARRDVTYYTCCPEPYPTVLYYLHIRRRTLFYGFNLIIPSLLISLMTVLGFTLPPDAGEKITLEVTILLAIVFFLSMVSEMTPPTGEAVPLIGVFFSCCMLVVSASVVFTVVILNLHFRTPDTHEMSPLLSKVLLEFLPWILMMSRPGHRFYNGGCYKEHKSSYRLKRLALREELPTQTSVNEAHILMLHAINDQLEELAKRAQREEQDARTQADWRFAALAVDRACLILFTLFINMGDRGEVIVLSGGGCFPLSEMDMFKTLVKKHAVLFNSADPDNKKRGVELINDEGRACSWHGDHTSSKEQLNADDESPRMVDELSALWKQLTEYARSINLESMDDEEDDGGMEHKVYDQIVDYFKTGKIPYEYYTMVKNANKHWPTRCSLYTLADDGHSLKKGIAYVLKKGEVMNVLMKYHRIFGHSRTLETSKFRVADDGRTLLTLSGALVLKDDEAMDVIMRLHELCDVKLDMKVHYDEEANERRFEITAHGVDRKVVDDYVATTIEKLKEYGKWKEPVFSPSAALARSVLRVVDLLRGQQKEEIEDVVEFDPIQNDIISMEETHEKELVEECDTKSEPDSVAVSLEDGEMSGEEEEKMDDEEGEEGQWDDVEDDSFDELYDGSYDHCCTWQGIDEDASPDRGERPANETSRLADATGRMRAYIRQWEFSEYLREQIRLNENPQERRIEEDNLDEVEKSGESEEEEVKEEEEEERDEEEDKENAVAHEAAAGASAVGLSKEEKTRLANKKKRAGKKKRKEILERPPYDLTPYRANYEEKNESRPDVANTMQMEKVEREKREKEPVPLSREGHPARKRGRLAQLRREKEELERNTKKEDEKKVLPVYNPYAAVQDGKMWPEDQMEKIRRMREERERRYERRRD >PPA11248 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:1326741:1327101:1 gene:PPA11248 transcript:PPA11248 gene_biotype:protein_coding transcript_biotype:protein_coding MIRARASTFTGHNEKGGMQDKFFDQEVELSIGPGGTKLTEDIVKVESFDDLELHF >PPA11185 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:881537:887171:1 gene:PPA11185 transcript:PPA11185 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVTIFALVTLTTVFLLAAGVLITLCWRRKKQRDALARAESTFVYSKPREEKVANLIELAPILNTELGKCEWVESGAGSAPFDEVIAILRLTTEVVKILSDVSISRAASKIYEVIAQAMFRVDTHFHELLEFTPSEESDIRVVEARALTLTTTVWSLNAAFTLHGFEQAKEVEDKVIAMYEHVDKLRQMIPGYEGRPGGGERREGGGGGGEGRGREEEEGDSQVTDETVVDATSGDAIISHEALPAASAAAAAAPTTVQQTMIVS >PPA11085 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:269201:274720:-1 gene:PPA11085 transcript:PPA11085 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQVHFKYELNGYWHRICPSKESIEEQLEFIKSNVSEKIPGRSFSLFWKGHSSDLVAAPLETTEDLCKAMDCARAYVHKTKDGVPCVSLDIRPDTVTPTATAALAAHIAAASSPQQQQIMTASGNKMVPLSSRITTPITRNPQSKLAQALSAPPLQRQMTMKQSLLASTLRSTNQSVDQRLVAHTRRSPTVSHTVSPPMLEQQTMRLSEGEVEGEHVGEAELKALTPSPDLADEDKENRMVKVRRTVEQVQKRQQLFGRSVQPSTPSPSSLTPPTTPSSSAIASARAQQISAAQKRLANRQAVAPYSRTSPAPSNKSASASPTYSCSFNAPLKYAPRPSTPSTPVSEGDRTILSNKFTNLALPETPYESSGITEIDEDEGMEEMREEEMEEGEMEEMEFEEEMNHNWLLLENNGNDCFLNAAVQYMRRTTDLVEALKSRMNVIMMEMETAKESKEEEEEKDEKKIAKQKNKNNTQMMLSVYLNWKKGRINPQHLRERLHKTIDNLERFFLYTQQDAYEILIKIFDDVLPESIAKQFSIDFCTRRRCRDKADCKGEENKAGGPIYYQHIEENNQILDLEDVFSNEWKGVEAENETRHCSACCECCQENKGDKTHDDDKCEKCKEVPLSPYVKEECFGFGGSSNYALMAFSILHPGMRLNWNLSSNCDLDEIEMMGHLWQAVSVIRHSGRVTPYGSSGHYTAYTKQTDGKWYHHDDDEVPYSVGAQFRKPRDDGTTDMQGVLAILADPERKVGFFFSAILIR >PPA11221 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1133215:1134457:-1 gene:PPA11221 transcript:PPA11221 gene_biotype:protein_coding transcript_biotype:protein_coding MLISIATVTLLTLCGKKKKVEPSANAVAADKAATKSMFSAATTKQSTGGLPAPPAAPKEAEKAASTPKPEEKKEAPPPEKKEEPPKEKSKKEKSKKEEKKEEEKKEAKKEEKKEEKKEEKKEEKKEEKPDDGNYETLGNVEAAATNLKKKEEDEKAKKEKEEKEKKEKEEKEKKEEKKDEKKSDKEKKEGEEKKDDKKTGDSKADGEDDKKEDGKSKIDEPSAVNPVKSKLQEAAPDDGAYQSLDQLNKQ >PPA11231 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1227279:1231102:1 gene:PPA11231 transcript:PPA11231 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWNRAALLPWSLNNNNNNPNNNNNNNNNGGGVAAAPGAPVIPLGAPLAQPINPVARAAAAAGMRARRVARGVIGRGWAPGAAARRQSDTSTAREHDETGEGLRVQVGEEVADRFERLKSNQHLAGTVKRTGHVDLARAATLSDEREAAERVLLDRFVSCMEKAVGDGIEGGATEEAEAELLSELRAWSERVRREKEQIAETRAFLLARRADLMAKQASLAAACRHIEEASPAARRVDADDDDDFFDDGFPQPKEHGCTVVWVKTLGFVTTLWIMLFHTDRVRAFLAATVNGDDPNYLPYEDTPSMVQFVLEIVLESALFCYIPFIALVSFLTIQVCSVSLRAYRKLGRARCLRLIAMHRLMAIPLVYVVFTVAADSIAQLLSIQANDYYLLSLLKQVEPNSCLLEHPGLIETYLQSLVYFFVATMAALNYCVFIKTQKLECLEMLRRND >PPA11042 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:78014:79649:-1 gene:PPA11042 transcript:PPA11042 gene_biotype:protein_coding transcript_biotype:protein_coding MEDETAVHDENAPVPSTATTVKMLPRSVATQICTSQVIISAAGAVRQLLDNAMDAKAKNIEVNTLNFGIDSIRVSDDGTGIEERNFDSLCKRHATSKITEYDDLLALSTLGFRGEALNALCALGSVTITTRTADKDVATRLHFDHSGVIEKREAVPMEYLGISLMKNAE >PPA11135 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:520791:524745:1 gene:PPA11135 transcript:PPA11135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-upp-1 MEIGKAGSKNRLRVAARDKIAFWSPPSNRVRGVMVTAQNVSISRTKDDFLYHFGLSSTAVDMPKMFGDVKFVCAGGSASRSKHYAEEFSREIGVAKPTNLSRSDRFVIYKTGPVLWINHGMGAPSLSIMLIETLKLLHHAKAEDVCFVRLGTSGGVGVAPGSVVISTVAVNGELQEEYVQWIAGKRVTRPTRLCPLLSSSLSALAVHMHLPAVSGKTLCADDFYEGQMRLDGFFCEYEEQQKLSFLTTIHSLGVRNIEMESTAFAAITGRAGVRAAIVCVALLNRMVDDQVTISKELYLDYETRPFKLVSTFIRKQLKI >PPA11079 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:246166:249848:1 gene:PPA11079 transcript:PPA11079 gene_biotype:protein_coding transcript_biotype:protein_coding MERYIAFDRVFKENRSSTRSRVAELTNDYAYDLFWNDGETDNVLENAADLATAIDFAIAIRASPAEHPCVLLIVTETKPDCGELEDAVTKGEVSAEVAPCNVADTPVDVPVPQEDLLPRIKEHFHLLLHSALDISCSTQLRRAAAPLICPRTGHLQKPSDVCVADIALQLLRMERRVPGELKDMMQQLPGQDFIHILKDEDWLMELAQECPADKGVDMFSGFEWDTPAVTDVRMAVMMVVDLPLTIAQFQRHYLEEYQCAGGYGTPEEEEEEEEEEEIADDWIGRSSAAIRKRALDLEDAACANRVYPSPYAFLLKAAERASSAEDTTANDAIKKAPMDRVERLREHLAHLPSSIPNILQTTTAYEIHERASSLEYVDWYYHQHEEPEREVSAQAEIDAIIRRTTDNIPVELEHELASMSPQSLAKVVLMDWVDRLREEREAVKNLQMKTEEVSKPTRCPPATLYGLMKAAAAAEVFPEELRRAVEIVIEKQKEDDSKDDEGYKNKLSTEELHQWNQSGIHMGIVSALAEYMTRNNAVAFTSFMVPSSQPHSAPIYRDPAHHIKKLSELVKSLNMETEMQYFMKNPTKLQAEIARILCFYAQSASANRVEGRFFAARKAEKEKEGGGEGDETEEDEDENKTPTKMSSVTLAALIITDALCPYKWQEVEYHNICKSTEQPHPEEDISEMPVWIGLQASAKNLAPELPQQQQPPIHSSQNHYPIQPNVPTMQFSQQAAHPNQQPVLPSQYIPTSLPTLMQHNFPISNAHGQPGESSVNLSHIQAPNQAPMPAYKPISYS >PPA11218 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1124841:1128696:1 gene:PPA11218 transcript:PPA11218 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQLGGVLDELQQIVDEQPQRPAAAAAAAGSPPRPPPQQPQQQQPVSLARMIGQIAGVLGGLEHRMQPPPQQPAAAAAGSPPRPPQQQQQQHSPPVPDWRDVMAAVRAGADMATAAAAAAPAAAGQAPPILNFADLITTAASGLAGGAAPPRAGADPPGQSFEERLRAATERGEPSVPGGAGAPPPPPPMQLPGQILQLPRAFGGARIEIHGLPDNLGDVFARLRGWEQGQPGGGGGAVASRVPEEHLRLLPNSSVKQTHVDDQKQCFICMDTYTQVGEPVAEMTCGHIFHSPCIVPWLQANSTCPVCRAEVVSRNWVHDQIHENERSIGN >PPA11053 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:148708:149177:-1 gene:PPA11053 transcript:PPA11053 gene_biotype:protein_coding transcript_biotype:protein_coding MRESVGQMLAIISRNIDSAVNNVADKRIKIYYTLSRYHENVIRTLQHDSITLALARALGILSTFNGYTPDYSAAIVIETWRSKAGRVTIKVGIAWQEMTLLISHAL >PPA11104 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:365159:367277:-1 gene:PPA11104 transcript:PPA11104 gene_biotype:protein_coding transcript_biotype:protein_coding MTESPPAKKKCEDADDNLIRFRIENPLEQLDISDPKRHSLRSPSKTINGFNFNLKVRQARDPKDENDDLYYLKIFLICNKYKESNVWFVKGSLEMTLVNQENAEDSITEGFIKDNAIIIEARINITSCSANRFRAKIDVDFFTPSDLSDVVLVVQNKEFHVSKHWLAIQSSYFKGLFFRNFKESKQAKIQLKQTSAVQFETLLRFLYRMGETFDVTNVGFILKLADKYDMKSILDEAETYLIDEHDVDLDSKLALADKYRLATLIEQCISEYTTCGMLESLKKSGDYRGLSEETKDYLFDRYIELSKKKRADDHCVEIEEDSDDE >PPA11088 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:283647:285029:-1 gene:PPA11088 transcript:PPA11088 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHYKLMYASMIHRFTSSFDDSDQKILNDLKEKISAIVKEEGFMLCWNDMEEVAKKCPHVVVGTPARTRALIENGALKTDTIKHFVLDDCDEMFRKNDLRISIEAIMKHMIVTAATSLKQLHKFCKKWMSKDVSKAKHKLIKIQSVPIEFFDGFKHIPAHEFAMKFLLIDSEISSYIYSCRFSYCHNEDKNDCKNTCLQMAMKMSKESIANVTVDLTKPSPDVSAGLWKERLLERMFNSLLGPFFI >PPA11120 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:426195:428360:1 gene:PPA11120 transcript:PPA11120 gene_biotype:protein_coding transcript_biotype:protein_coding MILYLIVLAIVALVCYSLFINRIKGLPPGPPPLPLIGNFHQFDIDMDPKFVEWKKKYGRVFTIWIPYPVVVICDHDLLQEHIVKDGDKFSDRINPKVMMNLLVGGEYGLLFNENHMWKEQRRFALHALRDVGFNNATVQNTAIDYAQQIVGRWKQQGKNKQPVDPTMGIMVGVANIIWHQTFGRTLPYDDPLLERVKDLAKELFDALGHPAVLALELFPSIIHIDKLLGSPIKRFVDVNNFFIEQIEKKKLCSLVNSIM >PPA11049 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:126407:129381:-1 gene:PPA11049 transcript:PPA11049 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLTGSMSGPASGGGSSTPIAPPSQLLPPGKRVSKPDKGCAVLDINTGESKQMKWTNHAGDGPPTQRRELEAARKKAQTKKKKEKSRQNSIEYNLGDPRQVKIDKSNANSQFRLFEHEELTRCDLSGGRE >PPA11038 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:52931:61160:-1 gene:PPA11038 transcript:PPA11038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-atg-4.1 MIEMLTLETSFYELKDQFLSVEGSQVTLLGKVFECDGAENKSAEIKAYVTSRLWFTYRRYFPPIGGTGPSSDQGWGCMMRCAQMLMGEVLLRRHLGPHWEWKGKSEKPSGDYLRILKMFEDNKNALYSIHQIAQMSVSEGKQLAEWIGPNTAAQVLKKLSVFDAWSDVAIHVALDSLLVDDDVHQMATTRPDDDFIRIVQEDGKVNDTLSSLAAEERKESNVHWRPTLVLIPLRLGLTTTNPLYLRAIQAYFKLPQCVGILGGRPNHALYFVGIKDDKLIYLDPHYTQNTLTRSASCASFEKDASIDDGFERATEAVDGMGEEESAWDEPGCDGTFHCPTLLWMDYKDVDPSLALGFFCRNKEEYEDLARRLKEGAMATEEKFPVFEHIEKRPPKWPKFKPYTGVKANLQMNEFLDMGEPNYEQDDAFEVLDSPL >PPA11119 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:423987:424826:1 gene:PPA11119 transcript:PPA11119 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNLPGDLHNSLISYLGILDRFKLRLASKTMENAVANSDFYVKLDDSCISFDVRVRFVRDSERKLKNVHNETVNVVESLMEGCVFKNVDYAIHELRPNAQYDGLSTMKY >PPA11239 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:1283614:1283973:-1 gene:PPA11239 transcript:PPA11239 gene_biotype:protein_coding transcript_biotype:protein_coding MALEFPTTVTPPTTPPLYQLPPLHELDLETMAEFLDTATLLELWLSPRRPRR >PPA11036 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:35809:49682:-1 gene:PPA11036 transcript:PPA11036 gene_biotype:protein_coding transcript_biotype:protein_coding MESWRVPHSPNGNVPQQHQYQQQHYSQQHQSPQQQQAPYPPRGNPPPDSWRNVARTTGPATTLPHRQVVETAPGHLMTVDVAREAVIDTEDEREASRGPPKRIFISQLDSDMQHEAVGEHYRLVVVTEGGNDTKGTRNEGANSFQLTMLFARQGYMPSDVKIVDKRDRGSARSMAFVEFVDAATASKWMEQNKSQLVLMKEGRQVPVAAEFVVKTEEECTKKKDWICSRCTQSNYQLRESCFRCNTSKEESDALEAQGFADIDKEKPSDTLLLRNLPEKSCEENVMSVLHRVVQGQIPFGTFKLSDSREFAWVQMRSVGDASVLISIFSKSPLMVQGSIVVTTFSRRPLSLILSEPVITERPKIEEPPQQQQQHNQMPMQPPGYGSGQYGAPPPSMQTMQPAMNPMMPAPGMMPQYGMPPPGMQQQPHGAAAAPTAVLVDTSHPPPNFMGRPPGMDGMMMQQQQQMMGQPPPQQQLQQPSAAMQQAMGMMGQPQQQQPSAAMQQAMGMMGHPQQQPSAAMQQAMGMMGQPPQLQTSAPIQQTMGMMGQPLQQPPQHSAQQQQLLQAQMAAAAHMGMAMPNMSMPPPMAMQQQQQAAPAAAYGMPHMQHMQQQQPPVAADTAMLQAQVAAMAAQLAQQQQAAAAAAPQQPEKIGHIMTPVGFLKQWDPPNPQTMRPDQSSGYMYDDATNYFYDPKTMYFFSHATQNWNYYDQRFKTYIPVAERDKVMKAVQEGFGSAPILPTTTTGGGETGAEEKKDEKVSLSLKPVVKLLETKSAFGSGITAAAAAAGQHPTGAAPAAEGTTPSFGGFGTEVTLKMLDRSKNPLAHLNSDSDEEDPPVPQGATGGHHGHGHQQQQRQPQPPAKEEPKVMSSMEHREMMMATLIDRAGKQCLLCRRAFKDVETLDKHVSKSELHVKNLEEKQVEWGKAYVALIMAASNGKEAGDYGSAASTSAPTSSSHSAASAASSWGGGGAAAAPAADSYKYRDRAKERRNIHGIDAIAADPFGSSSRDSGRGQASVDAMMRESDAAAARPIDSSNKGAKMLQAMGWKEGAGLGRNQQGIVAPIQAERRVEGTGLGLSGIV >PPA11191 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:929442:930160:1 gene:PPA11191 transcript:PPA11191 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVESFSLCDQLRKKTSGLATGQLEWSHWQLIDEDPYWQPTTEDEMEEFGEKGDSPNHARGYMDAVRRRKVRIVV >PPA11209 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1064269:1065809:1 gene:PPA11209 transcript:PPA11209 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRNCSLIGPTEPDRPTVLDCPSPTRPLTLNIEYPIRDTHALSSLATLLTSRAKDRAESWCAAANSFSLAKQHSQAVQCLERAIKLNPRFVYSYTLLGCELIEMEELEKAGKAFRRIGRGDKLNRNLKLFVDDIILLLSSISLVIDLSNLEWNPLIECDPSMSLKRSKINHT >PPA11094 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:300438:301509:1 gene:PPA11094 transcript:PPA11094 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEEVRISDSYNFLLVAGCLFAASIALFCVCSIVGYLYLSIAGPSLVNIDSLDPDDSIYDEIKDPPIFSEAVKAANPV >PPA11212 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1077701:1084766:1 gene:PPA11212 transcript:PPA11212 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gls-1 MGRADEWQNDEKVITFDCLKAMTHGLMMDLIVAVDAAGGIGKNGIIPWKLRKDMDHFVKKTSGDNDPSQVPPKRNAVIMGRKCWDSIPPNFKPLKGRLNIVLSKTMPEETTPDHWVRNSLDNAMRELADKMEDLKIERVWNIGGAEIYKWGLERGIISTIEITKIHQNFDADVMMPDINWENFRKVASSEEQEEKGVKFTFETYHKATMVKKKNTGSSQQNGPTAVESKQQNGHSKEPGTPSTINSGLTPKINGVSNGSAISNGISNGHAGLKKANNRKAPTSETASSSQCSGSDLEKRHHDKKKPMRDHECQVDLSVDDDLVEKFARLKEWRKMETLGELVSLLTPVQRKLMEAMLRGSTAHANSSTESYERRINTPRSLEAVCLKESMERQREMYLPTLSLLTPCNRQSAAVLLGQIRKFVQDLPRLQHGKNEEKVQSQTEEVLAMVVTSLHHPAFSVDAQMELAKMRDRLKTMLHDLIPYTQGQSKEELAPTIKCMHCLECKEEEQEVVLELLWTDGMMTYACRTIKQMHEMHRRLLDVFGMEKRDKDRALPYFPRTENYLSMRNYIEELANLPARMLLDVKFAEEFADTRIFSAQLSSAPVNHAPVTRRRRTEEPADDPNMNDIALGILPTVYVPPLPRSPDMPSCPYCAGYHVLEQCPTLVIKFHHDIDGVMHRESAGLN >PPA11169 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:779935:781685:1 gene:PPA11169 transcript:PPA11169 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGGFQESYFDVWNRGEMHQIYKIKTSNVKGYKIKPPLFSIGVNERMRVFVTYLGFSDKPSKERISVVFAHHANVKATVQQAWDAVKAMYNTPEKRSYVTILFKEDQRRSIADVNDAQKSVVGKSTTLNAGSSRMDPSGSSGGRDDSYYAPPPTVPKPKGGTVRKRSSAKSNCSTEPSEEANEEPNNAREGKARKKTVSQTMEATEDDSPAPPPPPVKPRSRRKVQAPPPPEEEEEAAPAPPSPVRKPAVVRRIKSPARVAAEPAEDEMAETPVAPKKTRKPVQQTEEEEEEAPPPPPKPAPAKPRSRRKVQATRPPANDEEEGAKKVASPVRARKAPSIPKQKPRRKSRGTMDPLESILGESKVVYIIQSGGEQNNDDEDEESDKKARNKSSKDGKKKKKRKEEDEDEE >PPA11243 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:1309095:1310882:1 gene:PPA11243 transcript:PPA11243 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFALSASFDRDTDMLRIHNLNVPLDDGHLSTIEHHRESRLLLSMRDSLRTLSAFQLNRNEIAFLSAAILLERNISFTGTLGTSSICSHLLHMQASINGSLHTLRSILPVLDGTAQLHKELLTGLKDTDDKEGIQQLYMELF >PPA11070 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:196666:197291:-1 gene:PPA11070 transcript:PPA11070 gene_biotype:protein_coding transcript_biotype:protein_coding MCIVLQKSFHIKVDATLYCPTIYFYYANLWEEVDSSSILLSDLKYHRSYSNVTSLCEIEGMVGEITTTRDAQTVKPLMTIEHSCGKNYTCVCKKFGDVSSHFEAVVSIDLKDNYYTECSSCTEARKRRGWE >PPA11233 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:1252057:1253003:1 gene:PPA11233 transcript:PPA11233 gene_biotype:protein_coding transcript_biotype:protein_coding MIDEAAGDYSHIKRARVRSLRQAELMRQSAVARSRKNSPASLHRRSQEGLLHSSASHPRLQHAAAADTRPESAYLEVI >PPA11102 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:345263:356107:-1 gene:PPA11102 transcript:PPA11102 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEILVVATPKTMERDDVDEDDFSMDGSGEVAPSVKASTPSRVPSDLVAVAAVEDPQPIQEQREQTVIRSTTPSTRQIIPSTFILPAHKSMPGAISGHTPITHTTAGCDVILVIDRSQSVEADFLREKEAALHIFESTVELSFRTAVGGIRFGIISFAANATVDRPLSIGAGPEVAKQIRGIAHTGGSTSVVTAMRAVMEDASKRRVDSSLLILLISDGHSKDSWANVTRIANRLHTQQNVQVLALTVSDNYSKEELKAWAKVDSNIFTSHNQAAWLNRVKTELSTCSITSLEVDQILKEASTRRSMPVMERLPTTFRPQPGHGLLLSLAEQADRFLHNTATQTLGPNTEHFHFNVPIRKEHAPSASVLATTPFAIKHASAAVAAASEDSAALSTTAAPEDFPVAAAAAHAAPTADHWNDCVVDVIFVMDVSQSVEDAFKKQLKFATQLIERLPEDLFSSGRARVGIVAFNYAATVEASLGKHTTKSAILAALKAVPERGGSTSVARGMNLAIDQFIATRSPTSRWLAVLMSDGQSQDHWNAVVETSKRLRKEYVTTFAVTASADYAFRELEMYAGEKWHVYIDARIHNFLKDAADAIETTCTTALRPLDHITTVHAPVDQVAIVRTTSKPVLATTPRPLLPNRQCEDDKVDILILLDSSSGSEKSVEKLRKFSGSFVERMSEQEFENRIYVGLIRFSTHTQIAAPLGSIPTRSDILYEIARVAADEKKKRASFVAAIDAAVREFRTHGRRGTRRVLVFASEGQTNDAFGDIKHRADALRRANISVWAGEGGESKLDGQEALLELAGSNGRLHEDSDEFGEAIARSLACNGHILVPATATGTTTTPLPTRTHHKEVNVIEKRDFIPAKNEVIFGSSDSKIITVPKNCQKMDIMIVMDASTSREDVFEHQRELALSLVERLPISKEDDSVHFGLRSFTSSSELRQQLGPATSKAGIRKVIESVRYVGGSTRTSQAVEQALLDVARGKREDALQVILLMNDGRSQDSWDQVIRTSKHFNESKTERFVVALGSELDPRELLLYAPKGRLYRDSETERLLTDIVSLLGDESCFVSPFRIVL >PPA11140 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:548460:549132:1 gene:PPA11140 transcript:PPA11140 gene_biotype:protein_coding transcript_biotype:protein_coding MFNEKIFIFLWFWFLVVAVFSVYSLIKWIYRLLNDNNHQSYLEDLLASSQLHSRHALNVSRPRDLLPQAPQQGRHSAAEVSEINAKRSKKKDQRSATKDQRLKIKDHLHRLVDENCGRIVASDIAQKMFKPSTSEYHSCVSHFECGIL >PPA11081 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:252668:255766:1 gene:PPA11081 transcript:PPA11081 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLAAILTLLAVASARVTFDHAEVLDHNDLKPGEKKAAFDCPSTCRVYTPTGKTLKIIDDKGKDVITFVALHSRPIDQPLELSAGKYFVVNDNADSSPDFTLYVVQNDAANYNTRVYTSPQEKADATNERFATILTDAAGLRISDLWGDFGSNPSVYATGFDSVSKCRPVFASRSTDNARLTSVVVFGPIATVDFGSDQGAHFLTIGYDYLSKPTTQLGSSTVLVSPGYVGCPDNQVFTNIQINQVNQPPYTISSAKGVSLKLVADYAIETGFPSVQIKISDQTVMLTGTDSLTKYVDDKSLTVSVNWSRGAGDYLSHFAIQIDNFENNGTPHGPDSTGSSSTVKTDVDWTTSTKIETLQTVNTPHSRAREQTLSDQRAQIFFDALAQQNANNLGPMNNLGNPPNAVQANPVRLSVADAERVTRLTNEMETVESVDAPHARALQRALSHERAKILLDAQTAQAQQPHNANN >PPA11204 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1026296:1033101:-1 gene:PPA11204 transcript:PPA11204 gene_biotype:protein_coding transcript_biotype:protein_coding MRELNKKKRDEHLKMTRKMNPIHKKLEQRLNALRMFRRSHEQLRTVIGRVLRQSAGAAPDGDAAAAGGAVAEGENASEAVHAAYEIVKEVDCLDVTPEGSACWESAARRYEDQIERVETAITARLRDQLGAAKNAKEMFAIFSRYNALFVRPHIRGAIREYQTQLIARVKEDIEALQAKFSTSYEKREEVTTPTHDIPPFSDRIIWIKQIERQLSLYMKRVEDVLGKEWESHVDGRHLKTLGNNFRSKLNTQPLFDSWVASVQTKDLNTPGRIFVIEKVARNGKSVLQLKVNFSKDIIVLHKEVRNLKGMNFRIPMKIVNAAHLASQHYPSATSLLESMRTYENMNTRLAQREGIDILMASYKKEIQSIIAEGNVLTWESFKIEPYVMKMAEAINNYEEKVEELMEVIDRIDSHKAALETCQYSTAVVESHLTSLQKAVDQLSLGHYSNLNTWVERLDKELEATLARRVEEAIRLWSVVFENGEEAEEARENNVPLPVINPVLIEVRLTAQTIYISPTMEDAKAALLEQLYEWHGVVTGQARISSTRFQLTIDQQASKRTYKEVLAKLPGGLTLLDKAFNTIEKLTSEVDEYVAEWLRYQALWDLQAETIYEKLGTDVSKWMRTLVEIRKSRSTFDTQDTRKVRPNSLMMYMRRKTQECY >PPA11154 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:640570:646078:1 gene:PPA11154 transcript:PPA11154 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLYYRFRALLQDIRYVALAAIAYNEPTAVIVRNAKVLVEAVIRMANDPSIVNVVEFYDSCFDLSNDELVEYKRRPRPEVNSEMLDLLREADSARTNGGGDGAGVGPPIPGWILEAVEMLEALMQQPCARHFVTKDEENEELAAMWESCDDLTTLCERVRGCEMGTPKEVEGAVHELVSTAKNTIENRRSEIYKHAIELHSMFNTRFRAVISKFESVQASVQQTLGVSIDRSLRRRRSDRSQHAYNTRRSDQAALTAANLAAAAADYDDQPSTSRSAARHERGFYREMVNGRAGRASQETESSSTSSRATTGRRSAWNGGGARGEMEEDEEPPQQHSQQRPSSQRASSRSRRAIVQREEEEEEVEEVVMREEEEERPEENEALAGYEAPTDDEVEVNPEVKEEEDDEEEEESEESEEEEEDDSDEEYGASRSTRKRRKGSKRKKESSIDEEEEAASTSTASSSTATRRSGRVRGEDCGIWKPGNGEKNGMQVRIP >PPA11047 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:119634:123748:1 gene:PPA11047 transcript:PPA11047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-spe-8 MTGRGGATQGTAEPTHECAVGMPNDEPQHTQEAKTQDSTDGTDKQPAAAVAATPKESPKERRHDDPDATQELIESDAHGPLQPISSPVSNDDQQSPKDAPAVTVAKTAPTIQSVAEKKTKKDKDKSVKDDSTQRVGVINASKRAQQPRTTPSKVLTRLECGLTRSVRSAKFDTQGAESKPLKEEKPEKRANCTSQAEKKVGQGADALGMSWRARAAVQILTLQREKLAPTTTGGQTVTVGQTATGGGTRRRQAHRPTESDRGGTTQTLVGSESKKPGDTRSNKTGDQMDYYHRKKKKQLEKCKLILTRNKQLVEIASQRGELIDRAIEDEQFFHGYVYRGDLERMIKEDGEFLVRKSDFNGQEVYVISVMAQGEIQHLVIKQTNTKKLYWVMNYAFKTVIELIDYHYRNNEPVDETSIYIRIPVFRQDWQFSHEQIETAQQLGKGNFGTVHSGYLKRHPFDPPVKVAIKTLTLVKDDEHEESEMTASDKNEFLREAYLMLAVDHPNLVKLFGLAATRMPIQIVMELCDETLLGKCKAHHYAFDFGIKDGLLNTDYIY >PPA11195 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:955571:957046:1 gene:PPA11195 transcript:PPA11195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nhr-69 MDEIVVPIRRMHMDLMECVALKAIIFFNPVSCGLSDYEKVEAARLEFVQALERRGERFHRGKSRASALLLLLPSCVAIAQQLVEDVHLARVFGLANVDNLMQELMLADFPSKQELP >PPA11098 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:330422:338684:-1 gene:PPA11098 transcript:PPA11098 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIPTHRESLVEFILAQAAREFTTSRPERSSTISATLSVEGPADEVIATTSTVPLAKRVNVTVAPISRSTKAIAHFSTDCDVPYLDFVFILDRTGNSSSNRFLLLDVLGSLVANPNIRVSVVSFGDEAKTETYFTNTMHKDEIFKAVERIQTTGEERANYARATEHALRVLHEGGRADAKAAFVFFGDGNGVESGPAVIRATQRLHNTPALVVLAVDSSKATNNLALSRFTTGGKDNVFDFDRNTQFIARIEQLARADQDCELHQRIFTASPFATDHGHLTRLFQDLANDLPNLDKVTPRPTTTTTEFFRTTRARSSTTTSPSSAEESERPFRYLAFTYQPLHNENEMFNNFSPDKQRFDAFSTTTTRPKPTTTIPFRPGCILDVMFIMDASGSVGQTFEKEKELAKNILRRFRIGPKNAKVSIVKFASEHKVRVVHSFANNQTEREVFDAFDSVAHSSGTTALHAALSTAASEYAEHARKNVATQVAMIFSDGYGEKELQREAEALREQVQYVYAVAIEHKHPINYKELVAITGEEERVFTDSNIEELEKLVVQHSRGEGGVQLKIINISLKVRQKTFLRFAWITLSGYH >PPA11106 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:375709:379776:-1 gene:PPA11106 transcript:PPA11106 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYAHSRSGRNWGIRCHRLVDIREEFLEHRGCKMAVEVFFCDNNVLVGRFHFHFEMLIVHVLMFHLSSPSSHLRKLRLETVDVVSQLTLRHLLDFDNVLRELCADRQLDFLVEELVLHASLLIVSSKDGVDDAVGFKLAWMGVGNAIGCPVTAEPQFLQLLHTLSASRWCEVASLTGKLFGVLRYDRAVTRAGNFLKAGFGTN >PPA11235 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:1259999:1261949:1 gene:PPA11235 transcript:PPA11235 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRVQNYNEDHLISLFITLCPYKKAKPIRSLHFHPFPSLGTVVMATTPTKDGKAPPDKPATTPHSDGKDGSKKPSDKSTTPHKDRDGVHVKELGRDFLKLFKRKKKTDGAHTPTSPMSDTQRADQSEKSTKNTKASSGLHTAHSSGSNTDTSATSAEPVDPDKSIDDNSKKIASYHDVADEDDTTVFETSYSPFRVDKRPVDRLQWKDKNALIPVEFGEKKRKPRFVKRRSDKEILRDAIPPEDEKKKHEVRLRPL >PPA11068 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:194289:195071:-1 gene:PPA11068 transcript:PPA11068 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAILMLLLFSISVCGVALFHVKVQGKLLCPTLFFFNANLWHRKYYVNGTSTFEIEGTAGNVKTVKPLITIEHSCGKNYACVCKEFGDVNADIEAIVDIHLENSYLKACPSCSEARKMRGWE >PPA11083 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:258781:260115:1 gene:PPA11083 transcript:PPA11083 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIADHDFNATAEDELSFTRGSVLKILNKDEDPHWFKAEIDGVEGFVPSNYIRMSDHSWYLGKISRVDAELLLLKQGTHDGAFLVRQCESFPGDFSISVKYENRIQHFKVLRGDQGKYYLWDVKMFNSLNELVEFHRTSSVSRSKTILLRDIDSEVKFVQALFDFNPQDAGELSFRRGDIITILSKDGDPWWEGQLHNRRGQFPANYVCPYKRQN >PPA11086 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:276767:278483:1 gene:PPA11086 transcript:PPA11086 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVIRSKLGSIKKKTRDAVHAALARFFKLMSKAISFTRSRSATPPSTPPLLSRQVRTAPRRMHRSPLKIFNSTRIPKTSPRKFRRLFEPISPSKLRSPELVPALRHTPESEDSTEKKVEEDPWLLLENTGNDCFLNAALQYLRRARNLNVLLSNRRVPLVQSDEQERKESVLKMLSELLWRGGRANPRSFREALPTVIRNLEPTFAESQQDAYEILTKMFEDLIPEEVAKQFYIECSRRRRCRNVANCKGSEKVDSGAIHYQHIGRKNQVIDLESLLSDQWTRVVGENELRHCSTCCQCCQAAASGHTEDDCRVCKEDKRPYVEEQRFRLQGTSSYALIAFNILHETERMDWALSDNCDMDEMTLMGHQWRAVALIKHIGIARTYLSHYVAYTREDDGQ >PPA11216 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig20:1095556:1109139:1 gene:PPA11216 transcript:PPA11216 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVREKEKLSLLLAAAIPDPAKLLFHLSTTPRLSEESDHDSESDREGAADEGVEGWMEKREDEGRRRARNGEAEGLDWLSDCIIESCGGLEYVALARSSSVALLGRRGDGLSILFAKPMPMEVGEEISSILLMGVGSRRVSGSIDGIILLVGTSDGRLSLLSESSALLFAHRFVHSPITAMRTTNENVLLISEHEMVQIDRKSLYTLVSEARKMNGGKKRVELDFTVLNRLSGETSMDAVAAPFGPTTMDRYAECSMKKFHGRMERSRGDVVYWLSLRESYGASGVWDSESREGVRDTIVKWGTQYLPTFLQSAMQSPELVPHETKSAVSWRVMGESRFAISLHPAPAGSPLVAVADNLARVAIVESTTGQIVRVWKGYRDASCGWIRVRGEGDKRALFLAILAPRRNLLEIWSAPNGRRVAARQVRGLALLESSSQVLSESLKRGKGADEGEDRLFLLGYKGNLYEIRVAFESLLQLNTDDEQHDRILLKETELVNISFLPSLVASLKTGAARRMALISYIHMARTVEQTEPVLRELTKFESLAPLCTALLSLCQSYSRLRGLCGRKRAEEGEEREEEGGMPDGFDEEAYGELRPLIEKILEEGRIRDESRPPTLFFSPEVLAVRTPMIGLSEFLTSFNMHTSPLKLTLLSDPSPFLSFLFTPLMEQRVDLDEFVCAVAALHIGQSDLSSLFTQFWSLTDSILPVHLTPSIHILGSLVGRSEEPATVGRRKSRTSSTSSLSDAEVKERMEEVARKSTDPLRGSVLLTLLSLFSHHERDDEFESVREDVQRLEGVLRVAPLLSRMSALSPDSEPITIEALMEGGTTVLREQKRNHF >PPA11074 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:224059:225941:-1 gene:PPA11074 transcript:PPA11074 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQYAYAVDINQQATSSNFQCLRSNSYSTVFVRAYKPDGSGSVDYNGVPNINMAYNAGLGIEVYMTPNPTSGKAASAQVDEVVSALVNGGINVRTIWIQVTSPVNWNSNQVTNTNFINQAIQRLRSRGIRPGVYTNNYDWQQITNQASGLGSDVMLWYWNVYSSGVSGETAPNFTDFRPFGNWNTASVKQFGQYESVCGFTCNRDVYPLSGVALKQKFMNTAKTIRDKQIYVGSIGL >PPA11051 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:141292:144405:-1 gene:PPA11051 transcript:PPA11051 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSVAREDRALSPLSAWGDRYEDYANGRYDIPSPPPQRAAATKIVDRSQVSTRRRDSLELARLDAERRTNSAQSLQPAETKKKKKKTKANAAIAAPHPIDPSSDLPSSYALNPYPHDPPIQTPVHPDAFGLEAPVPGLLPRRKKTVAAATVQPTAFEGRKPWSAPTLPPPPDEHSEVLLEAVPIRKSKSLTEPVPTPLAVPVVQPRRTDAVRVGKPYPVNPPVNPARFISLEKGMRRSSSVPRTTSSEQLMKPPPKRTQSDTGDDSGISSTSSSSSTTRVVGTMKKRGKAPPKNFKYYACPDNILRPQTNYSGQPETLVLPGKASKASHLGLNSI >PPA11091 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:293679:294494:-1 gene:PPA11091 transcript:PPA11091 gene_biotype:protein_coding transcript_biotype:protein_coding MNWRHDPRLQKSLEKATLEMQEMENALRNALNKYEKKDTLFQKLMEIQENEAEPLMQECLNSLCEKTMNRGQDHTPEKFITTRQLKVKLHSHNGKRDFVRALSREIGNQFAVFEACHSSFYTNSHNARDVNAAFVEANDFVQQLRAYHLTDEKKKELEKDNFNY >PPA11242 pep:known supercontig:P_pacificus-5.0:Ppa_Contig20:1306724:1308439:1 gene:PPA11242 transcript:PPA11242 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQLAEAQGIPFPSPLSFLPYESSAGSTGGTVSSPSGRGTSSPIGGGGAVPLSPSSHHAVFVSGGDFHAMDVKQEFGDSAGYEYGLYPHYAAHAYAVADAPSSFGMTAGGAVVYPLAPTAGSLPGDIPSYPPSTHQPEPEQVIINYYEQVYRGVFSARPEQPYDPNMFEQFDRREFWKTLSNAITAYLQAGINFAKELPDFKKLGQEHQISLLKSTG >PPA11270 pep:known supercontig:P_pacificus-5.0:Ppa_Contig200:93546:97110:-1 gene:PPA11270 transcript:PPA11270 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRMSISYSPMGVQQNEMRMSSSSFQSYRKYLEESPVKSGKRFNNRSHFTPSSSPPNSSLFSPSHQRSRNLHSDESREDSNRSFDYGNRCGSTLHRNNALPIDSVDPLSGEITYSFDRILAEGSFEIFAKDKSGCHFLQEQYPEEGTTLRYRLFGMLENKEGLFEEMCKDVFANFFVQKMLEKSTMYEQRLIAMWISKSMFALCMNRYSCRVIQKAIEHLPDELKIPLLDELHKEDLVMLTVDQNANHVVENKYGCRVVQLAIELLSEAKLEHISFENIAEAEMREKLLGKIMEKLIDNCERLSSNEFANYVIQHVITASSLAKYRDIIIEKCLLGKLLSMSQEKYASHVVEKALEHASSPMLREMMDEIFDGYVPHPETKKDALDILLFHQFGNYVIQRKLIICSSKTEYRLDDRIREQWLARLEDRINRCTGKLMRYSSGKKILDMLRAARGQPPINHGIGLSSPRHKLSSTNNHHHHHHHSHSNNTSFNGLRVPDLYLSVKYSNHFGPSCLKTKQSFNQ >PPA11266 pep:known supercontig:P_pacificus-5.0:Ppa_Contig200:69112:70388:-1 gene:PPA11266 transcript:PPA11266 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSGMDCDTGAVGCLVYAETKENIKEEWGERRRRRRQSWDGVNAIEVSFGSDEEDAERMEQKESEKEDFPDEEEEEYSMEIVEYEDEEETVEAANKCVYRHLQDELYKYCLLVHSKNEPLKCTAHLGYTVCCCFVFPGASTCDPTRVNKPKFLPTLPPRITSTYRPSTLPLTTTTSSSTTTTTQIPPTTSTSTTSTTTSPISTSTPVEAPKCDVKYIQQLGGKTKMKK >PPA11260 pep:known supercontig:P_pacificus-5.0:Ppa_Contig200:45474:50197:1 gene:PPA11260 transcript:PPA11260 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDVSLYRSFCFRGEGRANIVISAKHRHTNNRIVWRFAKTRKSGELSLKTKSMVVGEFMETMVSPLLHSNYLVKPRTVEMRVEDVHQLAKIPKLEANSKVEDISELESVCSSISLLPLPSIPQNHPGIDLPYCNNCILQMEKCVGKGSTFTSMYDFCPLALFSTQVREQREALESLIRDPHRNLRIFLDGKTVHSNETFLQRDELQSILYPEDDCCLDDLLEGVLSVLNGSKEGGSGDGRDSLLQQLLKGQKMDELGIVKAHQLFFTLSQKEQAEVGRKVQSQGGLSFLQDQSPVSLLKRFFLAATLKDCSIMISLRLIKNDSDLQEETDLIRLPSNKTFAYSVKTEFRPSKVLIVAKTTRYQMEKRLASSVDDETLHSILVNRGTDYNRLLSKHNEHKAYVKYLEQLLKNRNCETRIVERFDYDESAADWADAIFAAGGDGTFLLASSKIQTNEKPVIGINTDPQGSEGHMCLLRKAPMEHVDGAIDRLFKGDFQWLFRTRIRISVTSEGGLGESTPLHSSAMNREPSTTRWEGRGRERDRERSSPRKTSRIVGERKTEDVPILSLNEVFLGESLSSRVSYFQLSIDGGPLHKQKNSGLTVCTGSGSTSWYFNINKLTRESVADVLSLASSSSSSFPSISPSDQIIDKICNQFNEKLMFDPDLNKMAFCIRDPIFNSTFTQSKSRGFATDIKVCSRGYDSHLVVDGGMSYPFNDGSEAHLRVLPQDSLRTVIFR >PPA11255 pep:known supercontig:P_pacificus-5.0:Ppa_Contig200:19522:21956:-1 gene:PPA11255 transcript:PPA11255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-alh-7 MPSSTLKQFSSILPRGSLAYINGNWAEASSRSVFPVTNPFNNDIVASTANCDVDDARLAVKSARNAFAKWSRETTARDRSAILSKWFRIIEREKENLAKLLTLEQGKPLAEAKGEIDYSNSFLDWYSGEARRVYGQVVPAPVLNREHLHIREAIGVVALITPWNFPTAMIARKAAAALSVGCTLVIKPAEDTPLSALAFAQTGEEAGIPKGVINVLPSDRSNSASIGKFLCESTDVDAISFTGSTAVGKILLSQSASTVKRVCLELGGNAPLIVFPSADLDVAVKGTMASKFRGSGQTCVSANRLFVHSSISKEFVGKLKIAMSALKTGNGLENGTTQGPLINDRAVAKTEELLKDATDKGAKIVLGGTRGTGCCFEPTLVTGITTDMRIAHEEIFAPVAAIHEFEDETEVVSRANSTRSGLAGYLFSKDQSQIYRVTRSLQVGMIGVNEGLMSCAEAAFGGVKESGLGREGAGQGIDEFTQWNSSLYSIHLFPKPPSANSLMCPFIASL >PPA11269 pep:known supercontig:P_pacificus-5.0:Ppa_Contig200:88396:92870:1 gene:PPA11269 transcript:PPA11269 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPCFKKKKKGSVNLEELKKGFSTDMHSIPLEELISKLNTDIKTGLTATEASALLAQNGPNSLTPPEKKSMLRLFAKNVFGGFNMLLWAAGILSFVGYAVESLTPGEEASQDNLFMGITFVFVVNVTGIFSFYMDRKSIILMDGFNNMIPPMAHVIRDGEEKDIPVSEVVVGDIVTIAGGDKVPADMRVDNSSMTGESEPQSRNNQCTSPNPLETKNIALFSTNVVEGTAKGIVILTGDKTAMGTIAALTQSVGGEPTPIAKEIDRFVKISAIIALFVGIVFAVLAAVHGSTWIKSVIYFIGMVVANVPEGIVCTVTAVLTLTAKKMEKKQCLVKRLDDKTGTLTQNKMTVTHIWCNGMIDLTEVLPPGERKTGQRKHQMKADSANPEFTGDASEQALMKYCDLVHEEGILSYREKFPKETEIPFNSTNKFQVSVHRDTKNNKYIVQMKGAPEKILTMCDTITLNGKNRPLNEEVKKDFQSAYEALGGYGERVFGFADLELDTTQFPEGFKFNSDPPNFPLKDLRFVGLLSMIDPPRPGVPHAVVMVTGDHPITARAIAEQVRIISEGEEVAEIVEDFPGFNGEDEKYGEGRLKKTRAVVVHGEQLKKMTPTTLTEILANYEDVVFARTSPAQKLQIVEGYQKLGQIVAVTGDGVNDAPALRKADIGIAMGIAGTDVSKQAADMILLDDDFSSIVTGVEEGRIIFDNLKKSISYVLTSNVPEITPFASYIIFGYPLPMSIVAILMIDLGTDLWPAISLAYEAAENDIMRRPPRDARFDRLTFEARKMLEKCCHGVFFISIVVVQWADLLVAKTRKNSIVTQGFSNNSLNFSIPFTACLAMLLMNTPGLNQTLGITRIHVDIIVMAIPFGLFIVLYDEIRCYFIRRYPTGWVYKETYY >PPA11273 pep:known supercontig:P_pacificus-5.0:Ppa_Contig200:104043:106714:1 gene:PPA11273 transcript:PPA11273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pbs-3 description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:H3END1] MSIMSYTGGTVLAMAGDDCVCIASDLRLGEQMNTVAVDQKKVHKMSDKVYVGLCGFHSDAKTVLDKIMFRKNLYEMRENRSIKPKVLATIISNLAYQHRFGSFFTEPLVAGLDPVSHKPYICAMDTIGNICTPEDFVAIGTGAEYLLGVSESFWRKGMNSEELFEATAQSMLSALERDAASGWGAVVYTITKNNVNIRTIKARMD >PPA11252 pep:known supercontig:P_pacificus-5.0:Ppa_Contig200:9879:10714:1 gene:PPA11252 transcript:PPA11252 gene_biotype:protein_coding transcript_biotype:protein_coding MTPITIEPPLHISKWVDYSNKYGFGCLLSDGTTSVKFNSGEIIALRNDCTGNHLRMVDLTKNYKIYMETQLAKAARVGETRENRRWNEMSTPYITHYSKKKKLVLVMLNKFHIRAYQDDNISGYIQFQSDLIHRSKWNIHYISYYFTSFFS >PPA11280 pep:known supercontig:P_pacificus-5.0:Ppa_Contig200:133724:135189:1 gene:PPA11280 transcript:PPA11280 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSAYKLELRKRRLISHRAWVQKEAYARKKSLQRTGNADMAVLVEPVMNTYKEQEDELQPVAVASLLNATREEEGEGRRGRPWSLSDPVFTKGVWCYDTPGTVNEEQILDILSLRELINVVPNRLLRPRTVILSPGKSVLIGGLARLDMLEMKKDRPVWVTVFTNDSLPLNVMETERIDAFMKKMRGKAVMGAPIGDSSRLESLPPMEGRIIYVEQNEEMRRERKEKDESLVGLADIVFSSIGWAMVSTHARKVSFSASLPGGRGISVRDPPILPYAALLRGARIPGSDLYKVNPPEFPVNIKRLEASKNRRKFNIPRKEKNRDN >PPA11281 pep:known supercontig:P_pacificus-5.0:Ppa_Contig200:139837:158912:1 gene:PPA11281 transcript:PPA11281 gene_biotype:protein_coding transcript_biotype:protein_coding MVSESVNGSLEGLIEEMIEQYVNEWYGGGISNDRAFLNEIRYQVRFLCSKLVVATQSIDLPSFILERLLPPISLHVRRVIDAQKKWETQNDDKLQKDSTPSTIESKIANEMGDLHYGMSSRDNEVEYLRQIVEYLIPYLLDDKRISGRSSDEGSPAHQKKRVILKSNRWPSHSARHLIREVITHSVLLPLLDLIADPDTINHLLCLLFDPAPTSEEPLDTLLSDARLFSMFRLYLSDTGGPVNELKFLAAAKRIHEKMQKKDESSSETTYEVWQIYTAYIHESANDKIIFDADTVASFQSAVEGNDIDRLFKIIEKTYQVVYSRMQWEYVVPFCQSENFMGMLCGSPPTAMDEWEDVINMNPSIQDTRDTPEKAFSFTQFRNQLFSAIKAVSVDEEEEEGIEERDIEMEEEERPLSSIQSCPSIDISLSSDLASPSSGRSIDLFIDPDKRDINRWRVTIPTVVPVKDQVNGKYYYAYNIDVLRLDGDENETKTWSIVRRYSEFYVLENKLQEFHSDNLTFSSLPPKKNLIFSAQTREFIDQFRYNFAAFLSGLCKQTLLARSDLLFAFLTSRDEFRDSLNFSTLNPVKMVRKMPSKLSRQKGQNLKPFLLTLMANVLADANVNGFDEEENGEERGEIDSLSSVDSAPFSLYSTVYGNNFCELKLEEKEESLKKDRGEKWTRSTLDSIILLCLPYLSASIDFFPSGIRPFINRIVFYLITLCSYKSFDEAILILFRRVLDKGLCDVNIMSMIQLTQQSLFCREDVIVTEQEKALRMELADRRTKQFIEENIPSYWLLESSK >PPA11267 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig200:76070:77698:-1 gene:PPA11267 transcript:PPA11267 gene_biotype:protein_coding transcript_biotype:protein_coding MMEILQQSIARFTSPHSLSSADRILNNRSDGIEIAFERAKTSSRYWKELAIFVKGRLQLEQEHAKKVTNLVEQTRLAITESFLPLRSIFESGFDCEFDFSHTVKETIEHLNDRFLKIEAENEEKRAQVSLQMREETLKKLRRDSSRNRDADKRKKMEDEAITKIEESQRTLLIARAEVEERRREKEMTKERTIECLRDLILQCDQTTKACASHYFKALSSLWMGVPHRLAELAERVRIYEPGGEYMSLVHSLPKERTHSRLSFLLLMIRYYDPIAKLK >PPA11279 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig200:131311:133218:1 gene:PPA11279 transcript:PPA11279 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSRSAKTNNLDGIVESQWKVESEAAERIIRANPDQFSKILNRRREEEDKQIEIMEKNDEKERKDEETFPFRADGKIEKSEEGESLPSSSFSSSIPLTDQGFFISGNLYIDEYSSATISDLPEDEMTVASREVFGADDAIETIDFQLPGQDLKDSSAYSSGSSFDDIGSLGEDEMIHLKSERNCGGCGAHFHSMDDSLPGYLPPILFAREEKKAERKGGDPSLCKRCHLIKNHHFLPNVNVCPVDYATMLSKLRGRQEVLILLVVDILDLPGSIHRALPEIIGKGKPMIVIANKVDLLPPDAKCGYLKR >PPA11256 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig200:23389:31332:1 gene:PPA11256 transcript:PPA11256 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEADNPQLSEHESGDDSMQEDSMVAPNQDGEEGDDVEMEEGEGEDEASSSKPRKGKKGKKGKKKGKKEVGPDPNITSSADLCEYYELEDADANLEDEDYTTITTLKAFTNRVRPTLQTANPKANSTKLLPIIQAKYREFQEEQAATGRTPAKKTPREKEKKEKTVAPIKIRISSRGKKKRGSGDEDDSDQEFEHLLKERDAQLDKEEEEKEEKRAQRAAQRAASKKAQLEKVAEARKAKKAAKKGDEDEEDHQDYCEVCQQGGEIILCDTCPRAYHMVCIDPDMEEAPEGIWSCPHCEKNGPPPVEEDPKKGNMEHCRVCKEDGGLLLCDRCPSSFHAYCCSPPLEEIPEGEWACPRCTCSLPEDKQKIDKILSWRWLVVPYPDPEPEKEGDEIPLKPTKKMAPRKERELFVKWKYRSYWHCEWLNEMIVDVFLPQTLRMYWRKYDPECPPEVDDGSNEDMETGKIDGREKEDDPHNLEERYFRYGIKPEWMMVQRIINHAAFGKNQFDYLVKWKELVYQDATWERDDMDIPGYEDAILKYWMHRNKSVGDIIPKSIRKRLDAKREKEGLPPFDSFSEKDSKKRDKPTCDLRKKYEEQPDYLGDNGGKLHAYQLEGINWLRHCFSNGTDAILADEMGLGKTIQSSTFLYTLMKENQSKGPFLVAAPLSTLINWERELEFWCPDFYVVTYIGDKESRAVIREHEFSFFEDAVKAGPKATRLKGDRPVKFHVLLTSYELINMDKAILSSIPWSALVVDEAHRLKNNQSLFFRTLREFNINYRLLLTGTPLQNNLEELFHLLNFLSPDRFYDLESFTHEFAEISKEDQIQKLHSLLGPHMLRRLKSDVLTGMPSKSELIVRIDLAALQKKYYKNILTRNFDALSVKSGGSQMSLLNIIMELKKCCNHPYLFPKAGMEAPTDEKGRYEINALVKSCGKLVLLQKMMRKLKDGGHRVLIFSQMTRMLDVLEDFCEGEQYKYERIDGGITGQSRQDAIDRFNAHGAQQFVFLLSTRAGGLGINLATADTVIIYDSDWNPHNDIQAFSRAHRIGQQNKVMIYRFVTRNSVEERITSVAKKKMLLTHLVVRAGIGQKGPSMSKTELDDVLRWGTEELFKDEVEEKKEEEGEGDGKKKANEHEIVWDDDAVDALLDRTRGEEVKKEGEGGEGKEKKDWTNEYLSSFKVATYTTKEAEDEVEEEEEEREVIKQDAGVADPDYWEKLLRHHYEQDQETTAQKLGKGKRVRKQVNYAAENMGQSDWNTQNNDEDYSMESGEEALSEGHGSEDGDFDGEKKKRRERADEKLPPLLSKVNGQLEVLGFNPRQRRAFYNAVMRWGMPPADAYQSQWLEGEKDGEKKDEEDGMKKEDEKEKGDEKMEGIGESEEKKEKEKDEVKKEENIEDEDFDEDRKKKFKKKSGFRFNIADGGFTELHSLWNSEEKAADNGNENDIWHRRHDYWLLAGVVLYGYGRYQDVLVSKEEGEWERDENGSIQADPRYAILGEPFKTDAGKGAFLEQKNKFLQRRFKLIEQSLIIEEQLRRASHLNLSHHTPAEGTTQFADRFAEMENLTASHTQVFKDGTAGGNRNANVVLQKILNQLEELLSDMKGDVSRLPATLARLRPVTQRLGMTERAILERLTTKDGDAVAGQSPIPPPGPFVTPTVGQQLNGIQPKFAALNSRVVEEQTVKRKAEEEKKKEGEGEEKNEVKEEVNDEPSNGSKVEEGIVPSVRDEPMET >PPA11282 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig200:190951:191730:1 gene:PPA11282 transcript:PPA11282 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYLGRPDATREAIDEDGWMHTGDIAYVDSFGSTYIVDRLKELIKVKGYQVPPAELEDLLLSHSGVADVAIIGIPDERDGELVRAYIVKKSDNLTEEEVIDFVAEKVSSYKHITGGVIFIDEIPKSPSGKILRRVLRDQSIDEMNK >PPA11253 pep:known supercontig:P_pacificus-5.0:Ppa_Contig200:11889:15038:-1 gene:PPA11253 transcript:PPA11253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-toe-2 MRIADTFIYFGLSFYSTNLSGNIYFNYAAIGAAEIPAYFLLFKFIKRSSIMGHTAYLDENEDPGNPQFKGINYMKNLKAKLADHFTRSFANQLSGFEVTNIVESYIRSNSEKRCDGSPRSSAVRVSFLMLQEGFIRPIVGGETTFNEDRRTFYRLGNPDEVQSVVILPRGMKSRESSCEREKPQRRSSFSRILQSPLLRRGSVSRTSSKFSLSSSSSSDKSTDQDELIDDETRLFEFALARLLTLVDIPQIEELVDMQSHCGDEVGSTANLLTSILSKMGLWGEEEGGADPSTIVLLKKHRRAEMVHSYFKAVRFMCPRLQIQEDRSMDEYDQVEQWGRQALKAVSNRLDSITRNGYSPLIPREFSKVVSSIALLSKQKLSEAVVYLVRFE >PPA11251 pep:known supercontig:P_pacificus-5.0:Ppa_Contig200:4629:5968:-1 gene:PPA11251 transcript:PPA11251 gene_biotype:protein_coding transcript_biotype:protein_coding MTINNQPRQVQPGHMDKQRPVQRRTSFPTIELSVIVLLGIVLQIIVGLGSYSGRGRSPMHGDYEAQRHWMEITHHLPIKEWYRNSSNNNLQYWGLDYPPLTAYHSKIMGWLAHHINPSWMALEDSHGIETESHKLFMRMTAIISHLIIYTPGVLLWLSTRQTAITVHPV >PPA11283 pep:known supercontig:P_pacificus-5.0:Ppa_Contig200:192250:195131:1 gene:PPA11283 transcript:PPA11283 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKSPFASVPVSKESLPEKLLRAIWHHGTAHPTKPALVAAFDTTKYLTFHELYTQIHSVRAFLRVRGFKQGDVACLVLSNCIEWPVFQLGAQAAGGAISGANELERQFLDSRCSVVLTDDAHIEKTVICLRSSTHSSHLPGHIIEWSEVISFRPEYDIAKVDAESMVVLPYSSGTTGSPKGVILSHRNFGTMIEITKDHFDREIISTIGPSNHSWYNESFILNLPFYHIFGYGMMCTALFIGATGVVMDKFEPKLFLSMIEKYRPRLLFTVPPILIFLAKHPMVNEFDCSSLEFVLSGAAPAGKDICDEFLARHKNIVKLCQGYGMTECGMASHLPDFSLKDAHVGVGKVAANFEQKIIDVGTGKEVAAGERGEVCVRAPTVMMGYLNRPEATAETIDKEGWLHTGDIGYMDPDGRTYIVDRLKELIKVKGLQVPPAELEDLLLSHPLVRDVAVIGLPDKRRGELVRAYIVRANESLTEDDVIKFVAHKVSEYKHITGGVRFVEEIPKSAAGKILRRQLREEAAKETKSKI >PPA11257 pep:known supercontig:P_pacificus-5.0:Ppa_Contig200:34615:36177:1 gene:PPA11257 transcript:PPA11257 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cnt-1 MLKLVAVMAELGQTYVANFYKLSVCVNQMSDESFNGNPLAAPALRAISEAYAQTVHLSKDFFQNSTAQLQTKLQSFIKTELQKVGEARNHFEAMSNNMDEALTKNSSVSRTKPSESADGRNGVVSVGACFAHTTLDYVAHINIAHAHKNHVVVDALWGLVKEYSLFFHRGHAFFDEWTKYSKIFV >PPA11258 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig200:37998:43238:1 gene:PPA11258 transcript:PPA11258 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDPDVVMEGYLYKRSSNALRKWNRRWFQIKDSKLLYSHRSSDQEEPTVMEENLMLCLVRPAAASVDRTACFELVTPTRSHLLQADSEMTCNGWMRALQRTILHLHESHQKAVPSRDSSLPSSNGSSIQTPPTNANGPSTKLYELLRKDKTNRCCGDCGEADPKWISINLGLLLCIECAGLHRSLGVHVSKVRSLTMDSFDSETQEMLAQMGNTLANSVFLSHLPSSSNLISPPPATPSSNREEREAWIRAKYVEKRFAAAQMRKSSTCTRLDEGTKENGMEMKVRSSSSDNLDEWNGNVEKTRLEVKGISNGRTSE >PPA11275 pep:known supercontig:P_pacificus-5.0:Ppa_Contig200:109808:113300:-1 gene:PPA11275 transcript:PPA11275 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cct-4 description:T-complex protein 1 subunit delta [Source:UniProtKB/TrEMBL;Acc:H3END3] MAPVAAAPSAAKNGANTNFKDKEKSGSVRSSNIVAAKAVADAVRTSLGPRGMDKMIQSGNGDVTITNDGATILNQMSVIHPTAKMLVELSKAQDIEAGDGTTTVVVIAGALLDAAQKLLVKGIHPTAISESFQRAAAQAEIILQNMATPIDLANDELLVKLATTSLNSKVVSQHSWLLAPMAVNAVKKVLDDSRPDNVDLKAIKIIKKMGDTVEESEMIEGALIDQKTMGRGGPTRVEKAKIALIQFQLSAPKTDMENQVIISDYAQMDRALKEERQYLLDLCKQIKKSGCNVLLIQKSILRDAVSELSLHFLAKMKIMVVKDIERDDIEFYSNILGCRPIASADHLVAESLGSADLVEEMSTGGDGKVIKVTDIQSSGPAVSILLRGSNKLVLEEADRSLHDALCVIRCLVKKRFLLPGGGAPEMEVACKLREKANERGGAEQYCWRAFADALELVPYTLAENAGLSPIHTVTELRSQHANGHSNYGVNVRKIREYAPIVPRSLNGE >PPA11265 pep:known supercontig:P_pacificus-5.0:Ppa_Contig200:66598:69022:1 gene:PPA11265 transcript:PPA11265 gene_biotype:protein_coding transcript_biotype:protein_coding METYLAGEPFLLTIFLLLLFLSLSLFALAVVITVCRFLGKCGGRRYQPFPISSRRFLFLILSFIFSWLLLATSTLNFIFSTASFFGQRNPSQLVSVPIKPLNLDYPDYDRGKRESILTSLFNDPDYDFDKIEEIPEERTTTTTSSPFITETTTRVVPIGGEERRREGGGGRGRGDPMVVVTHNGDIDENGQSREKELIDPIEEENEEDDEEYIEGEDLDDEETTDMSTTVFRARLTTSRPTVPTTEATVPSTSVTVPPTRSTIPPFRETTPHWVSSIGEGEEWNDILLRGENLPPATTRKISIQRVTTTHLPSTLPSTTTASTTTTQTSTQTTTTRRPTTTRTTTTTEAPTTTTEEATSVSVRTEFPDYPSFDGFEVPTTTESTTLGTTTKMVETRRPVQSRLPTHEEMPSLSVPESFAPPPSVDYSPFDRFDPTLNGIPSLSSSNPTLSNDLPDYISFNDVDAIPIQIGHISQKGSAKSGRPNLSLLSHRRQFESLHTDSYRSTNRFFGLLLALVLIVATLPSLLLVVLGAMYYGRGSHPMDRSKLSDIIGVLSVIMSLFIFFVVPLLLLYSTIGLLFCHTHHTLCPLVQPPTDTLEDVVLVMEGCARVQTPLIRMSQSSLLAAVSAFPVAVLLLHLSNYFLRMRREHYWTHSDSDLM >PPA11284 pep:known supercontig:P_pacificus-5.0:Ppa_Contig200:195491:196361:1 gene:PPA11284 transcript:PPA11284 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSLSLLLLISILFVSSSSEENEEGIKNGHSRNHYHHRGKHGRFHSHQPQFLKEVSKGAKKEYYKIIFARNSTRGEIKKNLVEWSKKNGVEKQLVAHNEKREFFFSEHNKNVTEAIPKLAAAQTSVLSILKDESLTRKQSWEKINELTKNYSPQLKALVWAMKPHRGGYRGMKGEEKKAGKKEENEEVKKNEKKKDDEKESEEKSEEDI >PPA11277 pep:known supercontig:P_pacificus-5.0:Ppa_Contig200:116238:118100:1 gene:PPA11277 transcript:PPA11277 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVDTHVVPQESDHKIDDQPEIHIIKPRVPSSLLTPSHIQYSLRHFFGIPGAYTALDQNRLTVLFFNLGSLDILNEIDRISEQRQQLVNWIYSLQITTAEGIAIRVRMDWLTGVTTTRKSPSPPPLPPPPPPARSQKKRVVVVENDEDYNLPSTSKPSFSSPFPFTTPHLPQGIRQALPRRPYLNLGPSPARPPTKEQETIKDGPVSIPYYEMNVPDYGIYTVATPSVFGGLHYDSYGIDARLISQYNVSSFLRKPELGGVEGRIRPLIGVRKDNGTLAHLPGLQSFSKFEFPSNGDDEERRKRNLIAASKRKEGDKTVEIWTVNERSRRKKIERLSMKVKKDGGLRKVKIEDEISMDGIIVELRRVEWSEKAVWIRKKGGGISIVSKGDEENELIEMKIEERNVDNNAFFWI >PPA11254 pep:known supercontig:P_pacificus-5.0:Ppa_Contig200:16745:19470:1 gene:PPA11254 transcript:PPA11254 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCHPSLLFFFLLLSMSNAAPKVNKKHIFKLARDLSEAKRLKQPISCVGCIALAAPLATIAKLTPTEGIILWFAKMICSINQPMEVCDGITQQFRGEFFYVFRRLANEPSKICAALIPGCVDPSDPDGEGWNIPLPPLPRSLPSPSASSSSSISPPNVLKVLQITDIHIDFHYESGSEAECADPVCCRGKNSRNWANPSVSAGFWGTIGKCDIPYRTMENMLKHISDTQHIDYIMVGGDIIDHYDWKYSWEETLEVLQNVSSLFKTHFPTTPIYWALGNHEGVPVNSFAPHSVNEQFWPVHLYDQLTEMNKPWIKEDGDNSSRFFLYLNQSDPDGTMTWFVDQLTKAELSGENVHILAHIPPGYYDCLEGWSRNYYRVIQRFSSIITGQFFGHVHYDYFTLFYEDMNDVSSEPIGVAYVSPSASTFDYLNPGYRVYTLDAENTFKIIDHDNYYADLSFADENTEPEWKLLYSARQEYNITDLSPKSWNAVADNIFTNATLTDRFFQLAYRTESPRCDSSCRHYQLCLLRSGHHNDDLYCPPNHLETNRVY >PPA11268 pep:known supercontig:P_pacificus-5.0:Ppa_Contig200:82449:87866:-1 gene:PPA11268 transcript:PPA11268 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLTASHPQQLFPLQVYLKDYTFDNTVENLGSTRFMKVARAANSAGCQVWKVFVSPDFSVNLEIYRSEFDRIANSCLLPNCSPFRHIPKLDDANKSVIICRPYHKLTLTERLTTRPFVVPIERIWYTYQDDPSVFSYFFDTSRRQTCYIAPERILNPLDYKTKGELSSTKLISEGLTATMDIFSAGCVIYELFSDGHPPFTYGSLIKYRKMSKEDAEKELDDLLTKCSCGSFSPILKRMLSRNPKDRPSASTILSEHANLFPEMITYLYNYINIYRPKDILRSSADDLLSNVMEADDVIAKLLHDKEVISNRLSSPDSPPDSAVFFISLITSNLRAIRTASVRISAMRLVVQLSRYSSASIAIQRVLPCPKWKIQIYIVMNVEEGNNFRPQQFNHLQLNNGILERLSVLVLLSLAKYLGRLAECSLEFSRVKKEKRWNREEDETQAESDTDDKKSLCNAMSNLFSSLHSKDNEVKLVLVRPKSLKRLYHFFDVMGTPELLLSHMITMLNETKDWRMRVAFYESLPLVVKTRTIASSGIDSLLQQNESIRGETAEFIVSLHSILSLPDMHSRILPRVLPYLKTSHPLIGLNNKGNGSGKEITFRDLLDNRDSKSKDEGIKEKLKRMEKIVDGMIEQREKNRGEREWKERGKVDLKDVDKKEFSLIASTKDSFATSGHATMPMSVYDAYVEELLGYKKREVEARIHSFSLPSPSNTGKGAIEKMKGIVVMHLHEHSKGITQLSVNEEGSHVASSSSDGSFKLWSCSQMGGDSYGAVRSDATYSFKSTRDDRSWALTGIGWTGDSQLVVASSSGELLWADTNTEVKEMSRICLPEEDGGIEQIISNGNLTFVRTHHGIFYCYDSRVGKTSNGNGGGKHCVWRKKASNQQAKITSFCIDPHSANWMVTSGTSNKLHVWDMRLLVEVMSFPYTSRKGLPVRVWSTRFATNNFSEPHILVGNSICGEISLFNVTSGLRSDENTRLFQ >PPA11276 pep:known supercontig:P_pacificus-5.0:Ppa_Contig200:113790:115918:1 gene:PPA11276 transcript:PPA11276 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRKYKPVSQWGKQDTRIFKAILNARNARKMPVFRVPDAVKALGVKVHDPTLPGFFDSEFVQRTEKDHRDFSQSDVTAHPLYSDTPCRLFEGSEPMSDGVDQACVISKAVLRTEFPDEIMKNALEEIDEEKVRDAILHGERYDPTLEKLPRRFDPVLFWVKHPRVHGTPVVKRGNIILDNLFRHIIFSAIGKEKLKPTQIKFNRDEPFSTTVHSAPYFLQSPLVIRGQPHLTALSVTHTTPWASKEDVELTRDESIASIAPLSPLIDFGSDHIYNKESVVARPSENLSLHSIAWTREQSQKYPWTSEQNAANAVLYTFGAAMSEAMKRGEKSLAESPVVSRGIQLVNGRLDLVAVQVNTLDLSQKEEKKNIVWLHKGVRLYMTKPYFEQMTEVEELNMDAVTKLSALLLS >PPA11261 pep:known supercontig:P_pacificus-5.0:Ppa_Contig200:50612:54192:-1 gene:PPA11261 transcript:PPA11261 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-169 MFVEKKENRLPCLHTIKVPEGVDWKAVQSNLFGQGIEVAGGLGATMGKIFRVGTFGQNSEPAKAKDVVNKFIQMSSPGDNGEKKEGDNGENKSTPPPKVEISDYVVVQDEDGGEPMELPVNKEDNSLGMSTLTSAFPGSHGLKFKNPKTGASRALMMDSSGTKFLAPPDGWENKTFTVIFPPSRGAGEAKRRKFGDGSDTEEDEGAAKQKRLDDKPTDLICLGVNYTTTGETFKKHFEQFGEVVSADLKLNYEGKSKGFGFVLMNTLEEQDNVLNQDKHEIDGRRVEVKIPDSRSENFRNPLLPREQSTNKVFVGRLPETTTDELRAFFEAEAKKLNPHANITDCFLPRPFRGFGFVTFSTCEMARKICVANNFSMKGSPLAVSLAVPRDDPAGGPSPVGGRGGGPMRGADRYPTLSPQYGGGSMSSGYGYGYGGGEFGRGYDDWQPAPRYVGSWEGQNKSPNSRPLGGTRAGPPPAHLTATYGTLSQGPPSHGGGGGHGYGGPSTPQPNYGSPGGGTPGYGGGPGTPSSNYGGGPGTPGGGNYGSGLNQSLSMALAGNIPGMGVRGGRMNGGGGHQPLNAQPASLATGLDALNLNRNNNPEVFSAAWAVFMNTLKNGQNQGPPPNQQHQNKW >PPA11271 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig200:97294:98894:1 gene:PPA11271 transcript:PPA11271 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDYSKWKNIEVSDDEDDTHPNIDTPSLFRWRHQARLERMAEQKIEKEELEKKKGTTSSKIEDLNKKLADSSLSEEEKKAAEAEIAEIKKQEEDFRKKEAELEEKERLQPWNVDTIGTVKIDKTRITKVGEKKPETKNISDEEDSKRMYKYFDEHESKLKVFSRLEGLDKTEEYMLEYPLLASEYAVNWITIEALNLAIEDKNDKEMCVVAEQNTVLQYLLELAKSLKAEPTNTNMIKNFFKKFKAADPQYMKHFHDEVASFQNRLRLRAKQKRDDALAEYEAEEKQKRIAEAPGGLDPQEVFETLPVEMREAFESREISRLQDIAANMDEEVFSYHLKMH >PPA11286 pep:known supercontig:P_pacificus-5.0:Ppa_Contig200:198899:199373:1 gene:PPA11286 transcript:PPA11286 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAKQQMHSKNSDERASSEEVEGSGLMPERHHHSKREVNSSTLDEAANLLMFAMKREAHLIDDSLTVQNLTTAPIRPSIVNGVWNFLDSSH >PPA11274 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig200:107351:107649:1 gene:PPA11274 transcript:PPA11274 gene_biotype:protein_coding transcript_biotype:protein_coding MRILFTSSLLIALFVILLSAIPSQSYIIPRDSDMEYFVPAPVMKKSLRRLAGSNSRNCFFSPINCVITHDASTYRKLAGI >PPA11264 pep:known supercontig:P_pacificus-5.0:Ppa_Contig200:63367:65303:1 gene:PPA11264 transcript:PPA11264 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWSQYYTAKGYGLIRIWLGTRPLVIAFRPEYAKEILESNTLISKGPEYGILAPWLGTGLLTSTHEKWRRRRKLITPAFHFNVLKQFLPVFNKQCKRLMGILSEQELQKDIDVGPFIKRCALDIICETAMGCSVNAQIDTSNPYVRSTARLTKIVFLHQRMPWMWIDAIWKITGYDREYKENLKVLHDFTLQVIRKRRSEYRANKEQLVKREKKAFLDLLLEMEKDENLTDEDIREEVDTFMFEGKDKTRDVTSEDLVQLRYLEKVVKESLRLYPSVPNLTREVEEETMLKDTKIPAGVTLLVSPFVIHRNPEFYPNPDQFNPNNFNEESMASRPSYTFIPFSAGPRNCIGQKFALLEEKTFLAWFFRHYIISSRIPFEDNLVCPEVISAPLLGFPMRIIQRE >PPA11263 pep:known supercontig:P_pacificus-5.0:Ppa_Contig200:57805:61899:1 gene:PPA11263 transcript:PPA11263 gene_biotype:protein_coding transcript_biotype:protein_coding MANPEIESELGEDEEEEPIRASSSIDWSSLFSKANGMLNDDDMDDDDQEVLNPAERAVQVLKEDKQSYWIKTVRDIAQLMETHLDDTVNLVLPQLQETLREQKEILDLHCEAAVLYKELLRNETLLEKQPSLVMTLLDYIVDNANRQKENPAWLETLTDITDTLPLDIVKSVVVPIFIQQANPSQKVQKRLMATKLLEKLVRIVSPYDIRHEFEKPIQQLSMDDYGTVRIAMAQRLTVVAERLDKCSDVSRIVLPCLVSLAEDDDANVREAALPSLVGLIHRITRDTKRSVVTPFFRKLVERGMEKKDDRIGLASRYFGELLAYLFDDMDILDQTWALNSYIKLVSLTKTDLVKMVSARRSCAYNLPVRISIASSFDQLLQMCTEKKMMIGPFIELVRGGSIEVVAKIASRFSSILPILYKSVSEAPPSDNLSPISIDRLILGCNQLLQSCGNWRAHESLLHSISSLVTLVEHKTLFHTFVPLLKTQILTVRGVPCREAASRSLLILMRNLYKEEERIEIIDFFNTDVAHHSNSYRRMIYLESVVQVHSIFSNRFLHSHFLPTALSLSSDPISNVRLKLCMILSVLKSSFVLPESEELLQKCEGIVRNLMENETNPHSNCQLNEMAREFSRADTGKRKDAENERRENEEDELWNEKRGEEIEEKRKKKEDQSRSLIESSCSPLRMERRSIAVVRPQPVVHVRWHSPAPSPSPSPSSSSPSTTPERKSRLPLSTATTTVKPRSSITTSNASPPSSPCASTIARDRLRGQSETRTTSTTSSSTLGKGSTTSSASSYAISKSQSSSHLRRPSFALSKVSSSSNIERRPASMSMRIRNINII >PPA11285 pep:known supercontig:P_pacificus-5.0:Ppa_Contig200:196728:197116:-1 gene:PPA11285 transcript:PPA11285 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNPQVRRQTSLTSVAYDVGVTWIIKKRTQDGFLSAQVIYGQERPHSRRLLREFTLNHASQDFGGWMIYFVDQDLSTTREGQSTPQSYEESIPCPIITKYEDPNPLPMMFPPAGLLP >PPA11262 pep:known supercontig:P_pacificus-5.0:Ppa_Contig200:54497:56441:-1 gene:PPA11262 transcript:PPA11262 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIPPPECLFKEMNIPNRQLFGPGPSTMPPIIGSSQAQPLLGHLHPEFVEIMSQVRLGLQYVFKTRNAYTFAVSGTGHAGMEAAILNLAERGETLLVAKAGIWGQRAADLGRRLGLKVEVVEVGDGEVVPVSSIEEAAKRVKPAVIFVCHGESSTGIVQPLEGLSKIAHDNGGLILVDTVASLGGAPFDMDALGVDCVYSATQKVLNAPPGLAPISFSDRAIQKIKNRKERVASFYFDALELGNYWGCDGEMRRYHHTAPISTVYALREALAIVAKKNVSSTERGDMEKWGFAALLHRPI >PPA11272 pep:known supercontig:P_pacificus-5.0:Ppa_Contig200:99446:103200:1 gene:PPA11272 transcript:PPA11272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ulp-2 MVELDKENADRLTQAGTSCTEKFKSRELELFVHKIFFGPIELNPFSPVTFKWDNNPDVYLTIVLNEASSNGVHNAVADDESKKSYTAAELRDYIMNNLQAKFREDGNERYWKIRKKHPTVDHCFYDVIKPDEFNKYLKMVGIKYNKHKNSVTYEGAAPFLPGKKSEQKTGGQPHEQPLPNHVHHRHSNHGQQPQLTLSNLSIDQKKSSGQGTLKRLRSRTEKEKSPPQEMIRKEEESRHEVDDEADDEELDEEDMEYDEDEEEGEGVVGVEDEEMREEYSESPTDKKSSTPEVVDLDSDDDETAVSPAKKPMPRDILMTYKCTTIHFEDMKGLFFDEMVNDTIVDLYLSMMKDEIVKPPIRDVTHVFSCFFFKRLTEGMTPQMNYKPGDKPAHFRFSSVERNYTVLSKWTRKVDLFKMDYVVVPVVDDLHWYLIIIVKPHKCIVPANGGMVDNVKARRKGYTGKGPVTYAIMLDSLFDAGDQKRQTAIDIIRDYLELEYKNKKGGSNDGNVFDRTRIGLLRPKALPQQSNFLDCGFFLMKYAETFLSNPPSDDKLRKGILWRDWYVGFEISVRYMRELVARAIQRKTDKKVWKDYKIFEKSRRDEWDPARKQKEALHIARCRRYPSEEPRPNGQRRVRHHSEPSSLTTPMTSHFH >PPA11278 pep:known supercontig:P_pacificus-5.0:Ppa_Contig200:128645:129158:1 gene:PPA11278 transcript:PPA11278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ddl-2 MDAIRKAGGTKNAKLRSSAIEGKSGGKQLEEMEDKTSAIGKKSDGGGDLMSSLAKALEARRKVMTGKDSSAAPIGRKKSSISGVMGRISELIPPPPDDGEDDDDSTEEW >PPA11259 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig200:43810:44176:1 gene:PPA11259 transcript:PPA11259 gene_biotype:protein_coding transcript_biotype:protein_coding MTWVGQTSATELLLVNGAKVEMKDEEENTPLHVAAIGGMTLGVCQLLRRGANQNECNGKGSTPLQLAVDGVHADIVTM >PPA11250 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig200:2803:4311:-1 gene:PPA11250 transcript:PPA11250 gene_biotype:protein_coding transcript_biotype:protein_coding MELYHSLPIFVYILAVSLKLYYHETDKTIIVDFLISAASLWKVAFTTVCTFLVMWTPLAFYGDENSAFDAIKRIFPFERGLFEDKVASFWCAFNPLFKFTEIDRQLMMKLSFLVVEYHFVILYQQRNTS >PPA11287 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2001:293:678:1 gene:PPA11287 transcript:PPA11287 gene_biotype:protein_coding transcript_biotype:protein_coding MICLCEWVCWAEKLHRETFYLAVDYVDRVMAKGPSLEIFVQIGKYQLLGTTALFIAAKFEKDGWVR >PPA11288 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2002:210:490:1 gene:PPA11288 transcript:PPA11288 gene_biotype:protein_coding transcript_biotype:protein_coding STDSYTNWEADEPNSAAVAKCAYVDSSTKDLAWGAGNCNVAFPFVCEDLPCSVGYKNC >PPA11289 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2005:321:894:-1 gene:PPA11289 transcript:PPA11289 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGNEAKEQELDCSLEPEHLTKIYRTLGQNWEERRALDFNLILDDFSLTELTFSPQYSVAVKAKQVAAQEAPRASFVVERAK >PPA11290 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2006:27:835:1 gene:PPA11290 transcript:PPA11290 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGQVEVEVSETRDGTEYNEMDERDGSARTRPEGTAPSRVHSHTVEELEALQAEAAAMAAAATVRAASEREERERREDDDEWTEERRRHEEFIANRGRPAVRMTARRGGPVPPAPAPSGTTAQRGRRNPTTRGGTANSREGRTERSDETVTATSTTPADRGGQGGREGVGSPFFRHMHHQL >PPA11317 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig201:101449:101724:-1 gene:PPA11317 transcript:PPA11317 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHVQLDIFPQGKDSCIVLKHHRLICEAADLPPVRNPLPIVRKRFIRYHKRRIGKEKEFSKIGDDSIQVIKEGVS >PPA11298 pep:known supercontig:P_pacificus-5.0:Ppa_Contig201:25572:26797:-1 gene:PPA11298 transcript:PPA11298 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAILRLSSSSRINAYKISETIEGDITVVSMETVNSDTKIQKTDSQCSLCTCNIAVKVSYKDVLILEQFMREDGTVLPAQLTGLCRKQQLHVERCVMQAHWAGLFPDRTIPEFDRAITLECVK >PPA11324 pep:known supercontig:P_pacificus-5.0:Ppa_Contig201:130577:132765:-1 gene:PPA11324 transcript:PPA11324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-clpf-1 MKLEVLSDLGADIESFAPFLEGKRVRTRIMSEAEVQEKKLNEDTELRIVVNEGEAIVELVDGRAEIFGSELVKNKKYLFQKGSRIAIFTYEGATVDVVGSGVHSYTSDETPMVIYLNTHAALEQIRKVAECDPSHRGPRIMLVGPTDVGKSTGEISVPGTMGAIFINKMADIMEGFDCSRPLVFNFGATTPSENLPLYDLLVKELAEVINQRCAVNRDSNIGGIIINTCGWVHGDGYQCLVSAAEAFEVESVVVIDHERLYNDLKRDLPSFVKILHQPKSGGTETRSKEMRAAMRSERIHRYFYGTASSRLNPYTFEVNFSDVLLAKIGAEQLPESCLPFGAKIDDHNTKVVGVKPSSSLIHCLLAISPSTSISQNVLKETVLGFVVVNQYRI >PPA11294 pep:known supercontig:P_pacificus-5.0:Ppa_Contig201:9777:13418:1 gene:PPA11294 transcript:PPA11294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-stim-1 MKEERSRRQTNDGHEVQQLKNQLDEANKKLEISHSGGTAPLILQPLLRKTCEVELNYLNKQRAECVVEMKGAIDEVDKLRKKQATLVASLKLATGASTGNLEWNQSKQQLEKLKRDGWRLKLSAENTTTSSSSSVARVKSSIVSPSSTHPSSSSSVPTFYKGGGESDSSSSISSMDRSSTLRHSSSLPMSPTSVNGSIASTHPSERFIVRDEYVNGTNNSPSTSSISTLPPQEKKRKRDVLKSIFSKNKCEICAEMASAKPSAAIKIDHLPFGFFEREIAAFFHQFGSVVRVRIPRCKKSGRDRGYAFVLFEDSTVAQVAMEAMDGYLMFEKRLQVTLLDEDNVPEILKKGAKMIGQPSKGASGRAHSKKMNKKKSDDEDNKAKVRRNKIQSKRMKKLAAMGIDYDMDGEKKIDTTEVTVVENEIEQIDEPVAKKSKTVGKKSNESDAIVIESTPSKTISTPGKRKTPAVTKSTPKTPLSAKTPPLKSRLRSARR >PPA11319 pep:known supercontig:P_pacificus-5.0:Ppa_Contig201:103980:105119:-1 gene:PPA11319 transcript:PPA11319 gene_biotype:protein_coding transcript_biotype:protein_coding MYIQSRSSFNKRLKSILLAKKIDYRVSQRDNLLKFVSLLHWCMGADRFKGFVSYGFYKGGFTTTKPAPFESPKDYMFGSGSMAACDNCSSLSCTKCPRCEKPHCFDCAR >PPA11305 pep:known supercontig:P_pacificus-5.0:Ppa_Contig201:54594:57645:1 gene:PPA11305 transcript:PPA11305 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHKIRPEKISMYDFALGTFKRVLEESIRRPRRRRWALRSTKKTGRYDPVARKLVDDLFEQYFSNGKKLRPDEAEKRMRERNDILPAQRMTFDQIRNRITTLLSQKKEHQRKEHGNRQRRYVKFIDDFERDLAEEGISLDDIEEEVDLERPLDEDDLIITSDEIYDLTTKITTPEPDDVDDEVASGIAPTPIDASSPKSLFVCNQCSSTFIRHASLLRHLDMGRHKIRPEKISMYDFALGTFKRVLEEVQQGVGIVLPESFKEPRRRRWALRSTKKTGRYDPVARKLVDDLFEQYFSNGKKLRPDEAEKRMRERNDILPAQRMTFDQIRNRITTLLSQKKEHQRKEHGNRQRRYVKFIDDFERDLAEEGISLDDIEEEVDLERPLDEDDLIITSDEIYDLLRNRKKRFPAVKKKPDTRGKMPKFTYKALHYV >PPA11329 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig201:147122:147735:-1 gene:PPA11329 transcript:PPA11329 gene_biotype:protein_coding transcript_biotype:protein_coding MHIRQLIQRIEELEDELDNEMKMRLKLDRQRNETQEALDSLNEKFAETDGELVAQKHLNRERGETLSQLQKELQKKSLVSESYIADLCSMQYVTLHNLRTLSAQAKVLENDALRVLETRKSQQLYRGSTTEDDDY >PPA11308 pep:known supercontig:P_pacificus-5.0:Ppa_Contig201:65020:65915:1 gene:PPA11308 transcript:PPA11308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-bre-3 MLEKSPFTMWDFLQQRKRWLQGLLLTVHSPKIDAAHKMLLALSVYAWTTMPVTSLQLFLCPLFPLPKFILFDTTIAFIGAINLYMYLFGVVKSFSHRYR >PPA11296 pep:known supercontig:P_pacificus-5.0:Ppa_Contig201:20839:22092:1 gene:PPA11296 transcript:PPA11296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-air-1 MDNFDIGRPLGKGKFGNVYLAREKSQKFVVALKVMFKVQIGKYNVSHQLKREIEIQYHLCHPNILRCFCYFHDESRIYMVLEFAEKGELFSHLRKHGRLSEEETAKYIGQVSDALAYCHDKNVWHRDIKPENILVDGLGNLKLADFGWSVHDKTKENRNTVCGTLDYLPPEMITSNTCSTTVDNWAVGVLMYECLVGKAPFEGNGQKETLDRIKRCRVVYPNPISEEAKALISKLITLDYLNRAPMVEVAIDPWVRTRAPSFKPVFAKTHPHH >PPA11327 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig201:138923:140503:1 gene:PPA11327 transcript:PPA11327 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPADISRWEWEKEEIEFESRLLPDERALLPDVSGRYESVIRARTKPLAKSALALPILDMMRNKFVGETNAPHMASSRDEDRLMAVKSEMFGEKTRVKFEWHPAYGLAKLFNVPNPFPGSSIHGLAHLQNKGKKREENVLSTIGLPSTAAEFAMNIGMGEDKRKDEEREDRMKEKEKEERIERRKDRDGERDRYDKDEKKRREEEEAEDKENIDDNEEEQRAPSDLLAAIFGMESSDESEDEEEKKEEGKKTTVLPSTSQPMEIPKQKGNEKEDIICIMDMEDEFGPAPPPGLNQTEGLTGFSVLRFNNEVMKKMRKRKRKIDEREKEKEREENDKKKKSKKAKKEKKSKKKHKKEKKESKSRRKSRSDSDSSSDSSVMALD >PPA11310 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig201:78689:79168:-1 gene:PPA11310 transcript:PPA11310 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPMEMDDISSEPDLVTDRFELFPKRIKTIQGMKRSEERRGNGISAVVPIQNDSVAVKEMIEDEEITNEGEEIRERRVDDIEITRADTVVEEEQ >PPA11291 pep:known supercontig:P_pacificus-5.0:Ppa_Contig201:2568:3213:1 gene:PPA11291 transcript:PPA11291 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNARFFFYLESSMYFALSVSSCHPTSNDRELSMASSVLKDTVDLIKYALVLFEKEGVSHLLSRARVLCIRTQAVLSYHMYYVRSNKFPRRADALASLESQVQEIPRASPKKEDRNSIEKGEKNTGNSSNTNSIASSSNMVG >PPA11323 pep:known supercontig:P_pacificus-5.0:Ppa_Contig201:127349:128582:-1 gene:PPA11323 transcript:PPA11323 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIHCGAIPMAVSVQSDMATPALSLFGSEYLKNEFLTPSISGELVSCIAVSEPGAGSDVAGIKTFAHRNNGGDWVLNGHKMWITNGGQADWACVLANTNNEGGIHRNKSLFCVRLDSPGVHRNTSSIRKFGMHSSDTAEIFFDDVIVPSDIL >PPA11314 pep:known supercontig:P_pacificus-5.0:Ppa_Contig201:88461:90146:1 gene:PPA11314 transcript:PPA11314 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRTLDTFSHDVLCLKHLMRRKKEAVVAFENELSVYRHSDAHPSLFPHILPLIDFHRSVDVSFIVTELGGPNLNILRSNIIQREFSLDSALRLSIQALEGIRSLHRAGILHLSIQPANMIIGLGANSCNLYLVGFTGMKKVDTKQKRSLIHAPHPLFAPGKMKKMQKKWSHKYREKEFNELSEAKKNLFTDEKFDKLANVPEFMKELGRLIQSSEAADFESHHDKIVDTFKKYLTEHELDMYAVYEWESTSFQKTIHQLMLGKHEKDFVGPYYTKLQERPPKKTKEEKKGNFENSDEELDQEEKKEEEKIKQMQKVMKKLVEDILKGPMETPEDEEESVKE >PPA11295 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig201:17871:20656:-1 gene:PPA11295 transcript:PPA11295 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKIQRIIDENSGENFSAFAKKKQERLEKQKNEILSKVQTLSDITKMKYEKEMVQLCGLLEKERNYDRDCVHVDMDAFYAAVEMRDFPQLRNIPMAVGGYSMLSTSNYVARQFGVRAGMPGFIASRLCPQLEIVPLNFDKYTKESRVLSSILSQYDPNLSMGSLDEAYIDLTQYAAIRSSSVRHKRIRYGGDCVCRLPLVKDNDHPGVVQSIEREEVCTKCSHSRKIIEDEVEFGIGRAEIRKNRMTNSNWKMIRKR >PPA11300 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig201:37064:41397:1 gene:PPA11300 transcript:PPA11300 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGTLAYVGHTKFAPGEWIGLVLDTPDGKNNGSVQGVSYFTCEDNHGIFCKSSKLERGKIGYLRYLGPTDFAEGIWCGVELDQPMGKNDGTVQGKRYFACKPLYGLFAPAKKTEKAPKLDTPSKIRVHHNKTSMLRAHKSGGSHESLNSIGASSVSSRTTTGTGIGPVKRSVARSINASTNETLVKALQETIQEKDRHLEQMIHELDLERNEIAEVTARCEILERRLASGEGTESGSIDDDKIRRMEKLENERKTLKNSLIEKEKIIEDLQFRLEEEEIMRQEKESESKELGVKLAKISTVEAINTFDSVSMEEKNAELAIVREDLEKMKGSRDRLEEANNRSEKMITDMKTTLEETEERMKKLEKDLKKVMNELEEESVKNASATKELNEEKKKGEVLANERISMEKENEESRKEIEALKNTMESIKTATCASCVKLESGREELMKKVERMEQEIKSERDKLIKERDELDKLFTIERNKLEEKDKEMTILSHSMNASETKWKDIEKELIIEKKSKDELNVELEKVQSSFCSLNDELSESKSINEKTKDELEKVE >PPA11304 pep:known supercontig:P_pacificus-5.0:Ppa_Contig201:48662:50956:-1 gene:PPA11304 transcript:PPA11304 gene_biotype:protein_coding transcript_biotype:protein_coding MWVGCRMGSRLTSSILPHPSIPTIIQSAGVHHHMESKNLRRFLGIERSNKAKANRNTHHNERMFRKMRGRKTVLLELPDDVEQRRLDALTPNKLRTEMLKKGINPYREVQPRLWQESQTFGPSADLIYEEAHLALMTRNVKELHGLITEHAYAKMWPDVSEGSIMWRLVERIEPSRVVSVRVTDNPYKSGNDIAQITVRMHLKQILAVFDRFGHLMLGSETEPRTTLEYVVFENHIAVVDGKWRLHDKVYPRWVNRKEPAHFTMEIKEDDSRPSAPISLPLRAEELIKKRKNEKQKGDDDN >PPA11309 pep:known supercontig:P_pacificus-5.0:Ppa_Contig201:73294:74687:1 gene:PPA11309 transcript:PPA11309 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDAFDAQLRDAEQALYAPFFGTLGVTAAMAFTAAGSAYGTAKSGTGIASMAVARPDLVMKAIIPVVMAGIVAIYGLVVAVIISGKIQPGGTSAYTINNAFSQFAGGLVCGLCGLGAGYAIGIAGDAGVRALSQQPRMFVGMILILIFAEMSYDLDAAEKAAYAPFFGYMGAASAQIFTVLGAAYGTAKSAVGICSMGVMRPELIMKSVIPVIMAGIIGIYGLVVAMVLKGKVKATSEDYNLNKGFSHLAAGLTCGLCGLGAGYAIGIVGDAGVRGTAQQPRLFVGMILILIFSEVLGLYGMIVALILGTS >PPA11299 pep:known supercontig:P_pacificus-5.0:Ppa_Contig201:28243:35458:1 gene:PPA11299 transcript:PPA11299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gly-3 MDISEEMEEIRELLYETIKKKFPRGVASDHLAKVYKEAYVDSGLGPELPSNWLSQSKEVIIAGSQVIVVAFTHSNDFYIRLESSHDELVKLKNHLKSEYSTSKSLSTHDMVVNGAYALKDSQGEHFRIIALSPPTLSSSVQCFFVDIGVRGSFPLSNLRHLNCESVEMCSTLATRVSLSIEKEDVHSALRHILFTHDENGDPTPTSFEIVSIENEDRSGCRMIEIKDRNGKSIHQLLTESVQGGKENENKEERGLPSHLNLPAIGGVSDFDSWMEMGAMGVDGMPRRPFLAHAIYASGPGEISLRQASLDPMPEYLYDRLNEECNDPSSALKGLPQPGRFYAANVNGVWQRVQCLFGVSPMGAADVWSREAQMAVNDFLDKCDSWPVEVVPRRRSLHDGLENIDEKTRKELEKLTLGRGLNSLGEMGTAAEVPSHLNDEKDKRFLENQFNVVASELISVNRSLPDYRNPQCKAKKFSGLPKIPVHLVHLPERSGLIRARLTGSAMAKAPVLLFLDAHVEVTEGWLEPLLDRVKEDRKIVVAPIIDVISDDNFEYVTASDTTWGGFNWHLNFRWYTVPERELRRRGGDKSSPIQTPTIAGGLFAMDKQFFYDLGSYDEGMQVWGGENLEISFRAWMCGGSLEIHPCSRVGHVFRKQTPYTFPGGTAKVIHHNAARTAEVWMDNYKEFFYKMVPSARRVDAGDMTARHQLRTQLECKSFKWYLETVYPEAPLPAHYKSLGFVMIESEKKCMDTHGRKKGELAGMSGCHGQGGNQAWTYTAKGEVRSDDLCLSVGSTLGLSGAVRLDKCGVAGPSQKHVFTYDKETGQLIHSKGGRCISGIGDRLEMRTCDTGDDSQRWNLEGFREEGYP >PPA11302 pep:known supercontig:P_pacificus-5.0:Ppa_Contig201:45844:47648:1 gene:PPA11302 transcript:PPA11302 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEEEEVEGELFDELEKSWRKKEENLAEKVDGLTAELEMIKRKTANEQMRELQNEVDLLRSIVAERVKKEEKYKNEIEILKNMPLDGTPVRKEKKEIAPRKFCDICDVFDTHETEDCPTQSMEPIDPSPKKTKMDNYVISDTEEDEDPILPFPSSILESARIFNVNKNTKVHSMVESATTLLKEGFVCYLRGEDEAAAKAITIVEIIKRNSKLILFQWNEMGLIKKIQYWNSMVEGLSRLKVAVQKPSIFILLSTVPFDIGNDK >PPA11316 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig201:99168:99581:-1 gene:PPA11316 transcript:PPA11316 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTQSRIAAGGTKLLDKASITTQFVNPHESESYLDQLKSIKPVVSSLCTELDALNEFEVLILEVVNLPLTEIPRVRSLKTDVNLMEDLFESTINYYSHVKLVLALTYTQ >PPA11320 pep:known supercontig:P_pacificus-5.0:Ppa_Contig201:107789:110024:-1 gene:PPA11320 transcript:PPA11320 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSSRSSMTSSSSPQLSPLGGSMGGSVIAHGLRSFHRGSAFLPAFVIEGCNAQLDEIGFDFVKKCIRFIEDEGMREQGIYRNCGVNSKVKKMMGVGLERRKVNESKSWMDESEWETKTVSSAFKTYLRVADSSEENLMTVGNLGVCFGPTLLRPREETVAAIMDIKFCNVVVEVLIAHSDEIFDNGPPSSIGSIKNEIVKEKNIVNEGRIEESKEEKKEEKREENEKERMEVIGRRDETIIVAERPDRLKEKSRIFPTMNSFENSPLTCKMELNNGSLHRLFTGWMQKNSFDGHSNS >PPA11303 pep:known supercontig:P_pacificus-5.0:Ppa_Contig201:48110:48478:-1 gene:PPA11303 transcript:PPA11303 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dss-1 MADKKVEAKKVEKVKSMVDDEEFEEFPEWAEKGEGEDEEVSVWEDNWDEETLDCPFSKQLKEELARHGHSV >PPA11311 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig201:81545:82819:-1 gene:PPA11311 transcript:PPA11311 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKYDSVNGIIGRGGYGEIYYAFDPKQHDYVALKIEGKMRRGKLAKRTILEQKILLRMQGRPHCPKMAASGAEGNLNFIALEAIGALYDLHNVGYVHRDVKPPNMCFGIRPETRHILYLLDFGLVRKFMKEDGTLRPKREKAGFRGTFRYVSVRVHDKEEQCPADDLISLVYSAIELSHSFLPWKQVTNSEQMKQYKKSVAKQGFSQVAESMGNHMVNVARAVVNLVPWNTIDYHKLQKMLNGATDGLKVTDPYEWDLWVKK >PPA11322 pep:known supercontig:P_pacificus-5.0:Ppa_Contig201:117355:120623:-1 gene:PPA11322 transcript:PPA11322 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRSGVPPAQCLSSFHPGGPFEMVLKPLEFSDCISDSPWFRQNLHDHEQVLDDAYKNIKLIEAQCRELINCNKKLSQAQLAFAKSLSNFQLETVGMNQTDDERMIATCFGEFGQILVQIEEHREKMISQAETSYLERIRRHLSKPRLRGVATDAPRRNHALRLCMPNTVTQT >PPA11330 pep:known supercontig:P_pacificus-5.0:Ppa_Contig201:147856:152905:-1 gene:PPA11330 transcript:PPA11330 gene_biotype:protein_coding transcript_biotype:protein_coding NQTSRLFDNTTHRWIPDAEEGFVIGSIKSEEGDSLALLLPNGQTKNLSKNEVQEINPAKYEKTEDMSNLTFLNEASVLYNLRMRYKSMMIYTYSGLFCVFINPYKMLPIYTDSVASMYINRRRSEMPPHLFAVSDEAFRNMLHDKKNQSMLITGESGAGKTENTKKVISYFARIGGSSGDKNKQEISLEDQVVQANPAIEAFGNGATVRNYNSSRFGKFIRMHFDTKGTLVGGDIEHYLLEKSHKLFLEDDVRKYSFVSQAEITVPGMNDEEEWKTTDRAFDVMNFSVSEKDDLYKLCAAIMHMGEMRFKQKPREEQAEIEDMKSATLASKLFGVDEEKLIGALLRPRVKVGVEWVCKGQNQQQVEWSVGALAKAVYSRLFNWLIKRCNKTLQGNEELAVYYIGVLDIAGFEIFDRNSFEQIWINFVNEKLQQFFNHHMFVLEQEVIRRKRERRRRGRTMTIQEYKREGIAWTFIDFGLDLQSCIDLIEKPLGIISMLDEECIVPKASDMTYVDKLTTQHLGKHPNFQKAKPPKGKQAQAHFAIVHYAGVVRYNAEHWLDKNKDPLNDSAVAVLKTSDKKGILYALWEEYVTDVDREESASRGKQQAGGRRGKAASFLTVSTMYRESLTSLMAMLHSTQPHFIRCIIPNEKKTSGLIDAPLVLNQLTCNGVLEGIRICRKGFPNRMLYAEFKYRYAILAAEQAREKSPRKASEAMLKKLVDTDVINVDNFKTGETKVFFRAGMLARMEELRDEAISKMLLALQTRIRWFLAQKCFKRLTMGDEMAALIQYNVRQWNKLHSWQWWRLFQRMKPMLKGMRPRAEVEMLENRLKEVEDSQAALNEERKKLAEELSKKNNELDDVKGSLERERAAANRRKKEMEELNKSLREEHEAKELMEWKAKETEREREREKREWEDRMNGMRMEQEKYAAVSQLEKDSLAKQISQLNGQMESMAAQKKEQEMANVDLKDKLEQAALKEERMQEMQEKLKEDINLAEEKALQEKKLKEDALRAKKKVESELNKLADQLLMLKNQQSTVDAESKRRDEDFAELKLKAIGDANLIVKLQVLIFIQL >PPA11313 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig201:85939:86302:-1 gene:PPA11313 transcript:PPA11313 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYNKVESEDIEKGPVDSPPKKVHHKKSVYVHRRTCSACGHVSEKETHMDIYPPPRPVTAIHQQNFNFIGYVVVIALVIFLTFVAVKYLA >PPA11301 pep:known supercontig:P_pacificus-5.0:Ppa_Contig201:41767:45293:1 gene:PPA11301 transcript:PPA11301 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSEMETAIESLKSFLEKKKIDIDRISLESAERKNMIDELLIEKSKMAANVDVISGERDEGVKLLKEAEIKLAGTVEKSDEVRKKLENELESIVGKMTATEEKLHVKETELKCAQESLNAIQSSMEVAKMGDDEKSKELNGVKEELGKERTTSHEMKRRNDKLNTEIIELKTRLEEMKTEKKMEEDKIEKEREELKSEIEKMEGEWKISKKREEMEKGEKEKVEEEKKNIEEDLKRVTMRMEEVENEKERVEKRLKEIVNEMKKLNDELNKTKEEGVKNEIESSEWRRKYEDLAMKSNKLISEMEKREDDLKASIERLRVKVNEKEIESQTNKDKSIELINLIDVMNRKVEELNDNVKKMESEIKNEREEKEEIKMEKNKWKIDKEIGDEKMEIILEEMKQLRITIGAGDEQNIKMGEKISILMEEKSKSEDTREMMKKEKEMLVDNIKKMEIELEQLRVTISTSSSSSDELVERLKSTVEEKIKMDEEIERVKQERKDFEEKIDRELEKLREEKEKANSKNSVLEESLTKNEEDMKKIKTDFENEATKWKEEMDRLKEDLSKKEDIVKERIENMKYEKTQIMNELEKERKERAEMEVKINKMEREWKRRTSKDSIEGLRVELDGERKKYDELLAQKKQTQ >PPA11325 pep:known supercontig:P_pacificus-5.0:Ppa_Contig201:134706:135366:1 gene:PPA11325 transcript:PPA11325 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rnp-4 MAEVNDVEMEEISADKQKGRGIGQTRARKEAIVYDIVDDKDKSASGPQRSIEGWIVFVRNIHEEATEEDVHDAFAEFGEIKNLNLNLDRRTGYLKGYALVEYETQKEALAAIEGMHEKELLGNVVKVDWAFVKPAPKKRR >PPA11315 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig201:91422:92478:-1 gene:PPA11315 transcript:PPA11315 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNREELVAVLSDEEVKRLEIEKRRNEITTKMEIMMNELTNLQSKYKKDEEQLISIENLMEKTLMIPRDNYAKCVSDVVQFPLSEWKKMISVPKPSVGIRFVIKSIDLLLYGDQSDNKAKTLFELKEKRELREEETGKMKETMNELLISMTMKD >PPA11321 pep:known supercontig:P_pacificus-5.0:Ppa_Contig201:113612:114572:-1 gene:PPA11321 transcript:PPA11321 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAVGQSSSWKLKDCMTKSCDAIDKRFCLDIVVDGKNEVITLQALSEEDRRQWIEAMDGKHEKIDMGYGYPLLPALAPSMPTLAPSIPTNVFHLFIRPSLFWY >PPA11293 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig201:8074:8892:1 gene:PPA11293 transcript:PPA11293 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDMNMRSTERARREKAFHGEDDAITVDDLWEAWFESSERSWTTQEFVYWLVNVVNLPQYAPLAEAMQLDGKVLPRLAVLNSTFMNDKFKVKSSVHRQKLRLTALDVVLFGYRDNSSRAKDIALAALLVLLSMVIALMMRQRRRARVEMNSLANQLEELNSMKSHLEDTTEKRDVESTRMFQLIGSTT >PPA11312 pep:known supercontig:P_pacificus-5.0:Ppa_Contig201:83702:84559:-1 gene:PPA11312 transcript:PPA11312 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMLSISTEAEITTRNNARIDITAEYDTFYSGLAHLLEVMRTKEYEQGVLYSANTPVLRLPKCNTPVKEKLRRDEWSKGEETLKTCTSHLDQILYPIVVGDDLAVTGSHQRKNSVTDLVTKLEFIRPKAHLNNRISVWNTKNEK >PPA11328 pep:known supercontig:P_pacificus-5.0:Ppa_Contig201:142601:144805:1 gene:PPA11328 transcript:PPA11328 gene_biotype:protein_coding transcript_biotype:protein_coding MLVANRGVALVQGGVRAFSSQAPVIPEKRESSVNASLRKGAGGRASFSGNVVTVFGASGFLGLPVVNRLAKNGNQIIIPYRQDPYYMRELKVVGELGQILFFPFELKDEASIRKVLRYSNMVINLVGTRVPTKNYSMEETHVNGARRLARISREMGVERFIHLSALGANPNPDKGFFVGRSEFLRTKGLGEIAVRDEFPNATIVRPSVMYGENDGFVQYYVSRWRKTPLDTVYLYKAGEQTIKMPVWGGDVALGLERIVRDVSTKGKTFELVGPHAYQMSELVDYMFKKAHCLPQFNFNYRRHGLPDPYFMALTLATEMWGKFFKTKVPLNREWMQYVEATNDVMTGCPGFDELGVRKLGEFELLGGQLAFYRSFYKYFEEQYKDEDMPVIPLPLRSPPLLKRSNAVFA >PPA11318 pep:known supercontig:P_pacificus-5.0:Ppa_Contig201:102195:102493:1 gene:PPA11318 transcript:PPA11318 gene_biotype:protein_coding transcript_biotype:protein_coding MKSILIILLSFILLLSLSSARNIFPYGPVGQMGEINAEEREWMIPSMAKRVPSAGDMMVRFG >PPA11306 pep:known supercontig:P_pacificus-5.0:Ppa_Contig201:58303:60051:-1 gene:PPA11306 transcript:PPA11306 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKRRMKYYPPDFDPTKLPRAKQPKNRQWREPADEFYLGMRIWRFYFKCPNCLADISFKTDLENCDYQAENGATRLFEAFKLWKDQEKLKEAKEEEDSKDPMKQLEKRTKISRAEMEAMGKLEEMQELNRRHEGAATTIDSYLEKTDVRLTMAQALKRQEEIDEDEVRSIFGKTEDGKYAKRIKDEDDNDEAIDLDEDMKNELKAIPK >PPA11292 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig201:3589:5311:-1 gene:PPA11292 transcript:PPA11292 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDLFDDDVDDEKGDWKGNSYNNTPPPEIRMMVLGMQVDPLIPPYDTNGIKKKDDNDEREKEDRERKRKREREDSERRRKEKNEEEERKRKKEDEEDRRRRRDDEERKRRKDDEDKRKKRDDEEREKERKRKDDEEKDRETKRKELDEKRKRKEELEKEEEERRIRKEKKRIEKEERKRKEEEERMKEEERERKKKEKDDEKRRLEEEEEIKREKERHF >PPA11297 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig201:22266:23956:-1 gene:PPA11297 transcript:PPA11297 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIQEGVAVPSRVSVINGGETFVQCHSSNEDSIIEWWLYRPATFIGRFDGRNKVLTKGNGSDIVQMVEGGSLHVKVASRDIVERYICIARLRGSNETAQEVDQLRVGYSSQVERFRDYRTAQMENVHSHLETLRDNYQQQLHRAREYGSRRAEMLWESYERQMNRVRMFNLQSRLKMMRQYNLKQRYINKLFESFQKENADAETMRKHEEEVRAVLNMADDSQLPPLSRSSSFYSLPEYIVDKDGNLRPSPLVPSSSSPPIPFNRPNRSKDSSSSPPPGPSTAL >PPA11307 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig201:63181:64156:1 gene:PPA11307 transcript:PPA11307 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEGTKSGARFKARALQYCLEEEINRLQDCDWIVHLDEETLLTTNSICGILNFCEDGTHQFGQGVITYANGEIVNWLTTLSDSFRVADDMGKLRLQLKMFHKPLFGWKGSFVVTQVGAERNVSFDHGPEGSIAEDCYFSMVAMKQGYSFDFIEGILI >PPA11326 pep:known supercontig:P_pacificus-5.0:Ppa_Contig201:135688:136832:1 gene:PPA11326 transcript:PPA11326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-prdx-3 MFRSLARISSSTLRGLHTSTVSCNTKPIGPKTPLPQFKSQAVVDGDFKTVSNADYTGKWLLFFFYPLDFTFVCPTEIIAFSDRAKEFRALGCEVVACSCDSHFSHLAWTEKDRNEGGLGEMKIPLLSDFNKSIARSFGVLDEASGLSYRGLFLSDPKGEIRHVTVNDLPVGRSVDEALRVLKAFQFVEKHGEVCPADWKEDGATIKPSIKGSKEYFNKANK >PPA11331 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2012:598:1104:1 gene:PPA11331 transcript:PPA11331 gene_biotype:protein_coding transcript_biotype:protein_coding VEVRCLASSLLGEFDVVSESYLDQTLDKKLMKMKKTEKGAMIGVRTNQSLFSIRKIDKKKDNSEWSSGKELNEIAPGEKGRMGKEEESIMPQGACGAFISALEDEFMEVRKAGVHSLGKLACTRPSLAATALDHLADMFNDEIEEVRNGKWKGG >PPA11332 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2014:375:1028:1 gene:PPA11332 transcript:PPA11332 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVLVKGADQLTLIDNSMITKGARSRGMTITYKTKKGLSSGTYIDIGTLTEMSGKKKQMEEETKGKKKRGRKRKEITVGFTVHPPHGGMMGDGEDNEEDPLGDNAMMEEEEDQMESKRILRGRVHRAPSMREDSPHIESDTSPHSSPNRRSSPIHRPPIRRSSPIPHSLSTTLQKGRTHGSV >PPA11333 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2015:436:1493:-1 gene:PPA11333 transcript:PPA11333 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWLHEGAEQEENDGRRRGAAVGWMMEGRGDEKGQLRSFVNPPALELRSLIQSHGGEYHVYYEYGTTTYTVASEIATVKRSKMRKNEVIVKPELIVDSVAAGRLLDHKEYLLLPDEDEMLRRKGGTISQAMKTLTEKAGEAETRGEKRQ >PPA11334 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2016:51:620:1 gene:PPA11334 transcript:PPA11334 gene_biotype:protein_coding transcript_biotype:protein_coding MFRALVLLFVFVAVIIATEGNSESTQSPFVPTAPQHRPLVCRKCMAVVGLINEVALENTYRTKQTFDDFCDKNFGQTPSGRACKQWLDSDLDNILDNLKNGWSAAII >PPA11335 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2017:45:830:-1 gene:PPA11335 transcript:PPA11335 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQFLPMLLESPLMLMENVLPTDSNSNYIQPAVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDTTGAVVGPDGQPIPTDASGKPVDASGNVLPTDSNGNYIQPAVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDADGNVLPTDSDGKYISPKTEGDDEEKVVLPIIGPDGGPMPTDHSGKAVLEDGSIVKTNDAGEPLGPDGNVLPKDDAGNFIYPAVGPDGSPLPTDENKKPVYPV >PPA11336 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2018:187:653:1 gene:PPA11336 transcript:PPA11336 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKDRRKRIAISLKHQLKRLKLVLLNFRRYILESPSPQRESPLPVVTAIRLEDYTAIRMEDAPPAYTSAD >PPA11345 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig202:73724:74253:-1 gene:PPA11345 transcript:PPA11345 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMKNRMSRSVSTQSLKERVETVDEDGGRSIKMRYTPRMKRNNSQKRSTKMEERIRWRKTQGTREKEKKYTYD >PPA11348 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig202:102265:103824:-1 gene:PPA11348 transcript:PPA11348 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPKQFEQLHFIPLVDFSLLERIQSSFIYVNIPMSVDTDLDGNSEGPRMPEVLLSRPKQHRGSVYCLGFNPTGELLATGSNDKSLRLMAFNAEACKIGALALPVGLI >PPA11340 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig202:25535:26538:-1 gene:PPA11340 transcript:PPA11340 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGACQTFLHNFLKCLAPVATTRRWDNVTLRVDVAHDLPLRIHQKANQPQHRRHCKCN >PPA11350 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig202:114989:116237:1 gene:PPA11350 transcript:PPA11350 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFKKKKLPLYVASMGGKDNSDLIDELIFENHVISQKVERVLRLVDRGIFVHPHVTSRTSQKCLKKAV >PPA11351 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig202:124229:124624:-1 gene:PPA11351 transcript:PPA11351 gene_biotype:protein_coding transcript_biotype:protein_coding MQKFRYSTYLYIAAVYTVNKENPRNKAVIPLHLLPQEHRFDNFEGHPSLANNSIAISCTLT >PPA11338 pep:known supercontig:P_pacificus-5.0:Ppa_Contig202:1713:8178:-1 gene:PPA11338 transcript:PPA11338 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVICDGSGGAADLLAFAHQVTGENQQFPEGVHEQLLEVIREQFKCDTATANKVLAELSMCAAHKDMLTIFRLGDKNKHDYDYAILTALLKGQNLSPTDQLALTLAWNRVDIARSDIFVSDRDWSETALHNAMLEALIHNRVEFVQLLLQSGVSMKKFLTISRLEELYNTDQGPPNTLYYIIRDVVKVKAGYRYKLPHIGMAIEKLMGNAYRSHYTSTIFKQKYSEEMKKMKNEKQPPPSTLTANNSIIAGTPKEGGIALAATGISSIYGSTNFNSPLDASMGYPQMLTEPSSLAGAQSGSKALKNHIMWRSAFRRENFVKPRPIRTLGVDANDGMPWQEGQDEDSASERTPINLHRKEARTESESLSTRLRNDSLQHEWEMAEAAAADSNMRLLRRLYKASTPDHRAEVKSEYEFQYPFSELLLWAVLTKRQDMALLMWEHGEEALAKALVACRIYKSLAKEAAEDYLEVEICDELRKYAEEFRTLSLELLEHCYHSDDAQTLQLLTYELSNWGNQTCLSLAVVVNNKQFLAHPCCQILLADLWHGGMRIRRFLIIVFLIIVIIVIVIRFFLQQQYQ >PPA11341 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig202:26807:29304:-1 gene:PPA11341 transcript:PPA11341 gene_biotype:protein_coding transcript_biotype:protein_coding MREVREITSTNSPRDTGPLQTGLHPGHWHGPGSGGSLPNMHEASTAAASNYWPSASPPGRGRSPGSHYHPYRAPRSSQERVPPLHGGHIMPPYNLSGAPVTHHNPSIMQQQSFHGLSPPSDWGVVNRAHSAPSIHMLQQQHQQSAPMSPVMSPYTSYGDSAQQSPVYGGSPVYNGSAGGSPVNLSTLQRPENNLQYLTGARREST >PPA11349 pep:known supercontig:P_pacificus-5.0:Ppa_Contig202:107377:111930:-1 gene:PPA11349 transcript:PPA11349 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFKIPSCPPYFSYTRQRSSSSASVNLSIHESDILKAILEYLETKGLHITQVSLERETGVINGDYSDDLLFLRQLIIDGQWDNALDFVNPLKNLDNFDFRGFRYNITKYKFFELLCIKLEPGPMHDNDFAVEGLFYEACVDYCQSQAIGDSRELENGPQPATSLLSMRPRLSPTDLSLISWLEPGGGFPHAMVPTGKNGALKFTEKMMSQSLNFMPITAGMGSSMNTSIFPSVGRIPTMSQSTAPSIGFSIAPATSCANGNESLMQQSALIENLFENSVHTKISRPGDKSVLVQ >PPA11344 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig202:63081:71709:-1 gene:PPA11344 transcript:PPA11344 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRMSNAIESLGRSLGRFKSRKSLDEAGKRELEKFARMRRQRRRSEGRNMTIWTGLPDDLASVLDGESQYGTLRMSSTERSPNAVRSPNGPEEYSFELRSLSLCSDLWDRFGLFDQEIHSAWHEKYSTEGMTSKQVKKQDAIFELILQERRHCAHLAFLKKGYRQRLVEEHVLNAADADKLIPDVLDAMLAFHLHLLDRLVERQRISKKVDTVADIIAEELGDGGRFTTRAVDAYTSFGAAKESAEAYYTQLLNKGGRFAGFYNKLQADPNYRRYAYKTLLTVIIGRPTKYLLMLDQIVKNEDNQKIGEQTKEAAEAARKFAARIDYGLQVCQMSKKWEEIKGQFEPSSKTMLHTGDGSIIPFTMDDLRKDTFENDSRKILCMGDVMAKSMSVYLILFDDILVVLQRKGGRMAFIQHFDFLNRNDRLKWVKVFETAIARAPKQVRMTPRSQSDSKQQARERAMENERMKRMEDEWLRKLEAIFDDRKPDEAALASYFGSRQKWFDHLRRHVGEMPYRSKAEIPDKMAAGPSDDSDSSGSGKSGKQPRRIQTFHGITSETREKSSIRRHTTVPKMSGCGSAVSSDGLHRIRTDSDSETEDESDNERKQTAEEREERIRIKSMYRLSLPLRARKATSRLVDDVVQLRLENNLLRNQAALDKMRLAFLERQRSPGYSAIVAPTETMEALRRKEQEIREEEIRVRESEDYYKTQLAQKERELIVQKERLAEQEADLERKWLAYKEHTSTPSRSSSQKENGAPCEESRRDKRVERTA >PPA11347 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig202:96336:96752:-1 gene:PPA11347 transcript:PPA11347 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDLMNPLMYLPVAEHSDKVIQCRWHPYDFSFLSTSADRSAVLWSLPTPSYQ >PPA11342 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig202:39886:41601:1 gene:PPA11342 transcript:PPA11342 gene_biotype:protein_coding transcript_biotype:protein_coding MEESVVDILSEIARIPSVSLILACVASTVATHLPTADKEHVVRRAISATFVLRFVNPLIISTLAASGEGPALARSVQMAANAATMNCFVRDETGKDTATKLYDLFERVRGSTVQTVTVEAYDVSPEQMGLLCYLLTLTLSPTSNRHPPSSSSSSSSSSSSTVTTSSGSSVVSSSVGADTDLATVGQTDLANSLGASLSSSSSTALPRHPELGFTRAAPSPPTLF >PPA11339 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig202:19216:25458:-1 gene:PPA11339 transcript:PPA11339 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNSLAPAWPNMRNYSNSPEALDIPNIVLTEADGLNVGMNDCFDQMPLDHQLDMGPMYNTMQDLTLDHNGAGPPQSSGAPPLQYNQQMGGGSVQMSPVKSVLSSPKKSSKEESEDEDEEEEDEKKVAHQLDSEKKLVDLRPLYRICFGTQGKMNLLRKELKTFNGYSFAKDSVEYKKKMELANKNNTRAELGHIRRLLGVGAAKTKEEEVQLILEFLIKPHDEGKNVPKKATKRKSSSKTSKPAKKSKKEKATKKMTSKETVDTESDEREKSSDSEEEEEEEVKVTTPKKTPMKRKAVEQKMKTPTKKTKKADRVEEPEEGDDHSITSSSDSSEKKDEKVELIPSDKELQEKITELLKTFDLTSVSMKQMVMSVCEAFPEHPQLKERSFTIKTFIKDAIKDVD >PPA11343 pep:known supercontig:P_pacificus-5.0:Ppa_Contig202:60330:60991:1 gene:PPA11343 transcript:PPA11343 gene_biotype:protein_coding transcript_biotype:protein_coding MFKAATTADNALSPTNDTTCDDDLNESMDTSVYATPPESNTPIAAPLSSYDVVSHDKFSLASSVEHMEVEGLR >PPA11346 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig202:91900:92787:1 gene:PPA11346 transcript:PPA11346 gene_biotype:protein_coding transcript_biotype:protein_coding MHEGLQTQHFASSTHFDRIPSAKEGKERRGEGADNAPNFNANRKKKGKKRRIAH >PPA11353 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2022:198:898:1 gene:PPA11353 transcript:PPA11353 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKRPPHLSPSSFRDETESAAIPDPTNILRGIAKYLDALSPEDKSSPLALLLGTCAMQINDLMARSTMSIEDAIEKEKRDRSVVVMGLTESVAVKPSERVADDMAKVVSMLDLAEVEHSPATVFRMGVKTDTRPRLLKVVFHTRTAQSMFLAKSRALSTQFPSVSIRPSLTKAEREAAYQLRQKKRALKSEGKDVLIYAGSIIERGQLEAMKKQLRSSRSQSRSRRPRTPA >PPA11377 pep:known supercontig:P_pacificus-5.0:Ppa_Contig203:117464:119755:-1 gene:PPA11377 transcript:PPA11377 gene_biotype:protein_coding transcript_biotype:protein_coding MCTPTEDELRKKHPEAEEKINEYWKWMKQVPGASDDTRLDGHFGVEDKINEYWKWMKQGVLTAVVHVLDREPMDDNKLRQCKTLCQTYERKRGVGDSYKATLLKSIDKMCTPKDEYAACVIHTLKFDVDGNI >PPA11360 pep:known supercontig:P_pacificus-5.0:Ppa_Contig203:52244:54531:-1 gene:PPA11360 transcript:PPA11360 gene_biotype:protein_coding transcript_biotype:protein_coding MPDYLGDDQRKTKKTAEKEDDKPFLDYHVIPQYGRGGNETDPRDNVPHRPRVQRQEAPQGFPGFHLGDGTGQGGMHFSLGIGVFPFSIFANIFNSSMDRRPDAPNNTVWRTSSKKITNLTTTNFC >PPA11366 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig203:75828:78896:1 gene:PPA11366 transcript:PPA11366 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTMTLSADQISLSAIVFTISDAVGYLLNLSKLVRSMKIVQCLMPGIAYPAEQYTIKHQGKGLPELGKKIWFDASWLHTLDWPAESPDLNPVEMAWAIMKQWLKKHKKENTLAALEEGIREWWKTNQLLELCNVSPRNKGT >PPA11372 pep:known supercontig:P_pacificus-5.0:Ppa_Contig203:104643:106028:1 gene:PPA11372 transcript:PPA11372 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVNCLLILAVACMLLHSCFGATIPTKLETMDAAAQGGARITHGSKGQASGVGEMQNSSKLGLNNTMNFLDHAWIEGPGKRSRRDADHAWAEGPGRAVNAVAKRAAGSISAKVGGRRRRAAVAGTVPSTK >PPA11365 pep:known supercontig:P_pacificus-5.0:Ppa_Contig203:70407:73988:1 gene:PPA11365 transcript:PPA11365 gene_biotype:protein_coding transcript_biotype:protein_coding MGILYSSCIPNRDCKIVIMGLEGGGKTSLINWIKEGKAPEQPPQVTYSFNYFEYSKYGYSMKMFDIGGTDRKTTFWRHFLAGAHALIYVVDSSDFTRLGEAREQLHKILGFESMQGVRTLIFANKIDLQERKICKVASVELIRKELGLDNSFHQWCIEPCSAVTGAGVDTGLKWLCFIPSARSLQFK >PPA11359 pep:known supercontig:P_pacificus-5.0:Ppa_Contig203:41909:47717:1 gene:PPA11359 transcript:PPA11359 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSFDKYGEQLKKKAASLDEPIRQFYRKLILVAWNIGRNGMTNNRFLQSLLPRFELDILKFRKERFQETESGKVLKRQWVTSSPESKTQIATRKILNGIRQMTNAVIENDIESESAEAKKARLQARAEARAAGKKEEVTKRPNMVRFGIQTEITCGVARALLIGFRKEIAAIDEMRERTRQEIVESLHAHHAAPAAGAAGSPILAAAAAPEDGFVSTSAARRPAAVAATAAASCQSVVPPFVAETSFECGDCRALHSSEDELASHRLRHYEEDIDHEATSFTPLAHSAEPTADDVVDVTTSTSSSEVPGRTSILATLLNQPLHLPQLNTAQLMYDCRECSVQFMNSHEQAVHNATTHNAGSRDRARPRATTRQRLVAATPEAAASTAVEQVLDDPATFAAAAAAAFNAQFQRLQAGTPGTDAADAAGAAAVDGAAAALAERTCPECGSLFKNDRGVTMHMGKMHKGMLRGK >PPA11375 pep:known supercontig:P_pacificus-5.0:Ppa_Contig203:112146:112557:1 gene:PPA11375 transcript:PPA11375 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLASNIGVSAKTINKRDTPVDAAKKVQGILTKRDTDSQVHKFFTQLVDAEAPKAHSKRDTPADAAKKVQGILTKRDA >PPA11355 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig203:256:1520:-1 gene:PPA11355 transcript:PPA11355 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVWRAEAEAHYNATVERGAYIEKRFGRAEDEHKHVEELLKRVLTNKLNDTSFENLNERIGEFAQWLEDYRETLHLGAKKDIGDAVKMAELVVKRIDRYKDVAAQLDSMRGDAQDSLAAATDAIEQTRKKALLGMFDDYRSLNESFPTLKKGVEDCEEMSDKYAQSLDEYDEKFVDPATAHSHKLEGERTMVFDLWKFRRD >PPA11368 pep:known supercontig:P_pacificus-5.0:Ppa_Contig203:84130:85209:1 gene:PPA11368 transcript:PPA11368 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNARISSMPKAPYGLVCVRRDGARTACWIQVGFPRPRYCTWIQVGNPFLLGSKLDSHTRPLDAMVDPMEEESRKEEEKKREKIRVLKGEFYEVNENKLILARRGEASIQLCKYDNRRGGHVQAVSERLLPDAVGLGVDFRNILIVLLRYFAPF >PPA11371 pep:known supercontig:P_pacificus-5.0:Ppa_Contig203:98562:103817:1 gene:PPA11371 transcript:PPA11371 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAQILSGAAALFNAEAPAVEPEHAAAETASAARQKRSAPSRMTRAISKTEGEMAAAAEILSGAAALFNAEATAVDAEHAVEAASARQKRSADFVMDRLRRAATPGVKAPAGGAEVVIRSMISILRTHDGPPQTRRDARGQAALFNAEAGAAPAVEPEHAAAVEAGPSRQKRSVNVFAPSRMTRALSRADKEMAGAAEILSGAAAIFNAEATAADAEHAVEAASARQKRSADVFETMRQTRAAIFNAEATAVGAEKAVETAAAKQKRASDFLAWARKREANSVLPQV >PPA11358 pep:known supercontig:P_pacificus-5.0:Ppa_Contig203:38574:40530:-1 gene:PPA11358 transcript:PPA11358 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAISLLSATLVFAQGPPLDIPIFGPLGGLKLTPGPDGKASQTLIYLRFPITLFIQWYVYGIGLHSGLNIGGNGFEKEFNFVGGPGTFESGSTGGVLVGGKSYGPNSQWGVSRQQGLQFGGDVDLARTQYQNPSNMIAFGGPHGAPPPPQQGGTLSSMLMGGRQTLPSRQYGERLGGQTQPSPTFFAGPPRSTPPIERGGRIEGDPIRPQSSSMFDVRGPRASSTPPPMFGGLFSFLNP >PPA11369 pep:known supercontig:P_pacificus-5.0:Ppa_Contig203:85900:87998:1 gene:PPA11369 transcript:PPA11369 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIRATPERPLTRSSPRRKPLCVDVEEEDGRMRRSMAQPLDDKQRRAILKASGVKLPKTQKSALERQPIEAEDEKLETIRQRRRDTAGCSCGAGAGCDPFSCECSRAGITCQMDTMEHGGFPCRCTAADCRNENGRQEFNEVLVRTHWARTLARLRTSEQTVDRRQEG >PPA11370 pep:known supercontig:P_pacificus-5.0:Ppa_Contig203:88830:93969:1 gene:PPA11370 transcript:PPA11370 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLVAGGVLSFTQIGSGMVGAVFALAYPIFFMRDWAIPVEQRGARIQNAVVGAVCTIMGIVAVNCAMLPLMGSNVAFVILIAAQAGVDSIFLPKFMEISDPSINDEARLVKADEFKWCYMTCVFGGAALGWLMAYFGYGHTVVALTMAGCITLVFYVPQLLEFAVDGQTRRISPENAPDSIAMGVAVFSGLMSVSMEPNTLEIVTACIAIVRFAIWSPMNLICLVQTWARIRNLFTQRWSGTAAPLVVGAAIAHWQVGYGVVGFALAVAYPTLFIRDLEIASNQGWDREMKRACAEAGVTPFTPHCLRGGGATTSIEEGTPVEQSTSMKFGESILFALCAVTPLLVGLFLGITNIGFAIVSAVFAVAYPIFFMRDLAIPSDQRGDRIQSAVVVAVCGIVGIFAVQCAMVPVMGYQVAFLVMMAAQSGADYFFISNFVEFSNCHLNNQDRLSKIATHQK >PPA11376 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig203:116517:117327:1 gene:PPA11376 transcript:PPA11376 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTVFLLLACALLALAVDDALRTCCDSRPIGECEWLVAPVASERQRANRAI >PPA11361 pep:known supercontig:P_pacificus-5.0:Ppa_Contig203:54948:56521:-1 gene:PPA11361 transcript:PPA11361 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYRDGIFRMMELRDGVCLQKAGIFFGYVYFVYYYENKCIPRENNLTDQETKTLLESAYLFLSNAYRHFDSDEARQFLVEPEALLGMRYYRAEFLPLILKVVKRKDDREKILSSLHRHISVERAVLRLGKELGMDLPENGTFKGRPDPGVQREWAEVYTQIFSGLRVCSY >PPA11373 pep:known supercontig:P_pacificus-5.0:Ppa_Contig203:108794:110263:1 gene:PPA11373 transcript:PPA11373 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQLCLLALLATVFAAPLKDVEAGVNAGLHPSVDAEAPKTHTKRDTEAAAKTGLTPSVDAEAPKTTAKRDTEAGVKAALHPSVDAEAPKTTAKRDTEAGVKAALHPSVDAEAPKTTTKRDTEAAAKTGLTPSVDAEAPKTTTKRDTEAGVKAALHPSVDAEAPKTTTKRDTEAAAKTGLTPSVDAEAPKTTTKRDTEAGVKAGLHPSGDAEAPKTHTKRDTEAAAKTGLTPSVDAEAPKTTTKRNTEAGVKAALHPSVDAEASKTPSKRNTEAGVKAALHSSVDAEAPKTTTKRDNEAGVKAALHPSVDAEAPKTTTKRDTEAGGKAALHPSVDAEAPKTTTKRDTETGVKAALHSSVDAEAPKTTTKRDTEAGVKAALHPSVDAEAPKTTTKRDTEAGVKAALNPSVDAEAPKAHTM >PPA11356 pep:known supercontig:P_pacificus-5.0:Ppa_Contig203:1593:24931:-1 gene:PPA11356 transcript:PPA11356 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASSDPAVGRLTRRRFAPDYRMLLIGCLLLSGVTAQFEGNAIDDEPADRYQEFIATEEERGLFPNIFNLATNSLIKATATCGQARREEYCKLVEHIMQSRRPTNLLDSPQCDTCDANDVRHRHPIEFAIDGTKKWWQSPSLYNGLENEKVNITIDLRQVYQVAYVVIKMGNSPRPGTWVLEKSLDGETWQPWQYYATHDAECMRQFGIPATTGVPRFTSDDEVICTSEYSKLQPLEAGEIHTSLVNGRPGVEKPSETLQNFTRTRFVRMRLLNVRTLNADLMVINRRNEHLDRSVTMRYFYSISDVSIGGQCICYGHAESCPPDPITGQFKCECRHNTCGESCNKCCPLFNQLPWKQGTNYQPNICQQCQCFNHADRCEYDEEVALNNWSVTPEGVYEGGGRCVECKDACRACDCDAVGSQHTSCIRDDQSARDELHPGDCVCKPGFGGRRCERCARGYRNYPKCEPCPCNKHGSVNFDTCEEESCVCKQNVEGLYCDRCKAGTIHLSEDNPLGCQPCFCFGLTSNCTEQPFITGKISANLGWNLTDRFGARDDRPDAENGDMLLFNSAQNKNGQLYYWKAPANFTGNLLNSYGGVLHYYVYFVPSQEGGDAVPLPDLVIEGNGVKLEYYTRQDFFPRENMTVTIPIREGGNWYNSETRRPITKDDLMRALADVKLFMVRSMYNLKQLQSSISGLQLDTALLLSKDEVKFEQPEEFDEMRSPDQRSEIKDHPTSQDNEDVLHPHPVDTRMRGVEVCGCPDNYGGRSCESCISGFRRVGNQLHGGQCVKCECEGHSDKCDPWSGACLDCKHNTTGARCELCARGHYGNPSLGGELGTCRPCACPTAANSHSAECALTQLVISGSAAAGADAYVCTACEQGYEGNKCEVCADGYFGDAVNGTCQECACTGNIDLADIGNCDRKTGKCLKCTGHTTGDACEIKDQLLAFQKCEDNHFGSAAAHNCKSCGCHRVGAKQQQCDTNNGICECKDNYIGKHCDRCAEGHGDVDNECPSCECNEMGAIGKDCDDVSGQCTCKQGVFGKRCDQCRPGYYNFTDAGCQFCHCNAHGSKEEGTVYCTSGCYYPFLGPKTKLQCDSATGKCACRAHVDGNMCEKCEDGFFNITSGAGCQECNCDKVGAEGVHCDMHSGQCACKPGVAGVKCDACAPNHFGLSKQGCKECPACPAPGQVCDAKTGECVCPPNTVGKMCEACADDAWDYHPLRGCRKCDCSAQGANGTKCDPMTGQCRCKEQYVGLACDHCSHGYFNFPTCEPCDCAVDGTESRECKDGVCLCDENGQCPCKKNADGLKCDQCKPNTFSLDAANALGCTDCFCFNRTDMCVQTSMVWQQIYAEDRVATFAEPWEYYSKKHNLNILKEFPPRFNSYPTDATPLYWPLHKAMLGDRTGSYNGFLRFKIWNDDNRRGLVGVRPDGQYFRYFPQVVLVGNNRMELEHVPWQINDDGKYKVRLHESEWRSRTAPEQPLSRAQMMIALQSVQGIYIRGTYNYPARGDVISISEISLDVAVAETPAAAASALAPSPALGVEQCAACPPGYAGPSCQNPAPGFCRKRHRDFLNSADDMALIGWSEPCACNGHSTTCDAETCLCMDCQHNTQGDWCERCRPGYIGQAENGGPASCTKCACPSVEQSFSDTCKAATSGRGYVCDACKHGYGGEYCEMCLTGYYGNPQVPGGDCRHCECHRSKTDNQLRVLRDGSVHGACNPLTGQCECLPGVTGRDCSRCQERHAFIGGVCTSCDQGCYLPLMATLDLIEDNLALQNFSALRPIPWKRVARIKDETKFLSNFVAGLVLPSSGEITDAEGKTLDIVQGGQFTREADTARFDAERVNKSAHSILALTNKTEVALADVQKQFRQAHNTTQFLAHYARHGGEKGKTSNNATATNRKSERSKIRCWTSGGLRDH >PPA11374 pep:known supercontig:P_pacificus-5.0:Ppa_Contig203:110734:111172:1 gene:PPA11374 transcript:PPA11374 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLLILLLACMLLATVFSASAAPNKEGAVHKRAAAAGAAGAAPNAKAGGTGHNNKRAAGANAAPKGAGAAGGR >PPA11363 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig203:63276:67018:-1 gene:PPA11363 transcript:PPA11363 gene_biotype:protein_coding transcript_biotype:protein_coding MRADKPGSKVNKKEVVEAVTILETPPMVISGIVGYIDTPNGPRPFKTVFAEQLSEDFRRRMIKNCAQTPRFYLWVQKLLKHRNKKAHIMEVQLNGGSIADKVERAKERLEKQVLIDQVFAQDEMVDTIGVTRGKGFKGVTSRWHTKKLPRKTRKGLCKL >PPA11367 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig203:80777:82270:-1 gene:PPA11367 transcript:PPA11367 gene_biotype:protein_coding transcript_biotype:protein_coding MSSELNFAELPVEVLLHIFGKIDREETKPASIRTTLRLVCRRINNVIIDPTNQRRLSAIRMKAEKITIARTTCMNVHDYKENINGIESQFKTDIDVGVMAK >PPA11364 pep:known supercontig:P_pacificus-5.0:Ppa_Contig203:65553:66212:1 gene:PPA11364 transcript:PPA11364 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEPAVNERVRNDQVETKEGCMMISSRALPKPDGKTIDTLSISPTLSRRPAREQGYGQIAAFMDRPAPENVEL >PPA11362 pep:known supercontig:P_pacificus-5.0:Ppa_Contig203:59036:60684:1 gene:PPA11362 transcript:PPA11362 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLVFTTLTAAIASSLTITGTITCKDAPIVGAKLFLRTVQGRARSQIVVDVATDEEGRFAVEVPEKDLDIFNKPKTIRHLDYENKCAKSALGSKFLGIDAKEGCMMISSRALPKPNGKDTVDLGKIAAFMDRPAP >PPA11357 pep:known supercontig:P_pacificus-5.0:Ppa_Contig203:30935:34038:-1 gene:PPA11357 transcript:PPA11357 gene_biotype:protein_coding transcript_biotype:protein_coding MECDTPEGALIASLRCRLAEREAQMEKMKDEKRIKVLEAEVNRLKIKALEDQIIQLKTANERRITDELKDLRSFIQRMEERQPVLENGERTLLTTTTLEAGTTSGTLRARFANISKLHDRVQSLPTKVAGMDWVIAIYPRMEESVKYLFAGLELMQPVPEHWSCYVSNSIKLISCVANKALVTREGHANLYSSTNRCWGFVQFVKFEDLLSASNNCIKEDSIIMEIDITMRFLVILASVATIVLANPQPTRTFPCVVMNHYTPQEFVECDTQCYTIKVHTLNEIRTIRRGCAGKDDLIERARFELEHKLVFTTTDCRKVGSGTLREDGELTLTQRCCTGAFCNSSTSSGLLLSLIIGMIALLAQ >PPA11378 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2031:128:1160:-1 gene:PPA11378 transcript:PPA11378 gene_biotype:protein_coding transcript_biotype:protein_coding MIWGGVSWEGATPLVVMRNKVRIDGGVYQSMLHSTYLKWAEEKFGGNVVLVQDNAACHTSESTQAFFKRSGVQTLDWPAESPDLNPVEMAWAIMKQWLKKHKKENTLAALEEGIREWWKTNYTYDLTTPRPKRRIFLPLVVLGVPTALAILALVDPLEGFKRLEGLVDDASVRK >PPA11379 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2032:22:911:-1 gene:PPA11379 transcript:PPA11379 gene_biotype:protein_coding transcript_biotype:protein_coding GSTATPRLPKASSARLSNRLRVDKSEDGKGLVLSKQGRKDLDRIASGSVIRKALKSLEPLKWVDKSEDGEGRVLSKQGRKDLDRIASGSVIRKALKSLEPLKWVDKSEDGKGRVLSKQGRKDLDRIASGSVIRKALKSLEPLKWVDKSEDGKGRVLSKQGRKDLDRIAADLRSTVAPTGQCKS >PPA11392 pep:known supercontig:P_pacificus-5.0:Ppa_Contig204:45920:48388:-1 gene:PPA11392 transcript:PPA11392 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGPPSLPPSLPPPSLPTHPPPPLPCPLSPQMHPAFLLPESLRGMARTPQYQEAVRQFELTQAAAAATAAAAALQQPQQQPPATPTTKPQIRVKEEPVSPSPQRQTQREATRVSFSLEESLPQTPSPCTYSNGPAFNGLGGFFIIYGFTPARIDPNTGLVVNSLTAPLPPGSQLAYVPPGSPHPLLSPMMSPMMNPMMVPAGLQQIAQAAAQVQQRQLHEQQLHHMRQLQQRQQMEQMMMQQKRSSPTATAIITPTESVNPIVLPNGGGIVGMGDRQFASHGETGIMGKETTMMTKETEQNNETPMDGGDNGYDNEMGGDALSEYSSFTAHSIDSDSELSSICNTTVDVEAVNTEQDKGEEQRKTKEEELFAPLPPPSKSFSFISGHPLATSSPIKWNRMIKKEPNLDSTIGEDDGRMRNSMTASMMEEGSITEEMKGGGLGRMMKKEEPFIPSESGVLDDVKAFPRTPRRSSPTPSQSFKATPVTRKATSDEHPTRRGSRKTAVSLFTRPIVVRSEASNEKSDVCRLRDVAKVDYAEKKKKGGGQ >PPA11412 pep:known supercontig:P_pacificus-5.0:Ppa_Contig204:133046:133430:-1 gene:PPA11412 transcript:PPA11412 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLFLLFVSFAVVFSLKCYDGVVSWGMSPKDAKLTLKNCDENCCQVTWSMPGTIYSCGKGCPKAGQFVKGEKCEQAPTDASWCYCNGLFGQCKPKF >PPA11390 pep:known supercontig:P_pacificus-5.0:Ppa_Contig204:33417:34463:-1 gene:PPA11390 transcript:PPA11390 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVEDQVKSAKFDPSEEPTCCVQAFLKSSKDKRLEQLLTCCSDLFIACQETTTTTMRWAMLLMASHPQVQEKFRREINAHIERDRVARMADKATMPYASAAVHEIQRYANIVAPNPLLFHRTKVDTVIGGHKIAANTIVNGDIHQMMKSDSTASILAREIYIAEDGVTLKKELVDRTIPFGIGKRQCAGEGQARTELFIGLNFRILPLPGATIDLEPIITNVHFPRPQNFRLEII >PPA11406 pep:known supercontig:P_pacificus-5.0:Ppa_Contig204:106226:108169:-1 gene:PPA11406 transcript:PPA11406 gene_biotype:protein_coding transcript_biotype:protein_coding MMNIAVRMLSETEMNAVSIERVMEYTRMESEADWESSLPPSEEWPIEPTIDCDKLSLRYRRS >PPA11413 pep:known supercontig:P_pacificus-5.0:Ppa_Contig204:137397:138138:1 gene:PPA11413 transcript:PPA11413 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAIILLAIVAAVSATAIRQQPKKEHLTKDIKHHTKEERVQNAAKVHQKLGDLSGKAYFQALVASGKDAALKDELCKMCIKLITDIETFGEDTALDYLEPEVEIMCDGLPWDNLKKDCTDWVMSIVDDLVDILNDYTDGENACVAMTLCDA >PPA11384 pep:known supercontig:P_pacificus-5.0:Ppa_Contig204:3978:5264:1 gene:PPA11384 transcript:PPA11384 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCDCCCISSFVYLVGVLTIASKVVPFLLKKFNGEKPLELQEKIFKKDVVYLYQFGGTPTASSLSPFCIKIEAFLRLHKLKFERRNTFSDRGQNGQLPFIELNGEIHSDSQIIIRRLTQTFKLQAYPDEQTAAIGHAVDRLIDNHTFNLLMMSKHRVVGEVVVAGADGVPSFLLPLLAAVAGRYMAGVMTNRAQVSIGKFKENEYNELLRHMEMNCRNDLLQLQTILGKKKFLLGDEPTAVDCTVLGQFGSNYFAVPSARFYLHDLLDSSEFAPLKEYDDRVKTQIFGDEFCHRK >PPA11391 pep:known supercontig:P_pacificus-5.0:Ppa_Contig204:37503:42280:-1 gene:PPA11391 transcript:PPA11391 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVIILIAIVGALLWKWLDIRSLDDLKTRFGSLLSGNGQHPLSNVFLVDEKSTRHGGELVASVLKAHNVQEIFTLCGGHISPILVASEKLGIKIIDTRHEVPKNEKDRMGMAFGIGTSVTTVFAADAVARLRQSIGVAAVTAGPGLTNTITAVKNAQMAESPILLIGGAAPTLLKGRGALQDIDQLVLFRPLCKYAARITRLRDIVPTVREALKAAVEGTPGPVFVEFPVDVLYPYQLVVKEIGFNPNAKGLIQQALNVYLRAHVSRQFGGAWANDQEITPLPTIIPRPKEDQLSQLISLIRNAKKPVLLLGSQSVLPPIPAAELAAAIKALGIPTYLGGMARGLLGKESDIQMRQNRRDALKGADLTILAGTVCDFRLSYGRVLSKKSNIVTINRNSSQLTKNEKAFWNADLAMLADVGLTLTRVAEALKINTPKFTEWIDELRTSENAKEAANLKKMDDKPADGHLNPLKFLSELDKTLSDDTILVADGGDFVGSAAYIVRPRGPLQWLDPGAFGTLGVGGGFALGAKSDHCQAVYPDRPVVILWGDGSCGYSLMEFDTFTRHKLPVTAVIGNDACWSQIAREQVPMFGSRVAIDLARTPYDEVAAALGGYGVVVSKDSKDSVEETMKKAFAENKAGHSALINVHIGTTDFREGSISV >PPA11385 pep:known supercontig:P_pacificus-5.0:Ppa_Contig204:6994:9283:-1 gene:PPA11385 transcript:PPA11385 gene_biotype:protein_coding transcript_biotype:protein_coding MFWLIAAIGLALFLFKELYRKRRKYPPGPTPLPLLGNMLSIMSDFPGITAYKEWKKRFGPIYTYWLGPWPIVTVNDYSTIQELFINDGETTADRVPFSSVSEVYRGGLYGIADTNGKVWREQRRFALHTLRDFGLGKAEMQERILNETADLLAQLENDCETNGKTKPSKYMEKTVASVINLTLFGFRFDQEHESEFYRLNQLLKDQLQVLANPLLVAFFSVPNLIPYIPFVRGKFEKVFKVRDAINGYFQQHIDAHKKAIDYLNDDVGDFCDAYIKEMYKRKDDPDTSFHDKQFVNVCGDLWLAGVDTTATTMGWGVIVLLHHPEVLSKLHDEFDRVIDSDRLITTNDKPALPYTNAFLNIDEDEKVNQLKEVQRWANIAPQNLLRRMNKEVTIGGVTIPEGASITPQISMLLADETVFPEPDKFKPERFLDGDGKLKTFKEFLPFSVGKRQCPGEGLAKMELFLFFSNLTHRFHIENVDPSNPPSLVKQMKTGGKPGEFECILRRRIMGDST >PPA11397 pep:known supercontig:P_pacificus-5.0:Ppa_Contig204:63460:65972:-1 gene:PPA11397 transcript:PPA11397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dpy-21 MLSARFYYLISIETHPNGGAPMVICDWERVCNDLDLNSRHQFAREFINLGMAEEKGVPVFVIGIMKNAGNYLNDLLEYLCTKHAHLPCKVGSLVNKQVVETMTLANYYQQVCDTYSEGTFRAGPMNALSMVGAKQEECGQHFKDILKMLEDSPILKPIMPWGELSIFENNLKPTDSDDGPIVWVRPGEQLIRTDDLSGDKKKSRDRQRTNALRQTERRELLFEDRTPCHADHVGDGMERKTTAAVGILQAIKPNLDNANLPVKVPGIEGPLRETRAVKDVVCFHAGDFEKIREALYLDLYEPPMSQCVTWVEEAKLNQLRREGIRYSKFQLHHNDIYFLPRGIVHQFRTISACLSVAWHVRLKQYYKKPPPPITVAERRLSQMEVDEHNRKKKLAAAAAGPSTSKTVRRARSPSSSSSSSDVSMKKVSSSSSSSDSDD >PPA11386 pep:known supercontig:P_pacificus-5.0:Ppa_Contig204:12514:15048:-1 gene:PPA11386 transcript:PPA11386 gene_biotype:protein_coding transcript_biotype:protein_coding MDILPSTQYLRRDYKTLKDIKDVTLDIKKQGGKLATAAVNIEDRKRIIASLDKNPGQYDEPTFWLLPHEIAPMNDVEPNARDDDIVTLNRPDPFNPAGAPKQSLFYCRDCGASFIRYTNLLKHIERGKHFIRPEHIKLLDQVLGLFMWAIEDTLVPVPLSPVSEVVKAFKRKSDPELPQGWAIRHGRKVGRYPETTKAFPKDWMTKTQLRNYINTLKSQLPKTRAWRRQVEHEEEEMGDEHFEVEVEHSEEDIFLTKNDFHHYHEAQEILH >PPA11395 pep:known supercontig:P_pacificus-5.0:Ppa_Contig204:57198:58555:-1 gene:PPA11395 transcript:PPA11395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-thk-1 description:Thymidine kinase [Source:UniProtKB/TrEMBL;Acc:H3ENP9] MRVGFGPNLADSGALISGMSSLAISGECTRTLHIGPNISIWYENITREILGWVAKKPCPPPKRKFGTGATLKAERLAEVTDKLFDKEIEVVSIDEGQFFDDLEATVAKLALAGKIVYVAALNGDFSKKPFPQIAILMPHADEVTLLKAVCECGCDANFTFRVTTDKKVVVIGGEDSYKAVCRDCYEKSLIERDAFDENVARPSTVESEKRKAKAAPFEENESAKRLKVA >PPA11403 pep:known supercontig:P_pacificus-5.0:Ppa_Contig204:95244:96231:1 gene:PPA11403 transcript:PPA11403 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVLVHKRVLILVPFYLYVGILFPVLILAFLFGLADAANYITRLSFLDGLAASVLFFASPSLSIYSYAIIQSVFLVASTIVYLYTCEFIQNEEERADKVAQISSESV >PPA11408 pep:known supercontig:P_pacificus-5.0:Ppa_Contig204:118536:120097:1 gene:PPA11408 transcript:PPA11408 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHPVPVLSQEDVFNYDAYLFDADGTLWTHDEPIPGAIKFVQELISRNKKVFIITNNSSRSTRRYLQKVTRLGFPVEEKNIISPNTIIIDFCKRNPHFIRKGIYLIGNIGSKEALEEALDVECFGIGHDPMPDAAGEGFPSTITLEKEASCVVVGDDPHFSYLKLIKATNFLADPNCGFFIANEDATLPNDTYILPGTGCFAAALRTAVLPREPVLFGKPGEPMGRYLKNNLELNPEKTIMFGDRLDTDIKFGSVNGFDTCWVRTGTHSVNDVNRAIDGDDKSLVPMFTFSFTDVQ >PPA11383 pep:known supercontig:P_pacificus-5.0:Ppa_Contig204:2312:3695:1 gene:PPA11383 transcript:PPA11383 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAEIHSDSLLQSSRDLIPFLLKKFKGNKPVELQVKNYEKEVVYIYQFPGTSTCSSLSPFCIKVEAFCRLNGIKFKSYPDVQTAAIGHAVGRLLDNHTFKNELVQLQTILGNKKFLLGDELTDVDCTALGQFGNSYFAIPSARFYLHELLDSSEFAPLKEYAERVKSRIFGDEFCDNY >PPA11388 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig204:26830:29996:-1 gene:PPA11388 transcript:PPA11388 gene_biotype:protein_coding transcript_biotype:protein_coding MHIGLKHISRKFGPVSTVYLPYPVVNITDYETVKEAFRGNDVNGRIHNILTEACRMLEGGGIIGAEGDSWYEQRKFAIATLKEFGMGKNLMEEKIFVSNIINEFLYGKQYPYDNCVELMDFVKGLATAVIDFSKSKTAPLVFLLPWTRHLPIIKYYWQQQLITDFVHEQVAQVKYDPNEEPTCFVQAFIKNNKDKRKEQLYSCCSDLFLAGQETTTTTLRWAMLLMAAHQDVQSKLREEISTHIPRDRIACMNDKTKMPFASALIYEIQRYANIIAPQPFLFHRTTVDTVIGGYPVPADTLVNGDFHQIMKTDPYFKDPDRFWPERYISEDGVTLRKELVDRTLPFGIGKRQCAGEGLARTEFLHGAFRHFSDRRN >PPA11398 pep:known supercontig:P_pacificus-5.0:Ppa_Contig204:66156:72183:-1 gene:PPA11398 transcript:PPA11398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dmd-7 MSATTPNGFNRPRGNYCCLNIRYQVDGSAMNGTPKSAKKYPVCPPPPPAPRISQSTNSPDSTTNGVKRIEPNGVKPMGRGPRTPPDPEPPFKREDRSQSDRSHFERSNSDRSSDRPRPPPPPPPGPPPIRTMQSAPSTSGTSINNGGNYNNMPPHHVKYGGPSYGGMSNGHSMPPGVVPSPMPPPMGFGHPSHYAPPPPPPPFFTNPYGAPPHLIPPCPPFIGGPPPPPFGGLPLHHQQSPIMLSSPRSTPSTPSMVPPPPPPPSNILKRPPPPPPPGAPPSRDVPPPPPPPPIPTPKLTTDFDSILMDLASSRTNEKMKKKKEIEEEKKKKEIEEKRKKMQEEDEERKRQKERKRREEQERRLKEIERKRMEEERIKMEEEKKRLEEEERIRKEEEEKEEKRKRKEEKRREKEREEEERRKEEKAARKRKREEEKMEKEKEEKKKEKLTVNDSVQGKKLNKSSLDSPSTSKKRKIEDSDEEEEREEKETKKEKKEREEREEKERMEKKRLKKERKEKEAKDAKELEERKALLKKEKEEEKKKKVEEKKKRGEEEEKKRERRRKKERRRGERKTDKQIEAVRVRLNVKRSPLRINPSYSSSSSRQPSAQFSPDQLPSSTCSPPQEPSSSGIDLRVKKEMMEEDTEMKKEDESIDKDEVDISNHIRDRSASPMDLIDELARADSSSPEIIILEEKEKSGSAKPRETKEESPILEEDLQVLHVKVNDKEQSIHFRPLTTYQEIPMTDKANRDELEKARAEHKRDIEERERIRQIRDASQADNLFKSEVKSENGSDYDPQSRRKGRTMQAMLYSLRTILPSFSGDASQ >PPA11401 pep:known supercontig:P_pacificus-5.0:Ppa_Contig204:91956:92764:-1 gene:PPA11401 transcript:PPA11401 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQSSALIRYFAIKFKWIRHIPIVKYYVMDRVVEFYDLIMSYIRTNVDQTMDNFDADKEPDCFVHAYAKKLGTSPYLTKEQLYATCYDFFLAGQETTTTTLRWAMLLMAANQDKQDKIRAEILRVVGSSRLPSMADRRDMPYTMATVHEVQRWSNILMMNVSRKTVVDTQVMGFKIPAGTYVDSRSRSNIREFTGIPP >PPA11396 pep:known supercontig:P_pacificus-5.0:Ppa_Contig204:61293:61788:-1 gene:PPA11396 transcript:PPA11396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-thk-1 description:Thymidine kinase [Source:UniProtKB/TrEMBL;Acc:H3ENQ0] MRSRGHVSVILGPMFSGKTTELLRLHERHVRAQKKCLLVKYAGDTRYDKDCVATHDRADSASNSLPEGGE >PPA11405 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig204:102622:104305:1 gene:PPA11405 transcript:PPA11405 gene_biotype:protein_coding transcript_biotype:protein_coding MRSALLFLFIGGFAHSVVIRQPTHKSASLRAKLIKEGTYGDFLTAQYLARANSKGAVGLQPYSDNYDDVYRGEISLGTPPQNFTVLVDTGSTDLWVIDSRCQTTACKGAPSSGYNKHQFNKDTIETDTFALAGLGQNKQDFGLANTVVDYFGLTPVDGVFGLAWPANTQLDTPSPLWNMLDSLDAPLFTIWLDRRAKPQEETLGGLITYGALDNEHCEAQVDYVALSSLTYWQFPMTRFSIGSYSYSAKSQAISQTAAWIGAPTSAVAGIASATGAKFDQINYLYTLPCNGNYPDMIFTIGGRALRRVRGKCALAVYELGGGGFGPDWILGYTWIRTYCNIHDIGQRRIGFARALHTE >PPA11382 pep:known supercontig:P_pacificus-5.0:Ppa_Contig204:1315:1962:1 gene:PPA11382 transcript:PPA11382 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQSIAWKVVEKIATDNGLPSFLVPITSRLGGQYREQLLQLENLVQIQTILDKKKFLMGEEPTAVDCTALGQFGSAYFAVPSARYCLHDLLESSEFTPLKEYFDRAKTRIFGTEFCEPI >PPA11400 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig204:75953:78350:-1 gene:PPA11400 transcript:PPA11400 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFCSEHVATEIPAGTQVVVEATLLTSDVDHTIEEMKATYQPQPGQQIAATRTSANRTLFCRKCEGHGQQVILKGHASKCPYNNCTCKTCANVMSMRANAIIRRYRTRTTDCGLVLKPVHFRNGNTRLRVFPKYIDETDGECVQIPVHANGHHFAQIEVPTRSSPNGLHQSPSSSSLNGCKRYSNEDERSVNNAKRSHHNEEEHLHQSSRGSQGPPSVSPVTPNLFDMLATLQTTTHQLQQQHSQPQQDGEVSPTDLWSSTGLLGLNPTSISSLLSSSSSDLLAQLTSMHQQPSASLIYTTTPTTPAPPTSQYSQTVTSLANSISPPTTAFLPVSTPSSICQSITCPTTQLSSLNIDGLSSPIEEPKLTPDAILNTSKYSSNGSLTLGGERTESVDVKPVFSAERLTRNLFISPDADRSHPMFWHFMTTVQQLEETMLYSFNKSSQ >PPA11411 pep:known supercontig:P_pacificus-5.0:Ppa_Contig204:131039:132118:-1 gene:PPA11411 transcript:PPA11411 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLPRVRREFYDDDRQIAVCNPPEAFPRVVNNVRTQVGLVLGVIVLLLYLYIIYLLIRKSPTRTVKSSQIRAAKSMVALSATYLLSWFCTVTISFLATRMPLDSLFIRLYNQYAVLFALAAYSLTYYIHFLISREYRRAFLSNFCCRPFARPINHLFRKCIKNEEGDE >PPA11381 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig204:546:1280:1 gene:PPA11381 transcript:PPA11381 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCDCCCLSLFVYLVEVLTIASKVVPFLLKKLKGEKPVELQEKNYKKDVVYSYQFPGTSTASSMSPYCIKVEAFLRLYKIKFERCNTMSGRGSNNLLPFIELNGEQIADSQIIFRRLTQIFNLKTFPDEQTAAIGHAVDRLLDNHTFNFNYSL >PPA11387 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig204:16905:17449:1 gene:PPA11387 transcript:PPA11387 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFPTMAVASEGVEKMRAKEEKEQKMFPPSVDQEKAAECLCQHCPPRSTQETADYCCSSLFTFTPLQKGILLRDGLASKMKEFGSHPCIILDPFFVNFIMTEVSLGYSYLSPAR >PPA11410 pep:known supercontig:P_pacificus-5.0:Ppa_Contig204:124635:125987:-1 gene:PPA11410 transcript:PPA11410 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLMIGISLIQITASQSCFTPIPWTTHRPLISEIVTMSSLSDCENCAALAYNASTGTCMLLGADSTCACSCLGQTTLMLKVVCPIASTTASMIAATTLRTSTASTTVSTTKASTTTTKTTSTTIPSTTTSTTSTTKVPTTNASTTAPSTTTGLKTVASCINGCIVKRVYTSQVEYSMVVSKNVDDGNICQMYCEKGGMTVTPRVFDGAAWVPVPNNYIGCNGTHNWMWDCQSNCDTMCVCVRELSNYFFFFFACL >PPA11389 pep:known supercontig:P_pacificus-5.0:Ppa_Contig204:30598:32507:-1 gene:PPA11389 transcript:PPA11389 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGLKRLSARFGPIVTVHLPYPVVNISDFETMKEAFRSNDMSGRMRNLLVELSQLCENGGIISSDGPEWQEQRRFAIATLREFGMGKNLMEEKVRLSAQNMVDFIKKQDLQNTDLRLPIQIFVANIINEFLFGFQYSYDDSDKLMKFVQELQTAITDISESKVMPIVFLLPWTRYLPVIRYYWDEHVKQFSELMDFVRDEVKAVKIDVNEEPTCYVQAYRKKNKDQRLEQLVSCCSDLFLAGQETTTTTLRWAMLLLAAHQQVQDKLRAEINNHIEKERIATMADKTTMSYASAVINEIQRVANIAAPNPLLFHRATVDTEIGGHKIAANTIVNGDFHQMMKSDPHFEQPERFWPERYIAEDGVTLNKNPPNNELVDRTIPFGIGKRQCAGEGLARTELFIGLVTLVQNFRILPLPGTTIDLEPIYTNIHFPRPQNFRLEII >PPA11393 pep:known supercontig:P_pacificus-5.0:Ppa_Contig204:48567:48924:1 gene:PPA11393 transcript:PPA11393 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDIVIDESNPLFAHREERREHLSTALQFFDFLTDSEELGTIQYNERTQSLRTSIRGRIEQLAREIREELEKDGTIFYPSTSTWITRRNHLIYPFRI >PPA11399 pep:known supercontig:P_pacificus-5.0:Ppa_Contig204:72905:75331:1 gene:PPA11399 transcript:PPA11399 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-spr-2 MSEEPTAKRSKVEDAEALIPSQEFDAIDNIQSELDALNDQAGDEIVKIEQKYNALRAPVYAKRSEAIKSVPNFWGIAFLNHPTLSSTVAEVEEGFIQHIHSIEVEEFADIKSGYKIKFSFHPNEYMETEQIEKEFHLTGDTPYSKVTPIVWKAGNNVLEQNNDFRHPCKYRPQITRNRHPIPSDSLTFTEWLLSDSDPTMDEIAEIIKDDLWPNPVQYFLVPDIEEDDEEENGEGAE >PPA11409 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig204:120260:121342:-1 gene:PPA11409 transcript:PPA11409 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSCLGMKLVTGSFILYVYSKKKYRVMNESVTYQMAEILEYSRAVIPAIVFSSFVKSLSLLTCIFWQEGLGHYGFLRIIFFTIHTLNCVAMKTMLIASHRGLRRTFRLYFMKAQM >PPA11402 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig204:94234:95111:1 gene:PPA11402 transcript:PPA11402 gene_biotype:protein_coding transcript_biotype:protein_coding MSASKYAAICAILVGLANLIVYTGYEASVFINEIGAHDGYYGQAVSNVFYMLSTLAVPSLMNYFRCKWILALSGAFFTFYFLTFQCLNRYLYFIACAVLGMASSAVSCLSVFGAGVVNYIVTSINLNDHGIVSKYREYSEPEIRYFFAVFAFLGVVGMTIFSLLPNREVAYPIE >PPA11407 pep:known supercontig:P_pacificus-5.0:Ppa_Contig204:115016:116343:-1 gene:PPA11407 transcript:PPA11407 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAITRCAVHINCRFLITSWALSLQGYLINVCLIHWQNFIPESTPHFETTRFHLLFANSILHMCCTCFEMKIALERIVSTRRPHIYHDSTFSYRWNLPCTVLPLLSGSIIGYSGYVKGHPMALLFPSVVDFFTILINSYGIRFLELRFDSLFGKATLNARYQIHCFNCAFSAIFLIVHCDFVKNAILSFFGQERSSKSSRVGSVDSHEQTTIAYFTMLETSWN >PPA11404 pep:known supercontig:P_pacificus-5.0:Ppa_Contig204:96661:97845:1 gene:PPA11404 transcript:PPA11404 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFRIWFWVPVLIILIPSTVVHFLLFLAIRKRQRENQCKSLSYRLFVVQSILELLLLYLYLSGRILIKDRLLSNEAIMSLNGEIFPRFYYHGTVYYFFNVQIWGWFDSTPSFVFLLINMIVPFALLFQLLLPDGIRFIVDGEGNAVLSVPREIVQRNAMQSTIFTAVATALCAACSMFYAIALRRLWKIKWKLLVNIPWKISMNELRSASELKREKMLAVSGIALFLSQCTMTAYYVVVTFTAVDNEPVVAYARNIYMFPVLLLTFVNPWMLIFTNGKLRRT >PPA11394 pep:known supercontig:P_pacificus-5.0:Ppa_Contig204:52427:56734:-1 gene:PPA11394 transcript:PPA11394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pig-1 MGDSSKSSFHSVGSVHSISNPSYDILSGQYLLHDEIGAGGFGKVRLATHLLTGQKCAIKIIDKKAIGEDLPRVKTELDALRTLQHQNICRLYQEIETEEKFFLVMEYCAGGEMFDYIVRKNRLEESETRHFFRQLVQAMAYIHDKGFAHRDLKPENLLLTEDLHLKVIDFGLCAKPDGLFKQLETCCGSPAYAAPELIKGTPYHGNEADIWSMGVLLYALLCGSLPFEDDTMAGLYKKILRGTYPVPEHLTPCSRQLLSRMLQVDPRNRITVQQLLDHPWLNKNYQIKIKWNSIYDSFVDVEVAKEMSWSWHRGLDEMMGLIKEWRFDYLTATYFILLNLKMKGKTFHLPGPKASKHDICNVVNSPTIHASLENNLDRSGLDDDLEGLHLMDGSDGEEERERDVRFASPYSPERRQNVSYAHAILHMPSVMTGRSPQQRIGGSNGRDSDDKRVALPVMGTPAASSKRTTRITDAVMGSEKENRRGTASCRVRGPVRVGPDEDRPKSIYATPQRNPLKGLFSPHSAGRQRASSAERNSTIPSVGSSPAGGSWREDETSCDRTPRSTAKTPRLKQRMFASLERKKEKVINMLTPRKLRQSRSDMPEVVTNEKGMINLSVTSSTEPEQVKEQLVRVFEKHNMKYEVNGWKISGRQKDSMGHSMTVLLEIVYVEALGKVGVRRKRLNGDAFLYKRVCESLTVSFLAAHYYGFQRAPTVSCTVFMF >PPA11415 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2045:211:794:-1 gene:PPA11415 transcript:PPA11415 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALKKLTRKDYNKYVVDTMGEQYGVQVVRTPPYMAEYAPIEFGWSAMKRAQHDLITHTDDGKKRIEEGALTFCPSLSTEEIVAASDEIIDEADPQPVEDLEELLYMSDDEEEEYSELL >PPA11416 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2048:655:1303:1 gene:PPA11416 transcript:PPA11416 gene_biotype:protein_coding transcript_biotype:protein_coding EIRSILASDLNLIHYDPQKELVLAADASEKGIGAVIAHRVNGKLMPIAHASRSLKDAEIKYSQIEKEGLALIFVVTKFHRYLFGRRFVMQTDHKPLLSIFGSKEGIPVHTARRLFRWATILLGYNFSMEYISTDSFAYADALSRLISDSRDDRDEEKVLEEVETVVVRMISASIENLPVTATDIREALSGDLLLCRVRDFHLTRWPEESKMGKDRD >PPA11425 pep:known supercontig:P_pacificus-5.0:Ppa_Contig205:127343:127752:1 gene:PPA11425 transcript:PPA11425 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGDPIPSRKMPPLSLFQSFSDPSKRGYLATGVEIEKERKKLASLMGYEYAGEQRIDNKGITQVFEGVPAGSIGCDDALSIVLDQ >PPA11420 pep:known supercontig:P_pacificus-5.0:Ppa_Contig205:63671:64766:-1 gene:PPA11420 transcript:PPA11420 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRSKEDEGGNDKKAKKRKNGKTIASTSLDNSKKELENREGSEEEVKRSEEEDDKDDAHRLSRYRLAIKRRLAQKI >PPA11424 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig205:102865:107792:-1 gene:PPA11424 transcript:PPA11424 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDDEESKFQTASVTFLDGTVNEFKVHRGSRGEELFTLVSNGMSVEEKDHFALCFFDNQGMRHWIYNDKKIVRQLKGLPWVFSFQVKFFPISPTSLKDDTARHMLYLQCREDIKTGKLSASLPTRASLAALIAQSEFGDSSVSVDYDEYVRACTEGDELGEKLKILHAAHKGMNEGETEMAYLNECKDLSTYGVWQFPAKVEKKESTLTYDCFTYEEAKRVWKCGVEHHTFFRLIQPELRTKGSLFHWGSSPFRFQGRTSFQNKMASQMFDQPTNVAYRTHTETADKGGDYMMREDGSNEKRKRKEVGIILTHDSPSAHYYPNGRDAHSSPMGYYSFSLDRSSSETRTPCANIISRVELCGSGRLDEEGSTPLQPLSDLPLIPLSQSVSVYDRGHYEGHPPRPANASPQLPPFVGHINGNASRLSPHRDMDSFPIRYFVRLIHSGSSQPIQLAERERHEGEEETVYPAHLLPSNYSFPQHNQMENCRLEKSEELRGTNIEECCARYYVSPDELDDEEEQSEGRRYWLFNRRNQSTPPSRPSHSHPRRRIIFLRRVDDSSTLSPHISPVVISDDDAELTGAASPSRTQRTYYLARVRHDGDEEIVEREHIKPESYGVATTSYDGPLEDTPREDDLEHLPIRDHATVYHQGQSWRVDKEKSPKKEKAVSKAPKEKKSKAPKGTAAAPTDEVTGKEHVYYIAKVPKESEEDENAEARASDSHPSNEPPGSAGRPLFGWLRSSGRTKRGDDHGTQAR >PPA11421 pep:known supercontig:P_pacificus-5.0:Ppa_Contig205:68711:69009:-1 gene:PPA11421 transcript:PPA11421 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPTSSIRINCGMDGFASSTPDSGIQSVPATPPQWYVQQRNEEKAEDETKFDDMPRLRPIDEEEATTVTYFSSLY >PPA11422 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig205:73962:75316:-1 gene:PPA11422 transcript:PPA11422 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHTKHCVHKHNYTTVQGMGQIHSESMSPSDGEMTGMIDNWTRSVEYSNEGMGKDMGSDDMGELVSSKCIQSGNGREIEILTYKRMGINGMEQHLEYRVTIHSDAPIDHDAELSAAILEATAVNPNYHVEKIEVRQESTH >PPA11417 pep:known supercontig:P_pacificus-5.0:Ppa_Contig205:17041:18930:1 gene:PPA11417 transcript:PPA11417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpl-4 MAARPLVTVYSDKNEATQTQIKLPAVFRAPIRPDVVSFIHDQVSKNKRQPYAVSTEAGHQTSAESWGTGRAVARIPRVRGGGTHRSGQGAFGNMCRGGRMFAPTKVFRRWHRKVNVAQKRYAVCSAIAASGVPALLQARGHVVDKVAEIPFVVSDKVESFRKTKEAVSFLRRANLWDDIEKVYNSKKIRAGVGKSRNRRFKQKLGPVIVYGKDSGVIRAFRNIPGVSLLHVERLNLLKLAPGGHLGRLIVWTESAFNKLDSIFGTYKAASELKKGFTLPRAKMANPDFGRAIRSEEIIKAVRRVPRSSVKASKVHRNPLKKTKLMVRLNPYSAVLKRAAILSQKKVQNLDHPNEKLIQAANAKANVARKAKTAKKTGKK >PPA11418 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig205:29217:29516:1 gene:PPA11418 transcript:PPA11418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 5 [Source:UniProtKB/TrEMBL;Acc:H3ENS1] MSKVLKSFRVNEIETAVSGLSEKEADLLIKLVYRSFELEPEGPLLQWHSQVVSRFGKGPIVRVFTSRNAL >PPA11423 pep:known supercontig:P_pacificus-5.0:Ppa_Contig205:75643:81219:-1 gene:PPA11423 transcript:PPA11423 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAVTISRTCLSAFVLLCPRLTRRRGERAKPTTPLTGAASPSRTQRTYYLARVRHDGDEEIVEREHIKPESYGVATTSYDGPLEDTPREDDLEHLPIRDHATVYHQGQSWRVDKEKAVSKAPKEKKSKAPKGTAAAPTDEVTGKEHVYYFAKRRKGETDDASDDDAELTGAASPSRTQRTYYLARVRHDGDEEIVEREHIKPESYGVATTSYDGPLEDTPREDDLEHLPIRDHATVYHQGQSWRVDKEKSPKKEKAVSKAPKEKKSKAPKGTAAAPTDEVTGKEHVYYIAKVPKESEEDENAEARASDSHPSNEPPGSAGRPLFGWLRSSGRTKRGDDHGPAERAPSDEYYALAKERYAGPLDGLDRRDDLENLPLVAVMPRRILADVRNLERNYGRNVGNEGRNVFFLRGIERVAEVADGHEYTNGREIGHTLQLEPNPELAHLEMEREQIGAVHISRASFKMERRMEVELERVFVLGSSNNALPPFSFTPPFTHNRRHMEMTTTHTSSSSSFFSRIFARKGAKSGKSEGNEKRKKGRRSSSNESSDSSDDNEHEMRISNAPNQEVGEPRCTVASWKESSEEPGEIEYERDADGNMIG >PPA11427 pep:known supercontig:P_pacificus-5.0:Ppa_Contig205:203521:204901:-1 gene:PPA11427 transcript:PPA11427 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEEQQQEIEALGAIYGDHEFEIINGEYPNIEVKFVLSSVTQGASEADDDFELNLVISLPRDYPHVAPQIRFEDFEDYFSVNVIDGVMIKLREVANENLGMVMIFTIISALQEEIGVLIEHRKREKENIVEEKKKKEEEKEQARFVVKKEKY >PPA11419 pep:known supercontig:P_pacificus-5.0:Ppa_Contig205:50544:52480:1 gene:PPA11419 transcript:PPA11419 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-smo-1 MILRTNREAHSKPKTAKCPSIMGDNGDATANANAGGDGNAEYIKLKVVGQDSNEVHFRVKYGTSMGKLKKSYADRTGVSVTSLRFLFDGRRINDDDTPKSLEMEEDDVIEFMHETNS >PPA11426 pep:known supercontig:P_pacificus-5.0:Ppa_Contig205:198448:198838:-1 gene:PPA11426 transcript:PPA11426 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTMFCYVGMVMTMFCYVGMVMTMFCYVGMVMTMFCYVGMVMTMFCYVGMVMTMFCYVGMVMTMFCYVGMVMTMFCYVGMVMTMFCYVGMVMTMFCTGDSTH >PPA11428 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2053:40:1385:-1 gene:PPA11428 transcript:PPA11428 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKKKQMEEETKGKKKRGRKRKEITVGFTVHPPHGGMMGDGEDNEEDPLGDNAMMEEEEDQMESKRILRGRVHRAPSMREDSPHIESDTSPHSSPNRRSSPIHRPPIRRSSPIPHSLSTTLQKGRTHGSVEERDRNITNNLEKVAANLEKVVDALPETSNDFDYKYVAKDIVDLHDANENLLIFATRIDEIIFPNERHLRLEMRDPRKVKWLYELLCHRRKISLGPDRTQRMKQVKNRLNGNVYKFNHKRPSIILPLVIYPDHKT >PPA11430 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2056:66:386:1 gene:PPA11430 transcript:PPA11430 gene_biotype:protein_coding transcript_biotype:protein_coding IALPRCNFGCLIFASTLGEYQPSNDGLDPYMENLMIYDPAIGRNLSIAELANQLDSKSSQKVPLDIA >PPA11431 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2058:369:1229:-1 gene:PPA11431 transcript:PPA11431 gene_biotype:protein_coding transcript_biotype:protein_coding RLNDFGKVTGIALPSERSMRRTIRDIGCPAIDRPEGIAISIDGQYDTPGYNASNCKVTVMDAKLKVALSAASVHKSESGIENAREHSEDELDGEDEEYEEDEASERSVQNTETRVKENAEDEINEGC >PPA11432 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2059:438:815:-1 gene:PPA11432 transcript:PPA11432 gene_biotype:protein_coding transcript_biotype:protein_coding HQRPKVVYVLPPQQQTQPPKNTPPSYKAAPPPARVVQARPKQLQLPQAVRPTRPAAAPATFQEITLRTPRHV >PPA11433 pep:known supercontig:P_pacificus-5.0:Ppa_Contig206:92316:93587:-1 gene:PPA11433 transcript:PPA11433 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRTAHHRSQVATRNTTRGGVCGGLLTPPSRFWTRRTGGSCCQAGLLVEMNADECKTPEGRGRHCFLAYREALRASSNEENEDDADLKYRIAKCLYLIGGYEDAMGVLNQIRHTNVKARMKKLEIDLWEKMGINDSKSKDPILEAHQCEIIIHYTI >PPA11436 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig206:143837:145936:-1 gene:PPA11436 transcript:PPA11436 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQDRAHRIGQKKEVRVLRLITANSVEEKILAAARYKLNIDEKVIQAGKFDQRSTGAERRQMLEQIISAEGDDEEEDDIPDDEAINTLIARSEEEFNTFQSMDIDRRREEASQLHRKPRLVEENEIPHDITKASEDFAAMERAAAEEEESGMGGFKHEVAGRRKRKEVRSRESTLRHSTV >PPA11434 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig206:107980:109509:1 gene:PPA11434 transcript:PPA11434 gene_biotype:protein_coding transcript_biotype:protein_coding MIKWTPQGPKRRPYDVPNARWKDLFMRTVGPVVSDPTDFRCVTESDALFWDKQEVMKGLSQELIELLLSLP >PPA11435 pep:known supercontig:P_pacificus-5.0:Ppa_Contig206:138830:139218:-1 gene:PPA11435 transcript:PPA11435 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAKIRKKIASGRYSSALEMGEDVQLLCDNAKIYNMEGSDIYSNAILIETIWKTIVGIEIKKEKKEERMDIGDERSLMSDDPVGHTQLVSSSTPVW >PPA11437 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2061:82:1263:1 gene:PPA11437 transcript:PPA11437 gene_biotype:protein_coding transcript_biotype:protein_coding FIEHVLPSICNEALKSVVSKFNASQLITQRQQLGEAHRPSTCFPRPSRMNQLVSLALVGLLVVAPVLSVDIEEEENVLVLTNDNFESALEAHPQYQVLVEFYAQFAPDFTDLTTENIVSFNERFLAGELKQDLMSADVPEDWDAKPVKGSRRKNFKE >PPA11438 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2063:13:578:-1 gene:PPA11438 transcript:PPA11438 gene_biotype:protein_coding transcript_biotype:protein_coding VNKGFGSITRLAEATLKNSNWIMYAFGSIATSETVTAAAASFNCESTSNNTPDPTGPTTGGGLASGAGYDPTKLFIVDGADKKCTLACENGYMLEGRIVKHSESESWSTSVAH >PPA11439 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2069:603:1604:1 gene:PPA11439 transcript:PPA11439 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYMGRGDSSYRPQLSSQSSQIEEDEEEIEEEEEKTAADYFLVAKANLEPLFRRCQDCGGMIDPISIEWIQIASALSVKFQCTECKVHFRWDSQSKKGTGKSQVFQMNQELPIAAFVTGTPFPRLLECFDVLGIATPKERTMRDAIRFYGSPAIDRVYEEWETDARVTSKAFAPAEGVVLALDGQYDSPGWCASKCKVSAIDTSLGLIVGAASLSSKDPGIDGKSVRMESRGTETVLEQLIDDGFTECGESPQIEPTEYRSMV >PPA11444 pep:known supercontig:P_pacificus-5.0:Ppa_Contig207:41611:44461:1 gene:PPA11444 transcript:PPA11444 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPANETASLRAVNEQQKAEIDKMSVTFKTVVALKNRKIKDLEDQVKQMEEDAMHVDNSAEMDRSVDYVVTSGVVMKLNAIVDSLRFDLSHPVWDKVTLFYGDITQIFVGAAAMVNATNFHLAGKEGVSGVIHRAAGYEELQAECKKHTRPVATGQAVMTESCGLGSRVRKIIHCVGPICHGGVTADCRNQLESCYRLAMELCEQNGLRSIAFTCISTGIHGYDNKDASRTVLRFLYEHFEKARNVAKWDRVILCLFKEVDKLCYKHYITKLARNPELFDEDDDEE >PPA11449 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig207:61294:64444:-1 gene:PPA11449 transcript:PPA11449 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQGDFNRFVKNFSTRLIQTVVQSRLGRPTDTQCSLPADEKDWFSFKVDELGEVAAYISQSLQRFPPSIDCFTLEFLLYTASGDYLPLEEWQVSVDRDDKDLTVTMSNLYHQFGVLLRSCAIAARMTPLSRYYVKKQSSESFVVLYRILDKMGNANPSSDDYIMRQLAKLPSPYGSLSVNLFFKEKVETTPRKSSSPAPNKTSERQRPSSYSSAIPVEGSERVQATTPVSDHLHVFRGSPATPTSTDAPSSVSDQKRMDESTCSSSDEDAGPFRGPCQCHYGSGVSLPNMDDKTLSPGHRTSSSFPFAVLLSSSKCKCSLEKINSPPVEPILVEEEKRESTNSASSHSESESDEEDSSADPLASAKIFSISPSTSHVAPGSNLGEFLEQCRHAPVDGIHGVTDTPNLIKNQIDEFASHISEFDHFVKEVEERVDHD >PPA11456 pep:known supercontig:P_pacificus-5.0:Ppa_Contig207:115115:118161:1 gene:PPA11456 transcript:PPA11456 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLIMAEATDCVLCTVCHPHEYELIGCSGQMDSICASCTTGWLRSTPKTTDFFRKCKKHPQFSLLFPDLPNYRNGRRWPMRLDVEPSLFAEQEKMLRDAEKTEMVEMDDELSDEDDYDQEDEEDDVLFPSKEEDESKETGVNPVEVDERDDGFEHDSEEKAQELKDSEDTIEIVQKEDIEDVSREDDARDVRAEDRADPFDSKKNGEKDETEEDDEEEIHRLYPLHRANIWRIIGPELAAVDDKDIPKEVRRAINNRMEARQQDILRRRLNEESGNILEIAKEESEQERRLIGGYRPLLVRSGGNVQYTSFVERIGQMPLIVSVAWLVCFSLSCLLLVVMIKMFLHRRRHRVIILPELDEMSRQLINEAHARVHAKKDKKTRPEFV >PPA11445 pep:known supercontig:P_pacificus-5.0:Ppa_Contig207:45532:50119:1 gene:PPA11445 transcript:PPA11445 gene_biotype:protein_coding transcript_biotype:protein_coding MELDKMSSTLKTVIALKNRKIKDQENEMERVIEEARLEPKPDEESISTEEVSLVQSTMTPDQEGSSGQAKSCSVVQPSIDIAGLLATVQGLPAQVVLLVEENERLQAKIAQQKMELDKMSSTLKTMIALKNRKIKDMENEMQRMKESSKETTIDRSTSEVVNKINKESMEYEESPAASAQYEAQSLHFTHSLSTNRVAREVESEDKEVPDDLTTLDKVNKLNELIDTDKFDLKHPFWDKISLFYGDITKINVDAIVNCATSRLDGEGGSLNRAVHSAAGYEQLQTECRKHDRPVPMGLAVMTDACGLSTHVKKIIHCVGPICYGEVTPSRRYQLESRYRRAIKLSEQNGLRSIAFSCISTGLYGYDNKDAARSVVKVLYKYFSSEQNAEKWDRVVLCTFMDIDKQCYKQYCSISEFFLVQSTMTPDHDETLAALLAQENERLRAENAQQKAELALLAQENERLRAEKKLELEQMSTTFKTALALKNRKIKDLETLPPLSCQWSRPRAPSSRPPLQLLP >PPA11454 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig207:108168:109378:1 gene:PPA11454 transcript:PPA11454 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRGRLRFLFAERHHDSNFASAFLNLIAATMAITALGSNEWLLIENGGVNATMTEEGLIDLSVPPLRAQPCENIGQFGKELLIKHPLRNMIKLDHGAGGSSATDILRIY >PPA11447 pep:known supercontig:P_pacificus-5.0:Ppa_Contig207:56516:57585:1 gene:PPA11447 transcript:PPA11447 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mab-7 MRRVGVLLLIFLTQLETQFVYKRFTKETCATNPCLNNGKCTPGKLACECATGWMGRYCHRKCRNIYKSCDRWAMEDKCESVRTQTNFFDVNCALACKMCTPDEAMDQQQYQTIEQQLVTI >PPA11442 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig207:33618:38546:1 gene:PPA11442 transcript:PPA11442 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSASSAAVDLTTVMRMFEDLSAQLIIYLNEANQQMDKLTHHVERADEERRAAATNESIVSMLQQFKQKFFHPKEEFSLREDRLLANISDLIETSRAETLAVVRNALNENSLAVENSIQGNHKISTEYVMKVVSERTKEALAQMVIPSIDRVCTQLFKQLNDQFKEGLTQFMAQLRVQQAAMLAASTVASTPLPSGAIGTDRSALLHLIKTRQVQLAFETVLNQADESALQFVCRQIDPDELFGNGGDGGLSQPVLLSLLQQLSYKLDADTDLKFSLCGLRSAGK >PPA11458 pep:known supercontig:P_pacificus-5.0:Ppa_Contig207:124838:125766:-1 gene:PPA11458 transcript:PPA11458 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLCIALAALTAVSWAQSCGIPSSEASAFLAAHNKLRASISSGSYVAKGKRMPAAKTPIAPMTWDCAIEKSAQAVANTCVFAHSKNRQNLGENLYTMWSSNKMSFTGMATKASNSWENEFQQFGWPDVKLTPAGFSSGIGHATQMAWAKSTKLGCGMKLCDGDKKVLVVCQYRDAGNFMNQNIYDPR >PPA11457 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig207:119359:121050:-1 gene:PPA11457 transcript:PPA11457 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSFGAVFVIQAPPASMPNIIMLTNIAVDDNNVPKSMLRWLPRLPEVRLTRFGRYIDR >PPA11452 pep:known supercontig:P_pacificus-5.0:Ppa_Contig207:84894:87202:-1 gene:PPA11452 transcript:PPA11452 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGVREGLRRIQAMQLRIRSVCTDNDAKLGKMLREDVLFKDIQHLLDFWHLIKSINHDLREIAKKKSCGNIQYWRRKIINHAYYLHFKYAKSRQLGLNYWKAVLPHVTGRHTNLGKIPFLDGIRRCKHKRLQPLTLHQIKRDSDEYQELKAGQMSNAPIYSSPRWYSERVKLATLHSNTLAQLNLLNLREEKGSCSVNVIGRESNAVKRKMAKAEHAWRREIWEAIPAVIEGRLMEQFLKRINAPNDREYILAMQQEEDEENMDEGDEEEGEGKDGGESDVSEELGGGVYGEEVDSDHEPAMNTIELSDMEDEESEEESVAREGEEGSGSDWDEGEAAVRALERGGRGRGRGRGRGGRGGRGRGGTVVVSVAAGKSTTVQGEGQPPEEEKGDRREKKERRSKTARKDMEEERESTDSDNDQPPVKKGRGAGRALNAVHSAISFRHRPITLRSFSENLQNI >PPA11455 pep:known supercontig:P_pacificus-5.0:Ppa_Contig207:113354:113785:1 gene:PPA11455 transcript:PPA11455 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRRLDNQRLTRPRALPSWRGVERRQEDQLPIIDFEGYLDMGYTPKAVDTPVERSEEPTVEIVDEAKEASSLEQQLA >PPA11441 pep:known supercontig:P_pacificus-5.0:Ppa_Contig207:19429:31600:1 gene:PPA11441 transcript:PPA11441 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLQLVTDQLVEKHSLNGSDGLVAFTTGKDVVVTIEAESSPRHRDSSRVQSTMLSDYKWDGTQLRPTSDRLLSVAGSFLAYRLSHDSSIEAVRVLERVSRQRSLIKGFRDAIVDLSWSRTTNMLAVLDASSNLYVYHVTEECGIEKHVNLRWEDEDGCELLKAPSTGATLANLDAQEEEKENPSSRNSTTGGPAYSRPRLFWCPYVPEDEEPTEHLNMLAITRGRSVHIINLSVLKCAMRDGAEYIDLAGAREIEGAVMTEQLEHPITTLCMSPDATAMAVGDTSGQVSFFIIEVASVKFAHNWRPAMMTSPVKDLFFLDNVDQGDAFWRFCMAVDEEGRQLYLYECENWSCIGRLRVESSMKNGRFMVQVDPTARYVLLTDIDGANLFCIALDYHASGAPSFAAITQIVITDIFHVFCANMKSSITNYRNLCPYRSIAGFYHPIMAACAFDVKDVDPHTSDFSFDDDDGHSTTEKAETMISLISITPRSVLHVAVTVDKPEKRFNFILRINGLPSLGAGLPAGHDRHGEQQRRDECDTAALREHPYQPNGATAAAHYARERPGIGSEDGG >PPA11446 pep:known supercontig:P_pacificus-5.0:Ppa_Contig207:52644:53236:1 gene:PPA11446 transcript:PPA11446 gene_biotype:protein_coding transcript_biotype:protein_coding MSAENRAYASIVRALEVLNKSGKYRAALVTASVKRYPEIVLHGDVDVVRGLACSNMQETIGIISDDHLTVTLPLVDFSAVLEDGTGDDLVRAVTDGLEAESRPRRNLFQREKDNALPPPHWPSSVTFASLNSGNDFLSLQTFQ >PPA11440 pep:known supercontig:P_pacificus-5.0:Ppa_Contig207:6817:18790:-1 gene:PPA11440 transcript:PPA11440 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTLNTCMNWGNIINNDALCEDAAVEAAKLLDSTTFLSALDDESLDSMQQAPNVLSLVLFHVVGHLVLPTGSSQNRPPVEHENGYFDRSGERAAGGAEPSAKRARLDECVLFDLDESSRTQDELEKEYFELQPATVASTSQALVPPPSDDLFYGMDYMPSTSTASTAHPDLHSDQPQPPREEDQWVDFYYELDDPHEDLIEKVKAQSAATDEQIARTDAEFTAALIEKATIDQMLASAQQTRKRRYKEVGKKDTVVFVCFVCSRGFLTTDEMREHVQDAHLKAGAERDFKCRYCRRSFAKRQKLNQHEQKHEQPHANYACDKCPAFYNTKDSLERHYSDKHGCRMDGSMIEEKNLACDTCGKAFGVEKELQYHVYYCARKDEIAEIRAAKRAKDRLSAAGASPSVSPADRHSKIAKDKSCPVCGLVTASMQSRNRHIQRIHPERYPACLDEVHVFVPTPEHLAARPFSCATCHMVFSSRAALSTHERRVHGANPTKMFYCDKCGKGYPLASELSKHEKRNEGQRVCRGRGRGDCPSGSSSTASGLSSRATSVTPSLSQHTSPGIAPIWRGVPSNAPISMSDEPTPRAARSGPKSGKARNGMRAPKKEEEDDEEEMESEQTISSATAADDVIDEADAGTSLDEEIAADEARQKEQQQYESLDDFMASLSCRLLCFLTVFGASTALLCLAWMQAPESAGASAKPRHWPRTIDDLKGVAIFLGNYRDEHFAYTVFLFSFAYLFKQTFAIPGSFFMNLLAGALFGRWLGSALVCPLTMMGASACYCLSAKLARPLVERCFKVPPISPPFPNPLHCTPLQVRIRQLRDTVHDNEHRLFYFLLCASTVGHPESGSQTDCDREMSSPASWNLCSTIVLGDYGRGKNGKLESELVALSRFFPFTPHWLLNICSPFIDIRLGEFALSVLIGLAPYNILCVHAGGTLAQLTTMSDVIDVTVLLQLIAIAGIMAVTRSVCLPRILIDYC >PPA11450 pep:known supercontig:P_pacificus-5.0:Ppa_Contig207:65078:71382:-1 gene:PPA11450 transcript:PPA11450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pnk-4 MSKLSRPSRVATMLTINEMECALRNLCAAEHFSFDIGLRVIKVVYTSRIHRHPAIVNEKFSKEGGTRLHCIHFPFTDLDKVCEYIAQKVGNLSGKTVQFTSTHKDSEECVRIIRDTLRVKMERVNDMRCHVKGTNFLLSNILDEVFVYDHHNVDCRYFFQTCLNSPHSSVYPYVLVNVGTGMSIVRVNSESDFEYIGGSHCGGGAFFGLAQLLIGSADMDELLVMAEDGDHRKVDSLVSDIYGMKYEGLGLPPDLIAGSFGKCSNTKFADQVKNDPDYRKDCIRSLLLMISNSIGQVVSLVLNNEKTNRLFFNGFMVRNHPIIMRTLSYAINFWSKGALQAHFLRHEAFNAAIGAMILGKERLENHGEVDIEKVSWREHYAGCSDLGRFVPRRPTDAAFRGEVLELDCADILMRPFPLLSPSVEYDPDTVDLNSDLAAREFWLTIMEESVHKMCSKAIESQHDSPTAAARADVVAKKYLEQLKLLRDKPFAYGTCNVRNLLDLREQIFQEHDFDDPFKLQKKLENDLAVRELPKVVTKLDGMDDVRERLAEATKGLLAGNVFDWGAKEVVKLMEGKEEFTFQKAMDVVPARPWLNDDLDEFLDASMNGGHKSIVVFVDNSGADVVLGVLPFAREFLKLGSKVTIVSNLLPALNDITFGELIQVMKEVTDRDELLKRHTEKGTFKIMHSGQGSPCLDMRRVDSELCKAVLDDKVDLVVIEGMGRALHTNFNAQFMVPSLKAAVIKTRWLADRMGGDIFSVIGMGDDICM >PPA11451 pep:known supercontig:P_pacificus-5.0:Ppa_Contig207:71849:75113:1 gene:PPA11451 transcript:PPA11451 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLRQRLEGILDPQHDTPFFLARWIKAYNGNVDQAVTKYIEYSKIRKLMGTDDPKTIKEVYEKNVATSCARLVSQSRLEPTWVNEFMKAVRVGDYLQAFFISCEYFQHMVLEHEKKSGKESHGICIYDMEGASVAPYMSLNSAINNLMQARIHIWIDFYSELLRHVVIVNPPRLLAMIWKVASFILPSRVHSRFHFATRCPQQLLPFLSLEAIPTGLGGSWKPPGEGKFEGNGCVKGAPITESDELEVKKFWRDLGVMDLPERQPLNPGRHEFKVGEGCENAAYEFMTDGEIQTWIEQDGIDLTPRRQNVVSKYSENEYTGDNK >PPA11448 pep:known supercontig:P_pacificus-5.0:Ppa_Contig207:59597:60811:-1 gene:PPA11448 transcript:PPA11448 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCSTIAAYFMEEVSPGALQKKPLRLNDSPFTIKDLEESGCFAYKVDMSGEWEKAVEKYSEEVGMKNRDVVKINPVTMPNFEEKLAIFFEEHLHTDPEVRFIMDGSGYFDIRDVQDRWVRIPVAKGDLLFLPAGIYHRFTTDEKDFIVAMRFFKDAPKWEAHNRSKEETETMQERKEYIKGIKAN >PPA11443 pep:known supercontig:P_pacificus-5.0:Ppa_Contig207:40969:41285:-1 gene:PPA11443 transcript:PPA11443 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQRQVDRQCKTVDRRLRKTVPFTPQKNNTRFTYLVALLRGIMLIRRHRRLDEEREGREICAVHCKWVTVHISSQHKN >PPA11453 pep:known supercontig:P_pacificus-5.0:Ppa_Contig207:106060:106634:-1 gene:PPA11453 transcript:PPA11453 gene_biotype:protein_coding transcript_biotype:protein_coding MMMCMAHWRKARPRARYVIWSRVDQKSAFKAILAADAQDVTPHKSVKQEYIERS >PPA11459 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2075:349:920:1 gene:PPA11459 transcript:PPA11459 gene_biotype:protein_coding transcript_biotype:protein_coding MIRARASTFTGHNEKGGMQDKFFDQEVELSIGPGGTKITEDIVKRLPENVANAGYTKPTPIQKYAMKSIQNGKDLMACSQTGSGKTATFLLPIMNSLLW >PPA11460 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2076:92:735:1 gene:PPA11460 transcript:PPA11460 gene_biotype:protein_coding transcript_biotype:protein_coding MNQELPIAAFVTGTPFPRLLECFDVLGIATPKERTMRDAIRFYGSPAIDRVYEEWETDARVTSKAFAPAEGVVLALDGQYDSPGWCASKCKVSAIDTSLGLIVGAASLSSKDPGIDGKSVRMESRGTETVLEQLIDDGFTECGESPQIEPTEYRSMV >PPA11484 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig208:107914:108962:-1 gene:PPA11484 transcript:PPA11484 gene_biotype:protein_coding transcript_biotype:protein_coding MSILCPAGKIPALFGPNAVATATCSLDKGQNPLKDLDQLPTNWASLNMRF >PPA11466 pep:known supercontig:P_pacificus-5.0:Ppa_Contig208:19386:19992:-1 gene:PPA11466 transcript:PPA11466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ceh-2 MFLQPFRKHKRIRTAFSPAQLVQLEKAFVANHYVVGTERKQLAAKLSLSETQVKVWFQNRRTKHKRIKLDGSVEEIPSKDGSPASPDAPEP >PPA11481 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig208:86191:89884:1 gene:PPA11481 transcript:PPA11481 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDWMRTKEVQHRGFISIFFAKAKADLQFFKLKYKGIDTQSIDNDDKARMTLSKKGIDK >PPA11482 pep:known supercontig:P_pacificus-5.0:Ppa_Contig208:93075:95088:1 gene:PPA11482 transcript:PPA11482 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLRIFLSVLAIIKTAASTTCYSPVNLTVQHELLSKTIATSQLDCDKKCTETEECSSLVVDRKTDHIVCVFLGKQVPATVCIKPTEEYKKMECAEPDGRIVGCFAPAGLDTCRSQLTGPSRLASGGAGLADLDGPVVCTCRNAYSDEIALKK >PPA11473 pep:known supercontig:P_pacificus-5.0:Ppa_Contig208:46544:47078:-1 gene:PPA11473 transcript:PPA11473 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRLPVLRTLYFTLRDANNQDNQTQRRFISIDTNGDGLITEEEGRDFLLNGTSSDRAKRAIGSEWFAEMDTNEDGFIQPGEFDYSLA >PPA11476 pep:known supercontig:P_pacificus-5.0:Ppa_Contig208:51357:53281:-1 gene:PPA11476 transcript:PPA11476 gene_biotype:protein_coding transcript_biotype:protein_coding MNDISDSEEQESTSNSEEDSDYDDNDVFVRRPKKPDLFNVTSVNKRLLPLYFDKSLNNRKWGNGHLSIYPTLSGYFFDLVVGIDGYPWSEEEYGNYAWFEYEIQKSEDGSFIEKRTMNARREYFLEFPPDNPHYWPIPDAFFTAMAEILRHHEATKVRIENARMEASIKGEFEQRCTWKFALDRPLTSDIISQTVRHADYKYTELDDVHFLSTKDQARHAKIYSEQYLLCYFIVSDELEL >PPA11478 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig208:68251:68611:-1 gene:PPA11478 transcript:PPA11478 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLEILEVTSMATFVAGLTLNVLLLYLIHKHSTKELGTYKYLLEIFAVYDIFLVLMHFVTNPKVIPSRTGFSVVSDRDFGWQVS >PPA11471 pep:known supercontig:P_pacificus-5.0:Ppa_Contig208:37516:40319:-1 gene:PPA11471 transcript:PPA11471 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKYGEDLDKETIEKEEEELRNFVFDEISPVHAFNNAYEYISNHAKTGSIMPNISDAEAIVAINASGKALTLLVGEQEDIDEDTLDSIKALSSALEQDDDTLSDYKELHDHAMALLRSLHGMFSYRAESDVEEFKEQVHNIKRNPYSICTNVMDFLKCDEKNPLILLLNASPFKTNKAMRSWSLCIIDLLVGMGIAYIVHEGVKGRDFTTFAQLQELSPIYDLNREIMTFNQSYKVDFWPELVRTLIEETADNNEEAINSRMAEILDIKLSQISNFDYGFLIIVHNEASGENEHIFITEEHNVLYKFNRSGRCILVYRTCFHKTRTAEEVEAMCTQAQEKKQELFCGPEDKLKDRVLSFFHANPNAGFKLNADVRLICADRHKQALVSMDSTCVRSKTWGEIIADFGSGFVSEDAEIIEE >PPA11469 pep:known supercontig:P_pacificus-5.0:Ppa_Contig208:30380:32510:1 gene:PPA11469 transcript:PPA11469 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSVDEQTCASCGYPAAKKCVYQWSIKAIRRSTTGTGRMRHLKKIQHRFKRTTGTGRMRHLKKIQHRFKNGFREGTVAVSQKKRTQSAASN >PPA11461 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig208:180:493:1 gene:PPA11461 transcript:PPA11461 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAAYGGIPSPFDPGENNEAKRSGSLEQCS >PPA11465 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig208:14237:15403:1 gene:PPA11465 transcript:PPA11465 gene_biotype:protein_coding transcript_biotype:protein_coding METGNPIADCHEKKVRNSPPTIVIRRDNVKRFIFGGETRKPAQARINCSEMLYIACFPPY >PPA11474 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig208:48729:49438:-1 gene:PPA11474 transcript:PPA11474 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFLVAPLFSLVAPTLQGCCPGAPTPVIFFPPMCTINYSAPARAMCSAAIATTVAGRIRAQYPAPIHLDKRSTIDFESADLRFNAVDANSDGLISELEGRNFFLNGTSSDRAKRQVETNWFVKLDVNGDGFIQPGEFDNSLA >PPA11475 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig208:50023:50993:1 gene:PPA11475 transcript:PPA11475 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTSRLSATSPSRKKYAHYQVKRFRKASCPIVGRLACSLMMPGRGNGKKLITVRIVKHSFEIIHLLTGEAMVLLCTGAREVAFQCLADEESSNSYAIKKKDELERVAKFNGAAVLLSRHTSKHKPHLSSSSSTSGGPWDGCSFRCYSASPILQIVANERKFADRVLPETCGTS >PPA11480 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig208:76655:79179:1 gene:PPA11480 transcript:PPA11480 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKNIIFAAAVQCIGVLPTYVYVIFHFFITQNGFDFATNHFWCTMSRSIFGTIAYASFQAPTAYHCSLIAFSLTSLPLNLLLIRRIQKTLRVWSTARSVDELSLTYGLLVQGCVPCLIISLSVAYNALLLQGAQFSLAVRALMDSTGYLVLGVNTFFSFVNNTVVFAAVVDLYWKLHSFGDERIE >PPA11483 pep:known supercontig:P_pacificus-5.0:Ppa_Contig208:97549:98856:1 gene:PPA11483 transcript:PPA11483 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRLPVFRTLMLIFQTAASTTCYSPVNLTVQHELLSKTIATSQLDCDKKCTETEECSSLVVDRKTDHVVCVFLGKQGPATVCIKPTEEYKKMECVVASGSLFILSDEVRFLLIPSSLCQRLNKIGIPIQAGLVAARISRSKMEFRVWRTRAQRRLQHTPGFVIDLQWDSIYVQLRQQSYREPREQDSFSSHCDCDKERRPIHWPETPQNDYLERRTAF >PPA11462 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig208:672:1690:-1 gene:PPA11462 transcript:PPA11462 gene_biotype:protein_coding transcript_biotype:protein_coding MKEESGLTGPMRFGGQRGHEDGRRDSSTFNSFYHFLDHILVQLLDASCVVPSVPSHCD >PPA11479 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig208:73030:74848:-1 gene:PPA11479 transcript:PPA11479 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLELFEVISTITFVSGCLLNLLLLYLIRRFSTKDLGTYKYLLGSFVVYDTYLVVVHHIINPKVIPSRTGFAIVADREFGILKDEERLSIRPFLFLIVADSVEIVTVCVATTLATLTYRQIRKAENISESIRTFHFRILIAASAQVTIKETEQYQFLASTPVLFVYTPYFFNVTLPIFRVYSPAFSALSMVLLSCFPCIDAVVIIVLMKPYRDGLLKMIGLAKRRELTRRLRSRGNLTLTANSLHPGVFPSELSRHLGQWGSHWKKLFVFFMKTTRDGAQTSLFVALSKTLKGVSGHYFSDCARAVENPAALDDLACKQLYDYSMKVVGLE >PPA11470 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig208:33359:33779:-1 gene:PPA11470 transcript:PPA11470 gene_biotype:protein_coding transcript_biotype:protein_coding MREASNRSPCEELSKYWSAIKAGFDEVNTFCNIYGSKLRRGIQAISYAKASRGFS >PPA11467 pep:known supercontig:P_pacificus-5.0:Ppa_Contig208:20932:21882:-1 gene:PPA11467 transcript:PPA11467 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFSIERLVHQQTTARRHESDDEEDEGRAESVKTEEEADSTTTTTPDSVAKDSSSSANCGMAMSYFDMLLPHMQMASSNPLLSSAGDSSSATQLRLWSQQWLELLQQSTNSQLEARNILLIFS >PPA11468 pep:known supercontig:P_pacificus-5.0:Ppa_Contig208:23825:26748:-1 gene:PPA11468 transcript:PPA11468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cpn-1 MQGDRATKSGFAREAQDKIHGKYDSALAAQLLSWVGRVTGTAIDHTGDAEYLCSVLRDGQVLCKLANALEPGSVKKVNASSMAFKQMENISFFLAFAERHIAKCELFQTVDLYEAQDPNAIITCLGALARKADKFGKPGLGPKEAQGERREWTQEQLNAGQGIIGLQMGSNKGANASGINMGNTRHM >PPA11464 pep:known supercontig:P_pacificus-5.0:Ppa_Contig208:10156:13155:-1 gene:PPA11464 transcript:PPA11464 gene_biotype:protein_coding transcript_biotype:protein_coding MSVINRVRTEAKSWQGRHAPSTYEDLVSMAFNDVTNTINHAKHCSYQEMYHPNLGEFRERKMYSSLAPSLCINSPHVSPPKANYQLNRSASMDRLEPTGPSRYYNIPVSNTRFDNHFLYWKGRAHGSQLAGPFLEYEDIRPMQDRRYHRIFWSPDFINITPSCRHSTHLMLSAY >PPA11477 pep:known supercontig:P_pacificus-5.0:Ppa_Contig208:57747:62564:1 gene:PPA11477 transcript:PPA11477 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-trpa-2 MHEAEQQPMLHIDDPDDVNRNTIEFYNQRSRIVHADAEEKMIFLFDYRGKEKDEECIHWKHLKELKDRNKWGVLRHPMVLNFINERLIECAFFYFLHLAAFFTFLLLLSSHIFSNDIFQDILVTLFVLFFMFFMLLKGAIKARISNSISLWFIVAYSFNILTFVATLAYVWLPHLFEYDDFHQDVKKIILWFLPIVAVMSAWINFLYILRKSPYGIYIFMMVRILKSFGRIATIWIPTLIAFSFAFHLILRDSDVEPWKSSDPHNNATTIDKLFTILQAVTKTSTMMIGEVDADNILGTKMWIPSMLVLIFEIITVILLMNLMVSLAVGDVEYLRNTSQDKILRIKLNFVIEALQLAEALDIPILSSIHTNPTNNMLVVSYEGSHFAKMDPSFNRVFDERRESIAGQAPPAKIGFGKMMNPSSTSDLVFHVGFSKERLRMRMCRRPVLGRSQNIHVDGCTLNLIEAPESGIPEHKSTFEVPEDESTCCRRAKQWFIGLEWKAYLELDILALARQYSHYSRCLAVFQL >PPA11472 pep:known supercontig:P_pacificus-5.0:Ppa_Contig208:41981:45153:1 gene:PPA11472 transcript:PPA11472 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKSEWFKTVLAMLSFFLATNLNHLALAIVMDIVSRNPLPDISHSMFKQSHVTRQMTDQATLYGSLGTTFFVLLFHKHRSIVLRRMFTIGTILFIMRAITISVTHIPPSYEDSETICEDPSQDVTFPIKRYFERSLNIFTTFGLQTSEIKLYCGDMLFSGHTTTAAISCFILNHYTPKALWPFRIAMITICVCAMVGAVLSRAHYTADVVLGYWISSLVFSFYHSFTYVKHRKRALCRPFRRALIFWIMFEMEKNVPYGRIPNDLDWPLPWPKYLKDALPHCGPAPTLWLRPLVFSTLAADSAAISAQ >PPA11463 pep:known supercontig:P_pacificus-5.0:Ppa_Contig208:8670:9736:1 gene:PPA11463 transcript:PPA11463 gene_biotype:protein_coding transcript_biotype:protein_coding MALLADVQHCFKELENPENVEETLLELSQMNITSAVLNATNACWLIMSHFTHPTGGDLARRLAMDWMKIRSPKIPTLFYKKEADTQDAVPSKRRRISEQEESFESVNSIEEEVEEFNPFLSDSSHGKSSFFCCDSNKENIPESSEQNVAKTNASKEIAVKKSTSPPLASFSSSNSIKKSTEESRLEEISLRIAKAKAEKEAKHRKAVIIAEHDYINSRRRIHLSK >PPA11486 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2088:87:962:-1 gene:PPA11486 transcript:PPA11486 gene_biotype:protein_coding transcript_biotype:protein_coding AFNNKYFASSPARARLEVVVGGRRRLERGNHPRSREEALGDEQRTHHCSVGLLRFRMPPPPPPLLQYTEGRGWIDQI >PPA11492 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig209:52908:56219:1 gene:PPA11492 transcript:PPA11492 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHDSGVFTPEAEPENVRKIFIGGLSRDTTDDSLKSFYEQWGETQDVIVMKDAMTKRSRGFGFVTYNSSSMVNTAMDNRPHVIDGKTVDPKRAVPREEGQKGTDGNVSSKRLYVANVKEISEEQLREYFSKYGGIEKVDIIIDKMTNQPRGFCFITFDDYDPVDKCVLERRVNDIQDAVIQIHNYEVGRG >PPA11495 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig209:86645:87281:-1 gene:PPA11495 transcript:PPA11495 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEYFKGKEEEADGYIAGIRHNGVQIFVPKYGIEAVVVLKGEGSILNVDEGKIVSGKTVLREFERVRVKIRVDESNMQRRRMGVDIIEPCLPGLSVLYDLSTEEGIAKE >PPA11497 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig209:121499:124032:-1 gene:PPA11497 transcript:PPA11497 gene_biotype:protein_coding transcript_biotype:protein_coding MPILSTSVNLIVLDADHDDAYENLVKLSKICDDIENEAVKTLRFIVNFIENGEEKVGEVFEIYFFYGDNITLSLKLGDSVEHFRYDGRTLKKDFQVFIIQIAIV >PPA11494 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig209:76290:77090:1 gene:PPA11494 transcript:PPA11494 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVLNCEKGASAELTQKLKHFEQCLSDIHKIRLAFNDLKESKNKQKEKICDLRRQVTLKDGLINSFASDIIGEFESQGSPPISLFPLSHFASFVAFSTVV >PPA11488 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig209:18554:20359:1 gene:PPA11488 transcript:PPA11488 gene_biotype:protein_coding transcript_biotype:protein_coding MKMCWMNTGIDLQAFTCTAKLRPLWCYDRAAMGEENESMVEEIHDLKALVATMAQLLKNQSQNQNQKPENSTEMSSLSLSAIESRMYEFTYCPEEGSTFLLLDSTMDWEDLKKIRLLIRHVSTTVEPTFTESIAPTKWADMTLDQVKSKKLNLFGDNTSIFGTTPKLKSG >PPA11491 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig209:31376:31749:-1 gene:PPA11491 transcript:PPA11491 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVECDLLTTSELVTMKEVARVQEEEMELLRDHLVGMVPRMSIGRNFELAGSG >PPA11490 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig209:23278:24889:1 gene:PPA11490 transcript:PPA11490 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLLPEGGERARRIERARGIERAQRQGRGQGRETQNGKWENGKELNPRFLCERGFRLVDAAKKTIKCDACGFYLNTSLPDITTVDMKVYNRCLRKVFEGVETCHEKTCTAKSRRPNFFPHVNGEVELMRELSIRMDKMKNAHLSKEMEVTEDCLDSAVVLRLFPDESVDIRLKRLVITGWEIEDSSK >PPA11496 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig209:103295:107167:-1 gene:PPA11496 transcript:PPA11496 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKEYIQGLECADKEKEKMLSHISIYDKSNDDGKLLFEEHLSHEEIGRGLAEGKIKKAQFSSPLIKAARTIESVWKPDLKMMMNVHEK >PPA11493 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig209:59065:64328:1 gene:PPA11493 transcript:PPA11493 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFGRSRGVGRDMGGGGRGGFGSYGGGYQQYGGYQQYPGQAPFGGDYAATGYGGYTQGWGGEASHWGQESGYQNAQWGQGAAAAAWGGAHAAGGDGTPNTWGGAPHKY >PPA11489 pep:known supercontig:P_pacificus-5.0:Ppa_Contig209:21062:21667:1 gene:PPA11489 transcript:PPA11489 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDTGADITIVSEMTWKAIGEPKCSSADCTAIAKAEYGGVQAENYMYVTHKNINLIGKNFIELLNLVEIRWYSVESDGPTRGHASKV >PPA11487 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig209:11931:13047:-1 gene:PPA11487 transcript:PPA11487 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASQMREKGRETTLFPSRSVSLSLLFLSRRDQHTLSLDKRKFLSQIVSHDWAKLSPSNFGNELEVGSAPILPRPGFDHFSVEPRSHVIDPLKYYDPANCTHSVATRQRVGLIKAPKS >PPA11499 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2092:873:1543:1 gene:PPA11499 transcript:PPA11499 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEAAKTKYKEKEKTVKVIAFDLECSQSTEIEDGTFKFLEDHQVVCAVAKKACYECFEARRFNKNVDCVKCGRNKIVFSYCNTDNASEDFINWLFLPEHDGSYVFAHYGTVTHNNCTLYLRDSYNLIPLALGNFKKAFGLKSCDDK >PPA11501 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2098:859:1296:-1 gene:PPA11501 transcript:PPA11501 gene_biotype:protein_coding transcript_biotype:protein_coding TEAQEIQCSHCGSAQGKEAPIVIVMTTRTEKASDFFCCMNRCNVAVSRQQKALIILGKASLLTNYPWRT >PPA11502 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2099:290:1234:1 gene:PPA11502 transcript:PPA11502 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLTRLCKQQTACVSTVGVAIEAAFCSNIKVAINGKIESKSINTAVDITIVNKETWRQIGIPDCKAADDLLISAHGDDWKLTIRVYARISYCGNGAAGDVQVTHDCRNILSKNFVMLLNLVKVQVPEASGRVVKASAPEVNPDGKDHTEQKVIAKDHTEEKVIAQHDFSEETWREFKESQCATKNCTPSRHRSARPEIIRSHGEDFSAEISSSFIIRSSFGTHEANPNGKDHTEEKVIGKDHTVEKVITEVECETEGFRDHKAPAVIENVIVKVECEAGSARDHNAPEAKVKSRKVKIREPEAKESNLVKIHES >PPA11523 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:84410:84818:-1 gene:PPA11523 transcript:PPA11523 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFATRKRSIAEVDDIVVGGTEAEAGEDFNTWDLITNETNDKEGYDDEDEEEETILVNRQDDEEIMMCMIQQGFNDVFYH >PPA11710 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:1147220:1150436:-1 gene:PPA11710 transcript:PPA11710 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSAASSSSDVSIVTTAIPLQIEGAASMLAASHVEALDHIDEAKADIPFCSIGKFAIGAVFRSHDNSSIWEAIAPTSLVRLPTTRVWKNLRNEVGVKSFAAPSSSRGFTIDEFFTMDPNKLGLHKKWLDTMKIPPSSTHCFFVAPDKRASLPSTDKAFFAHPRPMDELIKFAPFQAVYGADRHFAIQSTRFASLLTFARNLCLTAEAGDHAQVAFASSIMMLIGGALEGAVLHERSCTVDGAGFTVAVPELKADALTRSIFAHSMFIEIADTILSDALLDMKRVVQASNLYTGDLPDEDAIRYGLKELRDALRAIVESEGKQSAKTPNASKKRAIVANGTASEDDTADSEDENGATPHKISNNLFTSLQHHQN >PPA11675 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:926235:927590:1 gene:PPA11675 transcript:PPA11675 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVNMSTAENTVADNNSSSAEMMTEEELQRKLDEINEEQALARVQSWVDTSLDDSKDSTGASAVAMSAEEKAAADGRSVYVGNVDYSCTAEELEGHFHGCGSVTRVTIQCDKFTRHPKGFAYVEFSDAEGRDNAIAMNDSLLKGRQIKVVEKRTNRPGISTTNRGGRGGGRGRGRVIYVPVYAGGRGARGMMRGMRGMRGRGRPM >PPA11603 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:468469:470310:1 gene:PPA11603 transcript:PPA11603 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETRERSGDIVPSDYRNPPTEDIFGFLTVIDHFEKDDDSWSRESHDDDYARRPSSDKRPKRQAEGETTDETEAVTVAAGEAEYGRKKSREQSLEDFEPIKNFKNLKCLCCAPRRQLPPPIVVPVPVPVYTQQYQQQYPQAAAPQQYQPAQAPPTYQTQAALAQAQPSYQAQAAPAQYQPAQAQPSYQAPAAAPAVNY >PPA11696 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:1054531:1055809:-1 gene:PPA11696 transcript:PPA11696 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRKTGTFYSPPRAKKGTSSSAVAMDEDYYSNLTVDCIIEVCKHLDREWIDELSRANRNSYQAAKSKCLDGIRLKGAELQIFKNSRGFCFTLTVTKQFPRPTGKRNVTKAEIYIYRIDENGKTERREKHWKSEFGLDNVRTWNEKKPILDKDVPTKFLKSLKAIPDKYTLKCFSVRSIKIDAGFIEFLSDFIDPSSIEEFEYEKSCTIATNLSEDAFEKSMRLIERRWLCLFQALPNDIKV >PPA11571 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:324291:325785:-1 gene:PPA11571 transcript:PPA11571 gene_biotype:protein_coding transcript_biotype:protein_coding MWLAICLFLISLCAATNHNASLLQLTQMQLWVIGIIFGSLNFIIFLLLLTGSFVEQGIISDNCTTGVLDQKWCDTALGLLIGTVCLTLIEICATGLLITAVLYDRGKLYINIGIAVAFSILSILDACAGKKMGVYIMKLFIGRDEQPSKDAVLAASIVLCCLLAIAVVYCILNTIVHARIWQYYKRKREEEDWIRLTN >PPA11612 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:538166:539843:1 gene:PPA11612 transcript:PPA11612 gene_biotype:protein_coding transcript_biotype:protein_coding MKVALIALLAIGASAELREKRQWFGGFGWPSTVVQPTVHTTSVQQPVVTQEIQQPVYQTQFATPIVQNHEHVVDTPVYKHIQHTVNVPTPVVDPVVITPKIIVPKPSTTKISVIQRAKRQWFGGFSGFGWGVPAVATATPAAPVAYPGAYSAGWPYAQSAVAVATAVPTVTVAPTQHVHTNIVNPPLVQQVVRPVVHTQVVQPVVHTQSTVFRPVVHEVHSNVYVPQIVHVKPTIIEPVVESVVPAKPSETKISVIQRHKRQVVFGGFPYYSGFAYASPAVVSAPAAVVSSAFVGSGSGSANSRMCPNRRFQVAPVVVPKTPANTKISVIQRVKRLGQGPGK >PPA11658 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:815965:816815:1 gene:PPA11658 transcript:PPA11658 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLVKMLSWLFSIFLLPLQISSSTVDSSLIYRSIFSERSFPFVFKPITEYKSVFGKQFDQPNPTFIPSKHLSIPLTVIDPRAPVTIGNEAAVLFWGVSRLPSNDDFPECVNYETSNDKRKVSYCDVPKMGRKWMILVMLSFFGICYAVGVYRLIHDCLKRKKQQQLRDAHGKADAAAQSVPLRPVGEENGIAQ >PPA11691 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:972923:974104:-1 gene:PPA11691 transcript:PPA11691 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIRIDNDKEGPDAKLYEDRKKDVVDLVGHFLRLANVNSSALANYISVTILLLGASNYFGYFYDEDVYCELKRFVKRMNQCSGSMTGYGLIILFDLLKTTNQMNNEGRDDLLKMVPEVIMEAYEKEDVDAVQTPLDFIRRISSEHNLVSPFKENIIILCNQILSKWTFMKCPRKTLAKEILTNLKALDIQMTLS >PPA11686 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:961017:962618:1 gene:PPA11686 transcript:PPA11686 gene_biotype:protein_coding transcript_biotype:protein_coding MITWTLEEIAYNRRLTVLTLIIAIPIAIATYPLQVFVSYRLLTSKYTAPIYKLIVLSGSLRNERVFRLSLISVIIPLLIAAFTPIFGDYYYVPCLIGDGKVVYILEMPMRNLMAVAPPMLYMVILAVSSYILNGYVIHRLIVLKKHTTLKSTRNYDSERGLAITSVATMLAQSVFLVFFLYMLSKKTMESSAFSIIPLTVNTAAPFWVLLATVPTVRKTLPKVHCFLINVSRWSTKRIIALAPAFTLLDQPLQSFS >PPA11683 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:953705:955671:-1 gene:PPA11683 transcript:PPA11683 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVTVTSSVSACGNTACGRTRPRLNKADKPGSKVNKKEVVEAVTILETPPMVISGIVGYIDTPNGPRPFKTVFAEQLSEDFRRRMIKNCAQTPRFYLWVQKLLKHRNKKAHIMEVQLNGGSIADKVERAKERLEKQVLIDQVFAQDEMVDTIGVTRGKGFKGVTSRWHTKKLPRKTRKGLCKL >PPA11654 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:802983:803259:1 gene:PPA11654 transcript:PPA11654 gene_biotype:protein_coding transcript_biotype:protein_coding MHTVDVETMEFPRPLRFEHLHHPVIRSIESIVGVHNGVMSMICVKTDGKSYLMTAKLPLKKEPIAQSNERVED >PPA11542 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:162888:163705:-1 gene:PPA11542 transcript:PPA11542 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFTPGLVIRHNDLTLVAYRNQPVPAAAASVSHRDNYRTLVPVDPRRARQSARRSVHRARMVLRWYGPCGVSFGMGGRHAVHSRVRDPVTGKYAYSVEIRRLLY >PPA11616 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:552957:555053:-1 gene:PPA11616 transcript:PPA11616 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSAAAALILALASVTSALEFSDRVVERSPTHETVALAPVDLASLPAWDQAAFEKSHPDLVRSTNAPRSAGDDSFAPGQVLFGNGGFFNSFGSNSMMMQPAQMGAPLFMVQQPLQFPSAFVSSDDSGFKWSSVQTEGDLVSLNDGDLRVRSLRGIATGAADSLKL >PPA11607 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:500754:502087:-1 gene:PPA11607 transcript:PPA11607 gene_biotype:protein_coding transcript_biotype:protein_coding MDALEELLNSSMPIPNVKRKPHQDEEEPAGDIGVRLNINLRERCRMHDLNEALDDLRAVIPYAHGSTVRKLSKIATLLLAKNHIIMQSSAIDELRQMVTTLQKQVKDLEEKIRDEEATVSS >PPA11563 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:278961:281075:-1 gene:PPA11563 transcript:PPA11563 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTRKFFAPSDRVKSVDLHPSEPWMAVGLRNGEVSSSPVRAAVFIVRKGWLVTGSDDKFVRVFDYTTGEKVEEFEAHDDFITSIAVHPTQSFILTAGDDKLIKQWNWDKDWAVEQIYEGHTHYVMQIAINPKDSETFASASLDNTVKVNCVSFYNGQDCDKSILVSGGDDHLVKVWKYESKKCIATIEGHTGNVTSVQFHSSLPLIISTAESFEEGTVRVWNVENYRMESVLDDDLGRAWCVQTLGDTVAVGYDRGCVVHTISGTEREDSADENNNAMI >PPA11596 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:438995:440186:-1 gene:PPA11596 transcript:PPA11596 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRLPSLMEPFHQLHSSTYEEDKRQPLMYPYKMHYQVDGGIILTASQNPGGPKGDFGIKFNCENGGPAPDHVTDAIHKITTEISSYSVCRDINVDTGIIGHHEFDVDGMGKYDYENVESGGANLLMTFLEASMNASKGKELSANGVTYKVAHTDNFEYTDPVDGSVAKKQGLRVLFEDGSRLVFRLCGTGSAGSTQTIGIDCS >PPA11618 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:563274:565649:1 gene:PPA11618 transcript:PPA11618 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGPKVSNLNNGNNNPRSVEKKPFSSLGEITIDSLHRLSTMSSTILKIAAFGCILTVVGAELARDKRQLFADSFGSSVVDPYVDQPLFAAAPRVVYRRPTAVVQRPSYYPELYSQPLVRAYRPMYRQVVPQVYAEPQPVIIQRRPSFAVAAPVPYMAHSALASDILIKKKKLSHALNGARAAIGAVCFISQAETIPREGLKIFKSNSLPSCKIACLQDTQWNTTINSYVISLYSVAAIDPVYSAVCVYYAADGPPECSYAALPSAPPGTITPAVPPSPMCTGQMR >PPA11727 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:1263257:1266682:-1 gene:PPA11727 transcript:PPA11727 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTFKKDGEFWMAFEDFMREYEEMEVCNLSAEVMNEICQMTGVDQTCTASGQNVQWREVQQDGSWSIAEKTAGGCANNPKTYWLNPQFGSRFTVTNESVEHDGKCTIIVAVLQKYRRELRVENKDSLPIGFSIYRAPALSEALDEQFFRSNKNVARTPVFIDLREVTVRFRSEPGDYIIVPCTFEPNSEAEFLMRVFVNGEVQTGSHFNAYQPITEELRDTLPDGPSRKFRKSIDTSQEDRSSLYSQSAESESFENEKKKKDPSSHSSDTSYRVKSLPEIKDYRKWCKDNVVEDTLWIEAVRAFNARQKTWKRKATPARSLRRMGCTPTGLKWTQCAREVYRRGPPAEWVVAPNLHQEQQLRKYKQIDDDVDSQVARFSLLSLEVSRSISSSSLASYDDDSDVSTVPERSKITTTSSEDEVMTSSSSH >PPA11705 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:1102611:1104757:1 gene:PPA11705 transcript:PPA11705 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPLDFKTTPIKDLVPNMGQVNCHFIVIDKHQGGGFRNATGEFIQLKVGDPTGAINMNLIVGECSDLVQPGDICKLRNGMTSFFRGSMSLQCGKQGELCKVGELTMIFSETPNMSDYNSDWARDFPPKTRVNPVPSNDLNSTPVIGAPPRNSGQPILNLLPPGPSSHGQPSSMPRDPRAAKRFHDPRSAAAAGARD >PPA11527 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:102592:103884:-1 gene:PPA11527 transcript:PPA11527 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEKSIRLRRSVRVGSQWTNYESIEKRYKDEEDQLIIKLIDEKFAKNSLAGLPEVPLLIIIPYLRLVDRSSLASTCKPMQRISRTVVMQTEKFEARRMKQSSYSIHNMYIPINDATVFAEELMGVSNFSFRAFAAVKFTTTFHNVEVYKSTGRPIRLILRDGRFDTRIHSHSAT >PPA11588 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:398215:399473:-1 gene:PPA11588 transcript:PPA11588 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLFSCLLIAFFAQTVVEGWRSHREEQEEKLMAGARAASSSVNNLAGVFKQVNKAGEAIYKPSLFGNAVSGLGNIFWASAVAMEAANVAEAAGKDLNEGKITNTVHASTKAVGSWTGAAYGASTGAALLSFIPGVGTLVGGIAGGMIGAYTGSLAGEIAANVVVGRT >PPA11614 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:547315:548311:1 gene:PPA11614 transcript:PPA11614 gene_biotype:protein_coding transcript_biotype:protein_coding MKTALIALLAVAACAASEDARAKRQWFGAGVVPASFPPIVAPQLVIQVPVQVPEYHYIPQPYSRPVPVPRPVPVPHYRPVYVPKPYPVPTPVPHFVQVPVPVPRPVPVQVPVPVPAPYPVQRPVPVPVASPPVYVDKPYGVPVAQPFPQPFAVPTPVAAAAPVAVAAAPAAATIDASPFGAGFGGFGGF >PPA11569 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:316298:318725:1 gene:PPA11569 transcript:PPA11569 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGDFSIPQQVYSAYCACKRAGFVVIRPGILEHSPASPEGAVPPAVDCALPPFRVRPRVILDMFPNMGSDTNVLRTKKPELFPEGCRLQKGNEKEKSVVEKNVAIVGEQKGGKKRRDPPSIRPRAWPSLAYASKNAGDWKEYAELRRNLLGKSRYSSPLDRTHFLLYSAKEYSHAARRTGEIRPIARVAVFDFYSGRACPSHPPCREDSTRRFNDDLSSVPLLKAFVSLPRISFTKESGAPIDLRSIEQSEGAKKKQSEGTKKNKKKK >PPA11550 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:205620:206655:1 gene:PPA11550 transcript:PPA11550 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLLLLFGFVAAAPGNKNSVPAPSGPFNVSQLAAPNEGDNILLNFKDKSLKVSRQLLSDYSTSFADSLAEHKKSTGSDKMESMKVDDDYDEFVTALQVLFPGGTAITDENVATILKIAGKWKIKNSADNTNKFEKMMYLYLAENHLTNSNAFTTQQKLHLADDHRLPTLLEHCLQSYSTVLELTDALSEDLRINAFSPVATAAIMHRKMELVKDKRLWE >PPA11633 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:660120:661214:-1 gene:PPA11633 transcript:PPA11633 gene_biotype:protein_coding transcript_biotype:protein_coding MVESTTTRQSHTTSSGRKAGKLYDVKNYKKSKKKKGKKEEKSPKRMESPVRKRELVNGKLINVGAKRDESSPTKANAQGSPAASHQTVPELAMDEKVIDKEYHVAKMEWRRESKEINERIGNWIAARANDQQFVRPFTTNPRFGATFLYTGDAIPTIEVTGAQSMRMKGQLQRHYGASEKDERDE >PPA11583 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:359511:369723:1 gene:PPA11583 transcript:PPA11583 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPKVEVGTPPIDNASSDSPPNLVSPGMNADPAFPQVKSPILRKWIASTHMPKFEPMDNSSIMTPDSIQSEGTPSESKSPPLTAQLSVDTSFTSPLKDPATSYYQCRACNELHRMNEEYPDKRSPIEEGDDPHWISCDGCLNWFHCECVDLEPYETFLIDMFYCVGCHPKFGPSKEKVCVAPHRNKFDALDEVDKPSEIGTKPWIDEFIKDEATKYGPPPADLVKVIEIGHDFEGQFDRNAEWKHVYLVKKKAGLGLQMPEPGEMYIDELVEILGAKRLVETIDVYRQASVLMSVGRYRELLMRADRPRLFNILSLEFTGTKLADMVRPPSIVKELSWAERYWPDRNFGPPDTLPSGAEEACDSAHTDMRPDVELFCLMGMGGSFTDFHIDFGGSSVWYHVYKGQKIFYIVEPTTENLDIYQKHQLRTDREVFLGDIYGDKCWRVVIDEGSTLMIPAGWIHAVYTPVDSLVFGGNFLTNLNVELQCEVNSHENRCLFESRYLYPNFETINWYAARSFTEMLREFNEDLALPKEHLIRGARALVETLGEWIERDKNSVDKTTQQNPTRPMIHGESQMTIWNGISKEYKIMEKRMGSSASADLNRGCRMSRIIEMKETMEEEEEKGEEKEEERDGPRMRFSLDVPEVHYNDAIKPLKLRIPRKSEVERGEEREKEKEKEAPITPMTPKLRIRFGDDSSALPSVVTEEEKRTIGGVDVVSMFSARSKSGRQTKRAAWVMEKFGDEIEREMEKEEETVETRSKPTNDVDYDALERAEGGGAVKKAVKRKRVEKGTPTAPPAKERKKSTLTTSKQRLADKMKKLKH >PPA11646 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:748353:768593:1 gene:PPA11646 transcript:PPA11646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-taf-2 MSDEKNAVKKALEVSTAQKKIQKAAAVVTDQHVRLNFLHQTAMMVSEQSSAEEDAFTKIGRKYVREIRECLNADRVKVEPNFMRTFCKKCKQVFVNSKIRRFDLQMVQRKIGSIQTRNEKAKEAQKKMTEVATPEVPLMEVTPVVEEPKNNYPSNVAPIKKEFLLEAPVVPEPEIPVTEEEKVAVREKKKEDRRDRRGMNKDRKRDMASCSLDRITGALGRSFLLLCETQMVHVCRTETKIRASAVRLCPSVNTPDVKCKYGDKCTSEHDIATFLSTKPADIGEKCPVYEANGKCKFSYACRFGNAHLGPNQEQLVKESSGANGEVRNHHSMHVQVQMRKRAYDFSRSDEAVMEISEGGSLHSGAMEWEKRRLDMKTLKGKKYLAPLTTVGNLPFRRLCVDLGAEITCGEMAVATSLLMGTPSEWSLVKRHSSEKIFGVQMAGGYPDSMSKTAQILVDEFDVDFIDVNLGCPIDVVNQKGGGCALAARTNKLIDVLKAMRYVMKDVPLTIKLRTGLKEGELTADKTLKSVLDRVPIDLVDFHPRSKEQRYTKLARWDYVERIAETTGEVPLWVCGDVFSYEDYYDRLEKNPIGGIVIGRGALIKPWIFTEIDEKRHWDITASERIEMMQKFVNYGLDHWGSDDAGVEKTRKFLLELLSFQCRYIPVGLLETLPQRINDRPPFYRGRSEMETLLSSSKCADWVEISKMFLGPPPEQFVFIPKHKATLGSSRYAAITTRDDSIGGLWAVQQQRQQRPPPTTTTKYLETSPAPEMDATGDGLRRSHENGRRSMERVIGGEDGPPANEMMEEGPPNLQDQGAGNNGVEAEEEGREGQPVAYVECYSDWRTVVIRNFNLLEKSFLVYTEMAVMPLDANLDSIRLNLGKNCKLPGESGGNVTFPVCVNEVETTFRRADAYEGMAAACREKSMAELTRVRAERLAKHDGELIIAIPPEIIPLIRRQICVKLSLEVYVRNPVDGVQFNVHMGADNHLERGAHAFTYRSSLQSSTSEWLPCIDAPDQLSLWTIHFRCDPAHVVVMSGELMECEQAAGGGEKTYHYQQLVPTAPMNMGWAVGHFTPCVHKDMPEMTNFALPGLTSLVTHTTAILDRTFEYLEELLSCRYPFPSFKQCFVDNLPEDVVAYSSFSLVSVNVLYHKKIIDVVQRTRQLLTFSVASQFFSCFISASDFADLWVVRALARYLTSLYVEKVFGRNESLFHSKRMLADLCEFESDWGSVMLRPVNDNVYPMTHAPTGSALTCSPLYAEQLWQKGELVIRLLAKKLGHEPFFQVLHKILSVGEQMGPGRRDNPTCWTYLTLTTNAFFRTVHSVTGQEMPTFLEQWVYGGGHASFHINFIFNRKRNMVELDIRQEDTGKPGVMMYTGPLTIVVQELDGCFEHHVQIDSELSRHELQCHSKGRKQKRKKVPLSTGEEVEVDLSNMDPDSPVLWVRVDPNMLLLREMHVRQPSYQWEYLLKYERDVLAQMTALDKIQEFPSDISRNILIDTINFEPFYYRVRCRAAFALSTVHNKMVEVAGGKPPLITLFSQKFGCRSAPHIQRSNNFVLTSTNMQTYFLMQTLPQSIGRMRNKYNSALAEAHSFILDLLYYNDNTTNRLLKCNELTHALNMDTLRPSYSRVVSVAALFGIYQMQKTGIIPVDSTLFWKFAHPQVFVAIPPVHNPLPSQLRRAALWILVDRLAHRGSAATSHEDLLKLIRMSIEDTSPEIRRYVAELLARRPPFESPQSCEIGPGLNAVNTARVAEQLWAFITAPRTAVIVILTIDGVEKESRVRSLLCDVWFSMYGWGRPPALGGTMDGVALPERRAAPIGRRIEGRTPEPLCGAVGTHQMSCWRRETLAVQLHLRIEMMMKYYSNLAQMLQFRHNPL >PPA11643 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:735785:736709:-1 gene:PPA11643 transcript:PPA11643 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDENLLQASVWNKASFITIGYFGFFAVTVLSFKTSFTVFRNTYFLIFTLLTAYTSVVILVSYCYQFSGAATKVHQWISEEWTDAIGLETEEKGFMSQDKTNAVAYANDNHY >PPA11543 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:164888:165713:-1 gene:PPA11543 transcript:PPA11543 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLAFAPLHSADPRLLLSASHDGTMLISNPESGEILGRVGVKRDWVRRVRWCPGKPELVAIQYFQSAVQISSLQSMGTCEVR >PPA11575 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:334266:335133:-1 gene:PPA11575 transcript:PPA11575 gene_biotype:protein_coding transcript_biotype:protein_coding MHKYNVIYKVNDITVFSIILSISIIIAMFLNIWVFVTHYQTYQLISRRLIHSHPIVGSPPSHIVPSSYPPPSSYPSPTVHPQAPPPYITAAPVEVLTYPSTAAYPHQPGYAFNPAVGKHEQVKF >PPA11663 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:844794:845319:1 gene:PPA11663 transcript:PPA11663 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAWYHVLSHVSQRDLLKVERVSRGMKRMGRREWALRKEVDIQQDFPAATSEQAHIISLIERFSPVLCSLRIGPPASGAEGRLSTMDNFPSSKTITRQ >PPA11599 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:457632:458678:1 gene:PPA11599 transcript:PPA11599 gene_biotype:protein_coding transcript_biotype:protein_coding MCPETVPIQQNLAIFDSNRTSSLNLPNSTEIEMAEKSFAATPSLRLFLISQAKSLNARCWIFGLLSQTRVRKLDYEEHAILFIIICVLYKNEHTEKRITAENMTNDEIAIGCDYLTNVSFQTKKFSACNTQDVQSVFEGMVIFRIEILPQLLSFSVLDRKQIGQIFEDHIMKEVHFVNDFCADSADTDALRSQYSSTLTEYDLTD >PPA11673 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:922547:923427:1 gene:PPA11673 transcript:PPA11673 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLAILLSLTCLSCEELLDKIRHIKDFEGNPVNIVTTMTVLQEESDKLGIALSKHYENCFEVKEGASKNEETITPEEIAKHRNEISAFMFAIFTDFNFDSTQEVLEEMEEYVPRLFARRSLIERTLGKLNKEAQMFLRNIWKEFLELIVKHTQGTREQKLDYMKTASGAIKKVIFRLFLVNHFI >PPA11630 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:652349:654407:-1 gene:PPA11630 transcript:PPA11630 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAALLPQTAVRHPVARRHSLQRREAVAAAPAAATPVVRFGGQENIPDGKNEKQSASNYTPSIAQSIARRILSGLPPIRLKDGDGSESYLAASRSIISGALERFTDKQEDGESGAQGDEQPGDGKEDNYNPGRDVMRALFERTQHINVSEESEDDDDDDDGKEGGNAVGAFENIFSHIISLIQSCYINSTAN >PPA11660 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:820813:826882:1 gene:PPA11660 transcript:PPA11660 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLNSKFESMGISSSGYETGSNRRGTSNDGSKGSETSGGPPQRKADLAYNHLELAVRPGQCKAARAKINVTTNYFRLGAPTTMKYVSFRLDFFNEGRNRGTWEPVKKEKMSDCFKNLFSDKRNLFPRRDAAVVYNGTDMMYCPPDHRISHTEVQFQNNKGAKFRGKPARPSFTRCIITPLGLSEISTVQVDDEANRCALMQFLDCILTQEHRFDLTGRAARFIQHGHSLFKIPHSAEEKRLDVIPIGKGEEVWLGLHAAVKCEGEELMLNADTSGSIFKSRNLNLIEFYAQIVHGYTQSLVDVDFDRLTIDPKSLGDLENILKGTKLLLKHPNGTEKAKKFDGFTRNSADREMFNYNGRQISVAEYFLYECNTRLRCPKFPCLKFFNKVTKQNNSIPFEFFYTLDEPARFKGKLTDQQLDVFVKAVCQNPKDKKSRIQRFCTRGGEYLGETNIMKEWGVSLDRKMIEVKECPVLPLPYMEMQASAPQVQVNGETGEWILTENVNGKEEPIKPIELNEDRVLLFIAFVGHNAMGIQDRDRCYLLRDTLRNYGMNVDNDIDSMGTPPLTQRKGRSYDTNVPFFNQYFDKLKQKVARKTSESGGVKYTPLIIWVFPRRDSATYAAIKYHCDVAHGVASQCTVRKTYEKLMSNPNTNTSAQNLLLKILAKTGSVQFRLSSHAHKNVERLQNPEDPVLIIGIDVSHPGKEERFDAIKDHEQKTGQNAQQANKFRGQHEKLPTARPAAKTLFSELNFYDCPRSVVSVVGTTDIKGARYGVSSRVQRIGQEETVNMIEPFKERIHEFFNNTGKMPAHIIVYRDGASDTQIKKIAYEELESLERAIESFGENDEKKPTITYIMAAKRHHTRFFRSNLDEIPHGTPHLNCPPGTLVESSIVSRNKWDFFLQSHYGTLGTALPTRYTVVRDDWKTIVFAHCFGNARCPRPLSIPVPLHYAHLAAKRSKVLYDYYKDNACDLYRRSKEDLVREDLEKQAITPHNNIISMKGMHYA >PPA11694 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:1046515:1047924:-1 gene:PPA11694 transcript:PPA11694 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDTGLELPLATLNIEARKKIIHFEKYDDFSRLPDLILVEIAKELTRDALDCLKLVNRRMRKITQLPEIQRVKRTAELYTFQTIKAHVFCLQTTVLSYANGLQKNPWGNKTNKFREESIPNQFLPALEFLLRSHKLKGITIHGIKVDMQLMKLLESVDLTALEFLAIKNCPVDVHWTMEMCQKFQQTLQQMKLRRFDVFELLYPASFGKFVFTTQLSLKIIHLRTSCFYPSKDFIPVLDSNGNKRARKMVDFNQ >PPA11556 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:238112:239342:1 gene:PPA11556 transcript:PPA11556 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSNEEIRVQWTNWGLSTRIQRALLDSIALSEEYVQRFYPKAVENMNEVPDFEAYVAGVLPFDDICKGTYKPNLCTNKLRKPIEALMKSFTSLIDNQSRNETKEAIDSHCEFKKQLQQLASSKRNTIELLNSMVSSNGLNGFNNPSELSDLLKKLNVFEAALNVSG >PPA11623 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:608212:613595:1 gene:PPA11623 transcript:PPA11623 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDEGENWAALHQARNRKDKKAGGWQAMGLDHGVFRGIEKKGFRQPTPIQRKTIPCIMDGKDVVGMSRTGSGKTAAFVVPMLHRLKRRDTKVGIVSIETGIAQGTRALLISPTRELALQTHKVIQELGRFTGLETACLVGGDSMDDQFAALHESPDILVATPGRLLHIVVEMNLRLNAVEYIVFDEADRLFEMGFREQLADILKRLPESRQTLLFSATLPKMLVDFAKAGLTDPTLIRLDVDEKVSELLSMVFVTCRPGDRTAAATYLARKAVQDRKQTVMFCATMKHVEYYVAILHRAGIDCSFIYSQLDPLARKQNINRFRNKETYLLIVTDVAARGVDIPLLDTVINVHFPPKPKLFVHRAGRVARNGQPGTAVSLVAADELPYMVDLFLFLGRPMVFVKDGDEYDDKTTVIGRLPDRIVDYENDFLTAIHDNNIDLHDLQHKLTMALGKYGRTRPAPSAESARRVKSDVRTAVSGCSFHPFLKDETDKQQTSVLNALANYKAATVIWGKANSCYG >PPA11632 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:657664:659896:1 gene:PPA11632 transcript:PPA11632 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRKVKAKKEAAEKEAHPEVDIASDDASISKITTPPGSKKMQQMRWESKDWKTSKSVVFVVFICLFSEAKVQRVTRSLLFANPGVNAKEPAYYIDLHREKRAAANIANAKIVRNPQTSSYAHNEKGEFIVRTMINDKEVEIGKIPLCDCCKVECKGCFWPCAKCGGRRCSTECQVLRPYAIASTSCGGGGLNDKTKIINPLMLTEEEKKAKKTSKKK >PPA11712 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:1160383:1162849:1 gene:PPA11712 transcript:PPA11712 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGQSVQIHDVWASNVEDEFNKMRSLIKDYPYVAMDTEFPGVVATPLGQFKSKEDFNYQQVSCNVNMLKLIQVGFALVNDKGELPPSGDVWQFNFMFSLGEDMFSQESVDMLRVAGIDFERLQTQGISTDVFGELLTTSGLIVDDRITWITFSAGYDFGYLFRTITLKELPREETNFFNYHRLLFPKSYDVKLLLRMPGCQAAKLKGGLQEIADQLDVKRIGQRHQAGSDALLTAQTFFKIKQQFFQDSWDKIVMWNTKVAGIQFLLLRSLKSPLVISSASVIPSPSPILPIYWKNSRRSNRCLS >PPA11722 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:1234761:1236722:-1 gene:PPA11722 transcript:PPA11722 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVVTYLYLYLDPIADQIDENLKRALQEDLTVMAPGLLVQAVRAIRHSYEQMEAEKTKLMVATHHQKVVEKEAETERKRAVIEAEKLAQVASIQQAQIVHEKETQVTISRLDDEAHLARQRAHADAQLYNAQREAEANRLLLTPEYLELKRIDAIGSNNKIYYGNEIPNIFLPSDLTAVAAAAAPAAPAAGPADATKAKKRR >PPA11621 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:580070:582931:-1 gene:PPA11621 transcript:PPA11621 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-prkl-1 MRGRNDDRALREKAEKGTLIFSSECAEAEGHSYHMEHLRCAQCDCPLGGHHYARAPNGQIVCSSCRKLPACAGCSQMISPNEASINQGDQQWHATHRCFRCGVCQRSLLGENNHSSPVEKSTSFIIASLIQAIRSPSPLPPLPLRRPFDAHPLAPSHPRGAWSTSTRPWYVLSGSLMSECSSLSSPFSPRRRLHPSHSERNPLAGFSPISFSRSPAVASPIGDNHYECLRPTMYSDLSNYYSSSSSSDTDDDGDPSSPAPPPPPPAPVRQQQQQQFHPHHLQQQHYPQQPYPVTVHDFHPKTRPPKHARPQQQRMAQRDPNQKERRKEYMQSKQPSTTSPKHLPYAQKKSNSKCIVSH >PPA11573 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:327872:329693:-1 gene:PPA11573 transcript:PPA11573 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPRHPLRLSLIDPYYPIAYREEFTNKPKGAAVTFFEYLQTYLRDITYEYVHYDEYRGPSNRSLHDLGGVLGAIVDGKVLTELTGAGAFPAFLQVFDFSPQFTTDTLAFYEANIGDESWSPLSYFVPFSLPIVTLAIVALVSIELLEIRKRRRSLIAAILTGISSALFVFGVTFLVFAYGAGFQGNVIAALPPEKIPYDVLLSEFESGARKWYFRIFGIVDALFSPLSSIPRYQEPNVPKLVQLMCERPGVVTVALYKEEYLELQLYDAPAGCNIQK >PPA11533 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:126213:127951:-1 gene:PPA11533 transcript:PPA11533 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-alp-1 MPARFSTPVPPPRGSSYSRSAYESRTTTNNAAPPPSTNGYGSRPANGGYTSPYTNGGSTYNQRNQVSSTVSTGRMQQEAEPAAGERVPYCESCKNAIRGPFVLANGKSWCPDHFICSNRTCARKLLECGFVEENGQKFCETCFEKNIAPKCAKCNTAIVSDCLNALSKKWHPACFTCAHCQAPFGNAAFYLEQGAPYCEKDWNMLFTTKCVSCRFPIEAGDRWVEALGSAYHSNCFSCTVR >PPA11585 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:382893:385124:-1 gene:PPA11585 transcript:PPA11585 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRAKGDVLHEYIVIRRKLPTEKEPVTPIYKMQTFSSNAVIAKSRFWYFISMLRRLKKANGEILECKENDTDSLDNSYGSAEGIHGRFQSSADVENDNFPL >PPA11568 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:306004:314929:1 gene:PPA11568 transcript:PPA11568 gene_biotype:protein_coding transcript_biotype:protein_coding MENTEVFPLDQVCFRIVISLCGEEQQAAQAVRVHQAMRRQAIEQNAVTYGVYHRVVMSAKWPSPAAQSAVSAWARVRTVVAAIGRWRAVYTRRYGKPPSGSGQVPCTTEEIASISDNGYVSDMQAVGGNGEDSPVAEEPAFTIEYHPLPGGEQIEPFDPLSAASASTPAKQTASTTSVLSPSRQRFLEEHKEERQLFTETLAPSVVAKEKKSSSSSSWFKGITNSPIVRMIKSSTFDHGAERKKSSDGGSTGSGGDRSSAGGLTAPTVRSGMNTLVSGLNRSYGTSGSGSALYGDEVGRARNARPFCRALFFPNLIIFPQEEDALPDIDYTDPAYWIDAAPLSLLPEGWWTRGTVDPDARSSNDEEDDGLVLEVTLSSCTKCPDCELVVYDEDIMAGWKVDDQTLQSECPYCGEEATAAAATAAPSTSSGGPSAPPKSGQFVPELRIRKRWMTRPVSSWYAPDRLHDGITDGVGKGEAQRRAAEKNAAAAFQEETHKLISPLVLRRELESLLAADVAALADRSIMTSHPVLYWNLVYYLKRLNLPSHLYGWLAPFVQIRCVFDRPDLHDEIVPLYFLNPANAFVNDERKAKRSQAVWNEVTKSVADNRLFTALQSIINEHRKKTESTVVLARHFPFFRDILFTSLNQFGRSLVRDHLDMTYREEMNRLPPRFAPILPRQDLPQKPVQRACRKVFFPLDLY >PPA11634 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:663164:664616:1 gene:PPA11634 transcript:PPA11634 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRGGGLEGDHPVLPDEGGLNSLLKPDRRAPKRPMPCGLGHSPHVESNGGMDGIEFNMRLIQQVRDRAYLYDVMAEAYNNPTWRISAWNEVGMSLECSAEQVGLV >PPA11707 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:1130133:1136419:1 gene:PPA11707 transcript:PPA11707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-itsn-1 MSSTGEWYVANYDFDAAEPTDLPLRAGDRILVLEKNDDWWKGSANGREGIFPANYVVALEGATHAAAAIAHAQAAAAAAPATPLQKAKVIAAFQAAGENQLSLAMDDVIFVRSKSDAGWWEGELRDAAGGVKIGWFPGDYVQLEPSSSSVSSPIGHTFVAMFDYVASQGDELSFKTGDSITVTAQTDGEWWTGHLVGTSPATAGLFPSNYVQPSPPIPSPRRIDDTVSSLYDAPPPEVLSPTEKKKEDKLANLVKVLDIATLNAIFINLSELIELSDILSKRLRNESPGTVFSAEIDRLAAFVTFCSQQTAALQTLETVLQRPEVRRVYTVCCQAERARGLSLSYFLLLPLGRVTRYPLLFDKMFASSPRGSQLHSSIDVAMQLLKSLVSEVNLSIRERDNLQMLCWSQQHVKMDGLREKIEFTSSTRSQIPLAHFSQCFPIEGPREFLHSGVLYKARSGRMLVAILYNDFLLLTTPDEHIEADGFRVSASTEVSLSLYKTPLLLGKLEVVPVSKEKDDDVSFSLKLGEDLIHLRALNRNARTLWLRQLEEAVSNALIALAEIARKSTVVTDDNPAGRLMVEVMELRDVDSRMAENSLVFRIALGKDKTLFETQQQPDLTQLRFTTQFAFASTQQPLRLEISRKRFFAPDSPIYDDVSLPLTSIVADCAQHRGPQLKMITLKPLYTHAKERKDALKLKLVVQISCHDVTSEI >PPA11535 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:132586:133816:-1 gene:PPA11535 transcript:PPA11535 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAGHDVHGDCLSCATCGSSLKNVGHHFIDDKFYCDVHGSQKKGLLNRSAAPAAPAARGPDPPKSFYQPDVMGRRPLSVSPNPAVHHQTSTYSTTTTHLTNPKTHGPIRFA >PPA11668 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:906748:910945:1 gene:PPA11668 transcript:PPA11668 gene_biotype:protein_coding transcript_biotype:protein_coding MANPISYPDSRIVETSYGKIQGRKLIHEGEKQCEGFQGIPFAKPPVGALRFKRNIIQKPEAPERWEGVKETKKFGPRAIVGSYDGWDLEYDAGTPSEDCLYLNVFSPCWKAPQGGFPVMVWIHGGAFEVGDPMAYGDVNICENIVKRDVVFITIHYRVGYLGFFTTGDAACPGNAGLWDQVAALKWINENVAAFGGDKSNITVLGQSAGSVSVDMLHLSPHSTNLFHKRICMSGTVDSRWVVNKNMPIVCKAKAARLGVTEYGSNEEMLEKLRAIPAEKFGIMNMNREKEPDVDLETVTFLDGDFFPEPFDVLRAKATPKPLMIGVNNEEGLLCFMGKKPTTEAEVDEVITWAIHDAKDKQKLFDELKSLYFEGGLPEDKDVVLRKTLNVASDYWFNACTAVTAEKEVALKKHDPVYLYTFKHNNPAIFGAVGTLLPLQDATHSTEMFYLFHKGLFGNPPLTDDDKYIMDLFTTTCTHFAKFGNPNGASNHGTDLPVQWKPLDSESHTRNFVFIADKPHMQEQFFEGRPARFAEIVKKHRAS >PPA11636 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:684120:688234:1 gene:PPA11636 transcript:PPA11636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cha-1 MYLRIRLPLPVNSSPAYVFPKQTFQGEDDKLRYTALLIRGLVEFKDAIDRKSIDRELSTGANKQNMCMVQYDRVLGCYRQPRAEQDVQHVRNKNNRGDEHVTLMSDNQAFLIRTRIKGDLVPAAGVLRQLQEAVRVSANRTKEIVVPIGAASVGDRADAAACWEELLKVEDNAHSLSTVNQSLFVVCLDDGDNNNTIPSAPLEKRSDGVASYSEETLVESGLHLLTGGGSRAHGLNRWYDASIQLVVRSDGLSGLCIEHSAAEGIVIIQMVETALRYMRKHEGNNLMFTTSTPLSYNPRALTWVVSEEARRLMEKQREDFDELHSEMELEALIFDDFGKEAIKGWKVSPDGFIQLAMQLAHYRLHGYLVSTYESASIRRFAEGRVDNIRAATPEALQWVTAMAKSDEPKLWPSSVVSFDLEECIRLFRKAAHKQAVVTAENISGLGIDNHLCALSVIASSLGKAEHPLFTDPLWAEALRFPLSTSQVTTSLDLEGSYLCYGAVVRDGYGCAYNIMPNRIIFAPSAFRSNTRTSLAGFKNAIRDALREMKSLLDRESSNIIPN >PPA11553 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:230558:234332:1 gene:PPA11553 transcript:PPA11553 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSEKTIVARARGPFDQQLAFEVTSTSFVVLSIAALILGSIPEFQVPQTDPDGTVPYATATYPTYPNNAGGRIEETKTVKKGQEEGQHENATQNSTSERPEMIEHPVFNWVENVCVVYFSVEYLMRFWVAPRKLAFSRQLLNIIDLLSIAPFYFEMLLWVCGISGDNVRKVRWAFLTVRLLRVLRVIRIAKLGRFSPGLANFALTLRKSKKQMQMVGVVMMTVVIFFSTLIYFLEKDEPDTKFTSIPAAFWWSVVTMATVGYGDLVPVTIAGKIVGTGAIICGVMVLALPITIMVNNFMQVVKLREEKIIKKYAQQHGDHV >PPA11709 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:1140403:1141101:-1 gene:PPA11709 transcript:PPA11709 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAALIGASMGTYALLSGYIYQILLNYRNLKLSTGIVLSICCCLRMFYHDYETGNVHTCGYIAGIYSVFIVLKDVRPVHEHTVHNICGVYIRDSYVIAVNAQIQEDYDNEMNYFDIFCFFNPHICFPIDNESASAQ >PPA11690 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:970071:971402:-1 gene:PPA11690 transcript:PPA11690 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPTPCPEDVKDGDEYFDDHFQYVCKDGQPDFVGCRDEVNGTSVIKMNETGVYYSDEYVDLVLNCTTFINDDNGHIRVNPTVERVMKGGCLYNDTLLKVNETAKDGDDRYTCKQFKSGFQLQNYTINDCLFKEKYYKSNQEHVTGNKKNLRYVYFCNAGDAASEQSSDVSRFALTHCLTPKGAKIELEDSITEDGKDYTCKNGSKKKKREEEDKEKEVKA >PPA11662 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:832370:833165:1 gene:PPA11662 transcript:PPA11662 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMATVLVFAVVIYFHGGRVDLPIKCAQYSIQWWSYPIKVFYASNIPIILQSALVSNLYVICQMLASKFGGNILVNLLGTWSDASGPCALFSRTWIDVSGSSAKDVAKQLKEQAMVM >PPA11629 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:650720:651707:-1 gene:PPA11629 transcript:PPA11629 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAANRSVALMGPPTTRGGGGEQSMMHRGHAGSGASSRPLNGSAMAKNEGFE >PPA11608 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:503518:505729:1 gene:PPA11608 transcript:PPA11608 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFNLIIVVFCAMLLLDPPVLIIFFLFYVIKAEPPISEFLFTSSWNQTAAWSYYCLYLALYWKFLDAHPKAISCICLLISPIITFILWATSPPITFNNVRQMAFVLDQQFVTRNVALAAALITICIVVSSAAYGRIYIVMRRMMQGSGVSENLAMRAQLRMTMQGFAQVCALCFIDVYFLIVTFGTFSKDPIAPHCAGFQNKLNLKFASSEDVEAMRRFYHAFYFMLSALNPISLIILSDKTREALCCLAVHKKRRQQTLTVKATLT >PPA11648 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:772252:773542:1 gene:PPA11648 transcript:PPA11648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:H3EPE7] MIAKVEELNGQLERGPPRSIMFLRRAMSAVRPLAASLATVAAPRALSTLPSTTVLRAVVPATGAGKQKMRFSAKAPLTKKTLEDRILLVLGCYDKIDAKKLTMDADFVKDLGLDSLDHVEVVMAMEEEFGFEIPDGDADQFKTPRHIWQYICDKEDVFE >PPA11591 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:406344:407226:1 gene:PPA11591 transcript:PPA11591 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSMPLVFPFNLTIVFVAFLVFEMCVGIFWPSMACLRGAYLPEETRSTTINMFRIPLNLIVIVILYQTK >PPA11720 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:1218573:1219039:-1 gene:PPA11720 transcript:PPA11720 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNTQHGGTVDFLSCDSTFIDIPSENGKTTKGNHLPSIADHFQTDRLPKFQRKPPTAPLPFSCV >PPA11536 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:134427:134868:1 gene:PPA11536 transcript:PPA11536 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHVVALFLVVLMVQAATASWVWIPNHLERFVPSEVEAGAAPAHDGNMARMTRSDVAAFGEGIPPRAVRYQRTGGAILLGRR >PPA11582 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:357813:358904:-1 gene:PPA11582 transcript:PPA11582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ubc-9 MAGIAAGRLAQERRAWRKDHPFGFIAKPSKNADGSLNLFVWDCAIPGKKDTIWEGGLYRIKMMFKDDFPSTPPKCKFEPPLFHPNVYPSGTVCLSLLDENKDWKPSISVRQLLIGIQDLLGNPNIEDPAQAEAYQIFCQNRTEYEKRVRREAQKYAADVIQKAMGEG >PPA11577 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:338144:339698:-1 gene:PPA11577 transcript:PPA11577 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPHSSCHDTSKVHAFQGVIFLVEVIAGVFVFYAVEEQNAVYMIPQVLATGFALVGDATAFVFAIVAIANNESPLANNFRERYLWSKWFKDEIDAHGSGGIESFVHQLSITAAFLFFFWFLVGCWVLMVHIDNYLVLRQREDGILPYSRSHHNHPKKRTLASLE >PPA11606 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:493858:497144:-1 gene:PPA11606 transcript:PPA11606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase [Source:UniProtKB/TrEMBL;Acc:H3EPA5] MSLRAQFACDKSITKKFYDLPVGDKVQCSYVWIDGTGENLRTKTRTLDFEPTKPDDLPVWNFDGSSTGQAAGADSDVYIKPVAIFPCPFRLGKHKLVMCETLDRHMQPNVTNNRRKCAEVMEKAKDHHPWFGMEQEYTLLDIDGHPFGWPKNGFPGPQGPYYCGVGAGKVYGRDVVEAHYRACLYAGINISGTNAEVMPGQWEYQVGPVEGIAMGDQLWMSRFLLHRVAEEFGIVASLDCKPIKGDWNGAGCHTNFSTDAMRKEGGINVILEAINKLSLVHPQHIAYYDPKGGKDNERRLTGQHETASIDKFSYGVASRAASIRIPRETDDKGFGYFRGSPSVFHLATRTCE >PPA11656 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:811121:812241:-1 gene:PPA11656 transcript:PPA11656 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSILHVYHPSKFDQSPRCAPCRPSHVCLISSTHIECIDRIVAMKKITNSKFVEYGTAQEKFGGKKDKILSGSVHNGLGHKEVCSMDEVNNIGARLLLWSSQIHSKYAGIDVSLPRAFIAS >PPA11631 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:655261:657360:-1 gene:PPA11631 transcript:PPA11631 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTFIKQWNPAVPIGGRGRGRRKKGSDPDQVFSAAYRTVYDPADERRPAGRDADGSTRFYSASGLTTTGIPVQDDRFRAHTLSTRGGRGGIQQRVGMFRNAIQSNVIFGGPSERVIRHSQRKIDRLIAPESDVPESVSEWRRSPQRNTQRRRDVYEYDSEVDDDGQDEPPPRYQPRRHVSTQRRAPEPSRRDPDYDPYEFREDESEDDAFVYQPPPRPRRANSRLTVGGPSSSRVPSAYARDRLRSKDERTRPRRLFDGATDEEEEEAVGKRLERREKERHEVPRMEKRNSKVGRDERS >PPA11584 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:370550:374086:1 gene:PPA11584 transcript:PPA11584 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAEDSAHPASSALGTKEYWDAHYAVELGNYQESQDEGEIWFGKQAETRAVQLRSSRIEWGALRGTDYSEPSIDLCKKIEEEQREDGEREIKYTVFDILSADSLAAMGDARFDFIHDKGTWDAISLSDERTARLAAYRRALAALLAEDGQFVIVSCNYTTAELRDFFVDDQQLEFVSELPETKQTAAFSYVLEIFIN >PPA11715 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:1190463:1195321:-1 gene:PPA11715 transcript:PPA11715 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAQLASDGVIQSEAAAIAAEAGEAAAADAAASAAQQQQAGGGAAGAATGVDPSSGGHKLQQIGDALVTKKTDIIKSAVDPRGGSVEMNKSASKFGLDALKSIPKSAKWNTVQTVSATQLQQLLEALKTVDKILATTKDLTTMGAGRQIDVLAPGLSFPLKTILNEIIQFVGTNVPRREEILERTAKLASRIRDLTTRSTPEPAPENGRRAQLRCVHWNMSEVSADLIEHLGLVWYLVLDSPDVLLLNHVTASEHLMNALTNVLGYRFLSVTCELKSSLRLHRMIEQFENGALILTQSSSSKFPSASIIEDDPDASDADGRATSGSALLFRPRNGIACIGAGSKGGDGMGYELVWIDVQQQEPVGENEVSLGPVCRLLNVQCDGGDKVGDVAESIKKLSDKADQSISDEFATVICTTVSRPTRQALMDECQLAKCSSDTDSYDAILTPSSGRQHVICHRSPPSSSTEDDAGDNSAAGAAAARISLSRAQPFTVGEEINREGLIGCKTLAGLARKLLGVPSGSHTLESLSAGNTDGVDHLVLGEDLVDEDLQTLLSPLDLVGDGPSVELDLHNVGLLVAVLEKLLLKFE >PPA11664 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:845521:847440:1 gene:PPA11664 transcript:PPA11664 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTLNALLAFPLLPCTLEELSLTICQMDCYSPEEGRLAQEAMTTLVSSLPSLRRFEISGRGAAYADFVLDERVLNHLPDSVTHLNLSAGNSLKLADLQHLDLSNSRNITDFIAISKLQFLKTLILSGNRDLRDEHLNAMCRGCPALIEVDLEHCAKLTSDGLVSLGLLHSLRRLSLSGLPSVNLAVIEALTRCIDLVKLDVSAARMKSVREYDTKRQHTP >PPA11681 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:946120:948327:1 gene:PPA11681 transcript:PPA11681 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVGCITKVDTVVAINTSGVYQHEETHDLIVNCTAPQPTLSIEKRTNGCYSPRSTFVPANKTIIEDGLIMICFFSKGGTLLLAKDETDSCMRDGYLLPAGTESLIFLSCVTPDKYTKIPVGETREEGGKKYTCKSETELMEN >PPA11642 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:730304:730970:-1 gene:PPA11642 transcript:PPA11642 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPLTPSGEGSSSTAAPGSINTDIPLREMSYSSGQSSPNSSSPLEMKVETGDDDEESTPHIPPAYQPPEGSSPGPEIELDYGHCEGDEAPILRARPKSGKPQWRKSKMIEPSDWGKADNGEILVRGKGEVVMGGVKRKAGLWLGNGWWVEWLKQ >PPA11517 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:60430:61881:-1 gene:PPA11517 transcript:PPA11517 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPHPAVIKGVAQSICLLFEALKLSKLAVAHSLTRESWFRVVFIHMIASTYQSIGIFIVGIDILISLIAPIKYFNLRNFPYLLYLQAPCVLLSAFFPIYTLSINEPDVPIGDCNPVLALKFQVFMLWSWCNMVISVLVVVLYATMVLIVLISVMAPRRKDAAMHTDGVCTKYELSDLNYGKIKEYFSANSQYQRRIVTSMVVFMFMVFSFSW >PPA11572 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:326080:326986:-1 gene:PPA11572 transcript:PPA11572 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVIWLFVIPLCLAANDDSKYSPLTQMQLDEGLMEMSDGGFPPSIVCMAAWHSNTLVQGCFEAGQTQLEDMNCRKKECVAPERGIGHYFCCCFGPLCNQNHTVEKSDP >PPA11580 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:352046:353524:-1 gene:PPA11580 transcript:PPA11580 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFLSGVKVGKRGGEIVDAAEATKGKVTLLYFSAMWCPSCRQFTPKLVRWYKKMKEAGKDVEVILVSRDREEDDLLEYFNDHNGDWLHLQFGDDKIKRQSSGEPNCIVRVQSKEGAIVVIAN >PPA11554 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:234806:235262:-1 gene:PPA11554 transcript:PPA11554 gene_biotype:protein_coding transcript_biotype:protein_coding MELIYKAYANLFSMLTHSIVHMFLASKTRCIFLDSLISLVTFLTWNAQLELPPRVEQPREQIRRAQRDKTKDAVRSKIKRDLHVA >PPA11521 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:78971:79796:-1 gene:PPA11521 transcript:PPA11521 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRFIPSDKPPVGPRAQRSAQTSKRDSASLPPNRERWSEENRDKLAERIFGRPPPCLFTSIFCAFRRRFVPERRACNIFMSLTFVVSRRKSAPPRLPTRNVNNTNEKMPVNAFIDDLRMIVTFIYAMFLCLPVTIVFICGIGKCANNGWHLVGQLLGI >PPA11565 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:290435:297775:1 gene:PPA11565 transcript:PPA11565 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDGRKERRLFEHFVIAGLDESRLEPLSTNPQECGQRNAQPLAPITDITVIFPTLGEACPEGFECLSTTTLGYPADLNHGSLRVPPAFICYRRGFHKPPLIDVGVLDKGRGEKPLVDISTVANTPFGRPANVNNASQPIFLTYRRASPDAPPSQLVVTHLLVVLANKGEVPPHTYYKIPHNLNKGILGSDVYLCYKKSQGTCKRIAYKPRVLDMFPRPRASRQRQRDMEEEEKGKENELDSSAVFDSSSSAAAAAGSPAPSAAAAPVATSPRSFSTGEEPSLVDNVPMFCLPMGAAIECWPARCQQPDKIFSTFVLTDEAGTKYYGASVTFYELHKGELSEEQKEILEISKEEDDDNTSTVASTVEDNVSLNDPADQLRFYVNCSICIVSKYPFFTAFKRFLFHIHRMSLKSQGSVPIERYISHLMYEVTFPTPRRPRVLMHLPSETITFDCYDDSQLPLTGAALFDTLKCLGPDTLMYLMMLALLEQRILIHSLRPHLLTAVAESVLALMFPFHWQCPYVPQCPLALAGVVHAPVPFIAGVDSRYFELFEEPPLEVTCFDLDTGTISPSAVRKSMKLSMMPKAATKQLKMTLEQIRSELSAEDFRLSKQYNADFVPVDMDLAIQKKRKAIESRIQEAWIRYVNMDWIKY >PPA11512 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:36758:37683:1 gene:PPA11512 transcript:PPA11512 gene_biotype:protein_coding transcript_biotype:protein_coding MMCLFLVAVLSVGAFANPAVDNYDNLPREHKPNDMTGTKDSGHFHLVHHVTVAATATGPIMSATADNVTAFFTLKATSASNNTAYHNYGVQLENNSNKNVCAVSMDVKDTHNLTNMKYSKTGGAIITEDLYLKPGSSAHQFAFTSTSLTSPSMLALTYCYDKDPVASARALINWVILPSILLIFAQ >PPA11652 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:787826:797234:1 gene:PPA11652 transcript:PPA11652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-uba-1 MEVDNAVEKNGGAKNGGAKEGAEGTFDKNLYSRQLYTLGESAMMHLREASVLISGLSGLGVELAKNLILGGMRHVSIHDTKTANWHDLSAQYYLTEEDLGKNRAAACFERLAELNDSVQTSLYTEPLTKEYLVVLADSSRSLQLQVAEWTRAAGRRLLVTDARGLFSYVFLDCGEEFRVDDANGENCKEFHIEHIEQETGDVMTIENSHHGLEDGDHVTFSEIKGMVELNECAPIKITVKKPHIFNIGDAAKNLTAYLEGGRGKQVKVPFPVSHKPLAASLEKPEYAIWDFAKFDYPAKLHALWSALYSFEETHGCSPTPRCTADAAQLKAELQLPEDVEISDELIALFANSARGNLVTVASVVGGIAAQEAMKAVTHHMTPLNQWLYLDAMEAVPGQWTVLDNAKLTSEDCAPRNSRYDGQATVFGWPFQEQLLKQRWFIVGSGAIGCELLKNMAMMGLGCGDGGLIKITDMDQIEISNLNRQFLFRRSDVGQKKAEVAARAVQAFNKQVNIECMAERVGQDTEFIFTDDFFSNLHGVANALDNVDARRYMDRRCVYYRLPLLESGTMGTKGNTQVVYPHMTESYGSSSDPPEKEVPFCTLRNFPNEINHTIQWARNLFEGVFSEPVETANRFLKDQRHFLEQVQQMNTSQQMDVLTSVKKLLIDERPKTAEDCVRWARLLFQENHHDSIAQMLHAFPPDQVTDSGAKFWSGLKRCPHVLKFDPTQEMHFDLIYAGSILRAEVFGIEPILDRNLVAKIAAAVEVKPFKPRDGVKIAVTDAEAKEQGNSNNLEDDSDEALEEQKRKLALISSTTPLLKPVDFEKDDDSNHHIEFITAASNLRAENYDIARADKMKTKQIAGRIIPAIATTTAAVAGLVCIELYKTIVTADEQWKASPPLDRFKNGFINLALPFFGFSEPIAAPKKKYLEQEFTLWDRIEIKGPKTLAELKEFVERECGVEVSMISAGAALIYSFFMDAKKRVTREATEVGDVVSDVTRVPRPPHVRSFVLEVMATDPSNDEDVEIPYIKYDLVL >PPA11638 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:700420:702892:-1 gene:PPA11638 transcript:PPA11638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cyp-23A1 MLLLLISAVGLYFFYHLYWKRRGLPPGPTPWPILGNLPGLIKKAPGYEAIKDWKSEFGPVFTYWYGANPVVTINDYDILKVGIDLKPTDTVLADASAYTDRFIPSEILRLYRGGEWGVIDTSGPLWTEHRRFALKTLRDFGMGKNLMEEKVAYFPVPALHFPALFQIQTEIVALMDNLRAEGDQPIDLHSHLDLAVGGIINNTLLGHRFDESNMAEFRKLRHLLEEQSRVINKPSFLLLMLLPSMRRFPYLRDAWKTIMDCRDNLYAFLREQIISHEREIDLDREDDPTDICEAYLRERKRRTDADGTEGLYSLKQLENLLFDLWVGGLETTTGNLGWAAVFLIHHPEVQKRMREEMATVVGKDGPITTAHRSRLPYCGAVLMELQRLVNIVPLNLPRTTTRDVTIGGYSVSKGTAVMPQISAILYEEKIFPDPHSFKPDRFITEDGKLNNMDEWIPFSLGARRCPGESLAKMELFLFLTNLIHNFEISAESPDKIPSMVKTGATVSKPEKHLVKLTRMI >PPA11598 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:446084:453875:-1 gene:PPA11598 transcript:PPA11598 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pmp-4 MPSIQSKMMNMAAEIAVDPEKRRRALIYSLVTVSGTLAATFYAGRLSASNASRSRSPSDESVESTKKRRKMIDPLFLKQFRFLLKIMIPGLFTKEVGIIAIHSLILICRTFLTIYVAALEGKMVKCIVQKDMKAFFWQLAKWEAIALPATFVNSMIRFFESHIGLALRSRLTEHAYKEYFADQTYYQVSNLDSRLLNADQCLTEDITMFSQSVAHLYSHLTKPVLDIALITLTLVSYARRRGSGKSIIFPMILGSFVVSATARILKAVSPRFGSMVAEEAKRKGHLRYLHSRIITNRLVAVSVHICIAFYNGHEAENKQLHGAYQKLKEQMSLIYKKRVFYIMMEQFLMKYVWSGAGMTMIALPILTAINPTTASGKLLEDEPDGGVSERTRGFTMAKNLLVNSADAAERLMTSYKEIVELAGYTSRVYEMFNVFREVKKGQYQRTEPENADGSKIKRRLERFDTSKITGVIRFSDDGSIVLNEVPIVTPNGDTVVKSMSIHIVPGMHTLITGPNGCGKSSLFRILGMLWPVYRGELHMPKKDKMYLIPQRPYMTLGTLRDQVIYPHTAWQMKRRGVKDDDLAKILAKVHLSHIVEREGGWDAKSDWMDVLSGGEKQRMGLARVFYHMPQYALLDECTSAVSIDVEGDIYQAIKDAGITLLTVTHRPSLWKFHTHLLRYDGEGGYEMSEMLGDTEEERLSLNDERAKLEDRLRNINKLLGDDEEYVSSNDE >PPA11509 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:23438:25899:1 gene:PPA11509 transcript:PPA11509 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRLLLLVLLPACALSTPLPIARRSVTTEKQNAPTDVPPAAAVTEKAIEEAASIDGAPISAPATEKTSEERASTAASAPAEPVSEATATASEPVTETTESITEATTAAAPVSETPLESPNVKTPKGKTPKPPKATKAKKAKIVKTEPTEAQANEPEAAKPVAAETTEATEATTAAVPEPAPVSDTPVESPKVKTPKGKTPKQPKVKKTEATDAPAIKESVTVKPAAADATEATEATTGAAVTDPASEIPVESFDAPVAEASTDAPAEAETKEAANPEATEAEPSSLKTDVEAVTIDGESSSSSESGELRQAGEPGEAGETPSDPTEPTDPADPAELPPVDASVSSESVEDASVVPAVTLPVKTEAILTGAEALVDGVTIRFALQSKWAAENEKGRTYVVKIYNNSKKEVCGVTFKSDVEPTISWNLSKSADGSLTAKNLHLASGENAQDFGYITKKRSIPSIIAVTHC >PPA11602 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:463734:465564:-1 gene:PPA11602 transcript:PPA11602 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLLLIALFGAALAAQQAQTEAPTTTEATVQSGDGRRHHRRHRDDSDESWEGGDFGASYGGAVYAAAAAPVAAGYGQQAAPAAYGAAADPAPAYGAAPAQDYSYQATAQYAPAYPQYPVYAALPPNYDGTLSGSWFRVRGTGSWFHSSVNYCSIHASFPMTGGKREERRDRKSDSHGDDDDSDSDGTVPLAPRRPGTVRGTPGSFLVACARMWYDTAVLAADGSVSMLAGLEPDDYHGTTNQEPTEPANCRLRSSPLAPSSWRPQTPSLPPLAQMEQTDLQVLAGSGPGPNPNHCRFTATFSQETCQTCCRVSSRNNDADPATITGGLMFFAPWDDVSAEGNKLRREVQCVCCAPRRQQAAAPVAAAYPAQGGYQAAAAPTQNY >PPA11605 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:491335:492944:-1 gene:PPA11605 transcript:PPA11605 gene_biotype:protein_coding transcript_biotype:protein_coding MMIACKHEHCQNTTIDSLHRLSTMSSALLKIAAFGCILAVATGELTRDKRQLFVDSFGSSVVDPYVDQPLFAPSPRVVYRRPTVVVQRPSFYPEIFPQPLVRAYQPVYRQVVPQVFAEPAPLIVRRPSIAVAAPAATVIAPAFGPTLVSSPAVPIVAPAAVATDILMKKKKL >PPA11595 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:425848:433864:1 gene:PPA11595 transcript:PPA11595 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDLESVEIVFAQRLASGEPPVRAHALRELHKWIKSQSAKKPFGEEDLMRLCKGLHYVMWMQDKMILQAKLADNIASLVNIFESEDERALYVQCFFAALSKEWLCIDRWRMDKFLMLIRRLVRTAFLHLASLKWKKSVTEKYWNAFRATVMSNDRSFRDALKFHFASIFLDELDNAGSITTERVTDLVATFAQMLTLDISDYIFSSVVDEIFTTILHAMSDEMEGDEEEEEGEGEGEDEEEDEKMEEEDKEEDEEEVEEKEKEEEMKEAKEGIKFDYSSIGAMLFDVGKKESVKTKRRAKLYDLAKKFELAARGEDPFAMPEVSKHVPISGRTFHNAVQRAMSQDLKAHKGKAAGKETRKALRKRPAETDFSNGLAGNINLASFKPSEKKFKGEGAVSTKQTSGKKGQKRTTKVTSGGAINKKKRAAGLATGSAKMSAKGGGVKRKVSKRPKTKSGKAKAGH >PPA11718 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:1209677:1212196:-1 gene:PPA11718 transcript:PPA11718 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSAIRDALEDIFKQQKGAASSESLVERSTTVQVHHPERKISLGKGNSEYEITLSKKTVTSQPNSDDEEDWGTLSDSELLGRRSRTQSFAGMRAGSDDETLAPRESTSTIKYRRRRGGQWRRLEKEDGVRGDEDELQVNVCENVDDKGIITTTVEATWKVLDFESLPDWLQF >PPA11559 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:262035:265368:-1 gene:PPA11559 transcript:PPA11559 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLKTGGAKAAPEWPLFELDLESSGLESYVDPDQKMTDVTNPKKLQASLAYTFSAAGACLPRRLEDGSLSSLRGHCETSACATSPQPGLALFSSSSSLSFQLSSAVPSRGLRVGRLIVSHRFERGSAGFDPLLVLLERNRWPQWPSRPSSLLETMRRPLRSSREETPGLKTTRL >PPA11666 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:890164:896222:-1 gene:PPA11666 transcript:PPA11666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lgc-10 MKPRLLLRLITVISALHTLVAGFEPRYNETSLILRDLFANYDARVLPFSNGPVVINMTVVLGILIELRENEQLAAFVISHTQRWYDKRLTWNPSFYRGQNQVIVPQSLVWLPKMFVYNSLETKEMLTSNRADVRVYSDGLVKANLPQHVSCICRLSIENFPFDFCAVALASPLLTVQEMMAASSQPPADSYFAGNAEWLLFNVTVRQMEFREEDEYRIEVHYIFHMQRRPVYYLTVIVAPTFLISALSILGIFSPASNDGPRSEKRIYDNGRVQTGKVSLGLGSLLAMTVLLGIVAGAMPKSNVIPLLGYYILIVICLCAVAVAISMAFLAITREYITRGQPPSRSTLSFLWMKRMEKKSINASIDLATHDPSVKLNHYSPSLQGGRLVRVAYSRCNNNVAEVQEKIKFKVPELGAIHTLLEEILETQRAFRLKEEARMKHREIEEQWATVFARFDYFFLFLFEAFNLAALGIFLRAAWEPMPELREQLL >PPA11689 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:967414:968018:1 gene:PPA11689 transcript:PPA11689 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVLGIWLFLATNIHAESLTVSSSVDAVSTSTTVAPSINTVDLDSEQLSTISSACLSRQEHEQMAGRLLRFGMVRTLNWRTAQEEQETIGLPELRAVAGFPRPGWTAYKESTDDEIAAAPTVEKYYELREPRSNSRSLDSYDLLERNLPPAIDFLDARFPRHSGPSSTTI >PPA11617 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:557713:560759:-1 gene:PPA11617 transcript:PPA11617 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGYHFLELLAGKGATIVYLVLNRSLVDPYQKYGSNWDDPSSAQFSGHSIAVIIYVLLVITALIVNTTMLTVLLRLRKRLFQPPGTFYTVFVGLFTFTFLNAIVQAVFVAPQYIQNMSEVSVTNATYLSILAFSTLVAANRFSTFNLGSKCPVFRRYVRLYLVIAVVFLIVALLAAIPSLLGCTMLQAATAVGYMDYCPDEKHADGVKIGLGAIYYVVCAFSAYFYVRTYWLIKNQRGHLIQQESHRKAPEIIILKQALVIFGLYIIYISTDFTGAYNHRSTFLFLSLIPAFLLSQFHLAPSFHRISRSLACVLWRNEKGSNLVDSFPSLFLLLETWQSYLQCRIDHKQIPIKVDQWTNGEELRTN >PPA11545 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:182115:182834:1 gene:PPA11545 transcript:PPA11545 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNLFLDPPSSGSLSGVVVGGPETIPEDPKHQSEFLLGLIFVPSISSLWCYESETPHLSKSEMKECRDDEVEGIVRKFMLITMSLYVVSL >PPA11611 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:536533:536999:1 gene:PPA11611 transcript:PPA11611 gene_biotype:protein_coding transcript_biotype:protein_coding MILRTFLAAFLITVCFAEEETRNLVKRQMPYNPWFPGPFFGGPGGNPFFVGDQQFGFPFYGGLPPTQSNQPINVQYHRHGNNGVSWVWSTNPS >PPA11518 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:65611:68861:1 gene:PPA11518 transcript:PPA11518 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGLSRLYQLQPDPYDNTQKATIRICLFTEVTNAVELREKVRNGEIDAALIRPELVYGVYVLLAAANRTVHQMAHNRMATRSLSAELIYSLSPSRNISDSLVTFGIAEKSTALLAAVFDDKSGSAMKKLCKQIAGKPTDMGELNELINAKQIRKIYQLTDAVYNDDSLSDHITSRLVYKDFAS >PPA11701 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:1061713:1062154:-1 gene:PPA11701 transcript:PPA11701 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAMSSSLYGGLHLYRSPAAEEEPSAAELLEATKRLYVKTDVLKDTKIGEWVTVSTVSITDLSMYSRHN >PPA11713 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:1163530:1164716:1 gene:PPA11713 transcript:PPA11713 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKEDNKTRKRKGKDIDQIHEDLQPEKAAKLMNQEVDLDIPGDAQHYCIECNRYFVDEVTKKVHDKSKVHKKRLKELKEVPYSQKEAEAAGGLGIFPHIKNRPTAAKPDEPLIG >PPA11511 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:32610:34112:-1 gene:PPA11511 transcript:PPA11511 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFGEYEWPIYIVTAVFLVLTTLGIFFNSLVVFATVQTKSLHNSCNVLIAFCATCDILHQTIPIFGLSGGSFGVLSIGIDRFLSAFLFIVLYCAGQYYLMFAFTVDQPIICIPPEAFYGEAKMLWSITSCIVYVAAVLVYCVVWAVLKRQQNMAAMTKIFRSLFIIMLVVVLGWMLTMSGVLIASLVFHLEGMKMYFLHETVGLFVNTSLVLNYFVYYKTSTEYRSAFRRHIRKASQFVGIEMFSSVKEERTSSVHISRY >PPA11650 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:781574:782542:1 gene:PPA11650 transcript:PPA11650 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLKIERKKRGKMTVLDWDNEGWTETEIVLRAGEYTVPSTSHADILRWKAGYGEFPNEERRDRVNASLFNGPEEKKQWSEFISSDNVLRLSTEEVMYLVEEERAYLKRDGVRLSIEETFDHLSNWGKRFFDSYTAYRYLRRAGWTPRCGISFGCDYVIYDGLPSEVHASAGVSIERERPLDNVEMEALSRSLWHVKKHVIILSITADSHEYGSVEGADVKTATLAPTTLNSLIG >PPA11626 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:635750:636119:1 gene:PPA11626 transcript:PPA11626 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLATTLASLPATLADGVAGVGEIGMSSHSLLILMIMGGIIAFILAFAIGANDTANSFGTSVGSKVPGGTRNQEPGYPRD >PPA11593 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:413719:419682:1 gene:PPA11593 transcript:PPA11593 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLIVVVIYLDDVHLPIPTGIRPFRVTRVRVFGQFPYLISVCSVWLLCLILTLTGAEPEEGEARVDKAATMRVLTESPWVQVPYPGQFGTPRIDIGLMCGFMASAVSSVIENLGSYAMAARVSEQRRPPRSTVNRAIIVEGLGSMIAALFGVCSGVTTYTENIALIHITRAAALLASIPDPVLGGVLLMGMSMIGGVAMAQLQLIDLRVTRNIAIMGMSMMLGMVIPTHFEKNPLNTGNPTLDSTLNMLLTIKMLCGGGIAFVLDNTSTVIFRIGATAKQRGLHEDDEGEPSEYQDEDGYSFPDYVNRVFHALPFLKKLPFLPSEKNLAARASIQP >PPA11671 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:919504:920428:1 gene:PPA11671 transcript:PPA11671 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSFNRVNASTEARVIMEEAAKLKFLAGIERYVPEVYSHETVSDSQPLIDKVLAKLDEKAEKFVRNLFSGLSKVVVINVQEPSYVPDDHLVSFTFRKMANDYNKLSKKSKQNLERETCWYNLLRLLDTNHELANIILQTIQSNDAQFRNEL >PPA11678 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:934169:937078:-1 gene:PPA11678 transcript:PPA11678 gene_biotype:protein_coding transcript_biotype:protein_coding MMELPSGYSLILFDFANWSTVCCIIRIAVAESKIARFLWTIVFFGLLGVFIYLIVTCVIQLFSYPAEITSNLMFEEQLFPTISFCNYNPLKLSVVSAGNVYAPINQLMQEYQAAQTGTKSATNTYELQMPYTNQPTVHILELTDEIVKFSLEDTDLSVANSLRRVFIAEAPVMTIDWIQIESNTSVLHDEFVSHRMGVIPLTSENIIEEMVCTRDVAGSSQLNPAIARETPHGEVMCLVCNMAVMPKIRTAHVVGKTHRSKAEKLKKEVSCPTQDCDNCRQTCSGGRWGIDSSIYKAKVIADGSELPEDFFVPSGGSMDTQPPPELETPWHRTRQEQNEIVRERKGLIEGLPQGFFDDKAKDMKRLGHSRFTTETSII >PPA11703 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:1090705:1096235:-1 gene:PPA11703 transcript:PPA11703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lex-1 MKIGHLPAELQTSKWGGCKPAPNVMEWLADGSSGYCGADLKFLCTEAVLIALRERYPHIYMSSERLLLDPNQVVIEQRHLQDAMRRITPASRRDLSIPCRPMEPRTQLILRRTLDQLMNTRIPAGYRQSSGSQNGIGSSQLEKVVKALESAPVVPAVRLLLTGKSLRPDTGQSSFLLPALLSKLDHLPIFSLAVGKLFADGRPEETLAQTMQSALRAAASTPAILLLPNIDQWYAVVPPSVSHMLATALDNLTGFTSLLLLATCDGTLEGAGRETPALKGLFRTANAFEVPVPSDDERRAYMEYIMKPALVPPNKFDPSAYPAPPVATADEVAPRKLSDREITELKKLYEGQEREFRIFLRDILARLMRDRRFHIFNNPVEEDDAPDYYEHIKQPMCMSDMMGKIDSRVYRGPEQFVEDINLIMRNALEYNPPSSEGRVIRHNAVALRDMCDALLDMELDEKFVEKMQESARLLKEAGVKLKVKPLPFEKTMPQK >PPA11669 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:911642:912244:1 gene:PPA11669 transcript:PPA11669 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVVGPLTSNTRMHLGDIALILQQTLQRATGESFEVIMGKGEIMHVTYQRNKYSFCRMRIVDYYTALYDSRSV >PPA11725 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:1247628:1253422:-1 gene:PPA11725 transcript:PPA11725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mes-4 MASAAEMGEMEPVEPVESAESAESVEPVEPVPGETMESERPPPEVTASVHPQSDESIDGLSTSTTNPVEDAPVTTRTLRVRGPKKVVLSPEVPKTKSPKKTPPRRPRETRRVRQESERRSEDSVESEETAEERRKKKEEDIHALTYTTRPRKANFLNCCFVCDDNCEAPKKTTVKVKKEKSVDDNEGTKEMDKGKAKKKENGADASMEEKEDEADAYVTPQVMHKKCADEFVCSEYSPNQAKKRKSFLCHEHSIGKKKISRKMRTKYCTECEQLCLGDEVSKDDPNWETHPRRKMECKQCTNVFHRECAFREVRGEGAEEYQYDTCPYCLIGGTIVANQYVQAYYPHKVFNLKAGYYPAVTLALKDVPKDVARRIGDKYGVLGYIPVKWMWNELDARGITYYNMVHKSQVQRMTLKCGKNICEKKWKDELMKASVNFKAPTRTMDYDAMRKTIKIIRENVYTKGVQRILRKKDLEECTCKPDKNGVKCNDFDCHNRASCFECPTTCDEAEGIKCANREMQRADRPTDIYEVRVAGNGKGLGVFATKLIKKGKFLFEYAGELVNEDGQKIRVHRTKLLRSNDEWTYMMDLKGTSYIVDARFKGSDARYVNNSCDPNCEVSCTEIPVKILNADSAMYEPRLKVMAKKDIQPGEEITFAYGLFKTEDMAMDACVCGADNCKGKLGEKKKEDDSDNERFDDREELAMEPDEDMSSESEGAFVPESSDDDANGQTNDDEKENKRRKKKALKRMKKTVEKKATITNRRRASMGGPSGSRDRVPSKRRNLRCTLIHE >PPA11548 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:195808:196524:-1 gene:PPA11548 transcript:PPA11548 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCLPLLLLFGATSGWHLNCPRPSHHDKVIPIGVFVPFHVSLNGSRSRNGSSPAILEDGYSEKSPGDFAPAISLALHHIRNHSCILSGHRIELIYKSTQKTH >PPA11541 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:149222:155493:-1 gene:PPA11541 transcript:PPA11541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sec-31 MSSLTTDHDDDEDDLLSRVEGLPGIDYRTMDPSGWSLLDALIAGDLDTVVDRLIEEGDIATAILIARDDEKLMRRAVTAHVQKSSLPPISRLLSFVSARHFGPVIEGFPVEEWRRLMGIVLRADPNASDRMKEITGKLANAALSSSPSEAAHCASIPAVIAGDVEMLLAANKALPTEERLQQAIALKWSRTAQAKRAYGSEKREECTEWESVLEEHCRSLIAEGLLDHAWRLVADAAPRSTSLAELRYSLWEACGGRSRTQRDAPPNPHATETAAYAAALREGGSVAAAAAAAARRAPPAYGSTPAAGGWPPPAYGAAPPAPYAAPMPPPPMGGPPSYQQQQGHYAAIAPPPLVPTLPLPPPPPPSSTGSYGVPSTMQMGGGPPVMGMMAPTPIQPYQSAPVAAAAAPVTERASTPVSRAPEAPGWNDPPPMPVKKVEAVRPPSVMQISWNPSAQSGTGTPPSAYGMGSYGGAPGAYGAPPPAPLSASGSLPPSSAQCSPAAAAVAPKLELLPADEALMGKIEQLAAAVVANNRNPASSRKADELVARLRAELTPRLAHAKLTPGTRAILEQAVGAAYVGDMRGAGAAANHLVQTGADFVEVSAFQPALKSLFTLYNQSIRNVFA >PPA11687 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:964957:965820:1 gene:PPA11687 transcript:PPA11687 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKAAGKGLLITTLAQFITHLAYAIIMVFYLYFPPPLRSAYMFTTIALDAISIVPIWLLLAFSSAVRQAVRKTLPGGKTKAATIGGDRITILSML >PPA11698 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:1057482:1058049:-1 gene:PPA11698 transcript:PPA11698 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVVVFLLVSLLLVDMTMAQNQKEETSEATSVGADSMAMPASMADLAIGAALVVKQFEVRMAEATAAVILMASLARVLVPAVIDRPLSSPSPPQLHIHPQFTLSFLHYLLLT >PPA11644 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:736756:736968:1 gene:PPA11644 transcript:PPA11644 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKPYSADDGQPEGHEQGGQTAKELSETRRLTILERSKIRLEHNDGRYL >PPA11506 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:14137:16423:1 gene:PPA11506 transcript:PPA11506 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTTLLLSLVIIHATLGCTPPYNTWIDGNCYYSYSSYTTISWPAAAENCKGRDATLASIHGSQENADFLKLAKEDTLQETKRGYWIGLRCDGKKFYWEDGSFVDYTNFGDSTYKCNTTSTDLHFYMNWDDGKWYRDVNWDWYGRAYVCKKNYRPEDSICEEYELVSDTKTCLSLRSDSMDSKDAENSCTYTGGHLAAIHDNTVNDYIRRSAVSNNLLNGVLIGLKQSGNDLTWNDNTTVNYTNFAKNFPNSSLGSCFAMQTSSLAGEWVNVQCGGTSATPTKLPYACTMPAYDLPDEMETSECPIDTYYSDGDMIYSPSFPSPNNTNSCEYLIVGPEGAKNMQVEVVFFETNRCCDSLTIYEGIAGAQKIATLAGSTYNGNIYKSANGPAMRLVYNVQSGAHVRGWQLKVKAIK >PPA11620 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:578595:579729:-1 gene:PPA11620 transcript:PPA11620 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVLIALLAVGASAELPTVHTTSVQQPVVTQEIQQPVYQIQFATPIVQNHEHVVDTPVYKHIQHTVNVPTPVVDPVVITPRIIVPKPSTTKISVIQRAKRQWFGGSGVWGVPAVVTATPAVAVATAVPTVTVAPTQHVHTNIVNPPLVQQVVRPVVHTQVVQPVVHTQSTVFRSVVHEVHSNVYVPQIVHVKPTIIEPEIVELKRVKKRDDGRK >PPA11539 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:140235:141687:-1 gene:PPA11539 transcript:PPA11539 gene_biotype:protein_coding transcript_biotype:protein_coding MARGDRSTAWGFGVTDSGRGEVIIVNVVPGSLADRAGLRNGDILDILEGLNNLDLKATDRLLVTSRDRIELVVHRYDVS >PPA11551 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:206844:207949:-1 gene:PPA11551 transcript:PPA11551 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEAANKIKAYRVVGYAAVTFSAVAVLSIAVTLPMSHAKGVWSDVFAMKALPSHNRTARQAYWEDAQCNGCCLPGPAGPAGTPGRAGTPGHPGAPGQPGNPGRPPSTPCEPMTPPPCHPCPAGRPGAPGAPGPAGNDGRPGSPGPKGQDGHPGENGSRGNNGNAGRPGNDGRPGAPGKSAQGGRPIPGPPGQPGRPGSQGPAGPVGRPGNDGRPGQNGSRGQPGQSGAPGNDGQNGEPGRDGNSGGSGEKGICPKYCALDGGIFFEDGTRR >PPA11622 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:602967:607761:-1 gene:PPA11622 transcript:PPA11622 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEEELLLAGGDADANMDHIDEEKLLADEDPNFNPFQVKQEELDLFDAAIEPSGVDKDVPLVTTPAVISTPTPPSSASSSLGITTNAGITFSNSAANIANITGKKYCCYIGNMSWWTTDDDLVKVITSCGVDDLVDLKFYENRLNGQSKGFCLAVFLTDLSVRTITEKLPLKPVHGQTLVVLSYSKISLARLEEASAKSQTRIEQQKKAKARIFLIYETQYSLFLNISLFVSHFTEENGMLNMGTIRIGAMGITPMGPGGPLGPPVQQQPPPMMNMAMMGQRPPMGVQMGGPMMGGGAMVGRPGGPGGPMNPQQQMIIPQTRILPGGMVQMGGPPPQMGPGGGMRPGVGPGGPQMNQPPPGMGQRGPMGGAPPPSGPPQPLMGRPVAAPPNVGGAGFPAGAHINPNVYPGYGQQQEAPLDAAEVEEVMNRNRTISSSAISRAISDATSGNHADAIETLLTAISLIKQSRVAHDERCKLLISSLHDTLSGIEAKMKERKRERRDRSRSRSRERKHRRR >PPA11704 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:1097684:1102233:-1 gene:PPA11704 transcript:PPA11704 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDDGYSPHKDRRRSERNRSSVYKSLGENSLGVSQDDVRRLIALANDTRDREHAEQLRHRNGRYPTRSTARFVIPGESTEEDDEDECARPTRRSTRHTSHVSFDHGDDEESRDAPEGKSSILRKPAKRRRIMLESEDDEPNSSRTKDDEGDGLYDRVKSRHKDATPVGGKSVSPKDISTSTRSSRHERRSRATEEAETTAAIEAVEAAERQEDENEEEDSEEEEEEMEEEKGPRQYPLRERREIKAVDRFGQQNRSKERNTRIERRSVRNLSHKLEQRIEMRKAQRDYRRRVARSDSSSTDATDDEAGGSGTKKRGSSRRDDDDERFEQRKEKSMAKSRQRFLPINISAKELSTSSNVVRERLRQAGASCSDIDPMSIDNTVLFDQVGGLDAHLQTLKEVVLFPMLYPEIFDRFKINPPKGCIFYGPPGTGKTLVARALANECAKGAKKVAFFMRKGADCLSKWVGESERQLRLLFDQAYAMRPSIIFFDEIDGLAPVRSSRQDQIHASIVSTLLALMDGLDSRGEVMLM >PPA11628 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:648712:649406:1 gene:PPA11628 transcript:PPA11628 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVFWLESTVPAGWLTLDQYLTSYGPFPIDSYFAASIIQQVASSLLFLHQFKMAYGSLTGQSILLDMNGAVHVLPSTDHLRHSLQDDIRALGKLMLRLVTGQSEYPPPNALFPVNRSMFKLVGLMLDTPMTAGQIRYHPTLINLLLEKPTMFFFNQELAPPTLVPIID >PPA11702 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:1075614:1078947:-1 gene:PPA11702 transcript:PPA11702 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQNRAGGKTGGGGVASASDAGVDRRERLRQLALETIDLQKDPYFMRNHLGGYECKLCLTLHNNEGSYLAHTQGKKHQANLARRAAKEASEQPYLPVPQASKVETKKFVKIGRPGYKVTRERDPASGQQALLFQVDYPEIADGISPRHRFMSAYEQRIQPPDKRWQYLLFAAEPYETISFKIPSREVDKNETRFWSLWNPDTKQFFLQVPFKLERGDSRMDDGLGAPPPSMFLGSGVPAPPPPMFLRY >PPA11567 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:304485:305926:-1 gene:PPA11567 transcript:PPA11567 gene_biotype:protein_coding transcript_biotype:protein_coding MFAINNGLQYETGCLRIEQRYYHSASVMATVSTIMQTTGVRIATWSFSEAQSGKASADAQRMTFDQIRNRITTLLSQKKEHQRKEHGNRQRRYVKLIDDFERDLAEEGMSLDDIEEEVDLERPLDEDDLIITSDEIYDLVHSNMEFFDNPSEPVFSDFGEFEQ >PPA11665 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:886092:889048:1 gene:PPA11665 transcript:PPA11665 gene_biotype:protein_coding transcript_biotype:protein_coding MHIDNFTDHLIRKFEQHPNIGLLGKINGLNDLNGCRPRVAISFTFTFGANNRDVSVSRLRYSQNAPIFVECEDNDFHIALMGYGFLPILFLAVVGNALNLMIYSANQMKHFLAIRMLCVRLAINTLAVLVLLPAALRMLNLWEKLGDFDEHYYWRYYKWQLFGGNTLGFCSMWLTVLMTLECYVHIFHPIRSKQICTMRNLWIAGGFIFAFGTVLSAIYPANRHASVTEDDCGKFVTIDSQTTPHWTFLEHAHTIATLFIALLIPILGMVLMCARIVWRINKDNIIDANSSKRHFNAEKRCVTRITLITTLLQFLEIPSIVVFTMYSVQGPDATNNCTLHTLCLFLGLCNMSLSFFVYFVFSPKFRSMSMVGVLREGSSLVVRQQFPPSKHAEYAENE >PPA11672 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:921440:922291:1 gene:PPA11672 transcript:PPA11672 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPILLIIFYSQISASDIPLEVLNQLGGLHQVGVNEQEAFNIVKEESAKLGISFAQHYDNCLVSGNKEDYGTEIETFFTKVFPENSPFETKEQLLKVIEMQAPRLWSGKQRFDKMITKLDKESGTFVKDLYKRMLTIAVYVSQRFDNPMEYFPLVSRAIKKTTSSYKSLPQPSKDSLERVTCWYTLFRMMDKENPMKATWNLVQSLPDLGGTDLSHIEL >PPA11711 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:1155136:1157234:-1 gene:PPA11711 transcript:PPA11711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-egl-21 MKYVGNMHGNEPLGRELLIHLADHLCEGFVSKDKDVMSLLNSTSIHILASMNPDGFEKAIATAPAERGWLTGRSNANNVDLNRDFPDLDAIFYEVEKLKIPRYDHLLELFADDKDRQPETIAVGRWILSQPFVLSANLHEGDLVANYPFDTAEGTESVYAKSPDDGTFRWLAQTYSAAHAHMGKNDHAPCDGSAADNFARQGGITNGAKWYSVAGGMQDFNYLATNAMEITLELSCSKFPEGSQLPSLWEDNKKALVEFMWRIHSGIKGLVIDGESGEPVKEATVWIKNATEANPIKHPVTTSFAGEYFRLLPAGQYEVIIEADGYEPASKKVNVTNAVRDSAQIVHFALTAVPEEQQVLQQLQQQQEEEPIEEEQQEQEVSHAPLIDL >PPA11647 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:768822:771483:1 gene:PPA11647 transcript:PPA11647 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSIRDEAGPSSSAIEDATPTDSSSMTASGGRREAPTTYIVEGLEEKIRCFADLNGHVMQRTELLIAKLGSRGLKELLTMYTIPLAIMADVMVTAAVECVIFFFRRFFRMELVGEQFFILLLVKYDIREQPSEATPSQQCERRPADASRPKDSGRSRGGLPSNRLQRRPSRSLHGMSDLVVEACQCYQSAIGSACDHADQHIRNTYSAEEIPLQLT >PPA11613 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:545179:546510:1 gene:PPA11613 transcript:PPA11613 gene_biotype:protein_coding transcript_biotype:protein_coding MHTVLLLLATAACAASVDRAKRQDPFAGFPFGGGGTIPIGPGGQIIQVPVPVPVPRLIPQPISHPVPVPRPVYIERRIPVFVSRPVHVPHPVPVPRPVPFPVDRPVPQPFPVPIPRPYPVDRPVPVNIQAPPILVPQPFPQPVPVPQPFAQPFPVASPQPVPVPQPAPFPVPQPVPQPFPVAQPVPAPFPVAQPVPQPVPAPFPVAQPVPAPVPVPQPVPSPVPVPVPAPVPVPAFPAGFAFGGPPPPAFVGFGGPPPPPPAFGAAFGPFPGAPFPGGPFPGGPLPAGFPTTYNTKKKAAVKA >PPA11524 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:90631:93701:1 gene:PPA11524 transcript:PPA11524 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSGGMHDNKLPTICPRRPCYLRYLKQQRVKDYLTLESEFIRRQNAQKVVEAHRKKERQTVRNLRGSPTTLARVHELFDNESRAVVVVEGSKREWFIPILSIVDKTYIHVNSLVIVKCAGAFKNVPIAVVGVLPDEVDSSAMGHKIEKAPKESFDDIGGLESQVQEIKEAVELPLTHPEYYEDMGITAPRGVISVQRTLLELLNQLDGFDSRGDVKVIMATNRIDSLDPALIRPGRIDRKIELPKPDQKTKLKIFGIHTSGMHMDKDVSFEALLAKEKEASGADIKAMCTEAGMLALRAQRKVVCMADFEKAMKTVLLKDVNNIGAAFYA >PPA11657 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:814588:815144:1 gene:PPA11657 transcript:PPA11657 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLANGGRRRFKSHNGLYLTFRERAFYKRNELVVIPGNVEEDQRWIIQQINEKEVTIKGPRCFVSHSDYDQGRPAYEVDTWEMLTPMKNDDGTWSFKSRWDKWLSGGGYREGQVHFMPENKRCERWTLQEW >PPA11695 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:1049270:1049807:-1 gene:PPA11695 transcript:PPA11695 gene_biotype:protein_coding transcript_biotype:protein_coding MFAALNATRQLRATSVFLAELVETSDSSTKIKKITELSYFERKVGRYPETTKAFVKAKFDEYAKCGAKLKADEAEIQMRADRFIEPNDLVTKSQLRNYINTLKLQLTKTAWRRQVEREEEEMDDEHFEVEVEPSEEDIFLTDNDFHHFFTDVHNPVYPNTPVPAGATEFD >PPA11592 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:410307:413031:1 gene:PPA11592 transcript:PPA11592 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSPPAEEERPVGLHLRVNDRPSWKEALLFGCQQATVCISGLLVFPFLVSQLACAGDQTIALRVQLISATFVACGIATLLQTTLGLRLSILNGTAFSFLPPLLAFSQLPENRCEVGQNVTVPEEEWKGRIQTISGSLVMACLVFITIGATGAVGVFSKFVGPITIVPLLILLTMSTVPTLDEKLSLHWISIVEGKEGRDRWMGMDGWIGQHVVRG >PPA11688 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:966836:967059:-1 gene:PPA11688 transcript:PPA11688 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFFNLSLLSSVIWSIQTNRLDKKNEIKKATKRKSNADDEKSPAVKPVLPDPKKAYAIKKK >PPA11546 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:184596:185347:1 gene:PPA11546 transcript:PPA11546 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPVHRYDRFCTQRAQCVRHNIPMNHDVEIIQLALASQDAFYTENANMTKDEGKEYISFAAAIQMRVTASISTQCEHVFTYGRRSIIHPT >PPA11600 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:459324:460008:1 gene:PPA11600 transcript:PPA11600 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVPELSIKSEGDARSAREWIFDLLKTRERHLDYEENVMLFKLIHLLHSTVPEEKMIKEENMDHREKVIACDYLNNEAVHSRSITACNDKDALRSTN >PPA11615 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:549159:551283:1 gene:PPA11615 transcript:PPA11615 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLTVALACAAIATAAESGEEREKRQWLATAAPVVATTVAPAVVAAPLAAPAFAASAFPAGFPAGWPAGFAAPTAVATPAFAAPAAVAAHPVAAAFPSGWPYGAHPAAFAHPAAAAAYPAGWPYAHPAFAPHPALAAYHAAAPAAFAPAAVAAPAFAAPAFAAPAATFAYPGTAFPAGWPYAARTAVATVTAAPAFATVTAAPALAATAPVAAFPAGYPAGWPAGFAAPAAVAAPAFAAPAAVAAPLPAAAAFPYSAYSAYPWARAGFPAAAAAALPAGWPATFARPDATFAYPGAAYQAAGWPYGSSTFALPAAENKKVSIVQSA >PPA11586 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:388988:394653:1 gene:PPA11586 transcript:PPA11586 gene_biotype:protein_coding transcript_biotype:protein_coding MGWTARHLLLVTTTRSISGRIRALCPTECQGVPCGPSFYHTLFSTDGDTNGLVVGAGQQPAPEWSFQNGRFREQQNGGNPLAIPGTVSNNKEGDATILTPSEAKPFESATTILPALGSTKSPYFSGVVTSFPTLIPDLAQSTLGGGASTPYYPTPSSSSPAPSSQVMYVKELGGEKPDLAEFGIPAAVAAGVSTVQPMRQLATTTTAAVPQRTTGKPRRRTTPDPRIIKPPRELVIISEYDEDAPPESQPASVIPSMGGASATAPPLHTSTVATASAAPTATTESVPSHSVLSILSRSFERRACYNSLSFLCDECVVPSAQRAFLLPANPLSRRTVVAIYPHARRFAPSASVPRLRLADTTHRPPARGSRARGAVAARFRTARPRPQPSPARFREVRSRRFFIDKSVIDDDSEVIDNVVETSIDRYRTTDVLIPFGNPEVTVAPVTTLDPEVDLFFATEAPDTFKRSIVPAEFFSPMGNNTSGFSTKYSSRIVEKNRFIDSSSTRVIVDGGRKRVDGNSFGNSYGISRQLRLDKEWARKKRIILERIAKKKQKWHANVLPHGTDSVGLGPRKEGPEKRIKIFAVDGSTIGRSRGSSRRVQHSVDEASQFAEKPQIAEKPSTMHNRTNSFFLPLSFNFRPKTNAKTDQISPSVAGNGPNVDTAAVIPPQFIPQTIRPLFQTAAPTTLPPTTTTRRSHLIPISPIITQPSPPNPTGQCGVAPDFVPCLSAAQASKSLLRCCQAKGLPPGCQDLCRYDITQAEVKAAMDAGRCGLLSVQPYLECASQGKDNTECCQYRGIAQKSGPQCIDLCRPHPLHPLGLQHIVCGSVIKDLLQCHHSGVRE >PPA11522 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:82793:83566:1 gene:PPA11522 transcript:PPA11522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein Wnt [Source:UniProtKB/TrEMBL;Acc:H3EP21] MPKMKDVAELLKKRYDNFAVQVTKRAKKRLRRKERSERATPIRGNEMAFVVRSPTYCERNDTAGILGTAGRDCVHDSLNADSCDLLCCGRGFYHFQFDS >PPA11699 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:1058743:1059566:-1 gene:PPA11699 transcript:PPA11699 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLVRPATPLPAHEGVSVAPTRDQRPTPSPHGNSSHGRGRRMTQTMLSLPSGSVPLSSPTSTGKATSKDSGVQPSDEEEDYRKHSSSLSEEVVVVEDDDDSIFTSITNQPRREETAPAPEEPSALSSTLSRPLSVIEKHTRIITWIKSSATVPPEDEHTTHHHNELTV >PPA11504 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:8275:10639:-1 gene:PPA11504 transcript:PPA11504 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVEDTQGPASAIDEVEPSTPVAEDEDTVKEGETVGNTASNINDPTFPDVEELMEDAEDLQEPEHSSPSEASSIDDEDKTTPNTSQKDDADLPTEADEEEIAKDLASAAEAETEQPDPELLQELQELQNPVEAVPTATGASATMEGVTVRFELQETWAVRKARSEYTFPPKFLLLGGRNRGYTVIIENESDKEVCSMTFMPNAVSIASALKGRGRPKKIVSSNAPNSKTRKRSIAEVDDIVVGGTEAEAGEDFNTWDLITNETNDKEGYDDEDEEEETILVNRQDDEEIMMCMIQEGFNDVFYH >PPA11619 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:572861:574072:1 gene:PPA11619 transcript:PPA11619 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSDDWCYLFITSLTAQPAAESNCLTQRAHIAAIHDKDFNDYLKRTAVGYGITNGLLIGLKFVNGKYEWNDGSEVDYTNFAPGFPDESFGECVTMGINLFPGKWMNSDCATPLPYMCTKPTLSYDESVAPAGCNDEDSEFYPGDEIFSPTWGSATGPALCDYALMDLDKTKKVTVEIVFFESNSCCDTLTIYDGLVGSTVLKTLSGYYGFTSIKVTASANAIRMEWNAKSGAHVRGWHAKVTSA >PPA11579 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:349102:349877:-1 gene:PPA11579 transcript:PPA11579 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDYNFTKVPTIPALRVIRADGSVAVKEARNELTERATDDAEGLFEDWKKIVEAAA >PPA11520 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:77856:78242:-1 gene:PPA11520 transcript:PPA11520 gene_biotype:protein_coding transcript_biotype:protein_coding MFCAWYWSIRGYSGNAAVLQTGGIHTNRRDESTTIFITAAAKIEVKIKIVTVVFVTAVTALIMLGYLASTSMGDYYGLSLKPST >PPA11526 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:96704:97693:-1 gene:PPA11526 transcript:PPA11526 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAQSTTDDKPSLIVLTETNKKYMAEFNRLQEQKRELEQEMSKVADIINKDYPDLPLARIVRNDGYSRNDDEQRLRVRIFLKKMSRKARNMIADALDDELDMKKCIERGIKMNLAELAAVEITWKIEARKRPARASEETEEKTKRPRIE >PPA11670 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:915326:917720:1 gene:PPA11670 transcript:PPA11670 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVPPIDQVQSRVDVIEIDEIIIKSPDRISAEDRRDREANGPPGYTIQRQWEEKSLKVSFDKLLSRQIQNPANLDEIIETRRNLFHRVKAKHVQLEMINFSLFPLDKIEEILGDVEFDSLTVIIRGAEADPGFLDLIRRHETKEITVEMEDSLLNVSSLLSLSRCSITASWLSGFRGIWEDENALSESDFLDLLKKKHCWLHLPVKFEKNGQAILEAIKEVSKSDVEQRVTLRIEPLMCKQFCKFAGLRMADDGQISKTNNSPSMGERFAMEKRRGKVLGTSGAGKGREAAIELRLRVVDNSHPMAVPSITITEATVSPLALRIPACFAHSSVTVDHFRHGQRLITARTAWILRIISSTDKASRMNLMLTCSLILAIGQRGMDGIMIDLKLKLVELLLGAPSHTINGVHLKEIKFDSNT >PPA11653 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:799105:800601:-1 gene:PPA11653 transcript:PPA11653 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGIGRWIHAPLLYLGVNWKRLFYVSILILKHNNTTVIVSALVDGGPEIKLAVNMSAALMTNTVISLGCYAAASANHELKFVWLALFSFISTLPLTIHQLIFTYTSFAGIRWAEEPLIFMFQLLPWLVDLKYFAVVYIVVGMSKSFRNECIKTVKDGFRYIVPTTLSTSINNNVNVTPIIVTQRLTPSQPTTETTANQ >PPA11589 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:400142:400895:1 gene:PPA11589 transcript:PPA11589 gene_biotype:protein_coding transcript_biotype:protein_coding MFRMGGPEAAPPAPRSKYALINTIYHEPFNWAVTKGALGFIFGVIVARSVSEEWAASP >PPA11515 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:50820:56089:1 gene:PPA11515 transcript:PPA11515 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRSLSVRTHTAAPLCIDLHCPAIALDLGEQNLINEETFSKEQCESCAQCTNHGYSVCLRVASAARAVGTRRCSCAHSTPANCHNEVMPASQRPVNITMSLFNVCYLSSIQSPPKPLAKQEKNSVIATVESFWTEPYWKTLELENLTLHYEYIVQALELENLTLHNEYIALSPEQCDGELRTAEGPLGSVPTHTCTSQIPIAMSGAGAEGGQKASDDYEHSEGYDAYDDELPPEVQFDREVFHHAKEVKFFYRVAVRRPRQLTKESTSDPFRDVTTEVDSEMFHYELRPYKKNDAKDDEAKNENAEDGGSDEEDFPEETDFFAGDKKEDEARKKLRDNAEADKARLHVTGSHHDVDEADEKDKNVITSDNAEVVVSEGDKEESTTPATRAENEVEVKSGETEDEDEEEGDDAHPTSDEAITEDLLAEHSLNSTEVHSGSTNSTDAAADDDEEDDEDDVTSTLEPSESAEIEKEIEEEDGETSAEATTTEDGDEDEEATTSSEPADDDVEKSEDEAAGGITDVKSLFAYFSPSDKWGLARLVFGTTLALCFLIIAITCCCKRDVICGKPATKTAGGYTYNAASQQTRPLVVEPSREPLNQ >PPA11507 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:17367:17613:1 gene:PPA11507 transcript:PPA11507 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFLDENSFETTPPPREPPKQKDYWRSPQLKEMYDLAHKNTALSRRKQTHEGRRLEMAYEVPL >PPA11685 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:959429:960464:1 gene:PPA11685 transcript:PPA11685 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTTSTPAGTVVKIDETGLYERFEKEDYDMQIACVRPNAIITRVKKQGCFSPMGYFVEANGTRVEGDLKFHCVIYPKYPEEKNRFIKFQKPMCEKNSDGTVANTKEQIIDKKFVFVCETNSIDNSTTLVLLRCLTPNGDEVPLGETKEENGKRYNCHADAELITSDDVSKEKTMAGPRTPITLKED >PPA11587 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:395832:396647:1 gene:PPA11587 transcript:PPA11587 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLVLFLALTSSNTVHKRDPPVSIYTLLYSDTTDLEAKYSQYAITNNEEEELVPTRKLSAYVADGLRSWLPVTQDPQYHLAHIQDVAVHPPPLTLDLTASRAAPISAHTLKAHINSLPEGEYEPRIVDGSMYFIPLDSEDVKTARDDGNRMTQSPFQFTSAEKDKCSTAEEIVTGQINLSMTDLQRQFATL >PPA11597 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:442730:445900:1 gene:PPA11597 transcript:PPA11597 gene_biotype:protein_coding transcript_biotype:protein_coding MERMSSLTIPDYGLKTDDIKYFSMESVVRGRGADRLKYLDLQGRWVLSGSSIPYISSAFNHLQRLNDASVPMRDIQEQPINAIGLIGWTKSSIEEEEEKPVERRSQTLPRRQPLREQQPVVIKKEPAEPRENVPYRSAGYGDAHERRRMSLSSDRSRSASPQKQYYSAERLSPDDRGYKSGTPSPRQNELSDPWRPPHRTQTLTSRPSSRIRQYDTEYDDSLIKQSGENRHYSDELRIHTKGHSHTAEKRHYNISPRSIGSAGESLSGSEQGSPNLRDRNADEVLMMAYHAKRSTESPRIARRDWSLCSNQLSITASSHSVQYEHMNNKESVLQIVKSLNYNMSMRKDPLL >PPA11649 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:774919:781277:1 gene:PPA11649 transcript:PPA11649 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSRVSPYLAAGEKAPDHERCCKPWGMAAERKRAIQGAQKKRQERFDQIKSRLNSEDGSVVERRLTIIGKSFEQLRDALQQEELTAVEVHEAYMWKALQEKPPLYGVPFSVKGNFHIKGYDCNLGLGKYVDTPMNDECTFVTHLRYLGGVPFVITTVPQALLSFVCSSAVYGTTANPHDITRGPGGSSGGEAALCAAGGTPFGIGSDLLGSLRIPANMCGLTTLKLTEARLVVTNAHGGVPGRGRLGLGFGFFTKTVKEQVLGHPAYAALVPDSARQRLDEAATVEGRRKWRIGYFESDGWLNPVPSVVRAVRETVDKLREDGHEMVMFKVPDVEKAAGMMYKNAMPDGGKYSRFLYSNDVVDKWMKHFVMLLNAMVIMTPTSRSYGRRLVPRCLRIVASYLIQLVSPQMALVARESLGNLEDARYNQEMTDNYKRQFIEYWKALGIDALVCPSFTVTAIPHQYPSQLTPCGFATGLFNLVDFPCGSIPVGRVSKADDEGIVDESVFPVGRNPILKMMRDGCKGSEGMPLTVQVVALPLEEEICLSVMATIEKLSSYDGKLPGEHSSTKSTKSA >PPA11684 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:957034:957381:1 gene:PPA11684 transcript:PPA11684 gene_biotype:protein_coding transcript_biotype:protein_coding MRARTLNCCKKNKELQKVFAGCTFGDKTVEPGESYVANGKSKTCELESSSSDTKAPIASLKTTKAPIPTMPTVNTNTTVAPKTNVTKA >PPA11693 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:996795:1040876:1 gene:PPA11693 transcript:PPA11693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-22 MYGREMLKKAGGSSGGSGNGFQKPTLKRTVPVPEEAKESSGFGVALKKVGRAAPPADDGAGFNVKLKKVIRDDTGADSDSSVASVQLKKVERKTVKKTTTTVDGDGKVSTKVEESSSTKTSTDRIGLKKRTIGEKKEEAGMEGIKLKKVNKPSPISLSDDDPTASPKMSKKVSIQSPRPGEVQGTERPAAPKAAADSLKGRQVGKRTTADEEEKSEIGGIKLKKVVKMSADADSGSDAEQSKLGLRRQTVAALAQMERRGSLRPGESDRRGSVRRSSIDMRRESIAEIMDKVSTPLKPAPNAATPPRIVEVPESVTVVENETALLTCRVEGNPVPTFKWSKGMREIMTGGRFKHITDGETNMISLAISKCRNQDDGPYTLTITNEHGSDTIDVKLLVTTAAGDPDFRSNLKHRDYNKWGEEEKKDAKPMSEAERRQSMFPGKKVEQWTEPLQAKTVQQQVDKICEWKCTYSRPNAKIRWYKDKKEVFSGGLKYKIIIEKNVCTLIINNPEVDDSGMYTCEANGVKCNAQLTVLEPPMKYSFLNPLPNTQEIYRTKQGVLTCKVNTPRAPLVWLRDGKEINPDDPRYIVDKDPVGRCTITIKEVEEADQAEWTAKITNEVMSKCQVYVEEPRQTFVVPLKSAKVNENDTGTLECDVNDRDAEVEWWHDGVKMKIDGKKFKVESNGRRRKLIIAVCKIEDHGEYKCTTKDDKTLAQLIVDALNKFIVKLVDTEVIEKDDANLRCETKDTKSPGIWFRNGKQITSMPGGKFETQSRQGVHTLKISKIDMTEGDVYQIETGGLQGQCTVTVLEAEKRPLINWKPKKIEAKAGEPVVVKVPFQIKGTRRLDPKAGILRNGKPIDPETAKLVEVVINGDVAEIVFKNPQLADTGKWALELSNSGGSAIAPFELFVKDKPKPPKGPLVTENIKADGVDLVWGKPEGDEGQPVKAFIVEMQEGRSGNWVKVAETKGTEFKVKDLKEHGEYKFRVKAVNECGTSDPLTGETILAKNPYKSQYILKPGPGPSVDVPETPGKPRNMDAVDVDAGHITLQWEPPEDDGKSPITQYVVERREKSEKDWKRLGTVPSEGEGKHQLVDDKVVEDKEYYYRVRAVNKAGAGDPCDHGKPIKAKAKPMSPSFPDGGIKDLRIKVGETIKYEVKITGEPLPDVTWEMDGKPLKGQRIKTSTERGKHIFKIENAVRDDSGQYTIKLKNPSGSAESTAKVTVVGRPSPPKGPLGVADICADGCTLDWKPPADDGGDPITGYVIEAQDMDQKGKFVEVGKCDGATTQLAVKGLKNKGNYKFRSDRDRNSNFAPFSVKAVNAEGESEPLSGDQFVQIKDPWDEPGKPGRPMITDYDKDRIDLEWEPPMKDGGAPIEEYIIEVKDPHTKEWKKIATSPNCKASIKGLEEGQEYQFRVRAVNKAGPGQPSEPSEKQMAKPKFVPAWLKHDCLKSMTVKAGATVRWDVKIGGEPHPEVKWFKGDGKQLGDSANLNIETKRNEHTILCIPSATRADCGEYKLHVKNSHGEDTEKANLTVLDRPSKPNGPLEVNDVFEDNCNLSWKAPDDDGGEPISHYEVEKLDTSTGKWVPCAKVKDTKAHIDGLKKGQTYQFRVKAVNKEGESDALATTDATVAKNPYDIPGKPTDVEVVDWDANKVNLEWTPPATDGGAPITQYIVEKKGKHGREWQECAKVDGSKAAAEVLGLKEGEEYQFRVRAVNKAGPGEASDSSRKVVAKPRRLRPYIDKEAMKVITIKCGQNVEWDVPVRGEPPPEKVWTFKDKVLDDPKIRITFEDYKTKFVLSNATRAQAGIFTLTATNESGKDTHSAEIIVLGKPTIPIGPIEVTNVYEDACDLKWEVPDDDGGLPIDHYEIEKMDMATGRWVPAGRSEVTNYHVPNLQSGHEYKFRVRAVNKEGESEALTTASTTLAKNPYDTPEKMDVPEVTDWDADHVDLEWKKPDDGGAPIESFIIEKKGKNGRWEEAAVVPGGETKASVPGLNKGEEYQFRIAAKNKAGTGEPSDPTRAIVAKPRHLAPRIHREDIQDTSVKVGEMIKFNVHIDGEPAPDVTWSFNDGGIGQSKAQISDEPYLSHFVIQKATRKQSGKYTIKAVNDSGSDQVTITIKVRSKPTKPGGPLDITDVFEDRATLAWKAPEDDGGEPIDYYEVEKMSTKDGLWVPCGRTADTNFVCDTLNKGDHYKFRVKAVNKEGASDPLENDTDIVAKNPFDRPGKPGKPEPTDWDSDHVDIKWAAPDSDGGAPITEYQVEKRTKYGRWEPAVTVPGGQTNATIPDLTPNEEYEFRVVAVNKGGNSDPSDASKPIIAKPRNLTPKIGPLKNLKIKAGQMIAFETPVEGEPLPDVSWYYPDGREIRHGGRVKLDNPEYQTRLQIRQTERSDSGTYKIKATNENGTDEATVKVTVIDKPTPPQGPLEVSDVNADHVTLDWKAPEDDGGEPIDNYVIEKMDTATGRWVPALKVNGDQTNAVVDGLIPGHEYKVCGTVLAPWYPGTTVLLFRVAAVNAEGESDPLETFGATLAKDLFEKPGKCGAPEVTDWDKDHVDLEWKPPVNDGGAPIEEYIIEVKDKFSPIWKEVKHVPAGETATTVDGLKEGEEYQFRVKAKNKAGTGDPSDASNSVICKPRNLAPVIDRSAIQEIKVRAGQDFALNIPVSGEPPPEITWSFGGKPLETDDRVKINNEDYRTKFFVKRALRDDTGTYTIKAENCNGIDTAEVKVIVLDHPSTPNGPLKIANVTKDGCDLDWQAPDDDGGAEISHYVVEKQDAATGRWTQCGESPTTHFKVDDLTPGHEYKFRVKAVNRYGDSDPLEGNKSIIAKDPFDTADKPGTPEITDWDKDHADLQWTPPSDDGGAPIEGYLVEYKAGPVGDWIAGPQVPADQLTATVDHLKPGQTYQFRIKAINKAGQSSPSDPSRTLVAKARFLPPKIDRAMFNEIRVRAGMTISFDVNVEGEPVPKIEWFLNGSKIGSGDRTKIDNSTDNNTKLSTKDSGRNDSGTYKIVATNEVGKDEAEVNVNVLDVPGEPKGPLKANGVTKDGCVLNWRPPEDDGGSPITSYIIEKEEDGGRWVPCGETADTSLKVGRLSEGHEYKFRVKAVNKQGQSKPLAMHDSIIAKNPYDEPGEPTDVVPVDWDKDHVDLEWKPPANDGGAPIEGYVVEKKDKYGEWVPCATVQGNQCKATASGLTPGETYQFRVKAVNKAGPGKPSSPTDPIVAKARKMAPKINLAGLLDIRVRAGQPIKLDVAFEGEPTPVATWKANDVTQADGDRVTIVSNPTHSEFSIPTAKRSDTGLYRITVENEHGKDTAQCTVTVLDVPNAPEGPLKINEVHKEGCTLSWKPPADNGGSDVLHYVVEKMDTSRGTWQEVGQFSDTTAKVGKLIPGKEYEFRVKAVNLQGESKPLVGDEAIVAKDEFEVPDAVDKPEVVDWDKDRIDIAWKPPASNGGLPVKQYIVEKKEKGSAIWQEAGKTSGTTFSAENLKPNAEYEFRVTAVNDVGPSDPSDPTDSCVTRPRYLKPKITTRARQIKIKAGFSHTMEVEFEGAPDPTPTWSFREGAALQPELIVDNKTGVTTIFFPSAKRSESGNYTLKVKNEIGEDEGVFEVVVQDRPAPPTGPLEVSDVTKDSCVLNWNPPEDDGGADISNYVVEKRDTRTNTWVPVSAFVTGTQITVPKLTEGHEYEFRVMAENAFGRSDPLNTDSPVLAKDPFGTPGKPGTPQITDTDVDHIDLTWEPPRDTGGSPITHYDVERKDQKTGRWVKCNTAPVHGTSFSDGRVVKDHTYEYRVVAVNKAGPGKPSDPSAQATAKPMFEGPKFDMDIDGKEFRVKVGEPLEIVIPFTGSPPPTIEWKRGGVGLPGVETTANLTRMKIEKAKKSDQGPVEIHASNQYGDVKANIKITVVDKPGPPEHLTYPEITRRSATLAWDPPKDDGGLEIIGYRVEYQEIGSNYWEKVPGCTVTPTLTVRGLTNGATYRFRIRAENAIGLGEPLDGVPVVIRDPYDVPGAPSTPEVTGYDANEVSLKWDPPREDGGSPIIGYVVERFEKRGGGDWAPVKMALVKGTECTVRGLHEGETYQFRVRAVNAAGEGAASGGTEPVTCRPYVEPPSAPEAPRVGKVTKNSAEVHWMRPTHDGGAPIDGYIVEKKKLGDSDWTRCNKKPVKDTSFVVDGLKEKDEYEFRVIAVNSAGESDPSKPSDLTIIQDEPGRPILDLSGLKDITVRAGETIEIKIPYSGGNPKPTIDLFNGLKEVFEDERTTMEVTPTHIVVTTKTSKRTDTGPYKINVSNRHGKDTAKLNVTVLDVPGKPTGPITATDVCGDAMTLHWLPPKENGGDNVTNYVVEKRTAGGEWIKCGQPLGTSLRVRNLDNGTPYEFRVRAENQYGIGEGIESDTIVAKNPFDVPDAPGAPEALETSEDAIVLTWARPYNDGGAPIQGYVLEKREIGAGGGWTKAIFGNIPDNKARVTGLIPKKQYEFRVAAVNAAGQSEYSASSGAIAADRNPCAPRINMSMLPRDVLAYAGETARILVPYVATPAPKVIWSKAEISLDERDKRCKREANDYLATLEIEKCELGDSGLYTLKLENSLGSDTADIRLRVVDRPSPPEGPLFITDITPDMCVLAWQPPKSDGGAAVTNYIVEKCLMKTGEPIRWDKVTSFVRNLSFSVSNLFENEKYLFRIRAENQYGISEPLESSEPIIAKYQFTVPGQCDAPTVRETDRTWARVEWDPPSTDGGSKILGYNVQYRDVNSAKWLTANSSLVNAHEFKVTGLRDLGEYEFRVVAKNAAGFGKWSHPSHKCQLKPLYSPPGPPSQASAASIGRNHVTLTWNPPLDDGGSKITGYHVEQREYGSNNWITVSDYNIVNPEYTVPNLKEFHDYEFRIVAENKVGRGIPSLPTAPIKIQASIEAGGSKPYIVVKPEDQAEPLNRRAVFKCEAIGRPTPTARWLRNGRELPESTRYRFEEHDGVYKFMIKEVWDIDAGEYTCHLSNPYGTDQATARLVVQAPPVIEKHVPNTILPDGEMVRLKIYFSGTAPFTHTLALNKEEISPDHPTIRMVDFDNHVLITIPSLHNSEAGRYESARHTRTRRGRNAFRWRKAPHPTALNREASHPPQYIEIAPQLLAEFPFSNQLDCSLVQPLFYPSTETLLLMIIHCTPVHPSIKPYSRYTVANDSGEASTGFWLNVTGLPSAPQGPLAISNVGLTHVSLSWRPPVDDGGSKISSYVVEKRDVLKDEWTVVASSVRDLSCLISGLFENHEYEFRVSACNENGQGAPLVGDQSVITKLPFDPPGAPTSTEVSNVGADFVQLSWSRPMDDGGGRIRGYLIEKKEVGTEAWVRLTASPVGGHNYDIGNLIDGRKYEFRVLAVNDAGAGEPATIENYEFSPAGAGEAPVIVQQLQDQSGETGRSVTLECKIRGGKPRPEVSWFKGTKELVDTSKYTLLNKGESQVLIISDLSSEDIDEYTCRASNSKGSKSTRCQLQIKSKPRVFIPPRYHGGVEAQKGETLELRIPFKAFPSATARFTKDGERIESGGKYTISTEDNKFAVLKITNATREDYGSYRAIVENSIGQDSCSVTVTVADRPEPPRAPLVENILEEAVILSWKPPMLDGGSLVTGYLIEKRDVAGGTWKQCARTRYCYTTVEGVRGGQTFEFRISAENKHGVSRPCEPTAPVAIPGAKRRRRGDLDVDETGKIIHGKGATSDNYDTYVFDIWKQYYPQQVDIKHDSVYDKYDIHEEIGTGAFGVVHRCVERATGNTFAAKFVNTPHEKDKETVRKEIHTMSTLRHPGLINLHDAYEDDDEMVMIYEFMSGGELFEKVSDETNRTTEADAANYIRQVCRALKHMHEMNYVHLDLKPENIMFTTKRSNELKLIDFGLAAHLDPKEAIKVTTGTAEFAAPEVANGKSVGFFTDMWSVGVLSYVLLSGLSPFGGETDEETLKNVKAGDWSFDESSFSSISEHARDFIKKLLQLDPTSRMSIHEAMEHPWLAGAGERMEGGGDEGDGKRIPSSSYHGIRDRIRKKYDAWPEPYPALGRISNFSSLAKHRPTEYSMHDAFFDRTEGQPRFIIRPYSTEVHEGQQATFHCRVIAASPPIITWHRDSRELKQSAKYMKKYADKDYTLMVNRVKAEDRGEYIVRASNSYGSREEVVFLSVIRSKEREFKPEPSVEPMARSRLPEPPKIEFDDEQCPPKFSFHLRPRLIQKNHQCKLICSLTGNPTPKVEWLKNGQPVDTDRVQCTFRSGVATMEIFNTKIEDAGTYTCVAVNSLGEDSTDCVISVQGRGGENMLPAINSFRPRRVYDSLKLGEVERSRSSTEISSKRRSSYRLEETDSIMTRSVSQVRDDLFTSSRVSSDSPPSFSSAPSDAAVTAGDEAKFECRISGSPEPLVEWLHNGERLSAADSRYSSSFSSGRATLRIAEAEPSDAGEYSCRASNSAGQDTAKAKLSVSGGSTHTNGNGKITANGNVMVNGGSDDLRFARHLEGVVVEEGQILSDSRMRIGFWLISGSIMDKKWQSCKEFEYCGPLLIFDLSQVDPSRVSASADGRTHTVTIDSATTDDAGMYSIELSAPGAATISALVSLVIGSSDPPIVRLPACASAPVGGTAKLALELSDAEPYTVQWYKGSEKLEKSDRVKSVKQGNTFKLDFKSVDDSDAGVYVVKVIKEKKAIAKFAASLLVQ >PPA11528 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:105784:106942:-1 gene:PPA11528 transcript:PPA11528 gene_biotype:protein_coding transcript_biotype:protein_coding MFTYLGGFVLAFIFFFAFIRIWHYCDKKKVCCKRRQVSSSIQTDDPTVVYRPTTGAVHIAMEALGRRDLITHQPVFGGNEVEEMEMEDEVKKEETQKNTRQCVETIVPSNSSDDVDGTAAGTSQTPVEVHGEGSLLEGIENVGFLGYVDCPKIAKKCVDEYKE >PPA11624 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:624442:625238:1 gene:PPA11624 transcript:PPA11624 gene_biotype:protein_coding transcript_biotype:protein_coding MEELGECECLHGEHPTVWFNFNAIVVFLPFMSVCGIIFNIINFVDCTFGVLSTEEFWQCVPRRTRM >PPA11552 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:211789:212861:1 gene:PPA11552 transcript:PPA11552 gene_biotype:protein_coding transcript_biotype:protein_coding MIGHLTHTAATAAAAASRIPDRPPIDGMSPRGSPALVRLDGSIDVFGDTRRYI >PPA11692 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:979449:986471:1 gene:PPA11692 transcript:PPA11692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-22 MSGGKERERCQRGVGDLLMECSLESDPSPSITWHHNGTPLPPSIRCVQTLSPVGASLFKATLVIKEPNADDGGSYKCNAKNPLGETNANINLNFAGGGGDDSAKSRGPVFVGRPRIIPKDGGALIVMECSVKSASVPTARWMKDGVPLSMGGIFHEVFTPLGDSTYLCQLEIRGPSASDAGQYRCNIKNDQGETNANLALNFEAPADDEPAERKRPSSRSRRSKSPSVKRDKDNTSPRPPSRGPKEGGSRPGSPKKQLKSREGTPKKSLRSRTSTPTQEGATTLSPEDARGAQAGQQSDSRRGSRGSDKLEVDAAATKRKSDSAAAAGKEGLPPSGDKRSRLSSPKPGATAPSDESNAANAKFKRAPVVVEPALSKSGKQGDRIVIEVEFQCHSSTTIQWFKDGKMISSSSSEYSQSWNGNLARLTINRLIEETTGLFKCTANSDYGEAHSSAMVKMEHSDEEYSHAPRDASPPVQKKSSTGSRLAAPEPSDDEISGSLMAPTEKKKSDAAKRSSKSKSRSPAPPSRAQVAAAAAAAAAAEDRSEREERGGSSRRTSGIDKEEVRS >PPA11674 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:923933:925303:-1 gene:PPA11674 transcript:PPA11674 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMPTIPTTDDGDDYEGSADEGEATVDEDPVMRRKRSADNSTTSSNSASTSTAGLGSCINWNANFPNVSACRQWYAENSLVVQIYFNTLEYEHHVESPSYTFSSLLNDIGGNAGLWLGLSVVSAIEITGQRGIVENVLVTRRLIFISLIYLVSCRRMTIRPHEKPTNREAIKCVSRAIRKMTKFVDERSKTDPPKAERLTS >PPA11723 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:1238434:1239381:-1 gene:PPA11723 transcript:PPA11723 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLGVVAGFAVVLAIALNGAVHHIEEGYVGVYYRGGALLSKVSSPGYHIMTPFITSFRSVQVTLQTDEAKNVPCGTSGGVMIYFDRIEVVNVLSASSVYGIVKNYTTEYDRTLIFNKRSNTALEKT >PPA11532 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:119488:124229:-1 gene:PPA11532 transcript:PPA11532 gene_biotype:protein_coding transcript_biotype:protein_coding MTIREFMRLVLIISTLLVTGLSNGPPNLQEKDAVDNSESFLQPADFALAEKMPVTIPTNAQLDANLNAMLFEGDIDGIPTGVQNFSARYDTDFSVPRAPQPKRRACAHGQQRHPTKLNQPGVVSEWIVAAGKGALRHGTRDDHAHNLRQRTVIAQAVDEFNRLTCITIVPREARDMAFVFLRRSGAAGCSSFIGRTGGNQTVNLAVGCYTKGIVVHELMHAIGFFHEQSRPDRDRFVRIAFENIRPETRHNFAMHNMRIIDAQGMPYDYGSLMHYHRTAFSINGRDTIVPTNPNAPIGNRSGMSAIDAAKHREKKILTLAREMDVVIGLYLRSNHRKFLIPITALPKTAASSGDTVNLTSAKFISFDL >PPA11716 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:1195451:1197341:-1 gene:PPA11716 transcript:PPA11716 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTNTLPVISQTKSLVQLATGDKEGARATQEKFFHECPIIAQATSAVEYAIDRDADRALETNKRSVKVISNFTDGIPVVGHVKGGIHALAGDSEGAKAAVKSANRSVGVVGGGAVGFLAGGFPGAIAGGIAGGAAMDGLQTGISSAYHKEFRPAGSIAAVSQAINNPSGATVFDAVAVPVFDGVAGYGAGQLTSQIQAASDVGHVGHGEGHGPDVADPSNVGGGPSIRSPCKVEIEKSIATSVQSHSDSEQEEVDSTQGSDENKEKAN >PPA11530 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:113537:114744:-1 gene:PPA11530 transcript:PPA11530 gene_biotype:protein_coding transcript_biotype:protein_coding MEETMETKNLRSSPGARAGHPGSRVPRGVESASKEEEEAKKKRDEEAKKKMEEEEAAAKKKKEEETMMNSKVLGAVPGETYCALYSLVSRGGNPLPAVLKKYEGRTFVLGANRQVKGGGIAFHYLPAPITTSSSLTAHTDTALRGNKRVKSWNTIAAHYHQATGRAHDASLPLLVDKQGGYHLAEELWVRLN >PPA11562 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:276002:277894:-1 gene:PPA11562 transcript:PPA11562 gene_biotype:protein_coding transcript_biotype:protein_coding MYDTLENKIDAPSVYINASLTFDILHHGNGDILAVWKVVDCFSGNCGAPPPVWVSFVQGGNNLNAVYVDKEDVPADFVPRWNFLYAIIHTIYTPAEGGEGDEQYTKTKYGMCDVHFSRPEDKRFRRKMGGCDLIGSTNTSRIDGLIVTRYKNEAHYIQNTKVDADIVRIESIEELGLSSPFDHNFGMTVETRTLHRDDIRRSWRFPK >PPA11676 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:928529:929894:-1 gene:PPA11676 transcript:PPA11676 gene_biotype:protein_coding transcript_biotype:protein_coding MPALACPQSSFAHDEVARDQLYALMAQLTDADLIAASYSLSDLLTECSIGGVACNTSSFSSFLHPQYGQCFLFSTNSSLTRLGMDKGLRMLITTHQDISSSSSIDLLPTTGIRLSVHPSGYYSSLDTRGLTLGVGLYSLVGLTKARFIIRGFFTTDMRRIYRSYLTIVRKNIPNTLASNAPMADVLTDKWARTTTIPPSPTPSTLASHPACSVQQSRDAAAATQSTERMKAWSTVLRPLRV >PPA11721 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:1226178:1233738:-1 gene:PPA11721 transcript:PPA11721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gly-10 MYLRRYISRRYITLVQYAGAAFFIYLLYRAFLSGGSTSHQDAFPEPLAREEIVFPAGGGQVENKIGKPAEDYKDGIRDTEKDKQKRAQEAALLKPAPMKPAMPDNFKRFVGIPRKSWEPEEAMKKDLDKSGPGEHGAPVKLDDNDKVVQKQVLELYKANGYNAYVSDLISLNRTMKDLRHRECKSLRYLEKLPTVSVIFPFHEEHNSTLLRSAHSVINQSPPELLKEIILVDDFSEKPFLRKPLEDYWKAMKLDHIVKVVRTKKREGLIRARQLGAEAAKGEVLIFLDAHSEANYNWLPPLLEPIANDYRTVVCPFVDVIDCDTYELRAQDEGARGSFDWTFNYKRLPLTKKDRENPTRPFNSPVMAGGYFAISKDWFWELGGYDEGLDIWGGEQYELSFKVWQCHGRMVDAPCSRVAHIYRCKYMPFKNAGMGDFVSRNYKRVAEVWMDEYAETLYKKRPGVKQANAGDLSRMKAVREKLQCKNFNWFMTEIAFDQDRYYPAVDPPASASGELRHVSSNLCLDTQFKSSGQRFGLRKCVSDDANGGGEQNIRLTRWHDIRPKDRNVCFDVSSSVDKAPVVLFDCHSMKGNQLWKLREKDNQLFHPVSHLCLAAAADGGGEVYMKTCDVGDKTQQWHWQVIDSELLAKRQNEEAKEGDL >PPA11659 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:816898:818926:-1 gene:PPA11659 transcript:PPA11659 gene_biotype:protein_coding transcript_biotype:protein_coding MADTDPIYSFIYKDPFHKIIIETTTAIALFSNSLLIFIVTTTKADHIVVVYVPKTIYCHLTSTGFYFFPRHVGGFFFGTPWASIFCWMFIVTYYQVFLILAYHFIYRFKTVTRGIGSSFTDGWKSTNWVCAAIITYVVYITAFVSDVAFGMAPSEQTRNDVPPEILEIYGMDLKDPRTGFIVIAMRRVNHTTNEVYWSAESVISIIICMILFLGTGAVVVFCISKTSAAIKSTNITMAPATRHMQQQLFRALLIQTFIPCIFSYSPLCMILLWGGLTGINLGAFGNYDHWIVRGASQQYSYKMRFMRSLCHRIFLF >PPA11682 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:948746:951656:1 gene:PPA11682 transcript:PPA11682 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLKALPPPIPSSTRAKNCEGHHLRIFCTIHRFIKTNQYYTFACNNGTVDVVGCTSRMSSDVIPLNTTGVFIEDENFKVSIDCFPETPVYTKEKKKAGCVSSNFTFIPIDGSIVEDGQVHDCKCKQKQCSNNDVNTPTEIITHPLNTCVLGTHAFEENTVKSLGSASTGYFNFRCVKDTDGPDYASKKVFAGCTFGDKTVEPGESYVANGKSKTCELESSSSDTKAPIASLKTTKAPIPTMPTVNTNTTVAPRLM >PPA11574 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:330724:332036:1 gene:PPA11574 transcript:PPA11574 gene_biotype:protein_coding transcript_biotype:protein_coding MYRCCCCSVSVGSRIFATLTAIGAFFALIGNFGTVSGWYYWLFNAIFLIIDFIAAILVFVAVKRRNPTYMIPILVITVVNEIMGLVYLGFAIAAIFADGSPLAASLINFYNSLPGFQDSLNYWGITVNDFVSAYAISLSIGIFIAILFNIWVFVTHFQTYKMLKERMHHCDDHFHNIPPSHIVPASYPPPSSGYPSADPCPYPQSSMYATQSAPSAPPVDTLAYPTGYRSGVEENYRDIDC >PPA11625 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:626384:629459:1 gene:PPA11625 transcript:PPA11625 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHGGVIEEGKEEFRGVCLCGIFVIWADSARGYNFDFDQYYVFILPYAIPFGNFFQMLSVYITVLAAIDCFLTVIRLKGLLTPRSIKVLIIAIVAYNVITLWELEAVHCINPAHNKTMYNLCPTNLRMNDLYVQYYKGMMYTTFMAFLPFILLSVLTILILILLKRMRPAPLINSDCCPAQEEVGSPVALLLVIVLFLICNITSLMVNIFEMIQIEIDDFIIDILVDVGNLLVVFNATANFIIYYCSDPDFRNEIPRLCANWGSMDTAKKRGRSLRDHPYKNGDLSASAAAPILI >PPA11680 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:943457:944148:-1 gene:PPA11680 transcript:PPA11680 gene_biotype:protein_coding transcript_biotype:protein_coding MISSFGSSWPLTYAILQAKKPEFDIMFAKMNKNSLDFIRNTIQDLLELIVSSSKLVYGESDYPLVGRFVKKTSTKYKKLPVSSIRSMEIATCWYSHLMMRDEKNGLWHILASSIPYAHLSHAHRNEL >PPA11594 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:418976:425431:-1 gene:PPA11594 transcript:PPA11594 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNRKRKRQSNGESSGEPAKRRRSSNAAHSRPNSRNDSSKGAKKPPVKPVPPSSSAQSTSTEKEKRKHRSRCHKLCAEAVDKITKALRSSPSPIGHLFKLTDEDAVPYAFLSDIAPVLSLPPRLLTLPADKLTLLNGNEHVFQMAVSSTGQFIVKYAPVDFSIEDATVYLDRLPYPCSLRLLEKLCTKFGTAVDIRIPLRTPAPLLSARRPTVLRRKGTQHRGFAFVQFACREEAEAICKEFALNHPIVQQNKTRWFFNRGKRVHLGQHATKFAALTALRHGRMMRLKLKLEKELCRKLKLRQWRKEWKERRRRLYRERRKKRRKREKLRKAGKLVEKKAPEVAKKSKSEKRKEANKKRRKRLSDMKKEAKRLLAEKTGEPMESDSESAMDTSGEEERKDSVNGLPPDPKPCKENGEKNEEGKEKKMEEEKPADEIAPRRRRRCKRKEIRKSIRSHFIDTQAIPFREYQRMREEYLRLRKEEEQKGREQLRDFTASNSNHKREEFREANWKWKPIQKVLHALWNELVAYKVAMYNVNGCKSAIELQ >PPA11537 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:136714:137731:1 gene:PPA11537 transcript:PPA11537 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSVLAVVALAAVALADDQADFAALRGKIQSALASFDPAFVQKIQELGKNLDLSRNQKIAQIKEAVAALPADQQEKAQKFMDFGLQKEQEMITLAKSLIPDFSADTQAVLNNIVSAYENGADTPTKTLVEQIKTIINGASDAVKAELKAAKSGLVDKVKDFAKSMIPAN >PPA11547 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:187058:194844:-1 gene:PPA11547 transcript:PPA11547 gene_biotype:protein_coding transcript_biotype:protein_coding MKALFDVISREQRPVAIFGGQCTNVNDPIAMALKYWRIVQLSYAETHPKFSSADAQELYPTFFRVVPAYRNSNEAKVKLIKHFNWKHVATIKQSDEARFALPHEKLTALMETDPNNLTIIRTAGISQHEKENIGLELDMLKAGDAHIIVMDAEINLTMVVLCEAYRREMYGDKYVWILPGYQVHSWNLNKFNFSEHSCTKEEAMQILDRHFVVEFAKERRIRETAREVLDEMRRKKVDTSMWSTFLYDGIWTLAMALNQTLTKNPDEVVFDDLLEAMTNIDFQGVTGRIKFSDNERLGIVDIKQYIGGSYQEIGKYDGNDQTFELDSTKHAGWTPPADKTFIDHRTERISDTLRIAFSLLALVGILLSLLFLIVNVRFIKMSSPNLNNVIIIGSMCTYSSVILLGIDTQIVTTEHFPFLCTARTWVLCLGFTLAFGSMFSKTWRVHSIFTNIRMDKKAIKASDSKLFLMLGSLLALDVIVLTSWAIMSPFELVTVPLEDIITNTSVIKPVVETCQSESFAYQIVLLAVKGILMILGCFLAWETRRVNVPALNDSKYIGLSVYVVVVMSVIGLSIAFILQRMVNEAYALTCLFIIFSTTLTLCLLFVPKDLTYLTLTYPDKS >PPA11503 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:5829:7431:-1 gene:PPA11503 transcript:PPA11503 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLFHLSIGDDGTLSTKGLALTSEGVERKFGYISSCRSLPTIIAVSYCYRYIQMLSGDATVTAKHLAISTEKNWTAYESKMLDIYRSIYNDDIGTVKETGLYPNSREDFIIEEVSARIEKAKRILRAGSQKM >PPA11540 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:144000:148302:-1 gene:PPA11540 transcript:PPA11540 gene_biotype:protein_coding transcript_biotype:protein_coding MCRFQVSEGERGEEMGDVKFAVVRVQGEQRMIDLFTLLVSNGYSDVSFVSSLSNTILKTDTSAAQEDASASRVVSSSSSNGTGCSSASSVAAVPAAVVSTTTSAPQQPPQQPPPAPVQQPQVLQHDQGRDKREEEFSLSSPDKAAHAANLLQSLFDRGTEEDEHAQFVAHQQPWILPTGEIPAPMMHGKQPPMIMAPMGMMVPSSSFGLPCQMSSRSLNYAGIDGSGRKMPFSVRMKGWQRKMLSEAMAAGIPAGAELAALCERSQVTEIQAIRFFRKRKCGAASDGTYQMEDDVDGMRGDSPDDSRFQQLKSPDAQGFLQQQPNSANEKTHKVASFWNFLVVLTC >PPA11525 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:94786:95438:-1 gene:PPA11525 transcript:PPA11525 gene_biotype:protein_coding transcript_biotype:protein_coding MGENSDQTNADKQGRKRPHPTKVIAVVTLSDDSDDEGLATKALTVPVITISDSEDDEPVANALKTGLPAAAKKDDGRKNLIPSMPTRCLDLTCKTFVRLNQVIDLCSQQNSAHPGFTTLHER >PPA11513 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:40330:40703:1 gene:PPA11513 transcript:PPA11513 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKLQNNGVAYHNYAVQLENKSSKNVCVVSMDVKDTQNLTNMKYSKTGALITEDLYLKPGSLAHHFGFVSTTLATPNGPTTARMPFSIG >PPA11564 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:282355:283939:1 gene:PPA11564 transcript:PPA11564 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRVFFAASDDRVKSVDFHPTEPLIAIGLMNGVVNVRNYEEDKIVHSIKVCTSPVRAAVFIVRKGWLVTGSDDKFVRVFEITTGKVIKEFEAHADFIRSIAVHPTQSFILTAGDDKLIKQWNWDKDWAVEQIYEGHSHYVMQIAINPKESDSFASASLDNSIWKIGTAAPSFTLEGHTKGVNCVSYYPVAGKRQSILVSGSDDQLVKVWDYDTKQCIKTLEGHSANVTSVRFHSTLPLIISSAEEQGEKAGAVRIWNAELLLGSGSYRLESTINDDLGRAWCVAALNDTVAVGYDKGCVVHTIKKKTDVESKDSLAKKTA >PPA11519 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:70089:73379:1 gene:PPA11519 transcript:PPA11519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-egl-20 description:Protein Wnt [Source:UniProtKB/TrEMBL;Acc:H3EP18] MRLLLLLLMLLQAALAVVYNWLSLALLNTSTLPIAERGSMLIDKAHYEGLCAHLTGLNPVQQQICTSHPFSIPFVSRGLRDAIYECQQQFKMERWNCSDRYEIMKTPYGYFHDILGKTLRTTTKESSYLAAIASAGIVYAVTKGCNTGNFSDCGCDSKPALQRHLEAIELNPPSAAALTRPVPYPPPPGMPSSAYPPMGSNGMTGNGPFSWGGCSDNVGYGVRFLDEFERQEFNRTSNVQHLVAMHNHFVGREVSATFTKSHTTPRAPHHAVHVRIKSTRSRMKTIVATRVVPPRIRIVTPERCGVIWCAECINQFCAFPTHHGLR >PPA11555 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:235832:236684:-1 gene:PPA11555 transcript:PPA11555 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLALVADAAIVFCMNQLSELRRDPFDKSAQCIVMLSLLRLVHDSISSFLLLLSSLAFLLTSLFLLRNHRFVWPYQDRSMTKKTGIC >PPA11561 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:270557:270974:1 gene:PPA11561 transcript:PPA11561 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQARSRLNLEGEFRFSTTRNMERDEIDSSLDNDMKYIYHLTRDDNKHRLINVDGNPDSRVLIEIDSRIEQCEVFVNFGKSAFTIKI >PPA11516 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:56674:57073:-1 gene:PPA11516 transcript:PPA11516 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFDWHDNDTTEDQANNEAESANEMKEEIEDGSGEEPMNELTTIIPEKM >PPA11645 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:739738:746660:-1 gene:PPA11645 transcript:PPA11645 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVVKTAFYKCFLPILLITAGCLRPSIISAAYVALGLLCPLLPSTKNTMNNVPVSVRAVLCLLLVLTVCASIGQIAYQVIEAATTDDDYTAKTCGPKTSISKVLRLVGYEKLTTNSSTLGTKPEATHSVLPEAIAVLGTLITVVIVLALRHSTVSTASESNVQVVRDNASPRVVTPWLSTALGDTLRRASNMIIVILIGAVSCIQPSILSAPYFLMFLFMLTWYAAHKSIQHEGFNRIKRFIILYAGFHFLTIFVYQIPFVRESDFMMGGKNGTKLIPRILGLSTIIQFDCNYWYQFTNPDIEWPLYANPLAILLLFQLTIWQYSFTKHGTHRYTRLDNGDDGSSVHEEHRRRFSNHILFGMLNVSYVSRSLGVYYRNPPSYGSRPQSDTESEYSTPPQERKASTVQWAPEVLLRDEEEEERGSDGVRLKKITSQVMDRQRISQIFKGPRSDKSVASRGLIMVVTFFFSQAYVVGLIAMMTWAMIYHSAFGLILMLIACVIWIFPNSRKAAFNAAPLILAYTEFLLIAQYVCSMDIEKELNVPDYEKTIAWLELVGFIIAKTHVQAMVMILAKLLLALPISLILRLARRDKKFEALNEAEKARRLANYGTFASISQAEQSNSRVEGERNGAGAVRY >PPA11510 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:27292:31918:-1 gene:PPA11510 transcript:PPA11510 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHSEDLALKQSVIQTRASCMSYGCFRAIDVHGRRITLDNERHNYIRKMGTGLFEFVFHQEHQQGGYSTTPNLVAFTCAIAEDEKCPCAPLKHLTDISDKPNYPITTDRINPCSSTNSYIWWDVAKSAAYGKAWHTQWDTAHWVRKYPKGPIPLPLIGNLYHLTADSVHEYVHKVGKDYGIDSSLLFDSLIISSDHCLTLFLPRPVVFFTDFTTVKDALITQGDNFTGRSQLPPEIYLQPEVQTGVAISDGEVWREQRRISLRIMREHGMGKNSMEAQVNRAIDEMLAHIKSINNGADPIDLTLPLQLCVGNVINETIFGYLFKHTDLSVFEFAMKIVTLHMQIVRDNIWVLLVQAWPWTKHLPIIGKKGYREPIENIAKYHKFIQQEINEIERSYDRSQEPTNFVQSYLREMERNSQLEYATLKNLNAIVVDFWIAGSETLSATLRWAILLFTEYPEIQEKMRDELNAVVGRNRRIEMADKPNLPYFCAAITEIQRWSNILPFPQFHRCTADSIIGGKLVPKDTLTLPQIHSVMRNQIIFPNPDEFRPERFLEEDGRSASKKHAEHFVAFGMGKRQCLGENSESLRLSESQSFGLSESETPEQN >PPA11531 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:115993:116672:1 gene:PPA11531 transcript:PPA11531 gene_biotype:protein_coding transcript_biotype:protein_coding MASCHELTTFGVPSFIVPIFGDQIHNAAGLLFNGIADVFDKFDMTNAGKLRIAIGKMLSDSSYKAAANRLREQLAARPTTPAQLLVSHVEFAARFGPSKSQRPLSLDLSIIQFWGLDLIFIGFLIVILSVFTVVGVLSFVLRLVTSVKIRRIHKKVD >PPA11724 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:1240034:1247167:-1 gene:PPA11724 transcript:PPA11724 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYNPEPISGKELSTSDQSEAGLASGIATRAIIQPLDVLKIRFQLQEEPIGGKRSGKYRGVIQSVRLITREEGVRAFWKGHIPAQGLSAVYGLVQFAAFEWFSKQITVNVGGLGKAGTDFIAGGIAGSLAMTAAMPLDVIRTRLVAQAQAAGGDADGTRPVAYRGTLHAMVKIARREGTIGFFRGWLPSVAQVGEINVVAPYTGLQFWFYNAICTRLDDLTGQHDAKLNQFVSGASAGTLAKTVLYPLDMVRHRLQMNGFQRCSKFGSTSDYSRGMVRSVLHIVKMEGMLGLFKGLWPSQIKAAANSGFAFLFYEMLLIAPLLLLGTAAWLLSATSIKMVLLAVIVASAALQGAAWPVCVAYLQRWLDGGPMGVLFSLLGSTTTVGGLALAFLAGDEWRGVAERIGYSSFILALFSWFVLDDREERSGDGRKKEGQDLVSSLKSIIGSGAVWRLSILYGLAMMTRSIAETWLPMFTHDAATVQFYFEIGGLIGSLASGAAVDAAASVAAMGAEPAARHLLCTTTSAMLIVTSWLVLALADTETPAHFLSLASLSLTSGAAVYASINIACLACATMAPGGRSSGTTTALVSFLAQIGSIVAGSPVAWLLQTAGRAALAPALSIGVGVAWANKPFLMEEWENEEDMKMKEK >PPA11714 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:1165194:1169938:1 gene:PPA11714 transcript:PPA11714 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLRLFARLELRRAVSSTPVEAAVAAAAANPSTAVATPSVARLRSMYEAARKDAVSRSGVCPRVDPRAVFVNNDLDLSRIQVYGFDYDYTLAVYTRELNPLIYRLALKRLVEHYNVLSSRARFLPYDDSFAIRGLHFDVAKCCLLKVDAFSQIQKGTVHRGRRKLSDEEVRSLYGGFSLPDAAGRAFPQLIDLFSLPWAGLLATTVQYFDDKSIEFDPPVLYQDVADSVGHVHISGELYRTVQADMERYVHKNGGLGEYLEKLVRDERQLFMVTNSPFEFMNRGMEYMLGSGWRDLFSHVVVSAKKPAFFSGNAPFRLYDEKSGKLSYEKVTKMEKGRVYSGGNIDELSLRAGFGTKGVLYFGDHIYTDLADPMLRLGWGTAAIVPELAREIRSQNGDFYQKHEISC >PPA11544 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:173944:178597:-1 gene:PPA11544 transcript:PPA11544 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKHYSRAGVFAWSPRSLPEQGLIVVDYAQQFSAFSSSAAVETPSVDYVPLSHIYEQPRPRFKMEASVNAEFKCNDLVWTPAGASEERPFGLLIGGCENGSVVVMDATRMVRDTRLEVLSSRKDHAGHVLAVDVSADNKWAMSGGGSGQLLLWDLANLATPFSPGNPTYPDQVKVVKWNRSMESIVASLSSHRTSLWDLRRNGAPIMDIGEVGGGCDWADACWSPIEDTALLTASQSDTVPVLYKWDLRYPTAPVRDHRIHTKGVTAIDCRHYS >PPA11717 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:1205433:1208224:-1 gene:PPA11717 transcript:PPA11717 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVVVMTAVVNWLKQGCVLFACLATWFLTRPDTHIQFQEKLIFSFFFIGAIVCLGLSFAFHTLSCHSKNILKLFCKLDYMGISMLIVGSFIPWIYYGFYCRREPKITYIVLICILGVAGGFVSMMDKFSEVAFRPIRAGVFVAMGASGVVPAVHYMLTDGIDSMFQENAFHWLLLMAGLYLTGAALYATRTPERFFPGKCDIWFQSHQLFHTCVVIAALVHYYGISEMAMVRLNMGCPAETSVHPHDEL >PPA11697 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:1056417:1057060:-1 gene:PPA11697 transcript:PPA11697 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIIALLLVVVAVTLAQRGFNGNFNGGGMNTGGSMTPQGFNMNGGGFGDSGNFGFNRGKRAAAGMNMNAGRMGANANMRGKRASAGMNMNMGGMGANANMRGKRAAPVQVAAAKKP >PPA11651 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:783703:784534:-1 gene:PPA11651 transcript:PPA11651 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSEQKTLAEIFIPIDESFETEDGGMDEQQQSCNLSVGAGFQKPQRYRPSASIIDRRANLPLRIQAIYASGPFTPPVFQPYVDMQAPVPVIPVAAPPAQPKKAAAPKQNIDTERDSFTAKVIRSPSKMSRMDKTALPIPARSPSKMSKMDKTALPIPI >PPA11590 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:401401:405919:1 gene:PPA11590 transcript:PPA11590 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHVFFIVASAIAVALFIYSKSKVESCPDPTFSAFQRSYIVIYALAVAGDWLQGPHVYALYDSYGMSKHQIELLFIAGFGSSLVFGTVIGSFADKFGRRNNCFLYGVLYGISCLTKHVPVMEVLMIGRFLGGIATSILFSAFESWLMYEHNKRGFSDDKLGVVFSNAALANSLIAIASGYVAQAAADLFGFVAPFDVALLVLGTMSVLVLSRWPENYGDERATTTQSFQKAWGLITSDYRVLCLGLVQALFEGAMYTFVLEWTPALTQAAPIGASLPHGVIFAAFMIAAAAGSSLFKILTKWTRVENLMRLD >PPA11557 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:244346:249619:-1 gene:PPA11557 transcript:PPA11557 gene_biotype:protein_coding transcript_biotype:protein_coding MQRANADSIEAQKIGDYMQETTRILIEENGAEVLSKLQGIDALAELEAQLESHPKPEGSRKKRQIVISLNGRNGQNGGNGANGANGNNGNNGNNNNNNNNNNNNNNNNGRNNNNGGTNNNNSTRGTISTSNPTDESCIERPATCDTSFPYRSISGWCNHDETDDRELGSTMSEIRRFMGGNKYDDGFNSVRRRATRGGVLPSTRHVSNNIFAEASIPAFDTRYNHFLQQFGQWIAHDIVFTPSAVGPNGASLDCSQCESANLTTNCAPIEVPGDDQFFPTLTANRRQACIRLTRAINGQKGLGPRAQINQNTHFLDLSQVYGSTDCIAKELRTGKDGQMIMYTEDGYHLPPRDANNSNCQSQRSTPPFLCFTAGDSRNSLHPGLIPLHTIYLRQHNKWAAQIRQLRPTLSDDTVYQETRRLMIALYQFHVYSEYLPKIVGQRKMNEFQLTPRGLTTTYNARINPSVSVEFCTAAFRFGHSQVRKDIPRTTNNNVTIGTGIDLGRHIFYMDPLYDKTATISTMTQGMINNPGMAVDRQFSFPVRNEMFATRDRRASGVDLAAVNVQRGREKGIHPYNEVRARIPGLSSVLSFDALRRDIGLDNIELLKKTYASVDDIDLYVGILLERASDPTALLGPTGSHLIADQFAAFRRGDRFFYESSTSPGALTQVEFDAIRNFSLAQLICENTDGMEQVQGDIFQHGGPRVRCDRFRPFPMNSLLF >PPA11558 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:250691:251132:1 gene:PPA11558 transcript:PPA11558 gene_biotype:protein_coding transcript_biotype:protein_coding MTYTGMEGSDHGFSLRKPNNQLTKYWADSQNTGPTQNTGPTRNPRPSAWATGRVSLIWIITRRTQDGFSSAQVIYAQERPHSRRLLREFTLNHRTSAAGWTTSILKSHRLNTTDHRQLKAKKKPSLDPCH >PPA11609 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:514002:514351:-1 gene:PPA11609 transcript:PPA11609 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRTSEPRPKSLSPQRTIRKEKAEERKEKKKVEATLRRLVGSSEDTDQCELIYQIMSYIKELQQQLNEEEVSFFLCFHIT >PPA11639 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:703513:706739:1 gene:PPA11639 transcript:PPA11639 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRGPRDIPARQPTEMDLHMTGNKSTDLAIRSTLSGFNCLIEQTWDGCPIDCDPISLHMEWLFERKQGAPHKRAIKCYMQAPFFDDPQPDELPGICPGLWNHEVFEVFLANNKGQYVEIEVGPHGHWLVLLFDGQRKCFNNGEELELNVQNTWVGNTWQCCFEIPLAYLPGGVSKMNAYHIHGPPEERVYKAFSPVTDGTYGEPDFHRLEFFPRFNLHDVVPNTYGATPFNDHKYDSFWEGR >PPA11576 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:336827:337632:-1 gene:PPA11576 transcript:PPA11576 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRCCCCCSVTVGSRIWAGFTIFAAFFALIGDIWSLSEWYLWLFSSCVLIIELVAGVMVFIAVKRRQPRLMMPIMVITILAQLFLIVYLSFAIWSCFDDNSPLGQNIQQMWLSLDWFRDYIKYDWNYDLDSCKFPPSIF >PPA11719 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:1215027:1215898:-1 gene:PPA11719 transcript:PPA11719 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSQPLIISDVRIVSTVGSILVFLLLAIAFGFVIYWVATHYDNLETPGRAIAILAAILVAILAATVFFIFGSNSGRSSFMIPFLFVTLFGVMAQIGSTVVLFLTLYEDATEKYKKDTTENVVKSMKNT >PPA11640 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:707617:711468:-1 gene:PPA11640 transcript:PPA11640 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVSDLLPTLDDSLRKGDCGRLAVFGGSEDYPGAPFYAATSMLRLGADLAHIFTEPAAATVIKSYSPEVLVGKSPAFPHWLDALDGVLIGPGAGRDGASVDLVSAAIRVATSAEPTKPVVIDADGLWAITQSWMDGDRFPDHSIVILTPNANEMDRLAKKFLNESTKGRQDAEVCEVAARLAAALHCHVFAKGPNDIFVTPKKEMMMFVHPGSPRRPGGIGDCLAGLLTTFLVWAHKKGEPVEKAAEAACYVTREASKEAYTYSGRGMNATDVIARIVPVIRGIEGIPTNRMDPATIASRSTVPMYRALARQLTAAEWLSGPPDGHLLADEVGAPYTLQLTAVRVSFPTVWAGIETGVNLATLVSQISSEYGKALGRRTKTHVLVGNRIPHRVPSGGPIATCSTITEDTRILFSNLYAAEQLLRSMARAVDKGRVARILVICDTPASPDVIQRGREVFKDLNFVYDEITY >PPA11604 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:480846:482734:1 gene:PPA11604 transcript:PPA11604 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVDSLLSIGEFGWTVAQLLTFTLIDSVKTFIPMGVLPRKSIKGDICLITGAGSGLGRLMALEFAKHGCDIVLWDVNEAGNAETKRMLKSSGARVWSYTVDLADRKDIAAKAVMVKEEVGGIEILVNNAGIVTGKKLFDCTDDEMEKTMAVNCNACLYTMRHFGQSMVERNHGHIITIASIAGKLGVAGLVDYCASKHGAVGFHEAMSEELRLIKADGVKTSLICPYYTNTGMFDGVVTNAPYLLPILDSSYVIDCIMEAVLTNKQEYFIPKFLYLTTSTFGFYSNRVSALMSDYFKIGETMNNFKGRKAIEEKK >PPA11655 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:808947:810324:-1 gene:PPA11655 transcript:PPA11655 gene_biotype:protein_coding transcript_biotype:protein_coding MAALELAKEARDPCHSIPCRPETAWIFSQFDGDNDGFLNPTELTSLEGGKRERCLTQFIDHCDDITIDGLISIDEWCDCLSFSLDPHHEPLCHKKRHSVDPHAKDAFLPHCDIDGFFSPSQCHKGECWCVDKYGREMDGSRVHKALPDCRQYAVKITDAELQDLKTKSEM >PPA11514 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:41024:41408:1 gene:PPA11514 transcript:PPA11514 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGTIAEVNGITVKFRLDVCLTHCACRLHRYYVTIINNSENDFSGRTCQISTGLWKKMKKDT >PPA11610 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:530244:531315:1 gene:PPA11610 transcript:PPA11610 gene_biotype:protein_coding transcript_biotype:protein_coding MPKILPVPKVLPSGKVVKKTKASRRSRNVASTAAAQFTREERDEMRRLSSMLPVAARTEKYEQDPSMLLNDTVCYINHLMATVHARVAAGSLPREVLAQLDPRYAASESPSSSTSTSPATSPTPS >PPA11635 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:678395:680660:1 gene:PPA11635 transcript:PPA11635 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNVPVLNRDSDAVYSQSVAWTKANQKKLVLIIVSVALLLDNMLYMVIVPIIPHYLRQLHNYDTEITITGNETVYVGNNTYHVLPKFTRTYVDEDIELGWLFASKALLQIFVNPLSGFVIDRIGYEIPMIIGLSVMFASTSIFSLGKSYGVLFFARSLQGFGSAFADTAGLAMIADRFTEDGERSAALGIALAFISFGCLVAPPFGSVLYDSLGKNVPFLILACVCLIDAIAVFMVIQPRPPLATSRDERGEKKHGTPMWKLFMDPYIACCSGALIMANVSLAFLEPTIGKHILETMPDEPHWKHGAIWLPAFVPHVIGVYVTVKLLQRFPRHTWAIAMVGLAMEGIACLAVPFMTTIEGLVIPLSFVCFGIALIDTSLLPMLAHLVDTRHVSVYGSVYAIADISYSFAYAFGPIIASGIVNVFGFTTLNAIIFVTNVGYVPVLWLLAKVDGYSSIDKQKDTTNGTQLTEHAKNEYGAIGGAMGQEYPPAAQTQSAFNGSYQAQTNPFQPQPEFPPGYDPLNPAW >PPA11627 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:641993:647151:1 gene:PPA11627 transcript:PPA11627 gene_biotype:protein_coding transcript_biotype:protein_coding MLLATFFKLPVSTTHSIVGATIGFSLVVSGTSGIHWHKILRIFASWIVSPLLSGVVSICFFVLVDHLVLRKSNPLRSGLLVLPFLYLIAVAFNIFAIVYEGVGVRGLSFTDAEMGFVAITQSRFYWCRVLHFNELPLWTVVLLSLGAGVLVAVIVALFVGPYLRKKIIAAANAVAPSASLPKEPTFFRSHKPEDPQAAKLFSFLQVMTACFGGFAHGGNDVSNAIAPLVSLWAIYWEGTSKQEMHTPWYLLFYGAFGMCVGLWLLGHRVIYTVGENLTKITPPSGFAIEFGAAVTVLLASKMGLPISSTQCKVGSVVAVGLAQASHSVRWHTFRNICLSWIVTLPVAEVKWITVP >PPA11538 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:138884:139386:-1 gene:PPA11538 transcript:PPA11538 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLTAAPKPWNTGASSTSAAGQARIWRPEITQDTHINKFQHSTHHIPAEQPPPPPQVRVSLEHSNTNT >PPA11601 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:461038:462672:-1 gene:PPA11601 transcript:PPA11601 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAIILCGLVAVAYCAPSSTAKPDTNVKQTSADGHRHHHRSGWGFPNPIVDRNVCDLDASVLVVVEGGRRGYNKARRVKCADVAAADEDSCMVCCQNAARRDSNIRNEDIFGFLTVIDHFEKDDDSWSRESHDDDYARRPTSDKRPKRQAEGETTDEAETVTAAAGEAEYGRKKGEQSLEDFEPIKNFKNLKCLCCAPRRQLPPPVVVPVPVPVYTPQYQQQYPQAAAPQQYQQAPALAQPVYQAQAAPAQYQPAQAPQPTYQAAAAAPVQNY >PPA11508 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:20253:22415:-1 gene:PPA11508 transcript:PPA11508 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKYVTALTATLGCTPPYNTWFDGYCYYSYSSYTTISWPAAAENCKGSDATLASIHGSQQNTDFLKLAKEDTLQETKRGYWIGLRCDGKKFYWEDGSTVDYTNFGDSSYKCNSTSTDLHFYMNWDDGKWYRDVNWDWYGRAYVCKKNYRPEDSICEEYELVSDTKTCLSLRSVSTDSKDAENSCAYTGGHLAAIHDNTVNDYIRRSAVSNNLLNGVLIGLKQSGNDLAWNDKTTVNYTNFARNFPNSALGSCFAMQTSSLAGQWVNVLCGGTSGTATKLPYVCSIPAYEFPDEIESSECPEANVTYTDGDTIYSPSFPSPNNTNSCEYLIVGPEGAKNMQVEVVFFETNRCCDSLTIYEGKSGNQKIATLAGSTYNGKVYRSTKGPAMRLLYNVQSGAHVRGWQLKVKAIK >PPA11578 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:344371:347319:-1 gene:PPA11578 transcript:PPA11578 gene_biotype:protein_coding transcript_biotype:protein_coding MRVALVIGGLLILSSGYISLFIWLSAYSCPLSLALRAYLGPPVYKVVELDSSAHIDFSDVRLRFRFSRLPDNFTALCNLEGSTVKIHNRSLNASLLVIVLSAPKKTTIRNAIRKQWASERTSRTIQGNAARVFFLMGNGDSENEELAEEARLHNDIISVDLEDNYMNLVAVILHIATKMCSASFVLKIDEDVVFNIDRFFDGVDRVFFPKHSSIYCNVWYKMKPKRDENNKWYISHDQFAGAVYPPFCSGSSYAMTTKAARDILKATPNFPIIHVEDVLITGMIAQATHVRRINLPTMFHRKNTLFTQCPKTSYGVRALLSKHNFKSPSQIEKGWKYLQTDCIVPKNLNSNITAPISKNKINVMKNG >PPA11726 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:1257107:1260236:1 gene:PPA11726 transcript:PPA11726 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKAIKTATSDHRTQTANGVITKLEGNYGLINNALFFDTKKIQIAYLLSVISGVRPSIGDRVTYTTTFNPSAHPALQWTVDRVGQRVEFGHVTSVGKEGGWVNDRIRYKNTSGGGGSDHNNIPPSRKRAVHQKEKEQELCSPPRKKTAVTVTVDDTDAPSSSSSPPPPSSVRRASSPPPSPSSSPHPPSPTPNARRPNKEYRNLHSALNHAWEMHGGWSLTKEQLKATCTVCKLPSSDCTNTEEVLTHLKKVKL >PPA11505 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:11902:13462:1 gene:PPA11505 transcript:PPA11505 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLPVLAFLLSCALAAPVDVDHAAIEDEFHPPQATDETPAPEPASEAPAETPVEEEATETPTEAPVEAETEATTVEAATEETEAPAENDETPAEEFGETTNEVPETQPEETPAVPEVETASEAPAEESETPSEPEAETEVPAEEEPAAEVTDATETESKTDEDDSESESSSDSSESDDEATTPAEEVVEVVEETAPAETTEQPAAAEEKAPAQEPVVAGSIPTGAEAVMEGVTVRFALRSLWKVQGTQKRGYIVKITNGSDKDVCGVTFTAEGASDVWHLSHNDDGSFTTKDLHLIPGAKADQFGYGSTIKTKPTVTAIDFC >PPA11581 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:355439:357335:-1 gene:PPA11581 transcript:PPA11581 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKLAGVELVLSDGSKVDAGKHLKDKLVALYFSASWCPPCQRFTPLLKRFYEEAKAAGKDLEVIFVSRDREEEALVEYYKEHMGEWAYIEFGNEKIQELLKEYEVKTIPSLKVIKADGTVLVQDARTEVQDRGDKTAEDLVDEWEAFAF >PPA11641 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:712267:728221:-1 gene:PPA11641 transcript:PPA11641 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFYLIKVYAIYVPLLVLISLAVCLPRKAASLFSILACAYLAAVAICKMIYQLSFFKEFTVGPFSTRTCSNETYGTATFLQWLGFEQNDRTFSMIKDIILSIVLLAVQSVIFYRQAFVRARAELDGAAPLHPSSRYVCFPSAPPTGLDQDLASFCKWLVDYSFYKFGVEISIIFLAIHIWVRMDAIAVITSIFIIIIVLSPRPLMKAVWPILLCYLAVLFPLQYAMHVGLPPSECIKYPWWDVYPDGTPRSWWLESLAVLFNLPLYKTFWSGGFFVSDFIALVAVASQLYVFVEESDEHPAGNNKSIYVGRDIAILPDNPHYDYIAKQRSFVDYAKIFVFQYGHWVTLLMVLIAGLGGTSLFALGYIVLSLSMLWEGNNLYLMKNHRLTIFRWKLVLAYTAVVIMLKTALQLVGCSLDLSGNCTIRQLFAIKCVCRNIILNVLDPNIKSGLKEDAAMSPDDKSKLCDIDPNEANIGLDTFALGFIIFQMRVFGSWYFQYVITEFRSEIVLANRGAVLSNQLIEKEMKEQEEQQSKKFDDIRDRTKAIRERTRARYEQLQKEQDRSDIVDPDTYGAELRQSQRKKRVRLRPTSSLYNEDDPLPSYDYRDNDESYYLPAKRAGDYYMFDYDPSHDELKKDKESFVPEVDPGASDFNKLDPSQMGNGDAHKKSNGVDGKLAYAAVTKDMDIKDTLEAAKRAEKGVEGGELDKKEMMLDVVTPKNRAASGAETSTSAVGAAGTSGSEEEEIEEDVTQNESKLLYFARFGLKMVTNSLDWIAAFLNRRSREHRYVAYVLSQERKKLKEKKGESLNDVSRRLSDLHNDAKSERGLMVVQSESDIEKMEEAAINSWQKRNVLARLTNAIGYCIGAHTDTVCYVIAILCHARGAGMITLPLPLMVFVWGTLSNPRPSKFFWVAMILYTELIIVIKFIFQFSFFEWNSQAYSNLHENEEYWWPYILGLQKINFYPAWEVALLMALFFHRYMLRKLGLWKDANVSETFDGDFTLSDRSRAGGAEGFTNPALDAYEHMEPTDDADSQQSMRRRTKAGAGTGAGKSAHLAPNAAPKSVQFAIKETAAAKTAAAAPTSATKSEYVLPRWMDVSREESKTDETRTDDTQSSSSSIRTASGEATVAGGEVTTTAAAVDEIDGGEADKERTGMMRFIYQLFYPKFRYIRDLYPSMFGLDIICFLIIIFGYSSFGDGGSGSVVNDIQSNRVPLAFVVMVIVASFMIVIDRGLYLRKAIRCKLIYQFIIVIFMHAWIFFTLPAVTKIAAYKNFTAQFLYFVKCVYLLVSAWQIRNGYPQLCVGNLLMHSYGLANMVFFKVFMAVPFVWELRTAIDWTWTDTSMPLFDFFNMEQFYATIYNLKCARAFEAAYPAPRGEKKGVMVKYMMGLPFVIFIVLLIWCPLLAFSLLNKVGQTLPPEQVTLTVAIEGYPPLYTITAQGRELMPMTDDAYKKLKELYSETSPNVEKKNEAVKRSRQAVAFLGDYTAADLMTIRFRPESQSAWLISDASKIALMSELQEIAFPEPENHNTLIHVNIELMRQREKKEKEPVKHTAAFVVKLDEVLRDRLLMSLEGQGNNTRLVHALPRFIIVPNEGEISAADLLLMPAVVAMSPQDKPSDAYSDINLRFHESNMMWTGQLRTDPSQNNIDKLAPEPMQVTYPSPFATNLTYIEVVAMVDRVFPSVLSKFVSGGIIAMYIALVLFIGKIIRGVLTNSPLNVMITEIPNPDHLLKICLDIYLVREARDFILEQVRTSSRS >PPA11570 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:320184:322031:-1 gene:PPA11570 transcript:PPA11570 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHEIFGFHVPIVIAVVEICTCGIHIIAIREGVSRWLYPNLFMSAFNILLSIVLCVLSAIDLTTNRAMSTEVNQAGKYKKTRDDKQEGEFYFKMKQINCSLGGFSIRKDKVLLLISPDSFPATDSNDSGADPAEQISNSAPILITSILLSTLYVLVTLYNAAALHVHIRCYRFLAAAEAQFRDWDAESGTVSLFPELTEEYDDDWAKKPRSISSIKEEDEDDFYYRYLAE >PPA11708 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:1136987:1139988:1 gene:PPA11708 transcript:PPA11708 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLFPLVSIVFSHWTDELDLPSELLEYTIRLKIALTFARDAKAIRIVRFRKIQNRKVQRDHFFEQADWGYLRGNGRSERNGICTSGDAEKSRLVCSDHLAHCTAENIFFDFSNLHAKRSKRYRDDVIQAGQVGGNCDNFNKKLLDSHTDRQSYLQSWGAELKHFEASSEFVVDDDHCDVIFEKLTIVIKLDASINMFHHFCDFINLYASQHINGSLFDTDVDILWWDTFSNGFVDPYFKPAWSAFTQNPPVELIALDGKKALLPLLARQRYGMYYNMPMTDGCSGSGLMHAFAHHMLHRLRVPQKGPMRDKLLARLQSMEGVEAKIVDYNGKVPFLEQLEVTHNSDIFIGMHGSGLTHLLFLPDWAAIFEIYNCEDESCYYDLARLRGVRYKTWSNMTLLTRHGEGRHPHNGSPHAKFTDYSFDVDEFERIVTQLVEYVKRHPQFVDARRKLRRNESGMKEEL >PPA11706 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:1106403:1112098:1 gene:PPA11706 transcript:PPA11706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-itsn-1 MASNAAEITAVEHEGNMVQFNMCAPVNGKITGDAARTVLMKSGLPPPVLGQIWSLSDLDRDGHLDQREFSIAMKLTRNCLAGLPLPPALPPSLLNVPLSGSAPRAPAPLPTMPPMMMGGMGMGMGVMTGAPPMMAPPVRPMGGPPMPGAYPLAGMAMGTPPGGGGTVFPHYATGPRGSISSMGGSMGGSPAKAAPPLPGRPPGAGGATFPGKQLENWTMPQQNKLKYAQQFNGVDKQRAGFLNGPAARNALGQSGLPTHALAHVWTLADVNKDGRLSVEEFSIAMWLIDQHKTGFALPAELPPELAAMTHHGTARSDSPATPSGEAPAQKVSTPKTFEDKRKDNYDRGQAELDRRRQQLQEEEDRRKAEIAKREREAEEKRERERQEQERRREEESEEPMEVKQEEDTAERQAELERQRQMEEERLEQERKRAAERDRIRLEKEKEMKKVMLEKRKRELMPRVYYFYSSPSAYGYKLKHET >PPA11677 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:932182:935062:1 gene:PPA11677 transcript:PPA11677 gene_biotype:protein_coding transcript_biotype:protein_coding MIVNKNKEEKGIENVSGRMEKEGVKEGVRRIHAMQLKLSKKKSCPNIHHWRNKIINHAYYCHYKYGRDRTLCMNYWIAALPHVTGKHRGFRKIPFLKGIRKCKHEALGKDNMHLIKRDSEEYQELKAVIMKPTFLSGLLRASPKTNTSPNESYNSIINMYAPKSRACSPKWYEERVKLATMHFNNLALLSLLNLREERWNTSVNVIGRQANAVKRKMTKAVHEWRNEVWKEVPCVLEGRLVEQFLRKNQAPTDREYILALQQEEEEAVSDEEGGEGDGDGEDGGDSDASMELGGGVYGEEVDSDREPTMKLIELSDVEDQKSEGEDEEDEEELSSGSEWDEGEAGRMALERGRMRRGRGRGRGRGLSRGGTVAQSVVTTDKSTVLTRAPANQEEEEPSTEEGKGEKREKRKVRLEKKARKVVMDESSSESEREKPPLLGLGSMRFADHVSSPYFGHDL >PPA11560 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:267228:272886:-1 gene:PPA11560 transcript:PPA11560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dsc-4 MEMTNRTFRVIERMCPLGQDPHQCATDNGLIKVGDRLYEDVVLNREHEEKHQEAKFAAALATLRGVYNNDKSWTEDHAAGFGDLLIAAKKIDGAAAAIGKALQDGDNYDIREVLATALGALGGVDAVHTVREVIYGEDSALTRFGPHFLFGLAHHTHAPSDKFLKELMYWLRDTPSTYALHWDIANTIATVLRRDCERTVSTRNACEKGKRPILEKFVNDLTDCKDERCIRRSFEVLVNLPNQKKVDFSVVYEAVQKLFGHILGKIRLAEKGQNLTYALIRVFRNTCPSPSSTAASMAALNAILKSIPESQTAGTLILRTESLDSPQDHELWNYVYDAVAVSRLFEVAKDSFWSTLRSFRVFRPNYSQRSLKADSNAFGADIADTKKIAYD >PPA11700 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:1059782:1061394:-1 gene:PPA11700 transcript:PPA11700 gene_biotype:protein_coding transcript_biotype:protein_coding MLARRASSTRPRTMSQRRIIDEYVGIKRSTNGSLIRLRLTLVSIDSLQPRIVAVKPSGSGFRPSPNSAFRPIVKEVVAPVVEVAADDVIEDFMTPPPRPPKSTRVKQRVRRLHNDSICPISGHHRGSPPPLPPRRFTEADKLSTGFELSRRKSAPAADLAPRPVPAPRLSKLSSASTNSQPTPPPQPLPRRRTIQIDGSFIRVDDMKIIATLQWQILSRSCDISSSSLAQFLQTFALSSVNCHKPKNRLSGMW >PPA11637 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:692804:697461:1 gene:PPA11637 transcript:PPA11637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lgc-33 MRPTALAALWLLYWTATVAQGLTIVERNELSRKFKYYFRSVRPVGTENIVSNRNGTFFSIKTSVHVMESTPIAPTSLIYTVYLSMTYSDDRLILRELTDRFEVPLTEFDPWRPRMSFSPPPDHPVEPSLFLAPNTGKITIFYKLKSTLGCTSRSWKHPFETLTCTLRFDNMGDELISISDHRDLRSESDVDNVAFEITDLPSPLILFSYSPSWLPTLVSIYLPSAIIFAVVFFAQWKRRKVAVTVTALICLMILFSRRDDTKAVNLLDLWLCSTFMHTVLLLVIDLTLPSRRIRYTLMLDVDGNGEYRRVPSVDRGASTSLDREMSSPSPRTSFSQARTAEKCVEMRLLPKTDMISAISSELYSPILGGQRAFLAVQKYAVKRFGTNSVETKLVNEKSDAPIHRHIQTSSIGLRKRVALAVVLSSYLLFCLIYFFIIASGASVHAIVIGPEMVRSSKPGLENNHVPDSILNMGKMSCILSEISHRFPRQSPIDELASFLMTTAPARSLNPFFSVNNGFMHE >PPA11534 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:128495:131410:-1 gene:PPA11534 transcript:PPA11534 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHADNIANPAARGVPTNPPFTATSRPVSPPSYAPPPPPTTAPPTGGAPQQPLPMLKVNGAAPPPPPAPAPTPRSPSGSPRRAPRSPSFCVHPLRKQWPPSPGRHTIGAVPRYWDVAARRGDVSPGGTRAPATAPLADLVAEDDAATARAGGRRPRDPRRRTVVQPQLVADAREAVRRAAAHKEELAKRDEELAHRLQAPAIPKGINVIATRSEPLVARPEPRQEQQQPDAAVSELQPRPVSYVSEASTILSAPEVVHQIRPVSMVALPPSMTTSELVAPPPRPQSMFSPLLRVNSSPVPFGAPRTFVDSATSPNPPPAYDPTTKPKAVATAPVAATPAPRAHRRVDISKQPPPPQKKTTKKKANGVAKKTKKVEIVEVHAAPLTGVAPTHILHRGAFAAPSPRSPSPLVMAPTQAPGAWASVAEVLDEADLGTEPRRSPEEAMEAPPAPRSRYADRDDIPRVRRPVYLPLDFAEQSQAAPAPVAPATPRIAFDLVDDYEDSIVSSTALRPEMRRLMRMVDDDCESQETNEDWRKVNLSLLDEEGQWERDLATVGELNRRMRESSDRDPCGDDDAVSAVDEEDRQRAIESIERHQRTLERQQASLSVLLDSAITFLRGLDTAAPAELPDTPQAPAVIHAAPQGVPGALYALAGHVHAGAPQVLHGAPQGNETLPAPPPRVFIPTATVSAVTAAAAAAQARRQPDEDESIES >PPA11566 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:299385:304461:1 gene:PPA11566 transcript:PPA11566 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVMRDYQRHLRPITSAPLSAHDTDTAKLFDKEEFLRSRDKGAAEFYRRFADTQLFDRFIQERCFISDKNAYDAFFDDCIAKDGFPRRLDDSLFQLDKLNMMMDRAVETAVEGAQHVFLFNRPLISSGISLCVRADEAGSAIVTDGSEEWSKNECVKLGEDGSILRVFPLVSLPSVSDESDDESEEDNEKRIPCGFTHSEIFHIPFGWSLVHLCPLLLPGNVETGNVWTQDPTY >PPA11667 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig21:902723:905170:-1 gene:PPA11667 transcript:PPA11667 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQVVSLSLLLILPFSCSLNVLIFAPTLSFSHVAFNGNIAKELVERGHTVTLLLSSVDDTVRYNASSGIEVIRVNVGIPNGLLTRSLWNNPGPYEDASPFNPAIFAKLMRVSSIFVRACSELAKKSDLVSHLKARDYDVGLVEQYDSCGFGLFTALGIRSTVWLSATAVYRLQPEAIGVNYPLSYVPELFAHVDDRMSLFGKLENVLVTELVSTFYSRAEETKMFKRLGVLPAASSLRDVSRGAHSIVLNANPLFDVPMPVSTQIVHVGGITVPDDVVYHLDQIWQAVVNQRNDGFVLVTFGSIAKTRDMPREMADSFRAGFAAFPNLTFIVKDEGVLRGNTLASRPLFCKRRANFLAAATRAHG >PPA11661 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:829075:830619:-1 gene:PPA11661 transcript:PPA11661 gene_biotype:protein_coding transcript_biotype:protein_coding MADFDYDVINGSIPDCLVPEVAKPERKIQSRRIVSTDSADPFYWLRVIMASNRGTLMELGISPIVTSGLILRAGAKIIEVGDSSKDRALFNGTQKLFGMLITVGQAIVYVASGLYGEPSDIEAGICLLIVVKLVFAGLILLLLDDLLQKGYDLGSGISFVIATNICETIVWKAFSPATMNTGRGTEFEGAVIALFHLLATRSDKVRALREAFYRQNLPNLMNLIATVLVFAVVIYFHGSRVDLPIKSARYSVQYSSYPIKLFYTSNIPIILQSALVSNLYVISQMLASKFGGNILVNLLGTWSDASGPCALFSKTWIDVSGSSAKDVAKQLKEQAMVM >PPA11529 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:108363:111939:1 gene:PPA11529 transcript:PPA11529 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLLLLLMALSGCSSYNILVYIPKFAISHINFMGVVADTMVDGGHNVTALVSEMDASLPDGTKKANILRISPAEGADHMNTHFMEGDTDMFEIPADSWNGAMENARHNSISFCRQCRKLLTTPGLVKKLKDEHFDAIITENFENCGVGLSYLISPRSLIVVSSTMLIGGDHMGVALSYAADRSAMADGRYYDSLYARMMHVYYRILFRNFIATQDEPLHQVFEELYPGTPSFADLISHAAVALPNIEPLTNVAGPTLSKVVPIGGITVGQPKKLSDYWNTVLSLRSKTVFVSFGSIAKSVLMKPARKAALLEAFSSFPDTTFIWKYENTTDFFATFQVAKVPNVVLTEWAPQLDLLADGRISLFISHGGMASCHELSTFGVPSLLVPIFGDQHYNTAGLVHNGIADVLDKFDMTDAAKIRKAIGNVLGDSKYKLAADRIRDQLAARPATPSQQLVSHVDC >PPA11679 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:938614:942726:-1 gene:PPA11679 transcript:PPA11679 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDKKAVLANDRFSQPALPTVEQIKAAIPKECFEKNLPLALAYMVWDFILLAFLYKIEPIFELGGLPAMIFWYFIVGMVLSCIFVIGHDCGHTTFSNYQWVNDFVGHICHGMIFTPYWPWQKSHRHHHTYTSHLTKDMGHAWTTEKVFTSWSEFHRFYATKGGFFLMMIGWWFYTIAGIADGSHFWPFSKLFKNNKERVQCVISGLVCVAWATAAFYLFGSWSQWVKFYVLPLIAHSFWLLMITYLQHQTDDIQVYEDGTWGYLRGQLQTIDRPYGFGIDYLMHHITDGHVAHHLFFTGIPHYNLMKATAVIKEKLEPYGVYRYQKSPDFLFQLAGLTNRLEYLVGEGTGLLKYAKTKKID >PPA11549 pep:known supercontig:P_pacificus-5.0:Ppa_Contig21:203517:204645:-1 gene:PPA11549 transcript:PPA11549 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEAANKIKAYRVVGYAAVTFSAVAVLSIAVTLPMSHAKGVWSDVFAMKALPSHNRTARQAYWEDAQCNGCCLPGPAGPAGTPGRAGTPGHPGAPGQPGNPGRPPSTPCEPMTPPPCHPCPAGRPGAPGAPGPAGNDGRPGSPGPKGQDGHPGENGSRGNNGNAGRPGNDGRPGAPGKSAQGGRPIPGPPGQPGRPGSQGPAGPAGRPGNDGRPGQNGSRGQPGQSGAPGNDGQNGEPGRDGNSGGSGEKGICPKYCALDGGIFFEDGTRR >PPA11740 pep:known supercontig:P_pacificus-5.0:Ppa_Contig210:88353:89490:-1 gene:PPA11740 transcript:PPA11740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpn-7 MIIHLKAILLLLTGGGSNGGLILIREYLNAYYDCHYDVFFQSLAKLEESRLVLDRYLAPHAHFYSRGMRLRAYQQFLTPYKTVRIDMMARDFGVSRAFVDTELHSLIATGQLHCKIDAIRGVIEMNHPDSKNHLYKNLIKDGDILLNRIQKLARVINA >PPA11729 pep:known supercontig:P_pacificus-5.0:Ppa_Contig210:10871:14392:1 gene:PPA11729 transcript:PPA11729 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQRMPDRKDSESSSSSSGDDDHTLANDLVVTKYGMAADIVNATLKAVIAEIKEGVEVGYLCDFGDKMLTEKTAKIFKKEKDLQKGIAMPTCISIDNTICHFSPLRSDPPVKLEKGQLVKIDLGAHVDGFIATAAHSVVVGASADNKVDEKRSNLLKGAYDALEIAIRSLRPGTKNTDITTNIGKVADQYGVKAIENMVSHQLERNKIDGEKQIVQNPGEKLKGEVEKSTVEVHEVYAIDVLFTTGEGKARDLDTRTTVFKKADEVVYQLKMKASRVFLSDAIGKAGSMPFTLRAFEDEVRAKMGVVECERHGLMRPYQVLYEKEGESVAQFKATVLVLPNGLLKIAGLPLDVNILSTTAKLEDAVLISKLNEALKPKKKKETKKSDKEAEDKHTKQEHANVNSVTSLWKHRGST >PPA11736 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig210:65595:66513:1 gene:PPA11736 transcript:PPA11736 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIICFSSLLRVQLHFVQWETSPSWPDPLHSCTLHTLLYSSMQLTYHVELRSWEKS >PPA11738 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig210:81359:82236:1 gene:PPA11738 transcript:PPA11738 gene_biotype:protein_coding transcript_biotype:protein_coding MIICANPRPDDYEENQNVLSFAEESQSVETKKDVGRMETHNQAGIICRPPVPRKFYAGWNHEIDRCRPLNGLGEGLRSRLCVSDYEGSIMEELKVKEEELNERRTSDAALIKKLKVS >PPA11739 pep:known supercontig:P_pacificus-5.0:Ppa_Contig210:84253:85029:-1 gene:PPA11739 transcript:PPA11739 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIIPLAVVTGFWALVGILGPFVVRKGPNKGIIQTMIVMTAVCCWAFWILVFLHQLNPLIGPQIPVKTIRWLGEKWGSTTPN >PPA11731 pep:known supercontig:P_pacificus-5.0:Ppa_Contig210:19527:21315:1 gene:PPA11731 transcript:PPA11731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-24 MKLIQDKAHRIDTRITAFNVPPLNIITVDRGLIEMGATVFLRVRDAIAAVCQVQDELGHFTAQFGVEITEVELSDVKVVKEAENMGLASLSAVAKSEVGQQIWNVIGPTLDEMAKENKENEKGIISNGIGPSTIGDLVDLHSSTYEEDIDIDRLITIVNMGIDQSLVYAIGKVYQFECEGVDQIVIDLRKGLCYKGVAPSADVVLRIERKCLIPLLKQELSHSKLILLVN >PPA11741 pep:known supercontig:P_pacificus-5.0:Ppa_Contig210:91867:94185:-1 gene:PPA11741 transcript:PPA11741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpn-7 MPEAQKTTVTADTNEKEMISKNPNLELAQSRFLLSHSEVAKDKKEEIWQNLFKEIKEYDMAPLYKSICEELQVEVPIDATLYESMKSRNAKRIEEIEAEIEDAEQNLGESEVRQAWLKKSEYLCQIGDKEGSILALEKTYHKTVGVGYRIDLVFVLIRLGLFHLDHKLINENMTKAKELMEQGGDWERKNRLRSYEALHKMSIRDFSGSASLFLEAVPTFGSYELMSYEQLVFYTVVTSLMGTFYIYTHI >PPA11734 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig210:43928:45315:1 gene:PPA11734 transcript:PPA11734 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYGVRHWAKPAAPNIVNNAGYTPLTLATKLGRKEIFDEMLELMKVEFWRFSDMTCSAYPLSTLDTIRPDGSTNYDSALMTVINGNTPEHLDMIASEVIQRLLADKWKAFAFTNREGKIVHEGSQIRRLVFAKKIKKASEKGKKFEQLQLGELRTQGFFGYFRNLSHEIEEALFVFALPGSWMFLLFFARQGYGCETESPRYK >PPA11737 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig210:75811:77251:-1 gene:PPA11737 transcript:PPA11737 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGPSDEARTRLRADADRTRRAQLVDDQVQSQAVLDENTPHIHVIESEDSDDEIQGSAKNVSDNEEAPLIFENFLH >PPA11747 pep:known supercontig:P_pacificus-5.0:Ppa_Contig210:146712:151427:-1 gene:PPA11747 transcript:PPA11747 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDERLEACSRRLINESGLDAGLAFPTGCSLNNVAAHYTPNAGDETVLQQGDVCKIDYGVHVRGIREAGIDVRLCDIGETIEEVMTSHEVELDGRTYTVKPIRNLNGHSIGPYRIHAGKTVPIVKGGEQVKMEEGEIYAIETFGSTGKGYVHEDMECSHYMRDYDLAEEKIPLRLARSKGLLNVISKNFGTLAFCRRWIDRLGETKYLMSLKDLCDKKK >PPA11733 pep:known supercontig:P_pacificus-5.0:Ppa_Contig210:23333:23945:-1 gene:PPA11733 transcript:PPA11733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mec-17 MLCLLDFYVHYAEQRQGHGKEIFDYILQMEKIQPYQIALDNPTVTLLAFMHSKYSLSRPIWQNTNFVVFPEMFTALNSTKSPNGQKAPDGWWRPSTPRRFGGGSNETRWLDSAISGHPSKGHAMSCPVDADQTTEGTLANRANQARARKAHILSSKPLW >PPA11745 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig210:137488:138840:1 gene:PPA11745 transcript:PPA11745 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQRGRMLFRNSYLLHSILRPQTTAALSSPISNHIKSWVDAYEEFIGVSAVKDAQSQVMKWEERLSDAQLLRRDKQAELKNIQGRLKEIHFDLDRTSRGEDKVLVECSSFEGLAISKVAKVMAKGASTLSLRVESEFL >PPA11730 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig210:16619:18902:1 gene:PPA11730 transcript:PPA11730 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGHDTTATALTWALHLIGNDDNVQLVPKGTHLLLNIYLTHRDPNHWNDPEVFRPERFLPDNSARHAYSFVPFSAGSRNCIGQRFALMEEKTILAWIFRHFKIKSSERRFEVRTKMELIMRPQKEIHIQLEKRRSISH >PPA11746 pep:known supercontig:P_pacificus-5.0:Ppa_Contig210:139201:140360:1 gene:PPA11746 transcript:PPA11746 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKKIKELLPASQDDLKEVIVSAKEQEEVLRELLIGLSGVMDGKEMKVKNKDNLMEGMSNEKVLSLIREENARLVQEISEYTKKMKVERAMDDSEAVVYVGSEMERLLEQTEKNMESKMKLQTLLSVVFLYSALGLTVPVLWALFGR >PPA11742 pep:known supercontig:P_pacificus-5.0:Ppa_Contig210:114040:117960:1 gene:PPA11742 transcript:PPA11742 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEIQIHRGLSHEHVVKLIDYFEDDDNVYVILELCARRSLMELHKRRRGVTEPEARYFTYQVADAVEYIHAQKIIHRDLKLGNLFINNDMALKIGDFGLATTVDHEGERKKTLCGTPNYIAPEVLEKQGHSYEVDIWAIGCILYTLIVGKPPFETKQLKDTYERIKSNTYKIPCWVGSAARALITSLLAANPKHRPATNMVRKDVFFKGYLPNRLPTSCLTMAPKFSPDQAPPGVRCNCCVHPTLPGMSSSSRRAPIPIPPVSRPLQPHVDNVPRSSIRDHVDHHQGRVLSDPNNSGVYPRGAEDVCEAPEAMPVFWISKWVDYSDKYGIGYQLCDNSV >PPA11743 pep:known supercontig:P_pacificus-5.0:Ppa_Contig210:125209:129552:-1 gene:PPA11743 transcript:PPA11743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-deb-1 MIKFTQCVRALNSDIDRLTNELLDLERRGMGNTPHAASIRSQLKEKLRELSDFMRRVLTDRVVEDFADISTPLKQFVDASKAHPQQPDRERIFEDKAISLRDHSSSCTSTARLVATCGPSKNKKIVEGILDTAQKVDALTPQMAMRRYTNKCEDAISVGESQSMVDHTSQVARLANRVLMSAKNEADNSEDPTFNLRVNNAAAELHSAIPPMVNDAKQVALSPRDPTPANNWRHSNDRLLSSVKGVGDAIGGIQSRAPSRSIPPTQYDTRSYTESVSRGSPLPPSNNSQYIHHREVTAPTPPVIHNKVLIREEIPAPPRPPPPVEISPPPRPPPPPEVDDEEETRAFWERYPLPGASTQPILSAAHNLHQELRQWSSHENDIVAAAKRMAILMARLSQLVRGEGGTKKDLIDCAKAIADSSEEVTRLSVNLARQCTDIRMRMTLLQISEKIPTIATQLKILSTVKATMLGSATTIGPYGQPIDGSEEDEEAMQQLVLNAQNLMMSVKDTFIALLSEEKEESVR >PPA11744 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig210:129983:131482:-1 gene:PPA11744 transcript:PPA11744 gene_biotype:protein_coding transcript_biotype:protein_coding MPPALQRVQTASNLLNEACHILKMEPHSSPARKKLIDGARGILQGTSALLLCFDESEVRKIIRPCKKVLDYLAVAEVIEGIDDLAQFVKRGVHEAAENRNYLALRMTDEMNEIIRVLQLTTYDEDEWEADNALSAAKSLLTAALDWLADGKAQGGSVGEKAIRRICDYSERIASRALPEDSAAINRAIGEIHTITNNLCELRSSGRYDNEGLASRCAIRLKELVGTKESSGLLPQALSHSERIGGAHPAHTTAGRLDQALRWLDNPGGNDGGLGARAIQSLTADATKLAQYLNPQDRNRLLSLCSF >PPA11732 pep:known supercontig:P_pacificus-5.0:Ppa_Contig210:21958:22948:1 gene:PPA11732 transcript:PPA11732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-arf-3 MGLTISGLFNRLFGKKQVRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERIEESREELHKMLNEDELRDATLLVFANKQDLPNAMSAAELTDKLGLHNLRSRQWYIQATCATQGHGLYEGLDWLSNQLSKG >PPA11735 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig210:53553:54512:-1 gene:PPA11735 transcript:PPA11735 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSLKVDNNEEENRRNLVLPHTLNWTIGAKSDRNGIGLAGVLRNVYLNGNGLPLGLILFSD >PPA11748 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2100:149:1447:1 gene:PPA11748 transcript:PPA11748 gene_biotype:protein_coding transcript_biotype:protein_coding NGTVVVEMIKHMAQDLKTMGIHTIHLRTDNAGYYHSASVMATVSTIMQTTGVRIATWSFSEAQSGKSSADRYAAYCKTIMRNYMSKGKNIENHDDMFIALTSGKGLRGMSVHLMSVDFTPQPKTTLEEISKLGHFEFQGDTIHAWRFRGIGKGMSKSRLTGIVSSATFHTHGGKLSRSGLKTEDEQKIRKGEEPHYWFFPTTKITTPEPDDVDDEAASGIAPTPIDASSPKSLFVCNQCSSTFSRHASLLRHLDVGRHKIRPEKISMYDFALGTFKRVLEEVQQGVGIVLPESFKEFTEAPKNPRPKGWALRSTKKTGRYDPVARKLVDDLFEQYFSNGKKLRPDEAEKRMRERNDILPAQRMTFDQIRNRITTLLSQKKEHQRKEHGNRQRRYVKLIDDFERDLAEEGISLDDIEEE >PPA11749 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2101:26:457:-1 gene:PPA11749 transcript:PPA11749 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLKIPLPAAARGGRAPYALVAYSIHHPSLYIPLASTTRHVYEACMKAIDEKAEYAEKEKRAVIIAEESTPTETLKKDEKLVADLIKYTESDVVQKAFTDGKISHHRHPSDRAGKR >PPA11750 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2105:136:600:-1 gene:PPA11750 transcript:PPA11750 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKADVIGLCEVRRKEEGAIDLTSSSGTLYHTGRFGNRSAGCGFFVSRRMKPKVVRFLTISPRIALLDCRLPNNVLLRLVQCYAPCSNHSDDQYDAFLSELESVFRQVVPGQRKFRKVYRVIMGDLNARVGKALPGDTAIGKFGYGDRNDRGEK >PPA11755 pep:known supercontig:P_pacificus-5.0:Ppa_Contig211:17366:26350:-1 gene:PPA11755 transcript:PPA11755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vps-39 MYEAYVSSEVAFKLPVEINAITFHTSAKRIFALSKCDLHFYTPAKSGRRGYELENVAKRISRKPVQQLKVVDDADFLLTLSDQVLSLHSLTFPFPVKAIVRVKGVTAFTAHVDKKNKDFYITVASKRKFTILKWKDDDFVEVHHDKEILHQIAFKRDGKLSELSNCDLPIPTDNPLIITWSRRCLCYAIRDSYNLIGMTYNSETQAWSGENTKLFPVGDVPCILDISERKLVGFTRGDMVTLRSSSVGEKLHGTSPTLSQDVKFTGTLLAVVQNKNATINCMHNETQVVLSHVIPVNDGPYLVGLLPKGIVEIRSISPSYLIQTITLGKAVMLAPALSGQVCVASAFDVWLLDSHSNLRKNVNHLVNERHFDLAVQLADCGKLFNESEKVEIKSQSALNLFNQRKFEDAFKLHAEIKTNVISVLSLFPDLLPEKFTAHHSPNARDLAENEKKRAMLALSVYLAEARTQLAFSLEQHNKAKNGEKNGILLSKEDHDRAKTELQIVDTTLLQCYLKIRPMLVDSLLRLNNNSCSFEDAETMLRDAKQLRSLFILYETRRKHTMALSLLKEQAALAPNSDPFFHGIEPTVEYLQTLGNSHLDSIFEYAKWVLAVNPKDGLSIFTGDETSDLARNLDRDRVLDFLEEECIQAVIPYLEHVIYRWEDESPRLHEALLEYYARKVKELLKEYTHAFHDEAPLINTSFTDENVIRAGDEEGDLGAYRRAMLKFLHKSLSYSPNKILVQLNHYAFCEERALILGRLKKHEEALSIYTGVLHDIKAAEEYCRLYYNASDPIDSQVYLHLVRAFVSPSDPQLGTAVLVSPDADLPVPTPNIPQAIKVLSQHADKMDAVAALSLIPNVSSLCDLSASLTALVRATAQEAAATSIRRALCESACLRVEQRLKEVSATRVLITSNTQCVHCEKKIGTSAFVRYAQTGELEHLYCHEKSDRNKIQILRLTILDDMNYIR >PPA11761 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig211:35320:36015:-1 gene:PPA11761 transcript:PPA11761 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHLHSCCRVLWLFDAWTNSYFPSTTWYLQFDFIVTLTTIGIIAISYLGVGWRLRARQTTMDEHSVHFNKFPSVCSK >PPA11778 pep:known supercontig:P_pacificus-5.0:Ppa_Contig211:122634:123635:-1 gene:PPA11778 transcript:PPA11778 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGPSRQLSRDISTDSEFQGEQRLIDTLLSQVDERAIDSIIDIQKDSLRRFEKTNEMLYNCNQLAEKRLERAKKDMQRHKELIMGMKGDLDYIFKKIRQFKEVLAAKHPEIYTQVDDQFKEKRKEILGDDEE >PPA11758 pep:known supercontig:P_pacificus-5.0:Ppa_Contig211:30415:31041:1 gene:PPA11758 transcript:PPA11758 gene_biotype:protein_coding transcript_biotype:protein_coding MKEILMLLLLAVGSHAMRLQSAGVEGQLMCGMKPASGVHVKLWEEDSGPDPDDVLDEGYTDPNGRFSLKGSERELTPIDPVFKVYHDCDDFLPGKRKVKFKIPNSYITNGAEPKRMFPIGILNLETIFAKEERDLI >PPA11766 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig211:55472:57457:-1 gene:PPA11766 transcript:PPA11766 gene_biotype:protein_coding transcript_biotype:protein_coding MIISISHQNPDPLHVDPRCIVSHYHRNLDETKLLGLADDDAVQEIDDDPVAEEWKNYDEVKHEILHFDTDCPGCGAPCQTLMKPTDIPFFDTVIVMATTCDRCGHKTNEVKAGGAIREQGCKLEVKIEEPVDLARDVLKSDTCSLSIPSLDLEVGAGALCSRFTTIEGILNATKEQIEQQSKFFIGDSASSEEKTNLVALFQAFDDIVNLKRKDVTIVLDDPAGNSYIQSLTAPINDNRLTKTYYTRNFEQNEELGLNDMKVENYGELDGIEEEDEEKEEE >PPA11767 pep:known supercontig:P_pacificus-5.0:Ppa_Contig211:57983:59422:-1 gene:PPA11767 transcript:PPA11767 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGPGDVYAALSADDEEVQRPMEIESMCMQCEDNGITRLMCVRIPYYKAVILMSFECQHCGFHNNEIQSGEAVQVMKMLRNTFPMEHGTEIVLKVKEQSDLRRQLVKSEYASIEVPEVELVIPAKSQPAEITTVEGVLERVTSALQSEQERRRLLDPESATKIDEFCAKLNRLRELDESWTLKLHDPTGNCFIQIYPDIKALGLQEETSLGRGMGSSYS >PPA11754 pep:known supercontig:P_pacificus-5.0:Ppa_Contig211:9903:11787:-1 gene:PPA11754 transcript:PPA11754 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPNSTFKILGNILQQTKIPFELSFAMKGPKGVNWGTLAENGTYIDGNQADVESGRVDTVCAFYQMTPNRFNHFDFTIPVTESQSDVIRLISHGSYKLVVTSTSSWFVDNLKFSADPFFVELREALVFASADEAVRLVQRGDHILQMVEDSSVVEKMAAICFVLLFSEGLPRKGAHFILPKNSQWIPFLNEQITLTNSFNEYTYRRYFEERNKYIPRQCEPSWFSLASGTSTNLDALSEITGEGKH >PPA11770 pep:known supercontig:P_pacificus-5.0:Ppa_Contig211:67816:70398:-1 gene:PPA11770 transcript:PPA11770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-syx-18 MTVNIKFASVDQTPLFRALAKVKATKQGKVESIPSPSSTPIFSLLTRQLGEIAAELVDLMQLVLTKRADYLAFNTFHPVMSEGQRDRFDNDTLKALTGLKTLVNTTQERIKVEKMRDEENEHLKAVADGLERRIKSIVDICGQMRTTRLAYLARRKKDFRLSHLVQEKKAKELESGMSPVNSFNSLLAFEKQGEQEVRRRKIDTEDWDGFEKESAKENETVFEEMERREREEKEKEERKKVLTVEELEHTSSSDDPTSDDAERVQLMEENERTYERLAHVHKEMDGVEMQVAEIQRLQETFAEKLMEQEQDIEILHEQAQNTTENLREANDFIREAITNSASRRVVILFCIVVLTFAILWAMHI >PPA11782 pep:known supercontig:P_pacificus-5.0:Ppa_Contig211:138639:145045:1 gene:PPA11782 transcript:PPA11782 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVPGKWERGVAPSLTQDVRKSKFASSDTQDSRRSMDRTGDSSQEPRRPAYSLGISTEQHGSGVLHNSNYKDVYNLTDDPLKVFGPSSMATTLDGYVNNPRCAPASSPSTSNQSVASYIHSVLDNPEIADAFTKKQEELKQAQSQSPVQEKSIGVLSDIRVQQQPQSQSVPLSDEVLSTVPQSTSEQQQCASLASPPHSIPQQPTMGTCAANEVRRSMANVNVQSSSDPEPQSMQQSPDTHFLELLKHEQDSENNRSLAPDPSEMNKLFTGHTGNSKGQSTLPPSVVAEQAAANAAAAATMMHPPPVPYLVATAESTSSSIGLTQLAPSDSVVFSPDNLSIRTCESSSAESMLTPITEASRETFGTMSRDFSESDAPGLAHEELVDAVFDDVNESNQNRPLSQSTTRGSLASQCTPNERDLITPLPSLSDESVISRSSQGTPCEYDLHLTNSYNSSDQSGSHGREQMQKQADTPSVSPTITESTVTDNSVKTTEVQVMGVRRDAEDSNQMHSSSIPPVTVHSESDWIVDNPSPVTKEMQSQTSPQMYQPTITEVSIVGAPVPSHPIQSPNVPYVEMQQQAYARLFVQMAQHEVPAESTGFAPYHPPPISHSTMMNQSPSVNCPVTTPKFAPPSQSTQQTPIEQVSSAPFTPNAPETVAPMGNSTTHQNENAMNVQQNEQSVPMIQQQSLMNQTEMTTHQQPMNYSANGQVEQQIGTPIDQQLNVICTEPEVKELKTSCGIPYKFSPTTTEVEEMRNMTSAPSSSMENSQSMQQQPPQEIQGGGFLEGFLSQIGSTGAAMGGEVAQDAVSAMQIASAEHSVPNTAWTSAQSVSKEEIDEHAALRRQEASDRGDASEIGSMSASHPFPETYSEVNTVPSTALTTPDHNGQPQFDYNAPSGNEVNLGGASVEEKNEVTQMLSHSVSATSTKGEEWGNQSQQQQSSFHQMHQSLQYKEFVPQGQPTATQVSGESSPQQQQSIPEFIQQHMQHSGIAVSQQSPSNEWVANTTEWNPQQMQQSAYSAAQHPLSNQSQPNQQQLQQSANMTPQQSPSIPVYVDPFSQQSNNQENQQQGHAFSDRGFTQNTIGKGMEEDAPPCFGTGGGNRVVNVQQSGGHGGGMNDNRNHGLADNFHSQGGQNQNYGRGGRGGGQNQSRMFTNSHHPGRGGQHQGHGGHNQNHGFNQGQSHGFNQGQGDQCQTHGFNHVQGGQNNFHGLNQGQGDQNQTHGLNVEQGNQKQGGYFEGGRGRGGGRGDRRDGGRGRGGDRGGRSGRGGGSFGGGNQQQQQTVHGQGSGFGNFGGGDNEQQKIDQMNQNQETWYLRSTRG >PPA11751 pep:known supercontig:P_pacificus-5.0:Ppa_Contig211:396:643:-1 gene:PPA11751 transcript:PPA11751 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVEMSGVNGEDIIPRTFTAEEEEELLAGDGLEKKVEESKKKMLLAGAATGVLTSAFIIITLHFFFSSFPHI >PPA11753 pep:known supercontig:P_pacificus-5.0:Ppa_Contig211:7762:9066:-1 gene:PPA11753 transcript:PPA11753 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRTIIRGSTYWIKYENAKKANALTEKMYDQINSALDEANEHKDTTFTVLTGEGNYYSSGNDFSPAEWDTKKAASKDVEIGFSRWVRRLIDHEKILLGLVNGPAMGMSATTLGLFDFVVCSDKAFFYLPFPILGVGPEAGASVTLPAALGVSRANGLMLFGERLEAVDALKCGFVGKVFPHDEFEARSKEMVNGFEKLPRHSLLASKSLIRGPVWREKMRGIAESELDTLRKLFASEETQKRIGARFEKKK >PPA11779 pep:known supercontig:P_pacificus-5.0:Ppa_Contig211:124505:128536:1 gene:PPA11779 transcript:PPA11779 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMSSDQQQRQQNSRNRNRNVNFYNSNDSRNPNAPSSSSTTTNRRNNGNGRRSTDRETPSMSRPSVNSTPRVYSVMAFSPMEDDGWGEPDPTYWEKKERQQAEKERMRNFYKTGVYRQPQHLRARRMIAQGASRPMANGLSSLEVIPQADWEPIEPQMGWGIAPDGDYDDYGRVRDRSDDYYAVEAQSASEIVPTHEFHSISFVDLGYGTDKDSGVTERDASDRFNHFRRSRKVSEIVEERREEKEQDEDTSLAILKVYRNDLLMETIISNITQLSDRSLVEMASKRMFNLSKNAPYRFSKGGDHLHIHFTTFDDFATIQYGSVVRTVPILTMDTRRFDKMESRMTIALKRILENIGSRFARKVRKITLGGTTEFYSARGVPHHHLILTHDFLSYLLHTFGCVSSLHLINCGIDDGAIDLCDTPLWKDAMARMESIELRTVWADTPNRLPFILNSISDNLEQFTLTRFTCRRMGAAMLDQLKKANCRLKEMELVIDEDSAE >PPA11760 pep:known supercontig:P_pacificus-5.0:Ppa_Contig211:32681:33773:-1 gene:PPA11760 transcript:PPA11760 gene_biotype:protein_coding transcript_biotype:protein_coding MKFALVFALFGCALAMRDQSIAVTGKLVCGQNPAKEVRVKLWEEDSGPDPDDLLDQGYTDTEGKFLLSGGTTELTPIDPIFKVYHDCDDGVLPGARKVKFGIPKSYITEGKVPKKTFDIGIINLETIFKNEEREMIVSKRSIRARRGGINEEMDDTAILEQEQKEAEEKRKISVSKKDSSESDSDERFF >PPA11756 pep:known supercontig:P_pacificus-5.0:Ppa_Contig211:27829:28662:1 gene:PPA11756 transcript:PPA11756 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLILLLLAVSSLAMRQQAVGVEGRVMCGMKPAEGVHVKLWDEDSGPDPDDVLDEGYTDKFGNFRLQGVETELTPIDPVLKVYHDCDDGIMPGQRKLKFKIPNSYISPGGVPRRIFPVGVLNLETIFPGEERKLI >PPA11769 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig211:64713:66057:1 gene:PPA11769 transcript:PPA11769 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDAGASTPNSKSYSSSGQAGGKPRRRGISPGNLGGLGTFRKATVVVKRTPYPKALTLALNDYDQYREATENMFGCLLNLIQPNGMYRGVTGTSLILKPPEGQEPHEALYAALAAKPMVLNNQPKLQEDLDKSTAVVGKMGEEKSAFFKAAESKMAHLSFFVYKFTVDFEEARAVMEKSRDSVDSASNALKRAGKNTVSAAEVIDCNPTVISLYRTPQSALNLAAAEYKKAVEAVLSLLTAIPELKKKHYIEIEDVVTMLVDHNTEMIKCLSDVRMADPSGTSKAPNTG >PPA11757 pep:known supercontig:P_pacificus-5.0:Ppa_Contig211:28943:29601:1 gene:PPA11757 transcript:PPA11757 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQAAGVEGQLMCGMKPASGVHVKLWEEDSGPDPDDVLDEGYTDANGRFSLQGSERELTPIDPIFKPGKRKVKFKIPNSYISAGSVPKRMFPIGVLNLETIFPKEERDLI >PPA11759 pep:known supercontig:P_pacificus-5.0:Ppa_Contig211:31528:32378:1 gene:PPA11759 transcript:PPA11759 gene_biotype:protein_coding transcript_biotype:protein_coding MHNFKLMKYILLALALFGCSLAMREQAVGVEGKLMCGQKPAVGVKVKLWEEDSGPDPDDLLEEGKTNQNGEFSLKGYERELTPIDPIFKVYHDCDDGMKPGQRKLKFRIPSSYVSQGQVPKRMFPIGILNLETIFPGEERDLL >PPA11765 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig211:54083:55096:-1 gene:PPA11765 transcript:PPA11765 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFDEDDIRKELEEMGYTPNRKLIADLRKAIARADEHRAADENYESTHPFLGLDIESHRPMREEERMAQMMQSPLITKSKGRNPLRWHPQIPHPIDTITFADPSLDGLMEKAYKCVERTYTLVDEVEDMAP >PPA11762 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig211:37585:38506:-1 gene:PPA11762 transcript:PPA11762 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLRQAALNAFLSGRNDTREKFWLWFVLALTQIFMDNVNSFYNFKSEASCPTCRGRFCMDDRVK >PPA11771 pep:known supercontig:P_pacificus-5.0:Ppa_Contig211:72779:73289:-1 gene:PPA11771 transcript:PPA11771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-snb-1 MRAGDAAGGSQGGRPQNKRLQQTQAQVDEVVGIMKVNVEKVLERDQKLSHLDDRADALQAS >PPA11777 pep:known supercontig:P_pacificus-5.0:Ppa_Contig211:117968:122456:1 gene:PPA11777 transcript:PPA11777 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIRTSEDNRPTCAFEIEDMAIIQDLVICLCWSCLAEESEAFEVHVFDLLTEAWRDDVKFLCVDRQFRLAYIIDISDMWIDFDSCMHVDGIFFSKNLERIFFYGDFQNKGCVREAVVADVSEQVGYVRPLASLETLAIWQRADEGNAVVKVVQRGDKFFVLEVGENEPAVMSFDMTTGVPAIEGLLVGDDRIKDAYPQKGVADPHHPSLSWTIQFNVMGESRVRFARWRANKRLHELIHQADIREADPFVCTGDDLIFLNNRNKSFYRISLQEIHGKADKMQSVVRRLDYLAHKVVMRCLDPKRKPEMNGLVKEHADMSGSNSEPLPFVRKFGGAAGGGVMESRSLTSMASEQTRKELEFQNAANVDVSGVAIVKPTVTGACRRCGFPGHHTFQCYNTLMPLVKPESKPLVDISSTSSDESDDDSEMIKEKKKKKHKSKKDKKEKKEKKKKHKKEKKKKDRKRKHSSSNSDSDSERERKRRKKEKKKKEKKERKRYSSSSSSSD >PPA11764 pep:known supercontig:P_pacificus-5.0:Ppa_Contig211:49535:50714:-1 gene:PPA11764 transcript:PPA11764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hyls-1 MINNSASTIRDKDERLEKRGKKGTMNEELKERVDRIRERRMGAARKQSSSSAVEVESTGGRTIEGMETLPGAVGLEGGVRPVLVPEPGRRPFRFDPVNRIGIYQQASLDDDDDDDELGAEWKRLPAPGEKKRLSLRWKIREQLLHRDVPRFNREDPTAALQVPPLLHEKDWSPRPYLD >PPA11781 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig211:133384:136076:1 gene:PPA11781 transcript:PPA11781 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDNVKPSIVNKSNLLDRDYDKKYSYFFNHQVERCLLEQHKVLGSKLAKMEKEVEMAYDKNLVELFGPTAQIDSAILQLMFNSDEQVNLDGLIEDLRTLATKDSPKDVTRLLDLLLVTNRKTLLTEEQEIRKAPYDLYNKELKGKFDSLTAFTNLMTSASVNSSSLVLMFSMMASGQDELINSALVYMAIHLERTWDALVLSRYLYGSDHDVTRKVYDAWKSSVMKETDKSFRMIKMSNSFDENNLKDVARSLMEGVTNKQDPRDLLYAYRCLALNLERPSPLPALARQLEMAEVSNLLRSIFVTLLSWGKFDSARTLVADLSKRARPMDMPTEVCEIGIRCHLELTEILTKLRVVDDSEVPTSEAPLKQLAVKWRGEINREYSDMSKLKTEIPGQQTVVYQWLSQLGAVLRNPILAVDFENVNMYMQCILISSEFYHEMIRWAATNKDEEPLLLRWPTGIPSFNINDDSIIMELISRCRATSVGSSTKSSLPFKEDGKKQSKKGVSKEFKLAYE >PPA11768 pep:known supercontig:P_pacificus-5.0:Ppa_Contig211:59936:64235:-1 gene:PPA11768 transcript:PPA11768 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVVEDQSSSSEDEVLQIKTLTKKQIQDINRRKQKNKKKKASKKSAKVVRNEEVESADSGVATTDGDSGTEKDEGAEKKDETEKEVEPKDEDILADFDIEYVGERPKIEDPTFLQFSAVFDKFVITPESLVKKTEEQLKADHPVESKGGNRNDDERVREREEILKSEMKHEEHKLSKKKLRLSMQPSMAKLKESTARPDVVEWADVTSRDPFMLVALKAYRNSVPVPRHWNAKRKYLAGKRGFERPPFDLPDFVKRTGIQEMRESLWEKEDHQSLKSKMRERARPKLGKIDIDYQKLHDAFFKWQTKPEMTTMGELYFEGKELEGRMRDKKPGQLSDELRIALGMPVGHNATKFPPPWLIAMQRYGPPPSYPTLKIAGLNSPIPDGCSFGYHAGGWGKPPVDQYGKPLYGDVFGVNLMPDVDEDEEQRLNTRYWGEIGSDDDSSDESEPEEEEEGEDREKADMDGLQTPMTDGFATPSGMSSAVPTGLDTPDTIELRKGKRGDESSLGGDTPAVAYHVIPEKKVDRIGGQMMGSTHVYDLSKKQGMTEGGVEVNIDPEDLEGGLDKKGLEAKYEEQLRKQQKSREDEGEGEDCSDLVAEHNARQGRKRKAQEEKKNKEQNKKYKDFKF >PPA11776 pep:known supercontig:P_pacificus-5.0:Ppa_Contig211:115928:116924:1 gene:PPA11776 transcript:PPA11776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dhs-17 MADEISRRFPALNMLVCNAGVLQPRRTESKDGLEVTFQINYLSHFILCNRLIDLLAANGPSRIMVIGSVLHSWTPLNWSDPQAIKNYEKYGQFARSKLMMHMLAMALHRRMMLDNKNISVNVIELAREKRESNRSRSASALSTSDSCHSMTRSIGGLVTFIESPACDRMSGKYLDAHGKQIRPGADATDERQQERLWTYTAELCKAYL >PPA11752 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig211:3481:7318:-1 gene:PPA11752 transcript:PPA11752 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTRGLSLLNLPDLSFNLTAAQSNPFHAQLNPTEFRLTPDGNRWLQRYAGIGGVKGTKECFIAHFNEPLSSPISSKHSILLHSLTAAYDVISHLVCDPNDFILTPSPFYARIRSDCGERSECRVKGVPLDMDNPRLDIAHFQNEFDRWNGDGGRIRAIIIMNPHNPLGNIYQKEELIELCEWAMKKDLFLIFDEILSEIIYSEEEKKSFHSILDLLDLLSKPELIVWMSSLSKDIGIPGVRTSLIVTHSQSLLRSLIRLEALADVPAPDQLIVQHLLKDKDWLSSIFSTTRIRLSAHSQFIVSSLESIDIQCVPPKAGITLMADFSKYLPSQSTEEEDALHLRLVKAGVILTKGGPTHAPSPGWYRIAFGVPKEELELGITRIYELLVPGRKVEGEVSYGQ >PPA11772 pep:known supercontig:P_pacificus-5.0:Ppa_Contig211:79962:83759:-1 gene:PPA11772 transcript:PPA11772 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGSSIAVPITAAVLVATALYFFYKKGCCGACGSKKSKGTPTLVDENTKYALPLAEKFEVDGKLVVRSYTPVTSDDEDKGYVDLMIKVYFKNTNERFPEGGKMSQHLESLKIGDTIDFRGPSGKIVYEGKGTLAVRPDNKTPPKKRHFKRFGMIAGGTGITPMLQIIAAVLRDKEDKTQLTLLFANQTVEDILCREELDKLEADNGDRFKVWYTVDRPPEKWSYSKGFIDDKMIAEHLPAPADDTAILMCGPPPMLNFACTPNLDKLSYAPDLRFTF >PPA11780 pep:known supercontig:P_pacificus-5.0:Ppa_Contig211:129569:131352:1 gene:PPA11780 transcript:PPA11780 gene_biotype:protein_coding transcript_biotype:protein_coding MELYCLLKEVASRTESLRITVAEIGDGSQQSPKCFSFLSRLRDIPNLTELKVYVQPKNTGQFEAMVTLFRDFEFLTSLRTLHIEGFIDQNWLTTEMIYNLADAFRAGMNYLEKGAYYNLWESMASSIGTTKTKKLSLTSGRLEDKHLMRIYKSINKVAEEVYLKNIRRVTAKSVTPLVNGEFPRLRKLEAEIAVEPLVLYNLGEIDKMPDLQYAKFALKRRISDNDHHTKMLRTLFSGVFLDQESPRGPLKQFVEVHHRIPGAKRPPPFLEAESAYDRMRPANNRAPRPFDYRTAGYGNQSRRQPPKLLYGEWE >PPA11775 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig211:99342:108481:1 gene:PPA11775 transcript:PPA11775 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQPMAEVFAALQDGTFLEKLSNFNDEQIRPFLPSLFISTFMSVDNLETCNESVLSRIVSFEDANRLQSIAAVPFSSFEQKLHAATNYNAMINDQLFRSDQEEDKVYQVAMKLIAPENEDDPSGDVIPFISEDITDEAALIFSFVVQLCPSLCTARKLAFMAVAYNNAPIVIAKTIANNPELFYPVLHELCDAKLARIVEESPRGRQRTYIFALLLSLCPGAGEYIVSNLLETKADAPLALKLAVEMLNDESLIALIPPEIINRSRPLAQLLHRSAARQRILSLYRRLAGMAVAFLTDGTHIEDTESFIICLCTLYSSSSYKPTPEDAELLVSYLITSSIAHDCHLIVAVAIIMGIPTVNMNNAFTERIVKWLSSLREMCANGGNSRYTLSHTLLFICTCLTTKKTEQLVPFLANIIKMKVMVPPRQIVFLQSLIVDAGFTERELALMCTRLPVTCNLSADSSDVSIPAYCVNELLQGNLFAKNGVEIKGWMERQLRECSTPLHPLVPGLLDRLAIMSVADSVKTISTQFIEEAFAGPLTAEDTFTRRIVCTFFLLAYRLHYDLARATDRVTQRVLLYPDTMYTSIPLRYLLGVVEHLDSSYSSIRGWLIRVASELFPYMQPSTESVEIVRLSLRENGRQKRINETELERHLQGSNPLTGMRKLEAAGLSEQFRLLPLIARCTLLSLQKGASRECVTVSTRLWLKMESVVPRSLIEITAREWLTAGECKEIVPVEKIYEIPPLLFRVHRKLFSSPAHLECFLRALSFFVQAGKTRLGKEINVCPERDVDLACDRSRAYHCTRTLPSQLVRWCSGMRVASTGGSCRDFNKVDRELVSSTSDHSQAATIVHALVEIIDPRRFKENEGSLCLVRLDELTRLACEEIHQMFITDRQLLKLVLWQMLPLHLLPTLVESIPSMHIALSEVNEMLAIPGERSLERRLFAVAVTAQISHKYRIVQSAKTMELVVDVLHTMLRHCPTAVHLSLMQRVVPWLEQTIEVFPQLAGDITQILMTTSAIAKSRLALNPAAISTSTPLETRLTHSIDESITRAMANVTV >PPA11773 pep:known supercontig:P_pacificus-5.0:Ppa_Contig211:88823:95557:-1 gene:PPA11773 transcript:PPA11773 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSTAEDSADRNVEIWKIKKLIKGLELARGNGTSMISLIIPPRDQIPRVAKMLAEEYGTASNIKSRVNRLSVLGAITSVQGRLKLYSKVPPNGLVVYCGTIISDEGKEKKVNIDFEPFKPINTSLYLCDNKFHTEALQALLADDSRFGFIVMDGNGCLFGTLQGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKVAETAVEMFIKADKVSVAGLILAGSADFKTELGQSDMFDQRLQAKMIKTVDISYGGENGFNQAIELSADALANVKFIQEKKLIGAYFDEISQDSGKYVFGVKDTIQGLEMGAIETLICWENLDIMRYKLKNGATGEEKVMTLRPDEEKNFTDPATQTELEVVENMPLLEWLANSYKGFGAALEIVTDKSQEGAQFVKGFGGIGGLLRYRVDFAAGADFEEEDLSGHEVVSGEGVETSSISASSTEKELGNAIPSKPLLFVKTSNALIEQGEKIRAPPGCVNLHQEAELAVVIGKKATNVSRDAAYDYVRGYTVALDMTARDFQDEAKKSSGPWFLAKSFDTSCPIGEFVSKDKITDPHSLEIYCLINGVQKQRAKTNLMLFDIPTLIEYTTKFVTLEEGDLLLTGTPAGVGPVKSGDVIECGLKGVTKATFTVA >PPA11763 pep:known supercontig:P_pacificus-5.0:Ppa_Contig211:45570:46152:1 gene:PPA11763 transcript:PPA11763 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGREGIDTNLYDVAPGFMTNKRSEFEAPRGFRGMRGKRAPFKGLRGKRGGENPFEMPLEY >PPA11774 pep:known supercontig:P_pacificus-5.0:Ppa_Contig211:96554:97561:-1 gene:PPA11774 transcript:PPA11774 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKVDITQIIDKEADVVLNAHRKASFKNAKWCYDKDPEAVCTGEKLADAGFHYTGNRDDPSAATCAFCLKEMIFDPTDDPWEEHVSHSPDCFFVRFNEQDENRLTMEQFLKLIAFRKSNMTVKWLEDEIEKFKAATSYVENMKPK >PPA11802 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig212:71189:74380:1 gene:PPA11802 transcript:PPA11802 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLILLLSLAAVVSAANDFKSYWGTMKELQLKLFTDYDNSLSPFSTKDANYTWNAEGGQASVRLVRSKLLSVHEPEQQFTAATGVLMEWTDPRLTWDEQLYNGISHLYMKRSRVWMPDIVPCESTGLETVALFDTNNAKIYSDGRVETLVYFFATHNCEIKGTGEFDFTLFMPRPSFTMASTDDKLPFSSVMFHFVISRQPQFWVSLIIIPTFFIGMLVLIGIFFGEEGNSLNEVRRSFSLASLTGRFVFFDIIIVVVAIVVILFADKMRRKLKRLSEEKLKSIERVLGLVFKFYKRRLAVGQTLRHQQQDRSILTLHRLLRSPCRQSDNDAD >PPA11797 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig212:57356:59411:-1 gene:PPA11797 transcript:PPA11797 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSNAIQLLYGIPGVLIYFVVIYAMLKMRKIIGKSFLEIYVMTAATILSLRKLTLIRRTAAVAKEISMFLISFCIFLAQVLNLAIVVSYNFIFVFDQSSGSPIRSFLFIVTEVMAYTSDAFSIGPAFWFYDE >PPA11787 pep:known supercontig:P_pacificus-5.0:Ppa_Contig212:25561:29339:-1 gene:PPA11787 transcript:PPA11787 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGIAFSTPLLKDARWHQKAGNEDVRLFTFAYRSLLPFNVEIDGWIPVGDGAELPFLDEYNATAGDLAVANYMGGIWAYFARLANRFVYQRASIPASHMNYVEIGDSLTIKRNWQQKADDVFNHVPEWSDLVKLGVTKDMAPMSERTWQDKVASWSEEGFSAAEIVSKSRVLRLLKKKYVVKKAYTPSSIVDKHKIGPIFKFVFDSYLEDNQKEGSKVVEEINALFGEKLSPNIVKRIREAQGLGSDGVRYGHSVRMVNRPPRLAFCTHHLSVGTMFTHHVFTDESMVQAGKRGRFCYVLKGDTSSRVKPRYKHPPQLMVWGGISWEGATPLVLIRKGRNVDGPVYQSMLHSSYLKWAEKKYGDNAVLVQDNARCHISASTRDFFQRAGVQMVWALMKQWLRYKKRTNTLKDLEDGINEWWSTKLTKELCRKLILRMQKQMKKVVEKQGGPVYD >PPA11813 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig212:103623:104046:1 gene:PPA11813 transcript:PPA11813 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVFQASSAFESTKDAVERAGEAVADTADAASEGVKAAAQGLADSKPATAVSSFVTHFRHSSWRGQATKEAAHSAGEAIAHATKTHND >PPA11801 pep:known supercontig:P_pacificus-5.0:Ppa_Contig212:66670:70687:-1 gene:PPA11801 transcript:PPA11801 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMQINVSRSQLNEWDKNDKIIIKFLDCFDGCQRNESCKAFGYIIPTEWCRHRFGEEFPNPRKINEIRGRRRDDCLTTASQPTENSTDSTLERSYDPTSETTSKSTPEETITAPTNCMLESNMTLSDDSLLDIRSKYDESTRYETAIKVLKRPKKCDCGVDRLRGISMNEKLGPLKLRNANSTRINRGYAALVHGACGAIKPIYEYEESKSVNASSYVYSDDKGLSENGAVLSNKTQPVFYCRHLHGQDFPNPRKVNEIRGRRSDECAKTSTTVSTSTELSTKSTTTPPNVTSTTTFPDPDFEPPSFLIYNKGQNDEETTPEVTTTETPTSTSTPLRSMNLSVLDRLVSTEFRTCGAAVPIYEYQKFTGEITMHHEEHANNGESRSIE >PPA11791 pep:known supercontig:P_pacificus-5.0:Ppa_Contig212:43244:44839:-1 gene:PPA11791 transcript:PPA11791 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDPVKSTMGTFGRRRGPMNLASLTVRTSATPSCPTRDLWTRCSWMRNLARRLPSVRPEEAAEEACEEACVTVEVVVDLAETASRLSTFFSLLDFTHCLH >PPA11784 pep:known supercontig:P_pacificus-5.0:Ppa_Contig212:9699:11747:-1 gene:PPA11784 transcript:PPA11784 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVTRTFFVFTLLLPLTSPACLDCGKTGTTAKRISMPNHSVFTRLGSLQLQSIFNVTKTINDEVCAQQCDAHTECAVFEFRMTERLCVLARAYARLSDDLAKGAFQSIIFAKVVGTFTKAIPKERETCLDKAEHEEYVKNEVEKWLKTSPVQTTTATASASSDGGTLGAHSTVVAPSSSEPENIDVTVEGTSRLVSGTFQSLDSGTASTSVRTTTSASTTTTATAAVTSTPVSSFTSSSTAGPRSESSTVGSTATETEGGEGEETKEPGESLLYDWRTSEAPAGSTEDSSAAATAETSQPSPTPTYVAEDRSSVEAADQTSTTKGGDREWLIKSTFYHNYLRVREGSLVQMGEPEMWTFQEKEGKFAIVSSKGSFLRAHEKSQVDLSEELTIEALWTVILNNDVILNNDGTVSLESFNGRIGSAEQVGNLKAALKGVEENFVFE >PPA11800 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig212:64234:64879:-1 gene:PPA11800 transcript:PPA11800 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFDNSTNAYYVHYDRTANYNSVFSFIVELVFGFITCLICSAYNIFSLRRLLILRRRHSISNAEVSFFLISFFIFLAQVLNLAIVLYLAASWSDQEMYHHETWKAH >PPA11796 pep:known supercontig:P_pacificus-5.0:Ppa_Contig212:54946:57336:1 gene:PPA11796 transcript:PPA11796 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAMQDQLNKRFDHALFGLKPKHAVFSAHPTINDELPNRIASGTIRVKPQIESFTENGLRFVDGTAVEHVDTVHENELDAYQFMYPSVLDHTTLGLIGLIQPYGSIMPIAEMQARVFCDVLSGGSKLPSKAERAAHIKETREHMQQRYSASRRHTIQVDYLLYMDQLAALINCLPPTWQSLLFSDPKLAFSTAFAPHASYFYRQRGPHAWSGAREAIMGIEDRIVQATDATAKGSAFNALKSQAMQEITMYIIFLVLLILALIH >PPA11803 pep:known supercontig:P_pacificus-5.0:Ppa_Contig212:75769:77979:1 gene:PPA11803 transcript:PPA11803 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLLLLPALLLADKTPQNTHDNPWGEYAAECLVDKVPDLWDESRIEKTWYKIDLDAEEHDRWREVATEFSTRGPQAPPTFV >PPA11809 pep:known supercontig:P_pacificus-5.0:Ppa_Contig212:97584:98473:1 gene:PPA11809 transcript:PPA11809 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLNIINGNFELKIGTSESSLYTLSHHYFELHDYLEDNAIPEQTNSAANSASNKMNAAANANAISVEASAYENAYENAKERANTAAEAVSGAASSVYEGAKDRLNSAGEAVSGAASNVYEGSNGGWRSDFEYGTGGPARHPGEDISNAAN >PPA11816 pep:known supercontig:P_pacificus-5.0:Ppa_Contig212:111903:117349:1 gene:PPA11816 transcript:PPA11816 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLWMLLALVCSISTTGAQELTVGQIKNMLCQVEQYMENNNLLNAGSGSIKIAEEALYESLTCLCTSGFNTSCILRDCLSITNQNAPNSSTLFCTKFQQCNVPDIGVQLPNPVVFATCVATQGLGSLGKTIVGQLGNVLGGVASTAANPVKTVGQAVGGAMGTVGNIGGTLIKDMGAQLGDEWAVNEAVDFAPALELESDLPEVKLFGKWNLQEVNVADISLVDYITVKEKYAKYLPHSAGRYQLNRARQRRYHVGPVKTTRIGRAGTVRRQSVDVAPFRRVNQAMWLLGTGAHEAAFRNIKTIAECLADELINAAKESPNNYAIKKKDEPERVAQFNV >PPA11811 pep:known supercontig:P_pacificus-5.0:Ppa_Contig212:101469:103054:1 gene:PPA11811 transcript:PPA11811 gene_biotype:protein_coding transcript_biotype:protein_coding MSDADCVYERTKAAVDDAYEKTKEAADGAYDVYEKARQELHEKASIHLPWNEAKENAAEHLKEDERKEREAAEKTSSMLDDATSTIKHAGDAMADTVSSALEGTKGAVHWVEEAVTNTASAAYEKAKDVVKHAGDAVSSTVSQMGETKSNPDLEELSKHLEFKTELD >PPA11814 pep:known supercontig:P_pacificus-5.0:Ppa_Contig212:104703:108900:1 gene:PPA11814 transcript:PPA11814 gene_biotype:protein_coding transcript_biotype:protein_coding MYTRVLFVFLISVVLIMSTEDNTVYDKTKTAADDAHRTLGNAGEAVSNAAGKVADGGKGAAQGLADSVPGKSVKQGVHKVGEALSNSALKNFRTK >PPA11792 pep:known supercontig:P_pacificus-5.0:Ppa_Contig212:44979:45385:1 gene:PPA11792 transcript:PPA11792 gene_biotype:protein_coding transcript_biotype:protein_coding MNYYSINKSFTDGIVPHMKLGYHQPITDYYEDLMKFDKGLSITREKFLQAPVQYKDLVLLTDEPWNLRDFLFYGVVLGVVLYIVFKIVELVNDRRTRAEEYARLESQ >PPA11799 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig212:62220:63337:-1 gene:PPA11799 transcript:PPA11799 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGTTCLSIAVSSTRDTIADKTLPYSTHNKHFKSEQEYQDEIKSSAQEFSFFLISFCIFIAQVFLYTIILAAPLPPNKKAEIDETNNPSHLIVTSDHKFRAKTAAVFYDI >PPA11795 pep:known supercontig:P_pacificus-5.0:Ppa_Contig212:52554:53888:1 gene:PPA11795 transcript:PPA11795 gene_biotype:protein_coding transcript_biotype:protein_coding MILRCNYLEEYAKHFKLAGHIKLYHKVLNIERHSDYAKTGKWTVIVKDLKSGTQSTEVFDGVLLCTGHHTLPYWPKEWKGQREFKGKMMHAHSYKDYKGFDDKVVAVVGIGNSGGDIATELSKVAKQVYLVTRSGSWVFNRVFDYGRPIDSFLNSRFYAWLRTTFRAAAHHQSE >PPA11815 pep:known supercontig:P_pacificus-5.0:Ppa_Contig212:109919:110520:-1 gene:PPA11815 transcript:PPA11815 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLWMLLALVCSISTTGAQELTVGQIKNMLCQVEQYMENNNLLNAGSGSIKIAEEALYESLTCLCTSGFNTSCILRDCLSITNQNAPNSSTLFCTKFQQCNVPDIGVQLPNPVVFATCIATQGLGSLGKTIVGQLGNVLGGVASTAVNPVKTVGQAVGGAMGTVGNIGGTLIKDMGGLLPLGH >PPA11790 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig212:36947:41632:1 gene:PPA11790 transcript:PPA11790 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIFFLLLGLPLVACLTKFPIVRTSYGAVRGYEYEASNGFIGEIYKKIPFAAPPIGARRWKKPAPPEAWNYTLDGTFAGPACAQIETDRWDGYVTGFSENCLTLNIYTSKECRQSNASCPVVVYLHGGSLIYSSAVHYPDDTLVVNYPTQGVIMVTIAYRVGVFGVMALGDENALPANLAIHDIMESLRFLRREVHVFGGDKDQISVMGHSIGANLALFMTYSPAVNKAEEPPLFARAISISASMNLFTEEKQVSRSHAVATHLGCQGTAVEIVQCLLPFSTDEIIGAASAVGGPDQNSANQLNGITMAGELYPFPDNQEFLANQREHMQQLVPFPPTKMLIGTIVDEFRLKILTVNESLNEAIDTLGVHLFQFDYPQHSSHADDFFYLLGVHPFEKDENEEWLSRVYPLYFTNFIKGLPPASGPGKEHDGHVWPSERPDEPRVSHRPRINSFYLSI >PPA11788 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig212:27434:28193:1 gene:PPA11788 transcript:PPA11788 gene_biotype:protein_coding transcript_biotype:protein_coding MCAQSFEHKNTYLYQYEYAAYSMHGYDMYYVLGSHAHPMDENEEWLSRVYPLYFSNFIRGMPPAPGVVVIQFM >PPA11810 pep:known supercontig:P_pacificus-5.0:Ppa_Contig212:99859:100334:1 gene:PPA11810 transcript:PPA11810 gene_biotype:protein_coding transcript_biotype:protein_coding MVALPLLCLISFSSTVLASKGTAVDAVKDASVEVADQHSFIENANSAYGSVLSSLQTIDPPNCEDMEKDHERKACEKARDQYSVVFV >PPA11806 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig212:86966:87364:1 gene:PPA11806 transcript:PPA11806 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLLLLPALLLADPSPEHTPDNPWGAYAADCLVDNGPQLWDESRIEKTWYTIDLDKEAHERWREIATKFYSQVGTT >PPA11785 pep:known supercontig:P_pacificus-5.0:Ppa_Contig212:18578:19717:1 gene:PPA11785 transcript:PPA11785 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIFGPSYAQLAFGVVVFFICSVFNEISFFLISLCIFLAQILNLIVYGIITVDLALHDYTQNLPKYDFSGEVMLFSSDLFSIGPAFYTLLLPGPVRRLISHSIKTRLERYVERSQSSVVAIA >PPA11786 pep:known supercontig:P_pacificus-5.0:Ppa_Contig212:20408:25069:1 gene:PPA11786 transcript:PPA11786 gene_biotype:protein_coding transcript_biotype:protein_coding MINAAAICLTFLHVASSLSTYPIVRTSYGAVRGYEYQAKNGFVGEVYKKIPFAAPPTGERRWNKPAPHQPWNHTLDGTFFGPACAQVPSHWEGYVTGTSEDCLTLNVYTSRTCREVSRDQNMRLGLFIPHTPSTMELSNATCPVVVFFHGGSTLTGGTTVFPDETLVTNFAKRGIIMVTAAYRLGVFGVMTLGDEHVLPANLALHDAVASLRFVRSEIHSFGGDRDQVTIMGQSAGATIVVALVFSPFINLVDNDILFSRVIAMSSCLILKSEESQVNISHAVAAKLGCSGTAREIIDCMRPLSTDEIINAASAVGGPDMSSSVSHLRDITLAGDFFPFLSVRELRKNQKRHMEQLSSPTKLLLGTMLNEFKVEPLVTEVLGLFNNEECVQKYNSDVASGAFGKLRKKRKIADCHSDPGYDTLSQALFVSNALYASVHARTGAEVPEWSDLVKLGVTKDMAPGRKDLDRIAADLRSTVAPTGQCKS >PPA11812 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig212:103164:103587:-1 gene:PPA11812 transcript:PPA11812 gene_biotype:protein_coding transcript_biotype:protein_coding MVALPLLCLISFSSTVLASKGTAVDAVKDASVEVADQHSFIENRPLLSTNHRSSQLRGYGEGS >PPA11794 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig212:48725:49084:-1 gene:PPA11794 transcript:PPA11794 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETLLIKVSNVGLVLSIVEVVAYPNDKDCPNIFAAEYDQVKCFSTENDDYKRYKQLK >PPA11783 pep:known supercontig:P_pacificus-5.0:Ppa_Contig212:726:5848:1 gene:PPA11783 transcript:PPA11783 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEFNAGPLRGLVNNINTGINQVTDVLGVINKEECVQKYYNDVDSGMFDPGYDTLSQTLFVTTLLFASSQAQTGAEVYLYQFDYPAHAWHADDVYYALGSQSHPVDENEEWLGRVYPVHFTNFIRSLPPAPGYHQDLTDYYDALVQFDENLTGFKQTVLNAPIQYKKTALYSSKPINIRDLFLIGIVAGIVLIAMSSSNLCMPATRSTTEVTCGTKPYTFFAVIGFFHERNGPPERRARSKDKSPITGYNHLFKGIVLKTVIRPPKKPNSGNRKCATVRLSTGAEVCAYIPNVGHNLQEHSQVMVRGGLRRDLISVKPITSEANIALLLEEIYLHEHSRAEIDVVVDNAEQFELTQRRGVVRDDRDGEGLGHTNGVRHLHVESDLLDGISDEALIVDDGFARDFSGEENHSRLGYGLYKIEANDLGIRVLSEMRIENSVGDDVAHLIGVSLGHELRGEKEALGAAIVCK >PPA11789 pep:known supercontig:P_pacificus-5.0:Ppa_Contig212:30643:36686:1 gene:PPA11789 transcript:PPA11789 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLTAFALLVAMVGVAEGFRKMQYVTVKGTPSCGRKSHSGIKVVLWEDEPGPDDKLAEVATNRAGSFTIKGGKKELTKIDPYILIHHSCNPKTDKKGKTCTRVSRFDVPKEYIYPEGQKEKVYDMGFLSCPAKSVLSRYHRQRRQGCVQDVIFGVLNSQAAINETYSVRNMVIVPFPGSNDWGAANPLLAKLFQAVSDWTRLKPNSNKFSDTAELFCDALLDSDEVAHLLSFNKYDFGLISGYDLCPFALAYQYQVSPVVSYVATPLLNTQYYYGGLPELPLYENGGWARIEIGGSHHDTAKCRARYGNDFPDVREILMQTTFDFCNSHPLLEEPSATSLRVKYIGGIGRTAPKPLNKELNALLNQSKKGTVIFSFGTQILPDKISDELRHTFVNTFKRFPDFNFLWKFDDDSRVVAFISHMGLNSFTETAFAGVPVVAIPLFADQIHNAKRAMALGIGEIVRNSEITEGNLCNALEKKLSRYRNRAREIARMIAASPDTPQRIFLEGIEYAAKYKNLSIHYRLAGAEYNHFAQIGWDVIAFDVVVLFVIVFLPSKFVVFVSRSFIAKMQMKRKTE >PPA11808 pep:known supercontig:P_pacificus-5.0:Ppa_Contig212:90952:93574:1 gene:PPA11808 transcript:PPA11808 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTGIPIEQVSLLNLFYEASGLCTSLVAVNHEGQVFHGRNLDFGLFYLWDTDLHTWDLTLRLRDLSVQLEFIKDGKLLFKAVTFAGHMGILTGVRPGAFSVSINTRFGSTIDAMTQFLLTGLEPDQQFVLYANRDMMTNCATFEEAKHYIEDIGLLKSAYFTMGSVDGGVIVTRGLNSTDHEAIINTKDPNGWYVLETNYDWNEADIYLDDRTKPGNHCMQKLGRKRVTKEGIFQVMTSKTTLNKSTIYTTVMEIKTGALYTFKQVCKDPCWFV >PPA11793 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig212:46754:47285:1 gene:PPA11793 transcript:PPA11793 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWHLDDEDASDEIHRFTSPKAAADIAKKIKGFNTKRWGTHCIKYSNGGDFDQNLIPYLTETVEDAVFVDTMWSTGCIKPHVLYSQDYRIYNNKQWPALNVCGRIMHQLKKHSIPN >PPA11804 pep:known supercontig:P_pacificus-5.0:Ppa_Contig212:78639:81259:1 gene:PPA11804 transcript:PPA11804 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAIDVAAEMANSFGGDGAWDALVGLMVGCPDKLTEPYRTEIKAMADLTGIQLEQLTLLNLFYEIAAMCTSLVAVNHEGQVFHGRNLDFGLFYLWDTEEHTDLVVQYEFIKDGKLLFKAVTFAGHLGVITAVRPGAFSVSINTRFGSSLDTMTNFFLTGLEPDQQFVVYANRDMMTNCATFEEAKDYIENIGLLRRAYFTLGSPDGGIVVTRAFNGTDHEAIINTKDPNGWYVLQTNYDWNEPDIFLDDRTNPGNHCMQKLGRKRVTKEGIFQVMSSQTTLNKATVYTTVMEIKTGALYTFKQECKDPCWFI >PPA11807 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig212:87556:87946:1 gene:PPA11807 transcript:PPA11807 gene_biotype:protein_coding transcript_biotype:protein_coding MLALRDVFKEMTDDFGGEGAFDVLVAMMTGVADKMTEPYRSEIYGVGNQK >PPA11805 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig212:82389:85444:1 gene:PPA11805 transcript:PPA11805 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLLLLPALLLADPSPLNTPDNPWGEYAAECLVDKVPDLWDESRIEQTWYTIDLDKEAHDRWREIATEFAPRMQAAVDVVKEMADSFGGPGAWDMLVTIMVGVPDKMTEPYRSEIKAMADMTGIQLEQLTLLNLFYEVAAMCTSLVAVNHEGQVFHGRNLDFGLFYLWDTKEKTWDLTLRLRDLLVQYEFVKDGKLLFKAVTFAGHLGILTAVRPGAFSVSINTRFGSSLDTMTNFFLTGLLPDQQFVLYANRDMMTNYVTFEDAKHYIENIGILAPGYFTMGSVDGGVIVTRAFNGTDHEAVIDPKDPNGWYVLETNYDWNEPDIFLDDRTNPGNHCMQKLGRKRVTKEGIFQVMSSKTTLNKSTVYTTVMEIKSGALYTFKQECKDPCWFV >PPA11798 pep:known supercontig:P_pacificus-5.0:Ppa_Contig212:59881:60918:-1 gene:PPA11798 transcript:PPA11798 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKFQLIGNLTQLAFGSIIFIVCSVLNINDCLPATTLLHQACSSQSFHAPFPPTPFLRDLHVFTSRFMYFASDAFSVGPAFYTVLLPGPIRRLCVLKLKRGVLSLTESSETSVVTVS >PPA11818 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2129:19:527:-1 gene:PPA11818 transcript:PPA11818 gene_biotype:protein_coding transcript_biotype:protein_coding SPRKSISENPDALLAEFVGGTGKKRISKSEAKNMEPLVRVKKSKKEEDTDRELARLLKQIKDAEERNKAIA >PPA11822 pep:known supercontig:P_pacificus-5.0:Ppa_Contig213:45973:46334:1 gene:PPA11822 transcript:PPA11822 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRKARSKRHEPFARDEFLKFLFARVDNRWRDDLDRAIGNWWKTPYEDFRLILI >PPA11824 pep:known supercontig:P_pacificus-5.0:Ppa_Contig213:60714:61189:1 gene:PPA11824 transcript:PPA11824 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVVSVDCGEAPIENVALALELLKDVDVSAYSIPNHESKIFKDECMFCYTSPYHPGGLYICLKTFTAFCEHHMREMAERRGRNVFLQLKSKKTRDETEEPREKIKRLAIGIDEGFCNEK >PPA11823 pep:known supercontig:P_pacificus-5.0:Ppa_Contig213:57804:59310:-1 gene:PPA11823 transcript:PPA11823 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRRDQADETVLLQILKVMNAVFSRMENMGEEKDDERIKSNGETMSIHDSEFSSEVYQFANIYQNDAFLVFRALCVLSQKEDGDHNDPKPFARESDKCVWHS >PPA11821 pep:known supercontig:P_pacificus-5.0:Ppa_Contig213:43472:47407:-1 gene:PPA11821 transcript:PPA11821 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVDLVRVRNKMTKEQYVSMNRGINNGGDLDREVLESIYDDIAANEIKMKGGATKLLKSQGRKADARVEMAAMGETARVLMETASKNDALFVPASHQDHIRPMFKAIGRFTLLSPRSIMSEMKDKNIEAIKLMLLIGDECGNDLSSNWLEVLKCVSQLELVSLIGTGLRSGSVTDTSTSARYVAKSTGQDEKNLSSLQLTLTETASQAVVMAVDRRRYEINRAYMWIQPKVSVSPTENFPDIYQ >PPA11827 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig213:109019:110805:1 gene:PPA11827 transcript:PPA11827 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIAESGGQKQSMMYVVNGGEIHNEHSTPHRNEKGHGDKEVLVALYSYESRADEDLGFKKGDVMYLLDSSNPDWWYVFHIRSNGQGYVPRGMQEKFPGTEQRGSC >PPA11825 pep:known supercontig:P_pacificus-5.0:Ppa_Contig213:92381:95553:-1 gene:PPA11825 transcript:PPA11825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-eif-3.E MAEFDLTRKMAPFLDTHLIIPLLEFIEPRKIYEEHSLVEMHRKVLMRTNMMDSIMETYPDGKAPEQLEKRRDQILQERDELKAKVDVVVEILERDSVKEMMETTRERDGNNKLLDYLMNNHGFSVDMLDTLFKYAKFQYECGNYSATSVLLLHFRSLVPVHDPNYLNALYGKLASEILLQEWEHAKDDLAKLRAYIDSNPFDSEWELVQQRACSF >PPA11819 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig213:18004:21069:1 gene:PPA11819 transcript:PPA11819 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLIRFYKPSSGAKNTIFSMGLVTVMCLAANKIATGSVYREVRQGLVDMNSMFSLLKLKPKIVNAPNAKELEIAGDDIAIKFDNIKFGYQKEKSILNGLSMDIPRGKKVAIVGGSGSGKSTIIRLLYRLYDADEGKITINGHNTQKVEGAARMADVHNSVLSMPNGYSTIVGERGLKLSGGEKQRVAIARAILKDCPLVVFDEATSSLDALTEATIMRSLKAATGEKTSLFVAHRLSTIKDADIIYVLEDGKVAEKGTHAQLLTKEDSLYSKMWQSQHSKEGLNVVEKKEKNADAMELLILDEDKCCGSSSCNR >PPA11828 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig213:111829:113029:1 gene:PPA11828 transcript:PPA11828 gene_biotype:protein_coding transcript_biotype:protein_coding MMYLEERKLVHRDLAARNVLVGESVSGVPVVKVADFGLARKLMEEDIYEARTGAKFPIKWTSPEAAMCGNFTVKSDVWSYAILLYEMFTKGQVPYPGMHNREVIEQVEQGYRMPMPRGCPDHVYTEVMLKCWDKNPERRPTFDHLFHFFDDYFVSTQPNYVPPSAAAYVPDAKRDTVC >PPA11826 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig213:102355:102606:1 gene:PPA11826 transcript:PPA11826 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNLHALLTKQQLDYGIYIVEQVSPGVFNRAKLMNIGYEEAIKSDYLGT >PPA11820 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig213:37566:41270:-1 gene:PPA11820 transcript:PPA11820 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKNSSRILAVFLEMESFTLSLLYVAFYNMGRIRLEWSRIWAVIGEHLKNAGCNDNEVIMARNGKGGTRELVIACTSLMVDGHGKKIKSGWINLFSIWSIAATDTNVDIVEMAFRSVANAVNIHFKHNFSSVLDAFQEALKCLSEFACNSNLCDVNMDAIRLIRECAECVASNEQVIVDALWEEKFVISGEERVWLRGWFPIFFELSCIINRCKLDIRTRSLTVMFEILKSHGDLMREDWWNDLFKIVYRIFDHAKQEDGRADKSEWLKTTCNHAMYAVVELLTQQFSTIGPLLLPSLYDQFLSCLNQKHSPHTANGHSMEEKMNGMNNLNWLDDEEITQVIVMCIVQADMVDAISTIVLGTDSNTGSSNFSH >PPA11829 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2130:70:742:-1 gene:PPA11829 transcript:PPA11829 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDHAHMQLEEGETFFYGVRPLRNLVLVDTMNSLSPLINAHIGDLAREDAPQIYALNGRGGRSTLK >PPA11830 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2131:129:1158:1 gene:PPA11830 transcript:PPA11830 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNHCTRCLACPYSWVCQCQDTRKAGVLCKHVHACLIYGGALQECHKPYQIIGDEDALDKHCPGDPQQALVPISTDQPERAADDATEHGHNPHIPDAGLEASQPTNFDDFPPPELDGDDLGIVPLNMVADETGDERARSAVDRDDEERRQRSKLESLLNESRIALQSIQKSNLEDGNKFLEELDALITSHRRSIKSSDLARRLPIVPGRPSNVVPIRAPSGLKKRADVRRERHLAAPPVLP >PPA11831 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2132:45:511:1 gene:PPA11831 transcript:PPA11831 gene_biotype:protein_coding transcript_biotype:protein_coding RYERSVGDASVRGGRRRRIVYTGVDHADGPQGRPSEARRAGEHAHLLREQHDPTAR >PPA11832 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2133:70:315:1 gene:PPA11832 transcript:PPA11832 gene_biotype:protein_coding transcript_biotype:protein_coding IKDQTASSKDQRSIATHRQIGRLHLLDALHRLAQAALEDDETTECRVDA >PPA11833 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2134:323:1491:-1 gene:PPA11833 transcript:PPA11833 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVRKEGSYIYEDFIPADGIDVKVYAVGPYYAHAEARKAPGLDGKVERDADGKEVRYPVILSNKEKMIARKVVNTFKQTVCGFDLLRANGKSYVCDVNGFSFVKTSKKYYE >PPA11834 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2137:766:970:-1 gene:PPA11834 transcript:PPA11834 gene_biotype:protein_coding transcript_biotype:protein_coding MACSACTQQHINSQFLPDFGESYSLIGYSTSPDYRTSSFTFANCSRAAFVAAAATRGSTTEARKATCN >PPA11845 pep:known supercontig:P_pacificus-5.0:Ppa_Contig214:41553:44017:1 gene:PPA11845 transcript:PPA11845 gene_biotype:protein_coding transcript_biotype:protein_coding MFIATTENIQKWVYEATRYIEDGELQMFAFTKDIRDYNEFIFKNEINTELKYTIKPWEELDVSFYYDIMNITARYIKSVNATYGRNGYMAFNCLFETINSSLPIVPFLLDRKVNEYGSYIEQSPGLYYEMGDTRPVAQADGRGFSKLEMEESGGESGPPVASARMENDLLTNGEIKDHFKMANWTTSTGVMLYYDSMTEMPQDIKVYRIVTIVQPPFIDRLPDGTFVGYCIDMMTLIAAQLNISYTLFEVEDGSFGTIDENSNWNVSGSADIALAPLSVTSEREIDVDFTVPYYDLVGTVILMKKSDPPYSLFRFLDERYKDDIEKREFTLKECLWFCMTSLTPQGGGEAPKNISGRLVAATWWLFGFIIIASYTANLAAFLTVSRLEQSIK >PPA11853 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig214:124646:126459:-1 gene:PPA11853 transcript:PPA11853 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRLKQSVTEAVNAVNESTSGHSSSMGSNEEKEGFVCPQCMNIFPSPHELSNHFLTHTQESPSNVPMRVDNPNFDVTQRLVELEKEGMGLRRTLESEKGEKGDIMKKLKDLTTKIREITDENEKHKVEKEQLSAELTILRNSAQKLEKELVNLNQILQERPSEDDVLVLKNELINAQKLMDEITKEKENLERKLAENGSNTVE >PPA11840 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig214:25067:25874:1 gene:PPA11840 transcript:PPA11840 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIYLRITKDFIYILGVIRMMKEEIEISIEGGNTSLDEIETLKDIKKKLIKKTMLTKKRSSQDSEISLHRKMSKEEEKAERRKQRSELRFLKNIGLQCCDNNPNSWNEESE >PPA11838 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig214:17015:19291:-1 gene:PPA11838 transcript:PPA11838 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLSPPKLDRFSPEKAANEVDGFVSSRDGDYFTPYVSPSHFDDLSMTREETPYVNVNEERKKKMGRKRRSDNWRRIGKVPLDGSPKGSTSHEDEPVILGMFYELRMKREEEWEREMELFDPTPTTPKKRSSSRGKIEQSIDLDMSSVQKIAGANEGIDHLDNSEDDKYEGRTKSEDALYVIVNRRRRRRSRDDRMDGNEGMTPINGEYVVRSLSKEKEDSPRTIRNKTPIWKELPIQMPPLINVNGVIQLGERTDEAVEGMIKDERRMEERNENDNDDIGIEDIQYSGPLDEHSYAKIGDGEAIYEDIIDVITVDGDVDLGQNGNSFKMDEDVEEIHEIIGEDGVEEIIIPMEENMNEVNGIDPQMDMDEYEEE >PPA11862 pep:known supercontig:P_pacificus-5.0:Ppa_Contig214:152013:152457:-1 gene:PPA11862 transcript:PPA11862 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNVSFFRPFQGKERINSTGGQFRPCFDEVSRKIDSLILREGDDVTAVTVEDNGDKIVGVARITRIYVAPKNKDLYAAVLWYYLPCQG >PPA11852 pep:known supercontig:P_pacificus-5.0:Ppa_Contig214:120209:124203:-1 gene:PPA11852 transcript:PPA11852 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEKKKLEGDLHSIEERANVQNDNIKDLEQSNLDLTNEVSSLNLLLEKEKEKLKRKKEEVDSREKELRENMENLEEMKMEKEKMKSEMESLKMTIEGIQKENGIAKENAQLLRSQISDGESGMKTLMDQLNEEKERLAKDVVMMGETLKNEKESSSSRIGDIEKKIREIENEKEKEVKKLKEEIEGMNEKLVQSEDEILRKSDRFSEIEKEIEEERRKGGERMGKMKEVIEGLKNEKDDEKTKKEEAIRMIERLEKEVKEKERQVGENRGKIEDALRRVKDAEEKAKKLRDELQEEELSVSSASLASTSQSEKEISKKIEEERKKADELSVQLQSTKDEMESMNEELIKLKQESEEAEKHWKIKLAEKESIIAGNEKMNEELIEKEKERERELKERRKEIEDKEKTIASLKDQLEEEGIKMGEIEKENGERLRNMEALQESLMIISGEKEELEKIMKEHQDNGEESKEKIESLTSELIEEKKKVEEMIDMANVREKKTDEELKRKEGEITVLRVEMEGIQSSLMYERETRIRIEEEVKEKTEEMDSFQTRITGFEEESASFRREMERLEKELIEKEEKCEKIKRETEEKISQLEEKKREEEQIMNEIMKENDEKEKKIDQLSTRIISMESNICEMEEEKNRLKEEIEERKAELEVEVQRGSEREENHLRIEGELRSENEKIRRSMESAISDRSDMEKRMKESNEGMELLTVKNMELVQAAAAFDEEKSALIERCLNTESDLDFERERALENKHRFDEAISAMHELGRANQSLQMDMNKMTGRKWLDDSEALNCTHCAKLFSLTVRKHHCRVCGFIFCNNCSNKTVKFRVMYRMLNDDYLQNSITQESRSSL >PPA11837 pep:known supercontig:P_pacificus-5.0:Ppa_Contig214:13542:16286:1 gene:PPA11837 transcript:PPA11837 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRNMIISITKKMEEQFEERERDYLIVTLKWDIWDHLLFLFLQDNILDLNCRVVLLPFIRLYMHHLNQIHNENSESRYDKWKTMILQFEEESGLGEPTEKEIVIIEEGIVDEGDGLPIDNRLGDVTPDHPEYMALAVVNVFIGLAMVTVFIDVVKEKIEMMYMDLLEKELERYMQRVDAGDPRATEQMMEQLQSKSKFLFPLMSKNSGNKVMEQFKKEARERGIEVVFLL >PPA11861 pep:known supercontig:P_pacificus-5.0:Ppa_Contig214:148567:149876:1 gene:PPA11861 transcript:PPA11861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-wars-1 MTDDEKFIWKDLTVEESKRMTKENIKDIISVGFDPEKTFIFQDFEYMCPPFYENIVQIWKYVTGNQARSIFGFIGEDSMGKAAFPAIEAAPCFASSFPHIFKGRKDIACLIPCGIDQDPYFRMCRDVASKLGSPKPSLIYSKFLPALQGAQTKMAASDANSCIYLSDTPKQIKNKINKYAFSGGQPTIDEHREKGGDCEIDISYQYLTYFMEDDQRLEEIKKSYSSGELLTGELKAIAIEEVTRVITAMQSRRALISDSVLAEFMSVRPLKYSF >PPA11843 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig214:34597:35994:-1 gene:PPA11843 transcript:PPA11843 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLDYEMYLPVEGDSIAQVVGARGNNLHEVLEESGETYLVSMPSKFRKSVWIRKGQFVVVRPIEEGDKVRAEIEHVLDAENVLYIREQNKWPQRFEAEAKLLTREMKRGGEDKEETVEDKDKKVTKSIIDDDMLPPSGSDEDSDEDHEGEEENEEEIESDEVGDDSCDDEEEEDEIEVYNPNRAQAPNDKRISGDVSPLE >PPA11863 pep:known supercontig:P_pacificus-5.0:Ppa_Contig214:158073:160671:1 gene:PPA11863 transcript:PPA11863 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAIDVVKNMVTSFGGDEAWDTLLGLMVNTPDMLTEPYSTEIKAMADLTQIPLNELTLLNLFYEIAKACTSLVAMDHNGKVFHGRNLDFGFLFIWNTDDHTWDLTRRLKDLVVQYEFEKEGKLLFKAVTFAGHLGILTAVRPGAYSLSSDTRFGSSLDTLTNFFLNGLDPDQQFILYANREMMTNYDNFEDAKNYIENIHFLARGYFIMGHTQGGMVVTRSYNGTDHEAVIDPKQSNGWYVLETNYDWNEPDIYLDDRTIPGNKCMQQLGRKRVTKEGIFQVMSSKTTLNKATVYTSIMEVQTGELYTFKQECKDPCCQ >PPA11850 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig214:105168:105960:1 gene:PPA11850 transcript:PPA11850 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSVSGYSVPSSPWSSSSESLSSFTLPKKMRPPLLPPINENPVGHFSFDFVRSEVSVPPGINSTQSFSTQSIGESGISSDFLDSVMLSPSKEDVTHRWRIWERIIG >PPA11841 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig214:26181:29177:1 gene:PPA11841 transcript:PPA11841 gene_biotype:protein_coding transcript_biotype:protein_coding MNMERREEKRKSSEVVKRMRRRRKNIDVSTSETVRQSISSASSISSLLSPEKNITFDENGVRVAEVSIDVYLFNGQLDQSGYQFIVDPIERRKEDKNEDRSLLSTDGIKRIEVHYDWEEEELEDEEDEMIRKGGIEIVGEEDEVEAWCLVAGTQTEWEVEERGIQIRSFEIEGVSREWGTQSDERNEETCSPFENRVISTEIELQTSQKLTTDKETQSSLETDHPIVAMVDSNTQTEEYQPKKDGIGTSEEMCQTDFVSSSFDFPKEVVESSFQTEQIVPLEKSIEDEVCQTDENEIKSVDELTQTEDLTEERSNIQKDTVKEVKECESQCEILKGSNDIPTQTDSVDEEELIKETKETQSDSQERSDISIQTEMEEERIEKEHETQSDHISASDFEVQTEEEEEKSTREQGIQFESSRSIEIECQTDGETKHMTEKGTNPESSSTIDLETQTDKSEEKEEMFDRDCQTNEKEEDKEDNSTQSHSSFFHRIDQECQYLANTVEEKESQTVVNEGEKEDESTQSEYSLFNRIDQACVEYQTVTRSCASFD >PPA11864 pep:known supercontig:P_pacificus-5.0:Ppa_Contig214:160689:164621:-1 gene:PPA11864 transcript:PPA11864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sptl-3 MVDSPSPHGTRKFILDFAAGGASAALARTIVAPIDRVKILLQLQHAQPTIGENERYKGIGDCMGRVVKEQGVASLWRGNGINVIRIFPQQALNFAFKDTYRAIMNVDKNASVWKRLGSNLAAGGAAGATSLMFIYPLDFARTRLATDIGRSAEQREFSGLVDCTKKIVKSDGVIGLYRGFNSSIQGIIIYRACYFGLFDTIVESVVEDRKKLNFFVAWVIGQCTVVTSGLVCYPWDTVRRRMMMQSGRSDVLYKGALSNVFRGMGSALVLAYTGGTTEVINLGSYNYLGFSHENGPCADAASLAIDKHGVSVGSTRHEIGNHSQQRELEECIARYLGVEAAVTFPMGFGTNSMNIGSLVDKNSLILSDALNHASLCLGSRMSGAKVKVFKHNDPKNCEKQLRQALCQPNPNTGKPYNKVVSPIVSFLRTIVNLPEFIRIKKKYGAYLFLDEAHSVGALGPTGKGVVEYWGCDPRDVDIMMGTLTKSFAGAGGYIGGAERTIRHIRATCVGACYGTVMSPPVIAQVRSAMRIMQGEDGTRIGITKAEQLLRNTRYFRARLKQMGFIIYGHMDSPVVPLMSFYITKVVCFGRETLKHGVGIVSVAFPATPLTKARARFCISADHTKEQLDKVLEVCSEVGDVTGTKFGTIDPNPAPTEEMITPSVERTIDA >PPA11835 pep:known supercontig:P_pacificus-5.0:Ppa_Contig214:60:3600:1 gene:PPA11835 transcript:PPA11835 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEKQKQLAAQEGVAAVWSPRPKTPPVSEEVSEDGEKMKKKKNIMENTLLAMLGVNPEKEKEEEKKEERKEDKKEETAVEKENEEAIEPLSVSTPPVQQVQQQSQQARPSPRGGRAANQFWGPTRGGNSQRGGGPPHNQQHHQQQHPHVNHHMMGYGGRPGLPPMNGRGRGGGGGGGQRGYGAPPMGMPSPQEVMMHVARQVVHGGHSLGPPPPPPHIVQQIMQQQQQQRMNNERGGFNNQDQNGRGGRRGRGGGEREAMKPEEYVRDKVTDLTPASVRGFGKGRGGGRGAQRGGGRGGGARGGRTRLSELLALVRLPLWTQPVRPSSLRLRPLLQLQQPLQHLRHLQPHSNSRNRERRDLP >PPA11848 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig214:94799:96436:-1 gene:PPA11848 transcript:PPA11848 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQIHDHNDEPIEDSDDTAPSGSRTAQERKCPWCPKIFPEGLSLKKHLGRIHASHSIIQDSRKKEGSGRLLCAKRGCGQMLVSYDALCRHCADEHDFVLNYESLRFDNSDHFKSWLTHLTSISGDMVKRRGERRTSDGITMGDFHCVFDGKKRLVT >PPA11859 pep:known supercontig:P_pacificus-5.0:Ppa_Contig214:146471:147000:1 gene:PPA11859 transcript:PPA11859 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDDSSCIYLSDTPNQIKNKIKKYAFSGGRQTVEEHREFGGNCDVDISFQFLKYFMESDERLEEIRQQYTCGEMLTGDLKAIAIAEVSRVVMEMQERRKNVNDETVKQFTTVRPLKYTF >PPA11865 pep:known supercontig:P_pacificus-5.0:Ppa_Contig214:166017:166709:-1 gene:PPA11865 transcript:PPA11865 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTKESERRLIEGIEPSPKKEFIQENFKWHRGEYGDAGLEDCSWITAFLVRFQFTVLTLLASVADLLRNRGWIHTGAQQELKSQRSFASLDNHFEAMFVNNIYR >PPA11856 pep:known supercontig:P_pacificus-5.0:Ppa_Contig214:135075:136895:1 gene:PPA11856 transcript:PPA11856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-emb-4 MVKNDKKGAGPLHQKGPVTVSALQNDHITEIARKNWAPNTKDKDADFSKDLISKIYNEEVKASKFNPRKIVLLEFSQYLECYLWPNFTPERDSNEFIMSIVVMVNEKFRERIPAWQSFNKNPKHFNFFLHRVLNLALDEEVDLVREQITRLVHIRIWNNILPKQREDMLSKNKKLRKFWNQTEAKAKTAKLTEEAAKMQEMEQVFLWKMIQRFKRTLDRIDDENSEIDVDDIRYCERFVQLMIDLEALLPTRRFFNAVLHSSHLLVHCLLSSFIKSEAGSLFCQLVDMLKFYARFEIDEITGLPLTHTEVATRHTDHVIQLQKAAFKYFKLVVY >PPA11855 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig214:133682:134810:1 gene:PPA11855 transcript:PPA11855 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIIILDGSDSTGIKDFEKGLKITLSLTEVFDTKIGDRLTMGRTGKVNDLSRLSDIPTDDKKCIEWSYTECEKEFPFVCTFTPLEKLESLIQRSFTITNLHMPL >PPA11844 pep:known supercontig:P_pacificus-5.0:Ppa_Contig214:36525:40122:1 gene:PPA11844 transcript:PPA11844 gene_biotype:protein_coding transcript_biotype:protein_coding MLIREPFRQNFYDAVRKKHVVLVVPNDIDALCAATILIHLFSCDEVSYSVVFIASWRCLEQTLQEHLRLEHNFVLINCGGSREASKLGELPTNSTIFIIDSRRPIDVDNVYHNEQIKVIAMGKEIDEELKIPAFESVYAEESEEEDEDLEDEDEYEESDENGGNRKRTRMENMERRVLKNAERKNWEQRRGNILWEYYENSWVSTASSVLMLNVAAELSRATAELVWITSIALSSQLTDGDISNNGYTHVNPFFACETKNWNQKGEHEMKHLLAKIGLGSARLDCASSSSSRDPSPSSPSSLSHHIPSTLADRFRDCLDLLTSFFTSSTDMAMLHKMMTLSKSEMEGIWQHVSNAINQGWLIVLGVMPLATLQEDNHLKSFMGRSFEQIAKMPRINMRREFFDPNIVLLKSEDRGRFFDQLQTLLESV >PPA11849 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig214:104305:104948:1 gene:PPA11849 transcript:PPA11849 gene_biotype:protein_coding transcript_biotype:protein_coding MWRYRGRTALHWAAQFNNLDVMKVLIDHRSDVNCVDTENCTPLHLAVQRGSESAARMLLEAQASTIIPNGLGATPLAIAQEKGIQSLIELISWYTDVSKEGLVMKKKATKREKKMSYSGSEYSKRSCPNSPFYNDSLC >PPA11842 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig214:31331:32738:-1 gene:PPA11842 transcript:PPA11842 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNSSLMLRDEEIDLIANETEFNRNQIVRLYSRFLSLDKKGQGFLEREDLMNVPELAVNPLGDRIVDAFFTLAGDELESEKRLNFRQFVRVLAFFQPIDRSKKNSLNGRTDKLKFAFIMYDLNKNGYITREEFKVILNSMVGANITTDQLDKIADRTIEEADVDHDGRISFDEFCKAMEKTDIEEKMSIRFLN >PPA11860 pep:known supercontig:P_pacificus-5.0:Ppa_Contig214:147969:148387:1 gene:PPA11860 transcript:PPA11860 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLERKSSQESSSSEKGDIITPWDVSAEAPTGVDYDKLIVRFGVKKVNNDLIDRLERLTGKKAHPMLRRGMFFAHRDFGLILDRYEKGEPFFLYTGQ >PPA11858 pep:known supercontig:P_pacificus-5.0:Ppa_Contig214:138698:145007:1 gene:PPA11858 transcript:PPA11858 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-emb-4 MTNVVDTVDMNDTFLDFDHVKESFDGYKVVGSEKDEKMLPPFSLSFKDLTTVEGMRSKERTVEVRPLSRVPVTPYSHKTNKNKIRFTPAQVEAIKSGMQPGLTMVVGPPGTGKTDVAVQIISNIYHNWPNQRTLIVTHSNQALNQLFEKIIALDVDERHLLRMGHGEEGLETEKDFSRYGRVEKLARAMGEVGDVGYTCETAGHFFRYRVCRAWDEFLSSSKLDEGEGRDIVKNSFPFTAFFADIQPLFSGVNESDSRIAASCWEHISRIFSQLEEFRAFELLRNGRDRTEYLLVKEAKIIAMTCTHAALRRAQLVQLGFRYDNILMEEAAQILEVETFMPLLLQNPQDGRNRLKRWIMIGDHHQLPPVVQNVAFQKYCNMEQSLFGRFVRLGVPYVLLDRQGRARSDIASLYAWRYRALGNLPHVEAIPAFQRANAGFAFTFQLIDVPEFNKVGESQPSPYFYQNLGEAEYAVALFTYMRILGYPSEKISIITTYNGQAQLLRDVVQRRCADNPLIGMPHKISTVDKYQGQQNEYVILSLVRTNNIGHVRDVRRLVVALSRSRLGLFVLGRASVFENCFELTPAFEQLSRRPKKLLIIPSESYPTERKVDEKSSDKVIRIDDTAHMCNFVHEFYNSNVEMLAANYQAAMDEQERRFLPPPEEEPMEEDEDVKKAEEKKIKEEKKKQEEAEDIAFEEMDFQRLENMGDNNLPVEVNGLSVKENGDAPEENGDIVTPWDVSSGSATGVDYDKLIVKFGCRKLESDLITRLEALTGKKAHPMLRRGMFFAHRDFASILDRYEKGKPFYLYTGRGPSSGSLHLGHLIPFMFTKYLQELFDVPLVVQMTDDEKFLWKNMKVEEAKKMAVENMKDIISVGFDPEKTFMFQDFDAPFYENIVKIWKCVTGNQARAIFGFVGEDSMGKAAFPAVEAAPCFPSSFPHIFGKKTDIACLIPCAIDQVRRANMGTVSGHISRS >PPA11839 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig214:21337:22025:-1 gene:PPA11839 transcript:PPA11839 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAHSQIHDIGFEKKRVWSILNGLTTKKMCGIKQLSNFLDSTLPGNLKREHRQTLVNSLRDILLTRTSTEEEGMDEGERDHGLL >PPA11857 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig214:136931:138661:1 gene:PPA11857 transcript:PPA11857 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFYLLNVSGVDSRKALTKQFTSMSKSELYRFAEFLHLVPSVEEGDEKKTMDGMEKEYLMEALILHCERRVNQLQQLNEQPLYPTETVIWDENIVPYEHYSGEGVLALNKLNLQFLTLHDYLLRNFNLFQLESTYEIRQDLQDVLFRMKPWLHETNGNCVWGGWARMALTIDEFTIVEVAKPLVGEKSPAVVKAHLQLNIGKRMDIRGEWESLRKHDVLFLVTCRPKSAIGTRFNPRMPFKDQIEVAYVRGAEVEGMLDPHGNVIEEFEAYEKKPTVQGDVRRWRITLDPNQYRLDMENTVEKKTEDVYYTFNLVVRRDPKTNNFKAVLGTMRELLNTECVVPDWLHNLILGYGEPDQANYKKDVVSVP >PPA11836 pep:known supercontig:P_pacificus-5.0:Ppa_Contig214:9430:11619:-1 gene:PPA11836 transcript:PPA11836 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLIRECLCVGEEGCPCSEREFRVEVCAKKLCTFPRRSCCEGFKKTATAKALQCEPIDESIEQKIIEKESLVASKKFIEAKTEEDNEVSEDEVTFPRKTCCEGYKKISTPKGFKCAPKEIENKKRIEEATEKKESLIHSRPNPRSVHLKKKEVTNSDAPKQRAAKTHRIDPISFNIVEGSPWILTALIHVVRVVQSLTLVRVFVLEKKIARADYSHRQTRTDSCGIGLCSFPRHTCCAPAKKTLTPNGFQCLTEETIQPSDNVIVSNPSLPLSPDYISASSRNLFEKNDSDSINNIPELTATPSQKEHLAVWGQWIVNQRESRVEFPRHTCCKGFIKIPTPDGFRCAAEKYYYNRNQTNESVQANSLHGLKLNQ >PPA11847 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig214:73802:75450:-1 gene:PPA11847 transcript:PPA11847 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSGVEYVADGRTLILRILDVGVIFGDDVSSLPFKKRLQAADKLVEATKKEGARQDVFLSVAQYDEVDKGWKTIVKKVWENWIMHFSTFLFIVVFYI >PPA11854 pep:known supercontig:P_pacificus-5.0:Ppa_Contig214:129493:130914:1 gene:PPA11854 transcript:PPA11854 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLARWRRLKEEEEKGPVAKRPHDTKDCANLSDAERFRREIAKEVAKKIALIQNPGLGEYKLRDMNDDINKMLRIKMAWEWRIKELGGPDYRKIAPRQLDREGREVSSNRGYKYFGAAKDLPGVRELFEKNTEMEGVRKTRAELMKNVDADYYGYMDDDDGLLVPLEKVAEEKAKLAIKKKFDEQGGERLKKEHEDDIDIDFYKVEEDSEENGMETRESIVVGEDGRKMTIRHVMVPSQQDIEEMILERKKQALIDKFLS >PPA11851 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig214:106986:108240:1 gene:PPA11851 transcript:PPA11851 gene_biotype:protein_coding transcript_biotype:protein_coding MEPITFTFTTPLPRDHPTPIHIQSSYQSLPSLRPSDRFEAPYAHPTPIRMSSYKPSFHSSFAQQPPPIFTQNFQIPSSISARSAYLPSSPSNRFIPPSHPSPPSPPSQFPDLFGREIDGVRHNALQSWTQLKGAVAEAGVDRDWRALWTKMSGVVEPYVERMTEHLGSAINIALRQQNNRRE >PPA11846 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig214:47225:47573:-1 gene:PPA11846 transcript:PPA11846 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGNAEKFLICDGLRSREAKVQLSKDSCILPPDTVRLTDRFEINNGRSLVVFFSIYRRSY >PPA11866 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2147:173:896:-1 gene:PPA11866 transcript:PPA11866 gene_biotype:protein_coding transcript_biotype:protein_coding MKELRTQGNLMIWSCDETWVHKGMRPRIGWQDMRAVKQPLTFLKNGLTAGNSAQWKKGERLVIVACLSYEGFRCPKVWRTGREFENYIETVFIALRDEAQKEKKTPVLQMDNAKYHSRVRDKMPCQNDRKEVMSKWLKDHKMPCPDSWKKKEMIEALKQLDRRDYNVYIVDEMAKLAYYTQ >PPA11876 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:39005:40495:1 gene:PPA11876 transcript:PPA11876 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKEKLNGLEDSVKQEKREVIRTDSYSLRSDAEQTPFAQVAKLLTKTVRAVKNKKDTETWRETLIKVKKTAEEEKEAKKAKDALKKRLKKMIDNTPEDFADPRRPLAMKKLEMEDEELEAAKKMVKEGKDEMRIPLNLMREAVKLGMSLGGKNVSDFDNKTLKLVSPRFMSVVEDDAEDGDTINLLSPSLFSLHEDGKGLEALTSLPAILKKLKNNDQEAWMDFIIEASGVSDAVDKATEQRKKNKDKEMRGSNGEPLYFTKQNVTDNLGYEEAKKVEVFETLDKTYSEEQRKELDTRGYLFMRPDQVEILYGKDSAYHDEALYERYMNMTKTIPEHEKHEILENE >PPA11881 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:60395:62517:1 gene:PPA11881 transcript:PPA11881 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWAFPLKRCSAHPKKLGLLVAVFTLGYICIDRNIFFLDRVSSKLPRDILSVDSKYVGGSAKNADQKVDLYHNGADELHLSDLSKGVTEKEVENMKQTVQYLTLDDIKDGKVESNTKKFDGSSMCPEVEDNPNMKGPIPQAVLLIDNLKESEVASIHPELAPGGQWAPDDCKPRHKVAIIIPYRDRQSHLTRLLYFLIPILKRQKLDFRFIVTEQWGNDLFNKGRIMNAAFRLAERLGTDCVIFHDVDMFPQDDRNPYSCPPSPRHLGAFVSNLGYQLWYKEIVGGALAISMADYRTVNGYSNMYWAWGGEDDDMGKRILSLNYTIERPNPVTGRYSMLKHVKRKRTAPKLIYKLLEIAEQRVATDGLNETDKWNVVKV >PPA11888 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:92749:94258:-1 gene:PPA11888 transcript:PPA11888 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFAPITKDEDKIHIEGLPIDKNGELNDKFRQEILLGGEDMDEGETKNAIDKICDKNEDGQLNVDEIEMRIKEKKNEHMGENTHRFEDEKTSFHRSDDNDDGQLEEDEFKVLLHPENSKKMLRKMANDVIEFMDKDKDGFVSEKEFVNGIPGEIEASMKEFEEKEKLDRKREFIEDIDRNKDGMADLEELLSYMDTTNGDNARRERFKDLLQ >PPA11874 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:34746:35540:1 gene:PPA11874 transcript:PPA11874 gene_biotype:protein_coding transcript_biotype:protein_coding MCIVIIVVSNGVEEVEGIFCVAGGWSGGNASSSDMISNADLMWKQSVFSSTIAAKIAVKHLKKGGILTLTGAEAARNGTAGMIGYGMAKAAVHQLTKSLAEKDSGLPEGVTVVAILPVTLDTPMNRKWMPDADHSSWTPLSFIADKFHSWTEIFNSRPPNGTLLSIKTAGGVTTEEKH >PPA11886 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:89278:90992:1 gene:PPA11886 transcript:PPA11886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-wdfy-2 MKRDNDQFWPSIHHFMPVPPTAVSFNEETDKLLVGLLNGTVVDFQVAEDCSFVVEHSCTCMVVDLPFVFIGDQGGNVSVLRLTGSAPQLISKLSAHTGSITSLAWDAAKQQLFSGSADNLVIMWDIGGKRGQAYELNSHKVRVNGVAVASAARRLFSVDDSGRLVCWDMDAPRMETPAWQTSDTCQECNAPFFWNVSAMWQMKVVGLRQHHCRTCGHAVCNSCCSHRTTFPPMGFELPVRICKSCQAKMADDPSKFDLTPLAVSHEVRTGVTSLHLQQMLGRLATAGQNRIVYIWDIKNLF >PPA11887 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig215:91459:92692:1 gene:PPA11887 transcript:PPA11887 gene_biotype:protein_coding transcript_biotype:protein_coding MGESVVVKLLLMATFEQRTDLQEKTPKTKTPMIDIKSDRKKKEDDSPKDLKKSDREMEKKDHSEKDRRERDKSRSEKKDRERDKTKSGRSEKKDGKSGKSTKDNSEKSKDKSHSRRSSKKDDDRRKSDKDRRKKSSKKS >PPA11895 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:119533:121522:-1 gene:PPA11895 transcript:PPA11895 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVKMDQLNEVIPLAFPGGDKLILDSEVLLVDTSTGKPLPFGTLGKHKKEQFKEASVCLFVFDILFYNGESLLDKKLSERKKLLKKHMTEVPNRVQFSQYEEVKLGDKKHLSRMIYSAIDDGLEGLVIKDSLSTYEPGKRHWLKVKKDYLEEGAMADTADLIVLGAYYGTGSKGGLMSVFLMGVRDEKGKYLTVTKVGNGHTDETLEKINKQLKDKMKKIYRASESVPSWLEIKKDIPDFIIDDPEKAPVWEITGAELSRTGHHTADGISIRFPRVTRIRNDKDYKTATSLAELKKLYETSKQKSDIKEDEGEEEDTPLYAKKEKKEKLNSVEKKVEATSSKEIKKRKKIVESSDDDEKSLTDVKKMKTAAKDDKPPCKYGKGCYRKNPEHRQEFFHPDK >PPA11893 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:114947:116287:-1 gene:PPA11893 transcript:PPA11893 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTLAERIKKLQANAEAVRVGGKGTARRKKKVIHKTAATDDKKVQSSLKKLSVTNIPGIEEVNMIKEDGTVIHFNNPKVQASVPANTFSITGGGEIKQITEMLPGILNQLGPESLTHLKKLANNVTSQFKASEDDDIPDLVGDFEEASKHEHKDCDGHHHHHDENKA >PPA11871 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:24369:25560:-1 gene:PPA11871 transcript:PPA11871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:H3EQ18] MAKTIYEFKVKDADGKEVSLGEKYKGKVVIIVNVASQCGLTNSNYTQLKEILDKYESRGLAVAAFPCNQFGGQEPSCEIDIMSFVKTKFSMEPDLFGKVNVNGNDADPFWKWLKKEKGGTLTDAIKWNFTKFLANRNGEVINRYGPTTEPKSFIKDIEKALDEPAKL >PPA11884 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:73282:78390:1 gene:PPA11884 transcript:PPA11884 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTAALITNVVFDKEDDRRRISTVSTHYSETEGTDTKTGEIINIIHDANMDGVRHLKDGNRFVRYAWGAVILTFVILALLQISLQIQFYYRNPIATNVELIVFARLTYITGAWLMNRNMAREDPNSTISVFERVLLNAWDMDAVAFLKKAAHTKSRMIMGCTWPNGSSCHLSDFKPVWTMTGLKIVIFNQTDVAETSLSGVNVPAGHSMDIPFKMQHRQKLATSSCVEETEVEKEAVSNFSSLYNIRTCAIRKYLEEIERVCQCSFIRLFDQRASHDTPLCTVGDYFKCVSSLIDNVRESGTARKWCLPPCETVQFTAWQDMNRLPRNLMPPLIEEGEEEDEGDVEEEDIENEFRTNEADHITCDSSNNFLTDKQVGRIKREAHRAFEKQSRFQEDLVDPSSYERQPDRFKTIGGLKEYYGQKVEDVLREGNYIISTMEKLWSIFDASSYSSTITADLSRMDRIFELMNQYENGGLQRRVWAEKMESRQMRHFFPEEFMDGWSFPILRDLEKVLHKTISELESVEWVAVNRSIQSGNAGKMGATLFFSSSENEKAEEFEKLILDMYECATGEVKEESKKMLIEFKKTMRELQSAYNNLFKKELPEYLELYEFGDKFERENFAMVNIFMHEIHLEHWRQYETYSFWSLACDVGGALGLFVGASLLTIIEMIYLCIKHGIYKL >PPA11892 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:110149:112634:-1 gene:PPA11892 transcript:PPA11892 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTLAERIKKLQANAEAVRVGGKGTARRKKKVIHKTAATDDKKVQSSLKKLSVTNIPGIEEVNMIKEDGTVIHFTNPKVQASVPANTFSVSGGGEIKQITEMLPGILNQLGPESLTHLKKLANVSSLGRVDDDIPDLVGDFEEASKHEHKDCDGHHHHHNDENKV >PPA11867 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:8232:8821:-1 gene:PPA11867 transcript:PPA11867 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVKDHDDDDGDIFWDNESIPCEDTPDYSLFIIFGRYHNEFAIEKFDENGEATDKMIERWGVFNVSIADMLRKTEKFEKLPPHT >PPA11899 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:135723:136766:1 gene:PPA11899 transcript:PPA11899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-snf-3 description:Transporter [Source:UniProtKB/TrEMBL;Acc:H3EQ46] MTSSRNGKNDEIMELQEDTERGQWDGKFDFLMSMIAYAVGLGNVWRFPYLCYKNGGGTFLVAYSFFFVLAAVPIFVMEVSIGQYLQKGAMEMWRMCPLFKGVGIGNVVIAFMNTVNCLSGNENATYVAALRQKLKLANQTTETSVEQFWE >PPA11870 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:15465:17456:-1 gene:PPA11870 transcript:PPA11870 gene_biotype:protein_coding transcript_biotype:protein_coding MREEPSGRGRPGDIPFGNRHLTEDRDVFEYNAWDNMPWDEEMEREAKEIVERQKAAKVSEEKGRSLLEEPSSHWDAFYSQHTNKFFMDRNWLLKEFPELDTTQSESSMRALEVGCGVGNTTFPILDLDKDKKLFLYSCDFSSKAVEVLKTSDKFDPIRCSAFVWDITQSPPSDAPPQESLDLVICVYVLSAIEPKKLHQTLTHLRSLLKPGGSLLLKDYGRYDMAQLRFKANRLIDDNLYCRGDGTLVYFFDSNELDERLREAGFEKEANVVDRRLIVNRAKKVKMQRNKKILLRLSAETLKYHQVFENILAGQECRPLKEEKAMEGDMGYIFVCGYIIMM >PPA11880 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:55527:57452:-1 gene:PPA11880 transcript:PPA11880 gene_biotype:protein_coding transcript_biotype:protein_coding MMALYAILGGFMFEALESGNEKQLVAETVHDMNMVISDFVDFVVNKFYTNMLLTEDRYLGSAWHKAEDLDLNIQWTVLPAMFYAFTLFSTIGYVAVGRKTSKMWRIKGKKKKMMMMKRVNEFFLYGLQFRFGIWLGDQMAPQQTDFSLAASFYFVFISITTTGFGDVMPNSAQYNPLTSLAFLFGLIPEEPVAPRSVRALSEEARLRSHSHSTPGTFGAFTYLSRLGSPTLGPLGGVDIAAANRRKGIARMKRNSSNSSDRQN >PPA11897 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig215:124905:126490:-1 gene:PPA11897 transcript:PPA11897 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEEKRRFEEKGTDSLIFRVAISGSPGVGKSSFIEALGEELTEKRGKKVAVLTIDPSSQVTGGSLLGDLTRMQKLSVNPRAYIRQSPSCGSLGGVTRGIHEAIILCEGAGYDVVIIETVGVGQSETAVVDMCDMLTLLLSPAHGDELQGVKRGIMELSDLLVVTKDDGDLKAKARMAQAEYISALKFMRPRAPEWKPKVLRSSIMDAPSVSTVWDSCEEYWKTIGESGTLMRRRNDQMNKCMWSHVDEQLMSLFMKHPQLRAMTKEMEKKVNLAEITPGQAADSLIILLYGI >PPA11883 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:69315:72349:1 gene:PPA11883 transcript:PPA11883 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dgk-5 MEHIWLPCSSSSDDSECYLGDRGKCERSGDKLRCTACHVVAHSACLIHLSQASVQCKTTFREPHRKGREAMDALGGHHWVHRWKYEGRCSGCGKSFHQKIFFKGIQEKKEPVAVTCSWCKESFHVKNCFDDHKLNEKCDKGGLRDLIVPPQWIVRASKKRKANSQNPNPKSKTFLVRRAESWLGSPSQPLLVFVNPKSGGNKGAKALQTFCWLLNPRQVFDITSLKGPKFGLELYRKVLTHLRILVCGGDGTVGWVLATLDKVRGDGTVGWVLATLDKVRGDGTVGWVLATLDKLNWPVYPPIAIMPFGTGNDLSRWKLSVMANVDCPCGEEEDEEVQSALPLTVMNNYFSIGADAHVALQFHHSRSANPQMLNSRLKNRIAYGGLGTIDLFKRSWKDLSEFVQLECDGVDLTPKIKELKLHCILFHNITYYAGGTIPWGQEQDDSNRASYCDGRIEVLGFTTATLAALQMGGRGERIAQCTTAKMMTTKAIPMQVDGEPCLLAPSLITLSFHSKVPMMRREKKAVCAPPLRGKNKRKKSATDSPSSSTSVMVQIPVLVLLKSVQSKQRQRWN >PPA11896 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig215:122424:124634:-1 gene:PPA11896 transcript:PPA11896 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNRYAADIAKRVAGCKKCKQTIPKGTARLAKISPNPFVQNPDGGPPPDMKAYFHPKCLFETFEKARVTTKVIDEPSDIEGFGDLEKDDKDSIVKLIEELEEMRAAKGSKTPKGKKTPAKKKDDDDEEEEENAPKTPKTSTKDKKSEEKVSKKKVIMEEKNEEENEGEKKKRKDPVEDSKFNQFFRFCKLCDTIATVSKYTDKSLAVKMYIGKDGFDGDLLTLLRLLLPSIDQRVYNLKEKQLIKLFASMWDENVQDLTDSYNESGDIDRWLNKLANLSGEAEQLSHLQFIVKRIGPLELQYLLRLIKKDLRINAGVKHILDGVDAGAYDAFQTCRDLEVIVSHKEEGKAMPSGVSLSTPILPMLELFIELFLLESLQFGKKIVVVNLRIKSHRTRDGLGYFIRYFLLLSYILHQIMHLIE >PPA11891 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:103781:107063:-1 gene:PPA11891 transcript:PPA11891 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAERIKKLQANAETIRIGGKGTARRKKKIIHKSAATDDKKLQSSLKKLSTTNIPGIEEVNMIKEDGTVIHFNNPKVQASVPANTFSVAGTAEIKQITEMLPGILNQLGPESLTHLKKLANNVTTQFKASEDDDIPDLVGDFDEASKDEEKKENIFLGETFSFLVNCINESGEKVKEVAVKVELQTATQRIQLNCGLNIPSLESQASQADVISHEVKEAGQHILVCSVTYQTEKGENLFMRKFFKFPVTKPMDVKTKLYNAEENDVFDIFLEAQIENTSGMDMRMEKVWMDAASPYTSQPLQPQPVPTTLAHRDALQVVFGVKVKDEVPGEVTKIGRLDMEWKTRMGERGRLQTSHLERMIESVPSSDHSLDLRLTFDPLASPSLVFCSPSGIKLGQIPPKGTSSFTINLLPVALGFQAISGVRIALPVF >PPA11879 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:50585:50965:-1 gene:PPA11879 transcript:PPA11879 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVCDDERVIAYVPDYRLSTVDPSKPFRPPCDYVEVDASVAPPNLKTADSIKEEQPFVFYFHKKKFNRRHAEKFNEIILKLYDYDTCSLETVD >PPA11869 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:14498:15079:1 gene:PPA11869 transcript:PPA11869 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSLLLLSSLFVLSLADLPAIHEKVVPIKVKSGGPLSLKIPAPTTIWHRKFKDGRAEQYMEACNPYLDVCEKWRSGFLGKDTHPEKVSLAKDGTLNMPKVTSADSAQYYTYYMAKDGVHHYSYFDVVVA >PPA11885 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:83356:88176:-1 gene:PPA11885 transcript:PPA11885 gene_biotype:protein_coding transcript_biotype:protein_coding METVTPSYDNLLTSQRRRDLLGTKNDPFGSFSIPLFRRADCNVESALATRQAIPRANPDHLRPIFDQYASKEREGKKFMTSEDFIRRYLGLYTEDNYNKESVKILASAADTTKDGDISFEEFCAFEAILCSPDALYLTAFEIFDKNSSDSITCDEFEQIIRHTQPLHDMPFDFDSDFIRRYFGKDKKRSIGYHAFCQLLHDFYEEQGVQAFKRYDNGGDGSISSLDFQKIMTTVKGHLLTSFVRHNLIAVSGGGASGHKLPWSKLDKERVTFPYYAAFNSLLGKMELIKRVYVSLTRGNFDLEVTKEEFLQATQSYAQITPYEVEILFHLSELAHPGVKTLSLTDIERIDPERLKRTSHLNRITNIKAVQSKDDRGVGTAVLESAYRFALGSIAGACGATAVYPIDLVKTRMQNQRGGSFVGEVMYKNSLDCFRKVVKFEGLLGLYRGLLPQIVGVAPEKAIKLTMNDFMRDKFTQGGHIPLYGEIIAGACGGASQVVFTNPLEIVKIRLQVAGEIQAPGHKIGVTSIIRELGFLGLYKGARACFLRDVPFSAIYFPAYAHAKLAFADDEGHNSPGSLFCAAFIAGVPAAGLVTPADVIKTRLQVAARAGQTTYSGVIDCARKVYAEEGFKAFWKGTAARVCRSSPQFGVTLLTYEVLQRLFYVDFGGTRPSGSEVSTHKTMLDESSLNPDHIGGYRLAAATFSGIEHKFGLFLPRFEVVKKQKN >PPA11873 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig215:33533:34068:1 gene:PPA11873 transcript:PPA11873 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVIVYGGRGALGHAIVLHFKAKGYKVISIDVHANDDSDVSILVDVNAAWTEQEKNILEG >PPA11898 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:126791:132132:-1 gene:PPA11898 transcript:PPA11898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-farl-11 MDDEEEPKAQVRRGRPAFADDVYGPKKEEQKTELCESSLAQRRSANLSKLKELREGIANNLPSLLHKEQPDMKDEQELEFTYDDCDSYQNELAELYSYSEMFDYSNNVKAYRMIAEEKQVFTPFSSLSRSQQKALIMEIISRFESADVEIRLNSSRALLYILQGAFLDFIDEEDEPKKEGSETKEGGSRGTGYHEVDCLIATVHMAYLSFEAGAYSALTGLLRHELDVPFDILKEEAGSGRESKASAYSNSRSASNMDLSENELRCRRSATLADNENLRVILSCLYHMVESMRRVELIERVMGSAERRTAMAATRQRFLAELDEPVEQIGIPLVMILMDMCPPFYNGQAPHYPMRKVMLLIWKILLALLGGWEDLREKKKEKREKEELAEIEDTIIVGQRMKASLLNDQDGQVSRARPRVRLAMSRQVACTGEEDGEEILGGGEGRSDDDENDKEDDNDKTIGRTGGNTNWNEGGWSRGSSSTSSSSIRDESGERTPTADSPSPLAKRNCGLKWKPKARKDDLEAFIQNSRQKMFQYDLPGDTSTLFGLPPPIHASVEIMRKHLYTSLSDIQMEKEEILEKYPFSMKEEVEETRVEALYRMMLPNLSQYVVALLKVLLAAAPSNKAKNDTLNILCDVLTPETECSDVLSNSISLDHSTNTPLEDSLTLTIDIYRHKEVMVKATSSILLLLLKHLRLNHIYQFEFLAQHVSLGNGVPLILKFLDQNMHKYMQSRHELHPYNFPQAAIYYVRNNEWPELNAENVEITKWKHSRTMLLVVFKSAPILKRCLKIRLVCLFSPPSTLLSPLQAIFQIYVLKLLKMQSRYLGRQWRRCNMDVITSIYSKLRHTLGDDWAFANENRTKSWHYRNIEVDLKAAIEKFNARRYGKMYPAFAVDDSEKEEGEMDDDSLGSISQFEPVDNSSQSLLGRKIELGDKFKKKYEIWMERESVFKLGDTFSGRSD >PPA11882 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:63714:66013:-1 gene:PPA11882 transcript:PPA11882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lgc-34 MMIKRRRRRREKKDKNETSSLLLKWESSSPLPFFQVVSCQQKAVHARRLGASSQVIKTLSTRASPDTRPPVRDSADHSSIVVLTSIFINRIEWDTPKGAEVDLYLRQQWEDSRLSYDVDPREDLDEITLPDSTEIWVPDTFITTGREKEVDESRRRIIVEPTGHVRSSEERVVMITPSTSSSSPFSPTRTLTIRISSYKYPLEDVVYLWANSPPLVSPVEVSSSLLEGPLQFEHAVAGDCVGNYTTGSYSCIDVVVTFKASFWNSFGRFFLPTTLLSRDTAD >PPA11877 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:44574:45072:1 gene:PPA11877 transcript:PPA11877 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVQRLEQLQAEQKKKRTVTFSDKPPEKHSAAPYEGENEPPAKLGIRDRILLLFRRLFVLFILVSLVGFIVFLAVQSYENGKKTQNEVDR >PPA11894 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:117028:119029:1 gene:PPA11894 transcript:PPA11894 gene_biotype:protein_coding transcript_biotype:protein_coding MWDENVQDLTDSYNESGDIDRWLNKLANLSGEAEQLSHLQFIVKRIGPLELQYLLRLIKKDLRINAGVKHILDAVVMSLFGKIFGGKKQEAVPTTQESIQKLLDTEELLMKKQAFLEAKIKEVGAQSFTSGLEVATAVKHGTKNKRMALHALNRKRQHEKNLSHIDGVLQTLEFQRQSLENASTNAEVLNVMGAASKALKAAHNNMDIDNVHDLMEDIAEQQEVANEIAEAISSPIGINSQVDDDDLLAELEALEQEELDKQLLDVKPTPVSLPDVPSRELPTAAKATKSKEQDDLDALSNWASA >PPA11878 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:47023:49921:1 gene:PPA11878 transcript:PPA11878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mlt-10 MRCRVMMDRVSNRTKDKHEICSKNATTVTAHAKCVVDLMDDERMEGRRKEVKKGAKIIKLNREIRRKPLKKHKKRKGMKKTGKGKKHLKRKMIRRKKTKTTTVEPPKEKDESIRVRRSVISAYSYSLKSNDRMTPFSGLARSLTKTMRQFKHKDDGNSKDWREIIDEVQEVSKKIKARATIKKMFAKRIAMIEEGTAEGRIDERRPLAMKELDDLDESDPQSLLKEARKAEMKLSKKDTVKLGMMVSGKNISGFDDKTLKLFSPRLMSVLPDEDGDETQDEKLKKTTGIGMEEEEMRSPSGQPLYFTRGNVTDLYGKNETDKIDVFERLQRTYTQEQIDEQKRNGYFIMTADQLNIIYGPSSPYHNEERLSTLSSIPSSSLPHHIEENIRVVSRMNSFDIRQKDVVLSPVINTPLIFQSTLLSQPIILSPLVFSPSILSPAIFGPVVLGPWVFVPVILSPRAFSPLILNPLIFSPVVLSPLLAHPFILSPGIFNPFVLSPLLLSPFILSPQVFTPLILSPFALNPLILNPMVGSPLILSPFVLSPIILSPQVLFAVVLSPYALSPLIESKLIASEVVLSPSWLS >PPA11890 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:96811:101280:-1 gene:PPA11890 transcript:PPA11890 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dnj-8 MRLIGLTCLVLLSCLVYTSLPLDPYTVLGLKKGVNIKDVKKAYKSLVREWHPDKNDSPQAAERFMEISKAYELLNDPLKKERYDKFGTVDDEPRGGGGNPFGFDPFFGGFGFGGFDNGNSFFSKHRVGIRQYTNTILEKSHHQPFIIFAYSGYCRSCFAMESIWQSVVEDLEPLGYGIATVNAMTDSNLLEKMRVSRLPTILVLIEGRTVHYRSSPQYTTAKSLRVFARDVIPDSFILKLATHDKLKRFLDQAKITNKVSILILGSSPEPRMRYLLSAMRLSDTARFAYVHLGEATPEVGHMKEAMSIKCTQCENILVFNEFPENGPIGRLSMSKASDLNKETLNNILDQHRFLLLPRISSPSYLDALCPLSSRSSRVLCVWLVVTSSSDPAVDSFRSFIVKRNKEISEKNVRIAYISATAQSAFLRPFMDSRSSEVENSSRDVVMVWRSESQRGRFSWFEGAWNTDKSVESGNVLIKNMEGVVTGRIKLEKGIVFGQMMDEYEPSLFSRISKKIVRLIENAWFELSKEEVYPVISAVFAFLLIMMIGYGVSHSMREDKGTRRPPYCPPFNGRRTPSTDNGWHPEDPKMTREEGEGEEGENLTEMETAEMVLRRRLKRSIIILVDADHKETLLEHFALCIYPLRNNKTFSFGYLMVEKNIPFFRKLLEHTLPASEDKTAPSMYERLKGINPKQTVGTVLVMCGWKLYFCIYHPMHGATGKKNFLGFDDDGETDDELSDQSGDDVEKEGNITIEVDMKYSH >PPA11868 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:11467:12911:-1 gene:PPA11868 transcript:PPA11868 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSHLAALMHNTGEIFAFDRANDRVETMKEMLSYGGVKNTRVFNEDFLRVDTGSKQYAKVEYALVDPPCSGSGIVKRMDEWIGGGVDEYRLSKLANLQAMLVKHAMSLPSLKRVVYSTCSVHETENELVVAEVLKDVSHFRVVEGVLPQWKERGRDTYEFGRHCLRADPSKTATNGFFVAVFERVEGEEEEKSAKKGKKKGAKRLIEEESEEVEEEVEQKKMKTDDGEKKKKKKKGKKERQNTL >PPA11872 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:26217:31055:-1 gene:PPA11872 transcript:PPA11872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rbm-28 MLRRPLSEIELKQDDVDELKATLLRKECNVENMEGVEERRQKGKHMGKKFFDDEGNTVEGGPSSFGARTFPDRQRGSGGFRENSSDGPAKRYFNQDGDGAPPKKPWQRNSNSNYEPRGGFSGGRGGRRSWGENREDNGEGGGFGGGRRNRGDRREDNGEEGGFGGGRGGRSNWGDRSEDNGEEGRSFPRRRRNWNEEEEAVEEEQSEPKKKLMRWVNVNLRHWRIIIRNLPFQTTKEDLEKACTPFGEFTEVVLPKCKDKKFPKSCAGFGFVQYKTEEDADKALKGLNESKIHGRRIAADWTMPKDTYETAKHEEKEELEKKVKVEKTDEDEKKNEKKGKTKDEKKMEVDDDSDAEEDKDEDMEMEKDHDDSENDDEEKSDEDEEDEEKSDDEDEEGKGKRPPRKEDTAVVEKRVIFLRNLSFQTTDESLKEAMEEYGSVKLAIVCKFGDSGHSKGTGFVHFETPDEANKAIYAANGGELSIDGRSINASLALPKEEATKLDKEWDPRSTKDKLGVIREGTAAANGMSKGDAEKRKKQVQSMRKKLENLHMFISPTRLAIHNLPFTLTDDKLRELCEKSAGEGASVVECRIWRDLNNLDEKGVARSRGYGFVAFSSHSSALACLHALNNNATTFTNERRPIVEFSIENLQALKMRESRMGAKAAAKVTRENPSVLKEVTKTTSKMMKAGMKPLPLRMNAKIRTRVPKKKDKKKGGEKKKKEDKGEKKEENGGAKKKVGKSGKKTLAKYLSLST >PPA11889 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:94479:96077:-1 gene:PPA11889 transcript:PPA11889 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPKVVKTTLNAGRGKIPEYSDGTKAIFHYEVWKPNEWKEGMPKNRDDYSYVDSSRRAFPHGYGAPMEIVFGKKFALVVFENCLRSMLEGERSQFDVDASELYTFPMTAKKLRDIAKGRQADPHHHHEHHHESHMCAAALAGGTGYPELDDLLKNPAPLRIIFEVIRVIPPGGYEAESWQLNADEKLKSIEDYRLAGNELFKEGKLTEAIDKYREALGRLETLLLREKPGDVEWEALDKKNIALYLNLSQCYLKVGEMWEAEQTATEVIDRDENNEKGLYRRAKARISRWKLDEERKGEESEEYS >PPA11875 pep:known supercontig:P_pacificus-5.0:Ppa_Contig215:36927:38009:1 gene:PPA11875 transcript:PPA11875 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDNPRPYHDGKALHVPLNETASVELFEHWLQQAFSGLLAAVASNKLDTVDEDVREELAACSKDAHTVPAHARCVKKLLKASKESGEVMVKGLITGRV >PPA11901 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2154:35:357:1 gene:PPA11901 transcript:PPA11901 gene_biotype:protein_coding transcript_biotype:protein_coding KRYGNNSSFIERCNLPGAMTILFGLALLGFVYQAKYNGNNTAVKKETKTIQNAFSLIGAGRERFVFPDTSMFTDEEV >PPA11902 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2156:324:941:1 gene:PPA11902 transcript:PPA11902 gene_biotype:protein_coding transcript_biotype:protein_coding NDLDDADRSLIVITYAMHKTNFMFFFLIQTEHGDIFKVTLETEEDLVVEMKVKYFDTVPPANAMCILRTGFLFVASEFGN >PPA11903 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2157:358:2041:1 gene:PPA11903 transcript:PPA11903 gene_biotype:protein_coding transcript_biotype:protein_coding VASANFFDDLVNQSKGDDGEDDDEEEGDDSDESSDEEKEDDDEEEEGEEDDAVGEEEGADDDEDEEEDEEGWELEEGQEGDDGEESDEEEEPVKPPQGKKRRLK >PPA11924 pep:known supercontig:P_pacificus-5.0:Ppa_Contig216:86815:87363:1 gene:PPA11924 transcript:PPA11924 gene_biotype:protein_coding transcript_biotype:protein_coding MMRFVILFLALIACTLAMNGQEVKRDDMMGFGDISAIDTLGGIGLGKRSMGMDEGKQLLARYRALLRERNAMY >PPA11929 pep:known supercontig:P_pacificus-5.0:Ppa_Contig216:105255:105779:1 gene:PPA11929 transcript:PPA11929 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNESQMQLINMDGTEPSPSSSSPCFDTPYAPTTTECPPAGSSTLAGVKYRSGKVTA >PPA11912 pep:known supercontig:P_pacificus-5.0:Ppa_Contig216:39740:41266:-1 gene:PPA11912 transcript:PPA11912 gene_biotype:protein_coding transcript_biotype:protein_coding MSELADFSDRGRTWSTSRVYATKSELVNDLIAREMTVYKSKCRYEEANRIERNARQAFADDYFDEDDLEATLMYTRRRGGPSDYERVEFLKEWREREDDPEGMPYVAPCGHLNRPGRPHHRTFFVQLKQAAMMKWKFVKLLLNMKRIVR >PPA11921 pep:known supercontig:P_pacificus-5.0:Ppa_Contig216:62515:64864:1 gene:PPA11921 transcript:PPA11921 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVALFVIVVLSSGADAVKCYKCSNTPTNATLLNNSLFHAGCASDEPQPPSCFTQGQRRVCACSSDLCNNGSGSFKKGFMSPVLDIDLNTFFETVAAIVPSAPVAAASTEKTPASTAEAAKEITSAAPADVVATSTETAKDDSSEESSDSPAIDTNTTATTPKPNSGSAAPFLIAAAFFGTALLF >PPA11906 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig216:21567:24620:-1 gene:PPA11906 transcript:PPA11906 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFRDDKQELNRLECVECGKGFSSPWNLQRHSKLHKQETEFRPFGCELCGKRFKQNQHLDVHKKSIHEKVTRTYKCDICGNKFKQLGHLKTKQIVHEEAAIRRYDCDICGLKFKQLGILKTHKKTIHGSQSHTPKSMKQSMRTHCKESLAEWLNRHMVERNQNLIRSWFKQIISAVEYIHSKHILHRDLKVIL >PPA11909 pep:known supercontig:P_pacificus-5.0:Ppa_Contig216:31795:35152:-1 gene:PPA11909 transcript:PPA11909 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEDESLATVLRWQTKSFEYARSPDRLLSLMAKGFETMGKILLEDADVRYALMMLDSQRSCAVRDEAVRSTMYGFIESVHATLFKLTEKIAAIESSPKDSRESMDQNTQTEEVEDETRSNASLADVEDNSMGFNQAHCSHSTAPSYVAPKEEELLEDAQPIHDRVKISELFDKTDCDSKDVIMLDESNMAGTSFGGNVFQEGNDEYGNEFSHQNSMMSSSSNSRKRKSAQHEDQDPNKTPYLSKRSATQMECPDPNCEFRTDVLPSWISHLYRKHNTTPDLANFAARCECGTLCISNSHSRFCSISNFTVVRIRDGPMRRLAELPTESAEVEASSALSSMKPLEAAGGSGFTSQPPLVDLEADTPKCMIRNCDSRPQTMTGYVTHLVRKHNTSLLKCSVRSYQLLKYPLEPSINRTLGNIA >PPA11920 pep:known supercontig:P_pacificus-5.0:Ppa_Contig216:60066:61847:-1 gene:PPA11920 transcript:PPA11920 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMDATNNMWAGIVMFVAGLFGICSNGLAVFTVYKCRHLHNSFGFLCLSHCIANFGVCFTFAAWCAPTTVWQNSALTETVEGKRVGQINFLFWNATVYSHLSISINRFICITFPLQAKKVFTPRVIGAFFCTPWAIALCHITPYFWVNDCFVYYEPLTWVWTFTEGPCVLYISTFFDFYTSMTVFVLMTFFDLSTALKLRLMNNKNASLTGTREMHAKRKMETRFFVQAICQSATFGMEILCFYVICTFAVTQWEVG >PPA11915 pep:known supercontig:P_pacificus-5.0:Ppa_Contig216:46612:48428:1 gene:PPA11915 transcript:PPA11915 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFNQWMIDNPGTCELYEIKEFAIYLGIGIVRPVLSRNDNLKVVEVLLNATEALFKDDWSKRAAAVIGWTVLYREWKRPRLYLLAHYAEGCLSDEHPRVRSAGADLFAEIVREMPSINGDADIYHNLISSLVAMVGDKIPYVRASGIYALSSIRSTTVLLAPFYSVLLPMLLAAVSEFRPSAPRDVHARSVTVITNLAIAVEHAVLPLLASFAAKCDSHSHFVSYCNAVDGLCEIAGRDNDLGRTLDTYRFIPY >PPA11913 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig216:41661:41975:-1 gene:PPA11913 transcript:PPA11913 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFFLLFFFAMVAVQRQESTWKRAISSVIEFNGRGTSAAELAATEAWKEADAIVAGLSANELTPSN >PPA11905 pep:known supercontig:P_pacificus-5.0:Ppa_Contig216:9725:15916:1 gene:PPA11905 transcript:PPA11905 gene_biotype:protein_coding transcript_biotype:protein_coding MFECSGDALRQLIGRFPDELRQAYMLMDGRTLANVAYKQRDPVATEFIANDETLVKRVSQRVDSADQDAFQQPSNDSLVPLPFHSVAYLVAVPHDYGIPNWSCDAELMKRSKTFPKSVHSLRPADIDVIAALGDSLTAANGAGAVVNDAVAVLIQYRGLAFGIGGDKSLDEHVTLTNVLRKFNPNLFGYSTGTGSANVWKTAKLNAAIPGAQTDDLVGQAYDLIRRMKDHPEVDYDNSWKLVHIFIGGNDICNWCDHPDVESETKFRDNIGAAVQILKDNMPKTIVVLVGMLDLSLLRSIDKGKYFCDALHTFECPCEREMNFSDEAIREQCKKYMATEQELQDGRFDTTEDFTLVIQPFFEDLNVPPLLPDGEPDLSFFAPDCFHFSAYGHAVVAKTLWNNIMQPVGAKDKTANLTDLSQPLACPDKDCPFIRTTKNSDNCAQYMTPAAN >PPA11914 pep:known supercontig:P_pacificus-5.0:Ppa_Contig216:42662:42979:-1 gene:PPA11914 transcript:PPA11914 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKILIVLLILAIAAVHVDCQDRVLDGTRVEACDVTCTRIQRERDECCRAHGYRRGNMAGICAVRQIAFCRK >PPA11904 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig216:2655:3303:1 gene:PPA11904 transcript:PPA11904 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHVESLQTATTLPLADLSLTGHRFNGLSVIISVMEISIADVLKEILTAMKSYLVVIDRTSAGRTVRANFRSPEHLLIAVHVFHGALMCGVQLICSRPVT >PPA11910 pep:known supercontig:P_pacificus-5.0:Ppa_Contig216:36064:36573:-1 gene:PPA11910 transcript:PPA11910 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKVNFAHFVVLARIIQIGHRLTLLRAKLFGHHGRVSRLIRLVPPRGETDCRCCRVDGQRLTMVELV >PPA11922 pep:known supercontig:P_pacificus-5.0:Ppa_Contig216:80112:82466:1 gene:PPA11922 transcript:PPA11922 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLRWWSVGGAATDPVKEPAAALQPISTVEMETQTMPLHKRMRQIIVNQSILAQFFKLIVLFIIALIHVFYARDFLNVFREMEMFIELAHTRAPEVREIYRATFLLDLLVDYKKNLWMSVSAMCACLSSACFFLLLIREEAYKPYLLVMMRVIDAFLFMTLPVLLYARSMLVGAIIRHSDDALVQASKQANPSHMMNTLHCSVTMREKVPLCSALIERAIFPVVLLEYLVVLCIITAAYVGLAYLIVYCIKHWLVS >PPA11907 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig216:25881:27992:1 gene:PPA11907 transcript:PPA11907 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFCNFLVLEMHFVSSSIIFLYVWAIYYFLFQENEYRVDTFAFFIFFLFMVVALAGSIVDAIIKNESVCVSRDFTGFAFIYMFFAAIVVYLAFLVLIGWLLCASRTNNPDKLTWFIVLFAAVFVRVIGLEVVTHQLISHLAVYWLITIRAIPIAYPIIFSGIEMIRARASTFTGHNEKGGMQDKFFDQEVEL >PPA11916 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig216:48614:50123:1 gene:PPA11916 transcript:PPA11916 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDTKSDISNEERKKRKEVGLAKIIGCLEDVVELDTKTEKQIGNLKFNYRFAINWMVHTPFLSHNSLSDE >PPA11911 pep:known supercontig:P_pacificus-5.0:Ppa_Contig216:37758:39661:1 gene:PPA11911 transcript:PPA11911 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPDMSTELSLLTYEKLEIERPGFPGEMIDIRAIASTVHLTASSTTDRWRQLAREILDKVLPRDVQCLYSGSVKASMYFALPPDFVDAIIQCCAISSGLNEADTATYLPSITTHVRSALGFKLNEIKKGRSKDIEVLVRDAVILGWTPPGVTREEAVALYCPETSDDVIKTEDDLDEADTSSDAVLNKEPRPHPTAHLKRRGPATYGTMKSERKKARSDLATDLEGALADIIPGPSSSQPHADGSTTGWFDDRFMPTTQSNQFHQ >PPA11925 pep:known supercontig:P_pacificus-5.0:Ppa_Contig216:89081:91632:1 gene:PPA11925 transcript:PPA11925 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dct-18 MLLILLSPLFLAALSTASTSKSSSKCVDGVHNRLRMHDMFKGQKDVVFEDFVMLTYDNRKRPSCTTSGVGKVVLPGYYKFMSGKIRVKKQGSIVGAAHLHFNLEKNSMFVGTVCLNGKSANAFVGDELCNVDLFSLASPAAFKQFQRVGVTDIMDLPGDWGEMKPMIRQDNPYIEGEWKVSVALAMAGSSFAGVTIGDGWIEVATVDHHAEF >PPA11928 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig216:98491:100437:-1 gene:PPA11928 transcript:PPA11928 gene_biotype:protein_coding transcript_biotype:protein_coding MWAGRRNNVVEMSYGCARQAQGEAPGDKKYNCYNGDMGKDGKKVSAEKTCQGSLCKLEINYEGMKLSDIKSVDGSCLEIGETGCWKYQSQHKAVCHCKMSECNSKEHQDRALRQAINDAGPSSDGAWVLVGGAALLYAIYHN >PPA11919 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig216:59290:59936:-1 gene:PPA11919 transcript:PPA11919 gene_biotype:protein_coding transcript_biotype:protein_coding MFISTSFAWVACHATDGLLERVGEQKIELKYRPFQVHNVPVPLGPRARELQEAEFTCAHDHDYIQPHNIFKIK >PPA11908 pep:known supercontig:P_pacificus-5.0:Ppa_Contig216:28921:31363:-1 gene:PPA11908 transcript:PPA11908 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPRMASREMQTGRTRSLLQLLQLSLPRRCSTLSTCPPTSSSRHVAHKLTYSEMAAFRLTCRKALDAVDHCGRTHHTLDVTRLVGELWPEKRSQDDPHFAFLGEVEKPACLPITLAKLTSWLDLDLISSIDFAGIEVLDDSQLEAVFGVTPRRNVKTLSLIGAVAGPNVYATTVFPFYRNPLRWAALARLFPGVRNVRFTEAVAHFPDEIVHEVAARGVMAADIFVEQQALEQNGQLDAQRVLSLRCLKRLFPAARAMYVD >PPA11926 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig216:92878:95333:1 gene:PPA11926 transcript:PPA11926 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLALIFASLLLAQPANAQAEGIFGFVEDVFQFLCNRCKDIITTLDNVIENEPFKKELDNLCRTFIPEPTLQAICVNVADTILGGVYDQLKRLEPRPEICTSLRLCLECGVPQQTFNIPTSAPFPAKPTLPNDLFSQFDLNKINADSSSFRHRRATEEGEKEVKEGEHGAKETEAAVQLEPREHSTAPSPNLRSKNASEPFDPVQFYGKVAELATTVARLRRMAEDQ >PPA11918 pep:known supercontig:P_pacificus-5.0:Ppa_Contig216:53803:57906:-1 gene:PPA11918 transcript:PPA11918 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQLAAKTPEAIWRLLWVDKSNFVNGGDASGNRKAMCFYENAFAHSTDMPNVALKDYYTANKTTDQRLTAVIIGQTITIKGTFDFALDGAAINLQTKNQQQIALHMKPMFSKNHIVLNSAVVYGKWGYEEILPTPIKKGKAFEISVDGMALYNYKQRLPLAAVTHLWVWGSGSLSSVQLGEMYNPAPYSIGATAIEDKSSKTSSEVHNLTAHWNMPYLAPFTDKIEPGKTVIIKGGTFADVEGIAINLQTKNQESIALHFKIMFNKGQVVMNSAPQYDKWGKEEIRSNLLVKGSEFDIRIRFQDTFFQITVNGVAFYNYNYRLPLSSFTHLWVWGQGYLTMAQIGEKFNHIKSSFANGFPVCGQDSCSAQREIFYVDIKRKNGEIALRIVPRFNFNIFVNGVFFATYEHRTCPFDIYQVEVGPFVYDIGIQI >PPA11917 pep:known supercontig:P_pacificus-5.0:Ppa_Contig216:50358:50768:1 gene:PPA11917 transcript:PPA11917 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKFIIILLLIAIVAVQIECIRVQQCDEVCPRIPRERDECCKANGHAGGMDGGLCFFGLAFCKD >PPA11927 pep:known supercontig:P_pacificus-5.0:Ppa_Contig216:96180:98104:1 gene:PPA11927 transcript:PPA11927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lips-9 MRLSLALLLFSFSLTSADFSYHFVRWLETYYNFGIKEQLERKDLGAKGAFGGKVNDSDVIRHHPVVFVHGVSDTAGQIMKRAADHFKSQGYTDAELYGTTYFNGAQGDALKWTQYTMKCEYVKQVRALIVSVRLYTGRAVDVISYSLGVPISRKAILGGRCVDTGEDLGAPLTSIVDTYVGVAGPNHGVAQQV >PPA11923 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig216:83941:84282:1 gene:PPA11923 transcript:PPA11923 gene_biotype:protein_coding transcript_biotype:protein_coding MFEKRPCHECAKACSCSHPDLRRLQNLRVPTLRPLVHPSYAPLPTTSQA >PPA11930 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2163:31:1333:-1 gene:PPA11930 transcript:PPA11930 gene_biotype:protein_coding transcript_biotype:protein_coding AKHDYLRRRQEELDALAAKEKEEKEREKQERREVLKRAMENANRHLGRSDGRTEERRKITTTTTTTTEQPTTPAEESEDYEQMSGEDSKTRSTRRKKKMTSEVEDRREEDGEDDRSREAEVAKRGHVRRSRQYAEGDEEDADQVVENRRRRNHEEDYHGRERNRHKSSQKRKHRRREASEEKESTRRFRKTANIDEEDETNDTRRKVEEENSEERTSKRRRGRKESDEETSSRSGKNTRRRQIRGSVERHESRKKRKKPFRKEKERRVEERSIEEEDYQEDYIDEDEEEDEISRLGKRRSEHKARGVKTRTEERVEEDEEENHTNRRRDEEREDDYEVEQMSKRNEEWEYEEPDHQKKLGIRRNH >PPA11931 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2167:151:946:-1 gene:PPA11931 transcript:PPA11931 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTAGAGSKAMDGDVTDTTGVCAVRTFTCLGTSPNIENNNGVIKDGDDGMVDGMTTLVVTCNAAGTAWESNGVAITQVECTIPCKTCSANLITVTNALPGAKAMDGDVTVSTGACANNNGAIMDGDDGAVDGSTMLAVTCNAAGTAWESNGVPITNVECTIPCKTCAANLITVATAGNGAKPMDGECY >PPA11932 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig217:49782:50959:1 gene:PPA11932 transcript:PPA11932 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYKVHYQACSSDSSEGYDDEKTMIERERTIITDNEWAMRVMQSNQMNHNTLQRDKAHDKIRWHEGEMKKMGLGMTIGRRKWERENGDWKEDWHFSIYSFICGMKVNVVDEHSTKVVSMHCRDRSRTLVSALRNYRSSVSTRKSVRFADSLGLDLEKMEYFTRDEENLFAHTMPSV >PPA11935 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig217:180308:181457:-1 gene:PPA11935 transcript:PPA11935 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGIEETVVFIPSDRCAKNGNDERIMGSILFSIKCMEKKGIKKNSGFPRMKIIGLNISEDVERITEKRLIRRGMCTNDQEA >PPA11933 pep:known supercontig:P_pacificus-5.0:Ppa_Contig217:51231:54818:1 gene:PPA11933 transcript:PPA11933 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRHDRYANREEEPIRTTRRADGTVKGMEDDSNSHKEKRSRIRLMEKEDEEDRRRNGKESFPMIVIDLYLAKETERVRDLSMLIPVKKTMEETDEVKTKTYYDEHQSKSQKMDSDASEEELRIKRKEKEAKKASLAVDSVFGKEDSSSDSSSSKSTSPNKEDLAAKRLIAQVIDMVEAAKVITWSQRKLINNQEFQEWMSTMKTHNRSLPTMGEIHKKMKDIASPGEHNYTNDEVNQLAESLRGEMAREMEGITSPSASSSSANDNDD >PPA11937 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig217:195751:197030:-1 gene:PPA11937 transcript:PPA11937 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKVIWPSTRRLNSVSATQPNSFDTFISKLAKTHVSERSDRQRGRDYMTPYGIGAGLGSGLCVY >PPA11936 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig217:185541:187209:-1 gene:PPA11936 transcript:PPA11936 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMTLLTPRQCVNLASTCISLKLTSSRLKTKLINETMAGMGSMTKWSDVRTIITLFTRWKVCDDEVWRQIGLWTGRRANDVPFKDLSAVVNLMGDLGRSEGSTIASILSRRVCLEKGGNALSWLNTVVSLSFYSSIDDRSIESVLNHAFIQEVLANS >PPA11934 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig217:173893:176308:-1 gene:PPA11934 transcript:PPA11934 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQFIIRIIVSPGGSIMLMGRRDLTIYLVSASILRERPACVICHISKVECEVFSCGHYTCGDCLEGVYKLCKKDWKGGRDKTEKGFIYDSFCQFDDCMDFSVLNLPWCGQPVVTH >PPA11939 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2174:1263:1847:1 gene:PPA11939 transcript:PPA11939 gene_biotype:protein_coding transcript_biotype:protein_coding LACLQVDSRGSHSGLSFFLFVFQFHPFTSVPLQSYFPDVTSGSAPSTAEITVQAVTVDEAPPPTNADPPQPAYTASYGRKDAEVVAALIEGLLPGTGPTVPSTVPSSYSVEGKLPPGYVAVPASWLDSSTPAPTVTYTQPPPPYSVEGRLPPGYAAVPIIWLDQVPGAKQVGRIPVRNVPGAAAAAIWRASGAPE >PPA11940 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2178:176:883:1 gene:PPA11940 transcript:PPA11940 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVNTVGKSAFVEAVGRASDDAEGYLDFFKGKITESHLGKELLEKVELTEDNASRLEEFSKEWKDASDKWNAMWAVKIEQTKDGKHYVAGIGLSMEDTEEGKLSQFLVAANRIAFIDPANGNETPMFVAQGNQIFMNDVFLKRLTAPTITSGGNPPAFSLTPDGKLTAKNADISGSVNANSGTK >PPA11954 pep:known supercontig:P_pacificus-5.0:Ppa_Contig218:55137:56458:1 gene:PPA11954 transcript:PPA11954 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-apb-3 MNIDTHNEEEDEEESEEDEESDEEEEEEEEDEEEEEEEEVKPPPKIIKKPINNITATVKKPIHTNKTTVVSPPSTVTPIDLLLDIDFSSAGSRSLSENTVESANNIILTPPEGLVWNGQNTVDELKSGSSCVLNVFVDTKDTGGSKEWNLKSDDNSIHKITVSIPLGEQLEGVKLSSDLLEKEKSSLTGMNKVEKKVNRQISINDIIGVASVCESDEKTLTGQTRSRKDLIIISIDGDSSFSLSSSNALFAASLAALIHNQLND >PPA11956 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig218:64315:65145:1 gene:PPA11956 transcript:PPA11956 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYEYLDKEKLKGFDNYKYNSVDTSPISVYISHPFWNWLVQFYPRWLAPNVLTFGGWALVMGCFLLQSLIDYNIDSNSVDSKSAPIPSWFWLLASICTFAAHTMDGTDGKQARRIGASGPTGELCMLICSILIIIP >PPA11971 pep:known supercontig:P_pacificus-5.0:Ppa_Contig218:131495:133146:-1 gene:PPA11971 transcript:PPA11971 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNENGLEEWVVLDMQGEINNGGRPFDGEMLGNLGWRHDNSEALFLVGHHLVEGKMVNLERPYLVTAPKDHNGKKVHYVEAVVRRKLVFKLRPKPIVTKHLMKRGEYDKDKEKEQEQEKENEHMES >PPA11951 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig218:40242:40705:1 gene:PPA11951 transcript:PPA11951 gene_biotype:protein_coding transcript_biotype:protein_coding MNKESHQFNVDRSVLPKIENGRRTIVITRSNQNEPFGMTCDGSLKRYTYLDSVESGGIAYKSGMRNGDILINDYFAVKYVNV >PPA11969 pep:known supercontig:P_pacificus-5.0:Ppa_Contig218:125848:127229:1 gene:PPA11969 transcript:PPA11969 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKCDCGNGAFKDKCKLFEDKAPHNERNTYNHNFVNSYCWCKRPYPDEEAPIQDSQLQCIACEDWFHLSHSGIPEEQKAEYSSYEYLCKECNDRLPFFAKVGRDAVDEETMTCSKETREERGGRESTQEGDTAPVHLLKTGWRKKLCDCPKCEDLYDKFNCDWIHDPDDSLEKFDEEASKKIKEEDEESEKELTKFMQAQDKDVVVNVITEMNLMKRKMREFAERMESMNEVVTPEHIKELFEGLKRERQMKFNERMEEISEGKGRRNGDEDRMRDAEH >PPA11966 pep:known supercontig:P_pacificus-5.0:Ppa_Contig218:113142:117439:-1 gene:PPA11966 transcript:PPA11966 gene_biotype:protein_coding transcript_biotype:protein_coding MVADTMLTDPGAVPKGMLTEDTLERIQAMSTELYIALLSMHALYWGIWQFVLCVGEEWRGCSAFGPPATTLMLIFLLFEAILFSIFTLVMFGTQISSIFSDETAIESLKSQRGMDQSHERGDGWKNLQQNSSGTSTVSLLRAYRSTGAESMIIATRMEDSHAVAMTMALAYHSRDHSYWSRDIFFLFVDGGEEGMDAWLEEYYGFNKENEEGDDMRGRGRFVVAAVVLETPEYLARKHVVEVKAITIRGPRMKSGEKTGRTEFLMEGIMRSLNNLHERFHQSYFLYVLITPGFFSSIAFYLPIVGLFFAPLLIFEGITRLVIGILIFPLGLFVKIDSLSSSRFLLLISTSLLLGSIALLNFALSLFSSIAILPAILITMAPASTKLGRLTRTLLLFLFNPIIVILLGKLAIISLQYEWWSHADNKLIEWSVLIGGPHFNRVSFYGI >PPA11943 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig218:11226:12062:-1 gene:PPA11943 transcript:PPA11943 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTRALTESTSLPEALAELGDTASLGLDSLLLQQSSPPVIMLNVLSDSGLGCSGPLHIEDWPSLSVLLPKHVAEACAFFRKNSDLLGTSNCVERSSRSHNEPCTTCFRVRRKGLLHPPGWALSSHSRITLCDCTKSEFTPRPIGRSATFSTNSGLASRELAIVGLPVYSEARRLVETIVEGVAISIR >PPA11945 pep:known supercontig:P_pacificus-5.0:Ppa_Contig218:22245:23898:-1 gene:PPA11945 transcript:PPA11945 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPNGDDQPPSSKPPEDDKDSESDDGDAAEEILEESPCRRWSKRREQVKQRDVPGIDMAYLAMDNETGNEAKINAVFDNLTHLVHPNLVKFHKWWTDTKSDKPRIVFITEYMSSGSMFLFLQRTRKSGSTQSTWKKWTTQILSAFNYLHSSNPPIIHGNLTSNTVFIQQNGLIKIGSVAPDAINHHVKTCRDNLKYLHYMAPECNCKKGMNI >PPA11961 pep:known supercontig:P_pacificus-5.0:Ppa_Contig218:88015:93061:-1 gene:PPA11961 transcript:PPA11961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-itx-1 MKCIYLALINVIPIYDLSADPVCVCKIPQIQQGANCEIDINKTPPVSFHGGHLKYEDLHWTLEGEIVLSFRTDQQQGLILFTHDHLNNFLQLHIASEIAVTLTLNNLEEVFTCTVEAEPGEEYSDMEWVQIVISHVDNTTILSVNGRLCFIQKTRLLSTDPITTYAHVESELVRIPVGLHHSAAINPFLLTYLGGVQEAKKQKGQLTLSPRYDTSIRDFLGCVRGFRVGGNLIDLTHTAKGTRPEDPDLVQIGCELGCSSISCSNGGHCGVGWTNFHPEFNNKAHCNCERTSYNGDKCDIDRSLSLSNGRSVDVNIKRYIDHYPLADRSHSQTLKMAFKSNKQPNTKQTIATLHFNDGSLFEVLLNRNGSIHVGAVRGDKAEIDSSRHDLPWSTHNLDLFDAFSLTLGTPNDTRYTDAQREHFDGCVSNVELDYQITAVKIEPIAELYNVSSTRWSVTSIRPEGSSILDMIQHSCGQFKEKDHLPGLPSKIDYPVWDTNFGRLIYSGLWLEGGEEDGLLIILIILVILIIIGAVVYFMCFRNKTPPKKTVEETPLNPIQPVISNPIPKKPVSNFTIPEPDSVLPEKTTDEVSLFL >PPA11962 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig218:95660:96881:-1 gene:PPA11962 transcript:PPA11962 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSMERKVLEPLDLIDSKLEVILGSDEGDSLVGCITLVSLTLSSPLANIYTIAESDTQSCAIQGNECAERDCGDGICLELETPTCDCYGTGNAGVNCRSDVPSAQLSSSMDGTLGYQPLRGDQTIGRISISFRLNENEDEQGVLISARLISKRRIIVYVVDGKGSLQIDNIAAVGFDMDKSIK >PPA11944 pep:known supercontig:P_pacificus-5.0:Ppa_Contig218:14957:19142:-1 gene:PPA11944 transcript:PPA11944 gene_biotype:protein_coding transcript_biotype:protein_coding MHSEQTMDGLVCLNYGFCPLNGNNPKFRIENQVQQCYGGADATCEAIGALAYDCICDSDDCTVNNPISFCCPSRAFACIQPPNEGYTPPGGGTTLNHWYSPFPSLPSLDSSLSRYHDPITGECRELKYQGYGGNANNFQTKDHCESYCKQTCSRGLPLYRDRTTGVKQEPVYCQGDQGCNNPNYVCTSMGTLQQCCPTYLHICSRNGAIPTEVYNTAGGLPSDYFDVGIPDGSGNTYPRFHYDSREGRCIQFSYLGQGGNFNNFLSQDHCEKFCSRILCSAGEPLKDSSGERNMECSPTGSSASACPSTHSCESTGGSTTFGGLGLKGVCCPRPQYVCKLPREQGNCGTYSNRWWFNAKTGNCEEFIYSGCQGNANNFDSYKECQDYCRDARSEPQCIQGTALTDSNGNFIICGGSTAASTTCPANHYCYYDGTTYGCCPTQAYTCSLSYKTGATCGPAVTRWYYDSTTRTCQTYSFNGCDGNSNNFATQQDCKDYCRVESCPDGGEVWKEPNGAARACTSNRQCPSTHYCTPVTTWTGTVYQTKSLCCPSKNFVCSQPRDVGVRCSSTRISRWYFNADSKTCTTFEYNGCEGNRNNFATQKACQNYCLSEACPPGTVVAKEADAGRLVSCSNPGGSGRVSGGCPEGYSCYSSPLLDQNVCCGASTELQSLCPTQSTPFISALSLQPMQCTPNVDGACPVCPPQLVPVPGDGGAQYRYCSPSAPANDAIQGCGPNRHCQFSTNLERYICCGLESDVRLPNVCPPQPANLVPVELAGNYRSCNPYARTGTPQSCPDNSACLYTGQENGFICCRVQLGGATEPKI >PPA11942 pep:known supercontig:P_pacificus-5.0:Ppa_Contig218:6045:9430:1 gene:PPA11942 transcript:PPA11942 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSGRLLSDFKVAELKAELEKRGLATQGIKVGLVDRMSKWMIENGLKPATHLFEGSNSTPCSQSKTTTPETVSTPEVPPPSTSPSIKVETKEDKEVPAKTVKVKEEDKTNEGDAAKEEKKETATKDGEEKKDEDDKQTEVNDASKEKEMAGRSIWLKNLKAGTKAMEIKAMCMSIGHVMRAKMFTVKGKEASIGLTGLGYVTFSSVNEADTAVLRLDKTQLKGVTVDVTKASPHNLPFLKSKKKESPSTAAPITTETGNGTNTEEKKVEEKKKEKEEEKAKEVTVKKEEIAPAEIVKEQSKRDDYSQRPSIPPRFPDRRSSDRKDDKEKNELAKKLAEKEREHRKREEELKLQKEKERIRYEKEKVEKEKLKLQLDKLKAEMARGGGGGRGEKRDDRGGRDEREKEKERMKEREKEKERERDRKRERASYIAPAAAPERLSRDTYPSTRPIDRMRDDRERKRDAHHPSRDSPSIGYNRDTNYKRRRPSPPNRRDNRDIHSLSSSAFLPQSHGPSRVTYPSHMSGGSGWMGQSNQNNNYNTAAMNPWATTLTQYPQYQRRYKF >PPA11958 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig218:69101:69914:1 gene:PPA11958 transcript:PPA11958 gene_biotype:protein_coding transcript_biotype:protein_coding MSESLRSNRVQACDGERITLQCPKNTHIQIETGFYGRVVPVEELCPERNGKSIPSRPFYDATCDVIHAQSRLSEMCNKRQCQE >PPA11965 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig218:110669:111045:-1 gene:PPA11965 transcript:PPA11965 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVEERMHLMNIDYSLIARQTLRSVAQLNVHAKFHAFEEIVPSSSVKEEKEEK >PPA11952 pep:known supercontig:P_pacificus-5.0:Ppa_Contig218:41684:43110:1 gene:PPA11952 transcript:PPA11952 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKQLWALRSIDLKDNNQLDKLTIPQLETLIQFFLSDINKCVEAAKSLTTTSLPSKIEELNEVQKAKLSKLYGGFLPWSVNKLKERRVPYVIDEDDDSLRKRLANVIQKGESACSPVEYLTSTLRSL >PPA11970 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig218:131018:131302:1 gene:PPA11970 transcript:PPA11970 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLVESCTILACIILMPIMWHLWIVSGSGNANFYFGVTLFYNVALIRMAIDLVYSYVKTDLAEKCPDDIEEKSLIYFD >PPA11963 pep:known supercontig:P_pacificus-5.0:Ppa_Contig218:99851:100119:-1 gene:PPA11963 transcript:PPA11963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dhhc-2 MFGNLFMTTFSDPGILPRAMNDETAEEERKYYERMASETIDSENPNPNRQTVARTIQVR >PPA11953 pep:known supercontig:P_pacificus-5.0:Ppa_Contig218:45380:51766:1 gene:PPA11953 transcript:PPA11953 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-taf-5 MDLGPPGDGPNNGPIPIQTGQQVVPPDILHSMLGFLRKYGYTDTEETLARESAIRSSGPASNQLPSNEAVAAEFATLLTHVDASFDFYQAEFSLLIFPVFAHSYLKLLIDGQPNLAFSFMDKYGLRVPSAYEEEVRTLASLTNVAQALANPIVQNLMKKKFLVKICKSSMKQLEPLLNRLPSISNILKERAEIEIEWQMGAIVGQVGKGKHKMYHGMMKEECIYALDRMKSRQREDAKRREMNAPVADRIPLPPLSECLRQERLRAMKDSHKMCVIGQDQPPSVCFYTTLNGHGGVSSCDVSEDSSYLALGFGDSGISVFALNEDKKMRKMKGADDLDKLDTESDDIMEEMVENESAGVVTFNGHSAPIYSINFSPDKRILASTSGDNTIRLWSMQTQTNAVVIRVAAPIWQIAFCQRGYYYATASSSHTAAVWTTDRMHPLRIFADPLSHNGSRDYKLLVGGSDDRYVRVWDVLSGACVRTFTGHRAPVRCTKFSPCGRYVVSVDGDGVTLVWDLSSQRLMGGDTRTFPTRIPSLSWSRDGGTFALSRGGSEMSLYSLDNLIMSAASSNVDMNTYDAKLDIPNFETHVFATKDSPVVGLHFTRRNLLLGMKGMYPEVISSPDVTSIEGGLVSDKRAKYAEEEQDLALLSISTFQRGLKDPNQLIRASALRVLSSIRVAMISPIVLLAIKDGVRDMSAYVRKVAAHAIPKLYVLDSSLERELIECITLLLSDRRGLVLGSAVYAFDQICPDRYDLIHPHYRAFCKALVDIDEWGQVSMLKLLTRYARTQLSSPDITPMDEDLSLLIQSIRPLLQSRNCAVVIAVASVIADVGPSSCLPSCATALIRLVRTPREVQMVVLLNIASICERQRDVVSSSVLVLKNLLHSEAQVSLLEKLCRLVFTLKSSESRACVVWLVATHLEKVLHIAPDLLRMLAKNFATEHESVKLETLKLAVALWIVKREKYDSRLI >PPA11949 pep:known supercontig:P_pacificus-5.0:Ppa_Contig218:34025:36121:-1 gene:PPA11949 transcript:PPA11949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dli-1 MLKVESVGDSLPRLQASGNSSNQLLDKGKEEEKIWTRILSELEKDKAESATKGASALEYHTLHVQADIRDGSYAYQLGTAGALGPAESVTLPVWLLDGEETFAPLLLHALPPSAPSRAVVLLTAALDNPEERFWQEYVEPAESSMSASIMQGMDDPMVMPLEQGVLNENCGASLIVVITKSDIAPQMGTSQWEKLLVQIRRFCLSYGAALFYVSSKKGHNTQQLYKYIVHRAFGTAFTASPQMMERESLFVPSGWDSDKKIDIMKESMGDVDTLEPTREKPPVKDSLIESEEEQSFLARISSIEVATPMAKKQPLDETATTDNNTALASFFSNLLRPQTGKTPSAASAAPLDPAAQLDRIRSSATSTKPDSSA >PPA11964 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig218:106539:108095:-1 gene:PPA11964 transcript:PPA11964 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKDETEERERREVNYDAAVNELRRDREWDLSLPSVFTIPSRIRIDPIDLLITEGRRKEYDEMGERRKSPLKLKEMNGKGMGVGKSRMELMKEEKAKKAKDLFPPVMDIDQLKGKKKYPATKTMLSGGEVKKRIGEERKEVRRERGRSVGMNIERRRDPSEGRNMKKCAFVASSQVDGVSHSLGANRQQLLNLVKCYLPSIHSRVKEYLERRTAKEHNIISGLRKYLMEESTRVEKEEEDKLSWHL >PPA11959 pep:known supercontig:P_pacificus-5.0:Ppa_Contig218:71497:73623:-1 gene:PPA11959 transcript:PPA11959 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-94 MLPPSQRCRDQTTKDPTGPFQRDKLLTFLEETAKGEADWEECVPYVKGTKRGKVYEDDDELPHGARDGESGGGKEMEMPIELDIEDEDEDEDGQLDEALKEAPEKDLVDLAGILGMHNLLNQPQYYNALKGRRQDDDSGISFDGVIRAPVQKVIPDEPENETNVEDCIERLEADDEGMKEVNINNMKRLSKERIRTLIKAACASKHIEKLSMANISISDSEARGLTELLESSPSLKILNIESNFITPEMLAKLLRATLKTQSLVEFKAENQRSSVLGNQIEMDMMLTVEENDSLLRVGVGFQSMEARHRVSEALERNYERLRLKRLEAKGQSA >PPA11946 pep:known supercontig:P_pacificus-5.0:Ppa_Contig218:25187:27001:1 gene:PPA11946 transcript:PPA11946 gene_biotype:protein_coding transcript_biotype:protein_coding MQDDEGSITDDIRNADFYHGLIPKTDAEALLKKEGDFVLRKTEHNSGVIVLCISVRTEADKDASKSYFIDAAHKETSVSNLINFYKSTKTPLSVASNAKLKKAIERPPWGINHDAIFIIKKLGQGAFGEVYLAEYVSEKGREKVAVKTMKGEASRDARNKFLKEARMMRKYDHKNVVKILGVAVHEHPLMIVMECCEGGAMCSYLKKNGKTMPMKEKNRFVAEAAEGLAYLEKQQCIHRDIAARNCLLSGKNNIVKISDFGMSDDKAILHDDTLEKVPVKWLAPETLQDRIYSLKSDVWAFGVLVWEIYSNGAEPYPGLTRLQTRAKIVLTDYRMKMPDDTPGDMAKIALQCWDKVPDKRPAISAILPDLKKLADK >PPA11957 pep:known supercontig:P_pacificus-5.0:Ppa_Contig218:65371:66599:1 gene:PPA11957 transcript:PPA11957 gene_biotype:protein_coding transcript_biotype:protein_coding MILQSVQTVFIVTHWEKYNTGVLFLSWGYDASQYGLAIVYAFTFVVGFEWYKFYVFGGFTFADCFEIGFYLCCIGSLIMSFWNMWDAHKAGTLQQHSVIEIVRPIISTIFLYVISLIWAEYSPNDVISRDPRMFFFTMGTVFSNIASRLIISQMSSTRAELWNHLVALYSLSAFLSIYVIPSYEMFILYSMSLIVLLAHVHYGVCVVAQMCDHFKIDAFDVKYLNKRNK >PPA11968 pep:known supercontig:P_pacificus-5.0:Ppa_Contig218:123715:124324:1 gene:PPA11968 transcript:PPA11968 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEQNERSEPIADKNLDVNESENVVDVAEEGYRPRQCVYSCITCVPTGGAGICYGCSLLCHDGHDLVELFTKRRTAMKRIK >PPA11947 pep:known supercontig:P_pacificus-5.0:Ppa_Contig218:28272:28640:-1 gene:PPA11947 transcript:PPA11947 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRVTRSVARNGRRFLSTTPEGTCKTQILIVHEGFPKSEFYARKALSKEAKNYYNWPKVIDWQLPSFLIPEKVGKCMEDYGKFGDVQVYCND >PPA11967 pep:known supercontig:P_pacificus-5.0:Ppa_Contig218:118369:123018:-1 gene:PPA11967 transcript:PPA11967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EQB2] MTESRGASLVSLVPPTEITFKGPFTDVVTSYLKIQNPQSKTICFKVKTTAPKQYCVRPNSGVLKPGETANISVMLQPIDSIPADAAKHKFMVQSCYAPSEGVDIDAFWKTVAPTELHYGKLRVVFESGSGNNRVSPSVGAGDSDTSFDNMASPPSTRPAPSGGSNVPSGGDDNIRKLEVEVERLQRERTELLLKVQAANAKVAQAGLDYPISNIQARLHRPSDYTMAGKKRAEPTAEDLSNIEFETSEDVEICQTFDGMGLNENLIRGVYSYGFEKPSAIQQRAIRPILKGRDVIAQAQSGTGKTATFSISILQSLDTQVRETQALVLSPTRELAQQIQKVILALGDYMNVQCHACIGGTNVGEDIRKLDYGQHVVSGTPGRVFDMIRRRNLRTRSIKMLVLDEADEMLNKGFKEQLYDIYRYLPPGAQVVLLSATLPHEVLEMTSKFMTDPIRILVKRDELTLEGIKQFFVAVDREEWKFDTLVDLYDTLTITQAVLFCNTRRKVDWLAEKMKEANFTVSAMHGEMEQKEREQIMKDFRAGQSRVLISTDVWARGLDVPQVSLVINYDLPNSRELYIHRIGRSGRFGRKGVAINFVKADDVRILRDIEQYYSTQIDEMPMNIADMI >PPA11960 pep:known supercontig:P_pacificus-5.0:Ppa_Contig218:78958:87177:1 gene:PPA11960 transcript:PPA11960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-trap-1 MISSKLLLAIFGFALVFSAVRAEDAADGEVHEEDEIGGASKDAAVSFHFTYPIDANVNKEVFAGKPIKFLIGFKNGGEKDFTVKFAETSFRYHQDYNFHLQNFTAAQFNRKVGPKEEVTLDTSIPVFEQFSGRPLGLVVRLHYEDSDNQYFVHTAFNETITVADDDSNYNTETYFMYLVFAVIAVLLLMASRSYLEKVTRKHGMTKRAPVVEQGTTKTGEVDFEWIPRDVLNNKKSPKPASPKPKKAQNAESIRDVSLYYEAMDRKRDKIGHLYVAVDPTLLWNGNNLQGYDDICKFIQQLPQTDHNIQSVDAQRLPTIEETKDLPEGIIMNVAGTVTMGLTSHGFVHNFVLMREDGKFKMDLESLIRDSNLLLKDAHLFGLPTEGVEDSDDGLGPSTLSIDGGEHILAEKWANIMKERWRDESLLVEKASDCVETIALRANIMRLRTMQKRMNECEERMSELSTGYSSVTSRTSSLHDACERALKNQTHLASMAEQIKANLYYFKQANLIFKKLSSGTKLSVTGQSFTSILSSIDEFLQLLRAMTCIRASVLNEIEAAAMDVSRRREELSGGEGRKDGSITDEETLTLLYGVFSSRAQSVKSALSVAESRFSGVPEFEAMLSECMQVYFSTRQQLINPILEATLAQLAALVPPYLPSLQTQNDSSCTLTRSSSSFLLRVIDDEFRLYRQFFSMEGMDGGMETPGGMSVISSRTERIPPTPSSPSSFFWSETSSFDQFVEGLSRLLYNILRPMVIHNPHLETLTELCAILKVEMVDQRCGLMASMMEGEGMESRGGMNIGRRSFDPRGAFVRVMGELVGDIVERIVYRAEMYAQSDIASYRPSPGDLAYPEKLEMMRSIEEGQKKKTSVSEVVEEERENGEGGRKISTSSISLSTPSAVDLHCLWYPTVRRSVMVLAKLFKYIDMGVFQSIARDILISCCVSLDNASTAISVMPKKSGWSRSLDSILFVIKHLLILREQTAPYRHAAQRSDASLPTRDLSIDFSKMRSSASNLLYDRSKWFELSTNNAFLELLFQVPIQVSEPTGDSRRVIDNRLKQRCNELVSLSNTLLVKELNDFLDLAEKEAVKTGFVYKNVPSIAPKEIQAIGGRSFKNLSTNWPMIRSALNLYIGVNETESILLSPMRYDENAEI >PPA11941 pep:known supercontig:P_pacificus-5.0:Ppa_Contig218:2012:4666:-1 gene:PPA11941 transcript:PPA11941 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGMYRPGLDMSHGFVKEIIKNQIDRDEYHRLMEERKEEYKNEIKGVSREWSRPAPSPMPAQIYVPPHRRKYTGERGSSASKRRLTAPSSPMAPGFISDREELRIREKVRSQVKALGDIDVSAIQKSTSCEKSSSKALFKLNIAANGESHEIVVLSPLPLVYERRVRCVGGNGGDGMVSFFRGYRNPFGGPDGGDGGNGGHVVFRGSNKVGDLSHLQSELRAQRGEFGRSKSQHGKNGEHIEIEVPVGTTVTNIETNSEIGRLSNVGDIVLCARGGVGGHGNEFYLSNSTRKPYKAEMGGKGEENLLNIEMRIMASAALVGLPNAGKSSLLRAISRARPKVAMYPFTTLKPHMDIASEEEKRRGIDEFAGDTVFPISAKDGQGVIDFLVRLREMVETAESSSTEE >PPA11948 pep:known supercontig:P_pacificus-5.0:Ppa_Contig218:28976:32830:1 gene:PPA11948 transcript:PPA11948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-crml-1 MSFTRDSIQELCDIVESKPDAVLGNKFFDSRLVVHIETALMVDENGSRKRIVIRGYPAEQLVLAIIKTLRHYFPDICALLPRVVELTPMDLYDKADKLVMDVSPRPCHSFRRTYAALCDLHDQPYRDEVAWDVEKIYTANRIKDLRLEDFSHLQPKDLLGIVSVLQYSSYFTGLIADGIRLSAEMIDVIVAVIKYSLSLNKLILSNCGLTREFPGLLASALSENESIPLETIDLSKNNTLDDRKGFASLSSCFTKMCALSSLNVSECALSEKSLQSLCNSLYALTSSGSSSGSKGIVHLNIASNIIKDDISSLVNLISIGICLRSLNLSDTHLTLDKLWQSFKFGCLQLESLTLSGCIVGGKKISSESVSAAKDFFAASVGLKMIDLSRVQLTADVLKAVLTGLASNNQLEPFSLILDGSCEKLGEILEVGLCGVRASALSLRDCHLENDLPGVIQSIGRMPVLSSLSIGGANVVGLKKSTKTIQVINKAINELVKLITTSTTLRCLSLADGHLGGHVSLLINSLGACSTIRSLDLSANDLGNFGMRILSKALELNTSIRDLSIDNNHIGSDGLGDLAFALEENLSLLSLPCPFNDIAEALQRERDNRSKMRVRGGDWIRDVVHGGERISNGQSTAYSIHREEGKGAEREAISMLSLAVQRDVYSCLSQWMYLEMVSAKTNARSHTKTLEKTHCDSPSYRSGTPQSDCASPSPAYRPRSIVADLKNSLC >PPA11950 pep:known supercontig:P_pacificus-5.0:Ppa_Contig218:36934:39387:1 gene:PPA11950 transcript:PPA11950 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNRGGNTLHISASLFVKNRKRLVDALRGKVPSKSAVLLQGGQEKMRYNTDADDLPFRQESYFFWTFGAHEAECYGAIDIDSGKSILFPPRLHPDFAIWEGKINGEEWFKEKYAVDEVHFNDENKIHDVLTAIGASNLLLLKAENSDSGNTLSPANFVGIDKFSSEDKTLYPVIAELRVFKTDEEIEVLRYASKIASDAHKAAMKHIQPGMYEYQLESLFRHTSYYHGGCRHLAYTCIAATGCNGSILHYGHANAPNARQIKDGDMALFDMGPEYNCYGVRWTDMHQLAENIILEALKEGGLVVGDVDEMVKARLGAVFMPHGLGHFLGLDVHDVGGYLGDALPRSSLPGLKSLRTTRTLQERMCITIEPGCYFIDTLIDKALADDNLKKFLVAEKVNEFRGQGGVRIEDDVIIWAKGNENMSQVPRTVEEIEAFMAAKRK >PPA11955 pep:known supercontig:P_pacificus-5.0:Ppa_Contig218:59790:62236:-1 gene:PPA11955 transcript:PPA11955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpl-24.2 MLLNQRHGITFVRNDASIFKFCRSKCHRAFKKKKNPRKVRWTKASRRARGKELINDSTQLMEQKRNEPIKYERALWEKVVEATKAVAELKHKRYANKIRKSIQPGKVAKRTRELARVKDRMHLIRAPVAQKKKKERDRGDRGDRDRGDRGDRGDRDGGERRDDRRRERSRERVERSRSRTPPRRKAFDDRRKEEDDERRKARDERRIKEKEEEKRREERRRERRDRDERDEKRRKEEKREREREREKKDRKERRRRSSSRSGSPPQKRRFDEKKTRKEEENGSDDDDDKKRREMRMKNNIISICTLF >PPA11972 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2184:124:1317:1 gene:PPA11972 transcript:PPA11972 gene_biotype:protein_coding transcript_biotype:protein_coding EHATREAAGREDAEKPRERGASASSSAESGWTDGTRASASLSATTQLIETTSTETTTTKDEEREETGSI >PPA11973 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2186:70:252:-1 gene:PPA11973 transcript:PPA11973 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGRKCRVGFVVSESDIPTVLLGNGALEAMGLELNMKKEVLEPGDAVVSSQPDSAIVLKD >PPA11974 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2189:4413:4576:1 gene:PPA11974 transcript:PPA11974 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKEEEGGEGAVAIKLSGEGTEEKDELSCSGHYDGQYEEENGRTNMEYATLTT >PPA11977 pep:known supercontig:P_pacificus-5.0:Ppa_Contig219:17152:21906:1 gene:PPA11977 transcript:PPA11977 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLWLSLLTLVHSSLATLEDPELTFERLYKFGKDAYTAGEWADCVGFMRRALEDWDYYQSETLSCAARCLKKLPELRFDAKAKKLPELRFDVLGKLNCNYTLAVVVNYLNDAYPIWLIIAMQVDDLAARFHHTSQRALCIRRCRRERFSPRRPGIARREIVHDFMERRPYNYLQVCHWKDGEFESAVKAAYTFLVANPTDEQAKVNMDFYMAEAEFTEDMLEDKERADYERMFISGVSAYEDEDWTKCVTHLDTALDEFFKEEELCRLGCRDRVDWDGIGSDDDVDAVINAIHRSTVECQHSCLARLSWVNGHFFGNLVAQVYRYQHLCYFKQMRGQDAARAVANHLLLDASPDIRWNKAHYRTLYPDREEIFRPEMRIVEFARNRLYEQRYLDFTDEKSKLVHGMYPTESKEDYAPLEVVDKESLAKDDFPYADVGSILSAGLCKTLRQVALQLPTAIEKQAKSEAESAVQRMFPFSKLQGVWCGELRRPACDRAIVLSIEEDNCSEWLGPMHGGCALVACE >PPA11986 pep:known supercontig:P_pacificus-5.0:Ppa_Contig219:58818:60174:1 gene:PPA11986 transcript:PPA11986 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDEVRTNTYRNSIYHKHLFKDKIVMDVGFGTGILSMFAAKSGAKRVLAVTAKAKCIFARSSSPTWPFSPDKSSRINVDSIVDVIQCKIEDIKELPFGVEKVDIIISKWMGYCLFNESKLNTVLYTRDKWDNVRKMAITEPLVDVVDNNQVVTGNYCVKETYLRM >PPA11993 pep:known supercontig:P_pacificus-5.0:Ppa_Contig219:77198:79763:-1 gene:PPA11993 transcript:PPA11993 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRILLLILFSALGSSLEFLGTDPVYEGHGIRLCGARLLGHIMTHIDITCEVARLAFAPLPVERILQLKELESISRICCTRVVYVTGGAASKLSKIRVVRKNIARSDRDQSDGQRAVLCVALSPSTRLPSRFTPYFQPKKCLPTDIRYKKTRAMRRALTKHDASIMSAKQLAITESVVKRHI >PPA11978 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig219:22957:24186:-1 gene:PPA11978 transcript:PPA11978 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFRSRFKFTMQDPLIPLPGGANLYFELWVGVTTMNVPLFFACFLERHQRLADRWPVSVLGGSCFDYSLFMLFSAMGVVGLCVGTVVTALMSIISNYLSDVMAHVTHAPASVKTK >PPA11990 pep:known supercontig:P_pacificus-5.0:Ppa_Contig219:72715:73807:-1 gene:PPA11990 transcript:PPA11990 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQSTVMRWLAVLSCVVAAATACIPTKTPEPGIPATKQCPVWPAASTDDCTQMIACGSVGTAAISSTSIKCKDDFQVIIVDTDPATGMANAAYVVYETVCMNGVWYSKDSAAGTPQILDPTNTLRAPVRVACAFFK >PPA11996 pep:known supercontig:P_pacificus-5.0:Ppa_Contig219:90665:91340:1 gene:PPA11996 transcript:PPA11996 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSVAAIIRTVRGTSGSQIVGANPARRYESLSVYLMAGLDLSTAFKVFQGRMVVLGDLDTNGELTIRFLVGSITPSHTATDLTRVIRCHGGAMEEMDFFTHVERRCGSPRELEFPIFQDESSYLVAFEEIFVEF >PPA11988 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig219:65034:65800:1 gene:PPA11988 transcript:PPA11988 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAILPQPMIPSLSFAHSNVVNTTLAHTPAEWGLSNSGGDRTSSGHGPSCSLLCARAVADRDKTKKFRRSLLVF >PPA11995 pep:known supercontig:P_pacificus-5.0:Ppa_Contig219:86651:87316:-1 gene:PPA11995 transcript:PPA11995 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRLSLLITVTLLLVAVSSRAPVEEGPRDKYCGTFLWARLRGLKDQCAKLREEYEPLPQERRRGGIVKQCCDLRCSQRTLVDFACHDATLDKWFQAFDE >PPA11989 pep:known supercontig:P_pacificus-5.0:Ppa_Contig219:66348:67581:1 gene:PPA11989 transcript:PPA11989 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLAMADMCGLTCTGTLFGYAAFNGMHFCSDVLLGEIMGAGAFCFWCVSKCTCAFLVINRICELIERASYFQDFCMLIILVYSVVSALWTRPVFPNSHHTMAFYPYIPGHTPDEHVHMPMIAISKVRFRDLHASLNHLL >PPA11991 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig219:75314:75882:-1 gene:PPA11991 transcript:PPA11991 gene_biotype:protein_coding transcript_biotype:protein_coding MGARLKFYKGKLHPMEENANDRDGWIAIRSIDDERKKKRLMRDGKKKKLLEKKGTE >PPA11994 pep:known supercontig:P_pacificus-5.0:Ppa_Contig219:80444:80858:-1 gene:PPA11994 transcript:PPA11994 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLTALLFLGLFSVVVTSSTEAQGGEKARVCGKKLEDTILDITKNCEKERSQYAPLTASEREQLQKRPLIQLCCAYGCSRRVLVGSLCYN >PPA11976 pep:known supercontig:P_pacificus-5.0:Ppa_Contig219:13310:15016:1 gene:PPA11976 transcript:PPA11976 gene_biotype:protein_coding transcript_biotype:protein_coding MCHTRMIAEMHARGDPPHPLLMDVDAPSTLPAVCKTMHIANKILLASLLEFGKAAFPDFTKLQAHEQWSIVSEFFYRFRAFEGCCRANECFPDHPTRFLPSFTSFLSPEVYDKFYDKLPQNADLEGAVSYFKNSTISIKEVPMARECIARLKPAHDEFLAVIGLMFWCIEALPHRQHLSDLAEKYRKQIMTELHVYYKEKLKMDDYAPRLGELLMFIQVFDVKERFQEHFENLRLLNILDDDNFIYRLQKE >PPA11975 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig219:1522:3362:-1 gene:PPA11975 transcript:PPA11975 gene_biotype:protein_coding transcript_biotype:protein_coding MTWGIRYVYSQCAYTAFTFFRRRVEPQGIVVRRTSAFAATCFLNETSRTPYVALGVTIEKSLKWCFTACDDAPGCSSVVFDAKDGSCVKQSDALVLQPSVCQEPYTRHYMTDVGCPYGDPMAAMGSDPCARLMPFVLDYDVEGTNRICPQRFCDGTGGPPIIVRYRDESGVLKTMDNCNNNQLSYDSANGKVDWKLSYNDKYGNFSVIATAVACAEITNAAACPCPPISEYAEPNLNPKGPLLVNTIPACPPPYYPKVIVKNAKTGTRTLEDPNTFIAICMGGSWMACGSGALSYTAMKIGGCGTP >PPA11992 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig219:75984:77135:1 gene:PPA11992 transcript:PPA11992 gene_biotype:protein_coding transcript_biotype:protein_coding MKELRTQGNLMIWSCDETWVHKGMRPRIGWQDMRAVKQPLTFLKNGLTAGNSAQWKKGERLVIVACLSHEGFRCPKVWRTGREFENYIETVFIALRDEAQKEKKTPVLQMDNAKYHSRVRDKMPCQNDRKEVMSKWLKDHNMPCPDSWKKKEMIEALKQLDRRDYNVYIVDEMAKKYGITLVRTPPYMAEYAPIEYGWSSIKKAMADVIDTTDDGASRIDEGGISFDPRQLTVEEVVEEADALIDDDDDDEVFDLEEMSEMSVEF >PPA11980 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig219:30928:32124:1 gene:PPA11980 transcript:PPA11980 gene_biotype:protein_coding transcript_biotype:protein_coding MGARPSAGIACIPTKKGIAAGCKFHCSVISFYDYDIPTCNARYSKKKIVAADHDIHSVEKENEREEEY >PPA11997 pep:known supercontig:P_pacificus-5.0:Ppa_Contig219:92315:92701:-1 gene:PPA11997 transcript:PPA11997 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSLLFLLIIFITAASEAEVEPTVQYCGRNLAAHTCLRLRESRKSDHEALLAAAV >PPA11987 pep:known supercontig:P_pacificus-5.0:Ppa_Contig219:61195:64501:1 gene:PPA11987 transcript:PPA11987 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLAMADMCGLTCTGTLFGYAAINGMHFCSDVLLGTIMGAGAFCFWCVSTCTCALLVINRICELTERASYFQGWRSYLCMVIILVYSVVSTLWTRPVFPNSTHQTMAFYPFIPGHTPDEQKFQDSNTTQALQAKIFMQASIICCGNVGTAAAWLIQMFVPTPQFVITAGMITVQSMHGLPCIIYLVLNRAVRDEFWKMLGRKPKIAMNANAFTSMNSKSGSMSAALSTAE >PPA11985 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig219:58171:58388:-1 gene:PPA11985 transcript:PPA11985 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVASTLSETAKRNNKFLTNVLIIQASFPVFLIGLPLIFSLIAVFAGIEGPCELVAI >PPA11979 pep:known supercontig:P_pacificus-5.0:Ppa_Contig219:29969:30366:1 gene:PPA11979 transcript:PPA11979 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTCGPGLTIVLVGPKNTDAYPGDVATITCDRSTKKWTATDPASAGGATFTEADVSRLRTLCDTYLL >PPA11983 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig219:49706:52102:1 gene:PPA11983 transcript:PPA11983 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDYYSRVNRKLASRGLPGPSPELLFGNLREVWSYDKPRSLVLRDWSKKYGKIYGFYEGQRPFIVVSDFAMINEILVKQHENFGARARFVMQERKDGPDTKITEARGHHWKRLRTFGSMAFTPKALRNTFATVEDSATRVVDEMERRQGEVDMLEYFQEYTLDVICKIALGMRDVQMFNNEYLDVCRDILSRPIRHPIFALSALFPALGDAIRAELMALVGKSATVERTIVSSIKDSEQVLQTHQTIEKRKFERQIGDSERDFIDIFLDAEIDDSEVEERHNSERKLSSNEIFFQCITFLLAGFDTTSNSLAYLTHFLSNHPDVQQKLIDEVDAFLAENETIEVDKLADLKYMDAVIKESLRHYPLGSVVVARECTNACEIGGFRFELGDMVATDTWSMHMDSDVWGEYAAEFRPERWLEPTDRPRAAFQSFGEGPRICLGMRLAYLEEKVVLLKLLSRFRIEKTTSTNPIKLVGSITVSPERVMVKLMKR >PPA11981 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig219:40765:41146:-1 gene:PPA11981 transcript:PPA11981 gene_biotype:protein_coding transcript_biotype:protein_coding MINDTTLILLNTIWCLANAYRVQSAAHHRYALLIGVVSKTLYFFTCKLHVLMALNHEFIGIQGIDLSVLLHGISTVGICASTWFGIVH >PPA11984 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig219:56043:57702:-1 gene:PPA11984 transcript:PPA11984 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVSSLELQSHYLTKTLRHGCTALSIMLSTPALSVVVLCAQQTSTKYAVLIATIVILGVFNDIVLNLVWDPLMLLPDLCIMRQCSESSRDFCFQYTCLAEMDRSTQHELLNASWHTFASLKIASTLSESIVQSLFPFILIGFPLILPLVALLEGVGGTLPYSMAYLFISAHSPTHSLILLTITPMYRKKFVEVVRRVMGCSIANTNRKHTATPKRTL >PPA11982 pep:known supercontig:P_pacificus-5.0:Ppa_Contig219:43672:45191:1 gene:PPA11982 transcript:PPA11982 gene_biotype:protein_coding transcript_biotype:protein_coding MINEILVKQHKNFGARARFIMQERKDGPDTKITEARGHHWKRLRTFGSMAFTPKALRNTFATVEDSATRDSALDYALVRCFRFATILLMSQKMICFEVFATVKSQIENPPNVHDAPLVIKYSKKKIVAADHYIHSVEKENEREEEY >PPA11998 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2190:12:447:-1 gene:PPA11998 transcript:PPA11998 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAPQEVDSSLSSSSLPDRTSTQPIPPSPTTRFSAFLSRFTRTTDDALPLLELVKEMRSAMKSMQVNHESMRVQLEAVSGKVKVLEEKHDELLRENKELRKKMETVPSPSLINPTPSLIPSSPIPVIVPSTARTILAPPSMHST >PPA11999 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2192:211:393:-1 gene:PPA11999 transcript:PPA11999 gene_biotype:protein_coding transcript_biotype:protein_coding SAAAAAAAAPLAPPPVIVPLPAPVAAPPALPAAVAALQADIVVLRAQLAPFIGAGVAVTVK >PPA12000 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2193:224:1884:1 gene:PPA12000 transcript:PPA12000 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLPLVLSIVPLLQAANSSVLGLYKCIECTSSNPRCRDVCYGQRCYRSELTIGKTRTLKSGCYNRTFGAVGCETFNEQSPGVNEPMYEILCECEGDYCNISSLATVSLLPLSTLLLYLLL >PPA12001 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2197:1240:1879:-1 gene:PPA12001 transcript:PPA12001 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIPPTISVPPSSLPSDTYRKRRPTESQDEFRLAAKSQLETVTTTLEKYLDSVADRSSDPLYLALKACVEVLKQTHQHYLQPSEDPIETEKRNRSIVIENLPESSRSLASERVDDDYSHIKKILDAADIEVRPETVFRMGERRAGKVRPLKVILPRVSSQRALLRQTKKINDRPEYSSVRIRPSLTEKERKEQSASSTRREKAST >PPA12002 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2199:191:1672:1 gene:PPA12002 transcript:PPA12002 gene_biotype:protein_coding transcript_biotype:protein_coding ISIKSSATVADLIGLACYKFAERTGVAPSAPLDSLQLYIAEESGEIDDEFPPMETHKTIAEFGFQVLALRAEEGALAHVQMCNKIDNTTTAT >PPA12048 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:188545:189873:1 gene:PPA12048 transcript:PPA12048 gene_biotype:protein_coding transcript_biotype:protein_coding MARYENIVTEYRKKSDAFKHCLNALEETMRHSMTARQRKMKNNWPLNGLEMGNRIVMKQFEEYTELLKKINEEVEDENLFEEIMDEHLIVKGEIECLQEVMAQHANQLGRNREFEFINLDFLEDPSNGDFDWRTEETIEFLMISEFNMERRRHRRVKEGNNFEKVEFAKGFIPENCAEIIREFENLKRELVDKKRILMKLHSVNDNNAIESSEKLMSEALSSLNDIFRFVVNATQLYRKAKRIGNNNKVFTSTINSLLLEIDFIFERIHKIVDRCIDQAPFTTRTLRSFTVLFSPINGFRLKHL >PPA12168 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:927697:928381:-1 gene:PPA12168 transcript:PPA12168 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIAMIYSLIFVFPIIGAFGYAMNIVGNDSEPVYLIAAKGIPLLSFKVFVWNYNDIIFQTLPWACDSNQDSDATFTHDCNQREHEKTINVS >PPA12103 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:453609:454937:1 gene:PPA12103 transcript:PPA12103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3EQP8] MLDYFGDRHQEVPGWLSKNFVFLGDYVDRGRQSLEVIVFVFLMKITFPDKVFLLRGNHECKPINRVYGFQVELAERFEKEQGSNLFHMFNEAFTHMPLACLVGGIILCMHGGISPRLTSLDEINKIPKPLVDPNSNELACDLMWADPMMGLKGFRANAIRGVSVHFGEDVLHSTMAQLGVKLIVRGHQGPQAPPTLV >PPA12205 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1131893:1133793:1 gene:PPA12205 transcript:PPA12205 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRAYYDLLLLNQVTIDALPEDLLVGIFDMLCLRDQTRLAACCTRLRSIKQHKVSAKFDAVVVFWGESVKYVNTYECYKALKKARCMIHGPKDEGQFEIDESTQRLFERTRTNTLEITIKSVDDRPHRILSPLFNSLQYSSLEIDFTVSEEHNIPLLQNLMANRDLNEASFAIKWSRAMHEDIERIRDFLVEFPIVKSLDLTWIYWGANSESLSTEVITDVVLLYLVGRSREELIVGEGDCTVGGLMHVYDFKGMNNTRCRLVRVTVRREIAQEFHQTVDEPDRRVFVFLGKNTSHELIAMQQIVMTKQPEKFENWSYN >PPA12216 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1176533:1177820:-1 gene:PPA12216 transcript:PPA12216 gene_biotype:protein_coding transcript_biotype:protein_coding MDADELLRIAAQAEFDDQTTFADQIWTVNDDGTIRIEASPGTEPTVLHLNDQEEEDQDGEEEEASIPQCAGSSTSFSEPLVIVGPDQASTDLLISAEAQASEADNRPSGSHVQSAVQADAASTLSYRPSHAFVHHPYARPVYRTRATVSSVRTEQEVGVARVITFLPIAEKERHIIDEVESVNDVESMTSGSEKDVELPAHCKE >PPA12052 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:201093:201584:1 gene:PPA12052 transcript:PPA12052 gene_biotype:protein_coding transcript_biotype:protein_coding MMNEKNDYFRFPFALCPSEKSLTTTFGTWEAHGRLRPIASALKGRGRPKKTVSSNAPNSKTRKRSIAEVDDIVVGGTEAEAGEDFNTWDLITNVINDKEGNDDEEDDETILVNRQDDEEIMMCMVEEEFNNIFYH >PPA12170 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:936959:940570:1 gene:PPA12170 transcript:PPA12170 gene_biotype:protein_coding transcript_biotype:protein_coding MKGCFYICKSINVTSLLSSCSAKLLKRIGVRILEAMPVKDASSLFSPEELAQLAEGLQLSDEAVVQNMLSACLRIFRSVAFHQPKEEQLREQIEKLGLSADVADVVIGLWESELGQTTIARLSEVTHTGLPRLRNVDWSIVRTTEGSPSTAPAPAAHSIALHLQTTQGDRELRMTRAEAIALYEKLNDMQRRIDMMLDSFLSRTDCVL >PPA12042 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:173569:177295:1 gene:PPA12042 transcript:PPA12042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cutl-13 MLVKNCCVNSGTSSPVTIQVIDDRGCPITSSLLQAPLKYASSGTLVWAEIDAYKFPDQMKVVFTCDIAVCAKVDGQCTGVTPPDCTKARPTSTFSTANSGGPFRSRSRAEAQTPEPTKITAEVLREKSFFQRTLPKYDLGRFPTSPPIDSSGDGDLIPTVRAVADEGRGRMGLERFRDSGSPPWPISEVHDQPAFRQFNDDDQAESIDLRSSFAIVDDSTVLPVTTNSTTDRVLNAGEFRDALLAVVDKYNETSSEVSTESPTSQAPSSTTRSSFPLTTTSRSPSLSSSTISIPALPPTPTPVFDPRDFTIPNAEETERQRLGVSARGLELLPPLLRLVRTTPTLEPQPLLQQLQDQKTFEEVLQVTAEMLVNPVEMILNGTVHDSLPPMSSSPIISSTCIDRSKMILLIGIFSFLTLTSLIALFYAIAQCYFAHRNRLWLEDRLSIDQSSSYSPRPPTQPYSMPYGTRRLGYY >PPA12081 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:289773:292887:-1 gene:PPA12081 transcript:PPA12081 gene_biotype:protein_coding transcript_biotype:protein_coding MCDDPSIITVIRSHAKNAMALTERPCRLRRITVSRPIVGLEKLSGLVSQNYMTSRNYTTRRTTEDINQVLLRLYPQDMIETFWTRRYLKTLKNQTEPAPSLNFIPSIRLLWPSREMRRESLWRKAASKSTATDQAGIVFTSDNGSNNAASNTATTDQAVNQDTAFTFATDGSNKVQFSVRAEQLENLRSAFERLPGGGLRALRDIHPGEFTHLDVTLAQAEKAKKVIDRVLELRIEYFDYLNSALVGLFAELLKRTISVLNLPHKPHASQEKDDGGDSDNRCSNGTGRWTIPEYVQDHVNQCEAMGLFKKTSEQLCKVRDHDSYFTAIMRTLRRTDAQIIDLEMKIQK >PPA12152 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:796955:797417:-1 gene:PPA12152 transcript:PPA12152 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFSKITVEPVEQPGVTHEQRHIIMRYVLRKAIRLSTERRAALAARRAALAGNDENVEPSQILARRNSL >PPA12106 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:473051:474630:-1 gene:PPA12106 transcript:PPA12106 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLNSVLTVSHGCAYCNCDNCTSRPCSEVTHFERETGGYVITLGQAMVYVASGLYGEPSNIGAGLIRLCSLPSSHLDYCRPLGSRQEEGYLLPTPFDSAPFPPPTSTTDGHLDQGRKRDRMAFYLPFSRFHLSLSSDEEMTEEERTKCSAHAEENHAEIAFKSAPRLSAVVAAGEASSKSSKLNRV >PPA12175 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:964459:964972:1 gene:PPA12175 transcript:PPA12175 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIARKAIEQRKLIDLLPPCKFADYKGDLVKQPCANPHITYTETSANFESDITVMTTR >PPA12200 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1091508:1092598:1 gene:PPA12200 transcript:PPA12200 gene_biotype:protein_coding transcript_biotype:protein_coding MADLATGHNQANAYVTSHTEEHRAVNDLPVEESNLRKAHKQHAPIQRIFPQAFDIVLRKERKEFLP >PPA12122 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:605729:608141:-1 gene:PPA12122 transcript:PPA12122 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGLGGMLLKMVPTDAQTSLADALDKMTAIDTSDENNELGLLFGEEKKMDETMPTSVINSLRETAAEIRNGNQQVSDNGVPRLVRSKRMALAISTEPRDVLQRVSDGVLKLREKLLTREKNNKGATNKSVAEFERYFDDEKVIIDADITILDGALVVLSGESKEKARLLLNYEDERRKVDDMTEAFVKAVDGTRFTDVLELRNNFDTLSERLKERDERDANILNGTDFESIEQMAKNVRTVRSESNDTRLREVVTVALSDLGLKDMVEVVEEVNELRDKNDQMADLIELIMKEVQMSIIERNELEKKFKELKIHFSTAMDMVDKLTIERNEVAAELNEIKKKDAKAADAEKRAKAAAIFISSSYSGTDVANARKWRSEREISEPVAAVTSF >PPA12035 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:143660:145073:1 gene:PPA12035 transcript:PPA12035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3EQI0] MAWFDTFIDKLMTASPEVRPPRDPYAALINVNISLEEVATVTRRGVASFKEQKPLLRINRYRIDLPYPRPTVLLLRAHLPLHIVGDMHGQFRELRIILSRCGDPANHSYLFLGDYVDRGVQGVETVLLVMALKIRYPDRVFMLRGNHEDCNTATAYGFYDECLAKFAPNGEAAWTHFVSVFNWMPLCAYVGEKILAMHGGISPHISSLEAIENLPRPSIIPPYGLMCDLVWADPDNKYPGWALSARGISYTFDDNLIKSFCDNFKIDLIVRAHQINNEVPYLTYRTLPNPPQMKGGYKFFADGRLVSIFSAPNYLNMKNDACVIRVNRDLLCRFVVFRPKMGKSFAGNKSTRVASAEASVGEA >PPA12072 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:249837:251715:-1 gene:PPA12072 transcript:PPA12072 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEPAPEIKIATGDKVADGKYTIKKKLGEGSCGQVWLVTDKNENLLAMKVEAKMKNREDEILKMEIYVLKKMQNSKHVCRFYSSGVQSNYSFVVMSLLGAELSELRRKCPGRRMCHSSVLRISIQAVTAVEDLHKVGFIHRDLKPTNLSIGHKLKHAVYVFDFGLCRQIMVAGKDGKMALREPRKKVGFRGTVRYCSLNVHLGKEQGRHDDLWAILFSMIELATGTLPWKGKGRVEAEKLKTGMTEKQLFRGVPRSLLFLYKQLVPLQYADTPNYGVIKGAIAKEIKAKKVSMTDPYEWEKEGKGSAEKREKEKETHKDAAAEADKGGDNDTNKDVDENAQSATSELSNNESINDVDIENTLENVADVKESFRETRGDKET >PPA12022 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:83671:86595:1 gene:PPA12022 transcript:PPA12022 gene_biotype:protein_coding transcript_biotype:protein_coding MWCFRSGMFLSSILVLIILHGAVFKGNTIYPSAASVTSWPDLLTDLLKGKRELFEAIENLQINKQDQLFGEGKRTTVTGSVDATIDKMCAHPKKTAGLLYAMEIYSARLHGIPSGSCQLQRINPIPGEFTYKYPPTPHIYSYFFSKKASRKVIEYVNFLTLTLYSDDNVDFFWTSRLLPSIKQKPTTTEKYRTRPVTDGAKFNGTLAAIKRGEILTDVTSDTFTAPRIKKFQQSLSLYADTVWHVPLFLSRTNQYVWRGLQSKTPRLNEWNCRSWPELLADLLKGKRQLFEAIEDLQVFKQEQLLGEGKRSTVTGSVDSTIDRMCAHPRKTAGLFYEMEIYTARLHGSLSGTCKLQRWISSGRQDYYHVSNRNHQ >PPA12134 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:699108:702251:1 gene:PPA12134 transcript:PPA12134 gene_biotype:protein_coding transcript_biotype:protein_coding MMIFVSNGHDIVGDANRSGGLTICKIPDELKDTLKKFRFAKSTTMNALIIKIDRDAQEMRIDEEMEDVTMDEIRDELPHMQPRFLLLSYAYKHADGRTSYPMCMIFYSPPGCSPEQQMLYAGSRNNLVNECELTKNFEVRDQEELTQEYIDYKAV >PPA12090 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:366954:375812:-1 gene:PPA12090 transcript:PPA12090 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDIGNLNCYIAIAKQGGIEVITNDYSLHATPACVAFGSKNRSMGVAARQAVNSNFKSTIINFKHLIGRKFSDPIAQQFIPFVPCEVVQLPGDDIGCKVSYLDKSEVFTPEQVLAALFTKLRTTIEAGVPEIKKVTDCVVSVPYFFTDAQRRAVQSAIETAKLNPLRVVNETTAVALAYGIYKQDLPEEQEAPRRVLFVDLGHSSTQASVAAFQKGKLTMLGASYSLSVGGLWLDDCIREHFRQDFKTRFGIDAKDQPRSWLRLLDESEKVKKQMSANQTPIPLNIECFMNDKDVSGKMQRAEFEELAKPIFDKLRALLSNLLAKTKLDASKIDEVEIVGGSSRIPMVKQIIKEMFGKDAKTTMNQDEAVARGAAMQCAILSPAFRVRDFAVKDQQPYGIKLTWTGEGGESGDSDVFAEGDEFPFSKMLTLYRTGPFALAAGYAAPATVPHTAKDIGSWQVNNVKPEEDGGPRKVKVKVRVNPNGTFSVCAATMYEVQTVEIKEEKMEVDPAPAAADGAAPAEQPAAPAPAADEKPKTTTKTVAIELPIVESLPVHVDTVRFTAAEEAMQRVDLLEKRKADAKNAVEEYVYEMRDKLGEQLGEYITEADADTLRAQLQSTEDWLYDEGEDCETPVYEQRLAALKALGDPVVERYNEAGKRTGAFDRFDTTLLRARKAYDEYVAGTAAHAHIDAKDMEKSMLHVQVINAIDEKKKWLDEARHKQETRPKTEAPAVFVHEVIGQHTQFENVVNPILNRPKPKVEPPKVPDASATKSEQPAGDAPASSQEPAEMEMD >PPA12183 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:999402:1002230:1 gene:PPA12183 transcript:PPA12183 gene_biotype:protein_coding transcript_biotype:protein_coding MHNIPFRTHHSRAPRELTLIPASRSYTEGAQQLELDFTNSTKGQIQTPWVRIGQFFQASVSVYPIKRDGRAEAIECRLHMRPYHSADSSSYNVTSQIRLERCGEGVSFESFSLADIDNKHVRSHENPIFFDRLVVIAGSDKQAGRHHTLQIPEKIYSSYNAGAWIGLKFSLEIRSSPVSLPYSEETISVQGKRRVCDNAPERELIPGKTKPTPWYHLPSSTVARQESSGIEIVIQDTHFPPDLLAVWSDACARQLRSGIREIKLDEGITIDDFIDMLFVISPVGKPINDRNYRPLLRLAEQLEMNELKASVEQFLIDWNHHRIELAELYKISSDDHDLPMLRSVSY >PPA12143 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:772853:774772:-1 gene:PPA12143 transcript:PPA12143 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFEPLMQLPVDSPSQIDTGDTVAPDADQYRTLPTAELDKMTMESGNAMVADTVKEDFIEAEESYAMNQLHIVDDLEYYEKMPCCVKKQKHDIKVTTMMLTKSIDVYVDGLAKLTTKSTYDSVLFKIDNPAYGDLFGPIAAIPARRMIIEIEDEKARARLLTEEIVEQLVRGRKKVGFFKSTPCLTDEMLLRFYEVSRFGTGQFYLHKV >PPA12089 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:362222:364541:1 gene:PPA12089 transcript:PPA12089 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLVGGAPEKGASKKTVKESLSALSDATRRAADALGEQMERAKDKLENNLDECFPAVDEAFWNVEWAALLLSEKKEVDVGIGRSQIAAIEELQACLKS >PPA12029 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:101910:111972:-1 gene:PPA12029 transcript:PPA12029 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRCSLVVRTQTALRVKAIIDKLTSSSGRDQRRALFSLKQIFQDDKDVVHDFVQNGGLDCMIQLGKVADQNHQNYILRALGQVMLYVDGMNGIIAHNATIQWLYELLDSPFRLVVKTALKLLLVFIEYNDNNSLLVLAAISAIDKAKARTEWIGLMKVISEKDSPDPETLIYGMTVINKALHGIPDRDTFYDVVDTLDTLGMEETMKSMMKMNNADLNEQCKLYERQVNDEDNAAEEDESSNNENVRIRGPPSPAVQKDNDRRTVMRRRHAEAKARQEEHFNFQQSRNNFAREREEPEPAPSIAVPSKISSNLPWRNEKTEPNNNMLSQQPQLLKEKRVPEPLKLNTSSITRENQENEQPSPSINGDDEGETEEEHQVKAPPPSFPSIFSPTESKTMEFPDPVKEPEQEKPQAPRAKLVKDDGSGGGFAAMLQKRAAKASDSNAGLFEPKQSEAETQWKKAAENLQSRPLIINDLDFSTFFGEEYEQDPLVLGKLAQVAQQRGLLPGGPPSMSNGVPPPPPGPGGIPLPPRLQGLASSSGGAPPPPPPPGGVPPPPPMMRRDASPGPSKQLPAATGTLKLHWKAAQAEPPPVPTLKNKGTFWNKMEKATIDASRFTSLFEHKKTEAPVKKANGEAKPQVLQVLSTKRSQAIDIGLTKLPPINVIPAAILKFDSSVLNKDNIEKILKDMMPTMKEIEEIEVKVAENPDMQLGNAEQFVMKLAQIPCLLERLKLWIFTLDYKNCEKDIAEPLMDLQLAMKEMEESKSFRTAMGILLAMGNSLNGTDIAGFHIDFLQKASEVKDNVYKHTLVYHLAEYMIENFPQSSDLYSEFGAVARSSRIDYKELVDQLKKLEKDCKASWDYLSKISKNDNSSMKQKINDYLTDVAERIHQLHKINRITQNKWHAFLLFFGYSMQEVAAVSQNPQDVFKKVNEFALEYRTTREKILQQRKRLADKRERNKTRGKIWALEGAEGAPTADSSSTRRGAAPAKPAPMNAQEVTVTRRCPAC >PPA12050 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:193194:193822:-1 gene:PPA12050 transcript:PPA12050 gene_biotype:protein_coding transcript_biotype:protein_coding MNANFSSTLFNVNINKTTDVYYEANSVGFTKIKSGTALAFELHHNGIIYVSVRETVGSQNRYPVSYRNLIPDGYRTVCDCFPIRHDQSVIVAFGGYICKSIYMIWKEQVGDKIHDHFPTWKVQPMVMPKTEETSDLPSA >PPA12025 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:89378:91039:-1 gene:PPA12025 transcript:PPA12025 gene_biotype:protein_coding transcript_biotype:protein_coding MNESGTTESAESTMRIANAFGSNTNTECVSGYTPVSNGAFLSWNLESKGTVYVTVKTSFNKVVTPIVVNHPVNYDENLIIVQNGKIGSIATAAKGSIFVDQKGADDYPYKNCHTTAESGGASSESTVSTMHVANALDVPILVMANSNCGYSKIYPGFGLKINYKGIAYVTIKTSFNKKDLPIAVNHDIKDCNFIVTSDGKNAYFTTAAKDNLWIDEKGINHDPKEKCKNTAQ >PPA12136 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:711651:712145:1 gene:PPA12136 transcript:PPA12136 gene_biotype:protein_coding transcript_biotype:protein_coding MMNEKNDYFRFPLALCPSEKSLTSTFGTWEAHGRLRPIASALKGRGRPKKTVSSNAPNSKTRKRSIAEVDDIVVGGTEAEAGEDLNTWGLITNETNDKEGYDDEDEEEETILVNRQDDEEIMMCMVEEEFNNIFYH >PPA12197 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1066577:1069718:-1 gene:PPA12197 transcript:PPA12197 gene_biotype:protein_coding transcript_biotype:protein_coding MMFVAPAARKAALTLTDDEDDSFERVEELNHLEPCDDDSTVDNNDLELEIKQLKIDLTNSKRTIADLKKDRAQPLFVVVAMMIPILLVPLVFPYERYSPPAHSKPDLECPKCPQCPAAPPVLECPSTPTASAESPKVPTCEEKPWDYENPSLKLGDYSYGYGPPTFSRVASYNTNDWSISVTGGFVSAFSGGRLMTEYPHAGEEIIAIDGVDVREKSAQEIYEMIHQPQSTLAKLFNTRSKSRWAGKEWGHMLKLTVRNNTVYFNRIRDAVAEASNAYFKRGALAAVFIIVLVSVFYRTLSKSIELSRRRRFINKSEKSSCDCAVSSSGQVQTVIDAVTKNNGNEAEKTFVQQLSDCAGEEPETTENDRDRHAAETANTEENVVGTTSDLTDVTHQQVDGNTAEEKSMELIDLTTVINAQKVQVEDNRKELEMFADRLAELKRDCERMEKERADLASRN >PPA12096 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:405537:406134:1 gene:PPA12096 transcript:PPA12096 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQPSNSNNSDLCYENPQILANTGTRVGDSYVPVNVASGSSVSIRSTYQHIESQNACGYLPYECSAQAECRVFGFGPDYGHHYGF >PPA12058 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:218016:220186:-1 gene:PPA12058 transcript:PPA12058 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGIDVSCVIPVKNGGVYLIECLESLLKQSFSGSYEICVYDDGSIDDTWKTVEKYGELFKAVGIELRADRGEASGGVGFAKNRASALASGRFLCFCDADDISHPERLELLLRAALALSDPSRAFVGTQCTRLPEGSTERFVRWANEMSEEGLYEQIFTSHGPTLLTPTWFVARDLYERTGGFNEEHVKGYPEDLDFYFRTINEGAILSKVASPLLIYRYHAECETLSVSEKTIWTMRLKELERRKVQKWTHFTIWSAGKQGKMLYKCLSPSSKGKVRAFCDVDERKIGRGIHEEYDEKERKVTARIPILSIRDAQPPFIICVKQDMTGGDLEKFISEKRLKEGEDYVHFG >PPA12009 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:35845:36334:-1 gene:PPA12009 transcript:PPA12009 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDNKKSTDRHNIFSRECGGCGSAHPFHRVVFIRCGHAVCRQCADGALSVCPHCKNPSSSVPLIEDDNHSRDCGICYCENPLDRSVLSGCGHIVCGACVMEIKLIADLQNRQLSCPFCRCQSKFVKLEEKRIKNENSK >PPA12018 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:75419:76602:1 gene:PPA12018 transcript:PPA12018 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLVILLFFIPSVTSTCFVKEQPGEKRKIIDRKTPLTIEQCEIGCSDDVNCKAYAYSLPSFCVHLGEVIGAGCIGEVNVKSDQCGVESTTTTEVSTAETKTTPEVTTTTEITTTTPTTPTTTTTQWNPVIPTCKPSEEGLGWRDASLPYYVKDNLIIACGNGPKLFRDPGHLYTTTIGNHYPFLGGPEENWAKYVCGMAKSDDGNFYCVDYTPPTYSRCCTPIVEKSLPIGDNLCGAKPFKSWKTSTQSSTHTSKLECTPEGWKADGIIVYPFSVQCG >PPA12129 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:654205:662960:-1 gene:PPA12129 transcript:PPA12129 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSFGSSGGGNRRSAPSYQTSSSSARNYSSTGGSDRDYSRSHLPGNGSSSGGGANYNSAYSAGRKSESGSTSCGGYAYLEPNWIEKTRSSIPIDPYASSYLDRAPISTSRDYTTAAAAAAQPVYPSAAAAALSVGAYGASSSSMPKAYATRADDYYRAASATLAAPAAYGYSSAFEQSTMAAQAAAIYEMQMKLQNSSKRDAWQAAAASHHAADYGHSRREDDRRGGGGGGGMERRRDDGRRGDERRVDDRRGGAVATESWRDRDREVARDHRRPSLPTRERERDRDMRVPAAAAPARRDASHREVRRSDAAAPASSSSAARGRRDEHARDREGRDARDTSRRSIDKRAAVVDSRLDRESRELERQLAKVQRELEQLESSGGGRSKGKESDRPARRSAPSASVARRSAATAPARDARDARGGVKLAAEPPLRRTFPTRPQHRIGGAPPPMMRTSAYGVQRRTPAVRPMAVTSRFGGARSLGGRVVRPTAMQPLPSLMDALVPRPGRRVAPFARVSRASAWEALPRRSGAPLPPARYPAATRRVVGATTYAGKRPLAGGAVAMRTGGRGEVALSSREKVRRAKEKMRREEDRRKRNEKRRERAKETKESREKRKEEDFAWLETIMSEDEELDEETNRKLLLAPRQHRITALVDHAAVHPATAEQLEEKRGEEEAVPELWTAEECDAIELLPIIDPSLSAAVAAAFLDSHPAPKKEEEPAHDESNGKTEE >PPA12203 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1123626:1126599:1 gene:PPA12203 transcript:PPA12203 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCLICEVPINECHLGIDSCRACSVFYKRTIKLNKDWLKCKKGTNDCIEIKPTTSCRKCRFRKFSEILAQSAHKDEVEDDGSDSESEIGKRLSQPSTSFLDHDSFTLLPAPPSNTPLLERIKNGYSLLCVIRKTGEINLIPPELVPKPVDSKTTELDFFPLRYSLVIPTAKVFAGALYDFGSATFADFRELSLMERISIILASFKYIVLLDSAYRSAKYFPGCDTRCAGYMFTASNEGIGAFLEDCPHDINREEIASAFRQNFGKLSQVKEHLKRLAPSDLEFAILFGLCFWHNDVSIANESMTAMVERNRKAITDELHVMYKKQGRADYAARLGELYCLIASMEEISTLAEADMALYKLMNIFTEFPSK >PPA12166 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:925444:925955:1 gene:PPA12166 transcript:PPA12166 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDHIYLDYDGQFYPYCYVTKYNVLTGAYVELWKMVARLMKKRIVWTLNRDDGPTADGQMNPTQRRIFEGLALTRVDTSFYDVEDAYSFKASAPFSYTKSYCN >PPA12105 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:459293:472051:1 gene:PPA12105 transcript:PPA12105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpn-3 MTSSSEPSKPKTKAEKIKQEKEEAERKEREEKAKKEPKKEPELIAFETVRDHFLALDKGDTHVLGRALQMLHKTRKAMTPEILHRLLMLHSAGPVKDELVSLLPPRPTPTAAPATPSKEAAKETPMEVDGAAATAAAGNATPPPITLRISRGRLIVPDNGSRSRSVTPVPEPVVPKPEPEPEPEQQAWIRLSSQPLPSRKKTGVPPPPEAECYVHLLALIYLVDHGDKALAGAQRTAESLIKRLDEFDRRSLDGIAAKAFFYHALVYERHAKLEQLRSFLNARLRAATLRRHTETQATLIYTLLRVYLVGRQYPSAAKLVSKVSFPEGASNNDLARFLYYQGRIKAMQLDYPAASGYFLQAMRKAPQEAAIGFKQNTQKWVVVVGLLQGEIPERGIFRMPIYRKCLVPYLELCQAVRLGDLVKFNGVLQKYGQSVFEKDETLTLIVRLRQNVIKTAVRQISLAYSRISISDICKKLQLASDIETEYMVAKAISDGSIEASVTCGEPASKESGLRFMQSSETADIYRTAEPQTHFDSRIRYCLELHNQAVKALRFPPNTAEEIETIEQQREREQQMLRRAVARLNTAVDDVIRRTLGAPPPLAPAMALAAPSASDASSAPHAAAALGSWTVADMAEQMRTLWGVPKYRTSHPKKQTRKFAYTRLLTPIEDVTTCEQCGEKHEVHTICGSCYEKVRLLTNMIKERMLAYNPYKGERPPKEVEIYRFTLTTSSLCSLLGRADQGGAGARGGGEEGRDQRRRACGGAGAAEAELVPTGRETTLNQGKNI >PPA12148 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:784224:784797:1 gene:PPA12148 transcript:PPA12148 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTINYKEHVKKFHPAQFAEVLKSKPVFSVLLKKLVNVLPHHRPVSAERLFSYAGLLYRSHLKSRLSAEHGEDQLMLRMTQIKSYRAVCAFKDSVCVANDVVPVGNEYHHYESEESGEESELED >PPA12030 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:115190:116439:-1 gene:PPA12030 transcript:PPA12030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fhod-1 MASETEFNCRVQYVNDADPFSNTSAAYLEPMRPVTFNFRLHEPIGEQIGEVIRALRAPHKKDDAALQVYKGTEGGGGEFLTYLDCELTLAEQQDEFDVLKADSSCDREGLWGPKLHQARECGKRGERSETTRR >PPA12034 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:140231:142853:-1 gene:PPA12034 transcript:PPA12034 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEHHPHNNHHHHHEHHPYQHEEHDDGHDGHDDGHLAVYGEHGKHGQHHGDHADGYHKKGYYGDDHHDGDRHELVNNGKYYSHKYEDGHDKKEEGEEGKYNYGTEKKWGYGSKYHNGMKKDYGDSSVHGDGKDHKSGEDHHYGGDHHEAHAEKAKAAGVQTKVASVPAAPPVAAAAAKSLPAAAAMQKKS >PPA12111 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:534124:536685:1 gene:PPA12111 transcript:PPA12111 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPLLLLSMCTVAAANMETPWVYGEGLAWGPGFWGGLKDWELCRKGMLQSPIDIPPDRLLFDPGLRPIHIDRVASELVNTGQMPRVRIGNSQKRPPANLSGGPLNNYRFRIQRIDIHYGREETAGNGSEHAIDGKKFPMELQLLAFNHDLYENFSMAYRSPNGIAGIAVLVEIGPETNEELLKLTVATASIQSKGKRVELADLKPWALLPYTRDFVTYQGSMTSPGCEETVTWIVVNQPIHIRADDLVEWAKLRNDFGTEELPTFQGPNSRPLQSHNKRLLRTNIQHKTTNLDNAKECKLPLARLTYKSNVGGSAGTFVRSSPQPPAWASRSSGG >PPA12198 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:1076417:1077033:-1 gene:PPA12198 transcript:PPA12198 gene_biotype:protein_coding transcript_biotype:protein_coding MMAASCLGGQTALISLICIFKISAAFKNSVLDIKIRQMHVHLFRALLLQFTIPVLFSLVPMIAMFTLPTSGEIVMARTTAMDR >PPA12083 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:297704:312248:1 gene:PPA12083 transcript:PPA12083 gene_biotype:protein_coding transcript_biotype:protein_coding MTYDSDSEQQRFYDEIMQYVPGPMRNERDEWVMERNDFDPGYEMTVQIEHDLAEIRPFYVSLVLRNVDLTGDKCRKFINLQTKIHAKEGKDRRLAAVATHDLEKVALPVRYCRRDTRSHEMTALKEKTPVNLHTLLWEEDPTEMKRMAVDRYRHHLSGVDSVPVTEAADGSIITLHPITNCEQTRISSSTTSILVEVTSSVSVDACYRVVDVLVERTFLISPEMKVEQDDGSTSASIPKSFYDTIRKRIDEFKVGPTDRLVFEAMDRAQRKAVHDMANRLRVISKSSGDGVSRHCVITRRPAATMQAASLCSESEPVSLTHEQKKAIVAFIKEHPISDDDIDSHVTVSTEARDNVRESRKFQGQRTEPQHVPPATPSAEVVCTRHELPAFHARQRVLENIDGNKVTLITGGTGCGKTTQVPQFLLEQACERKEKIRIIVTQPRRLPAISVAQRVAIERREQVGQTVGYHIRLEQKTSSATVLTYCTSGVLLRMLTQDELARDCTHIILDEVHEREQNTDYLLIALKQALRKRNDLKVILMSATMEGNLEMFLKYFKEFNIAHVDKSIYGGITTFSNGFGGGFGGGGGHFGGNNHSNSFSMGNWNSSSGATKDAFASAATWSNSEVKESMKMVNTWDNGVDVPESMNRIHATPSNSYHKPPLTPSNSIVSQHSSAAAAAGGGVRAVQSAANLSFGGNGAAAGSPSGGGQDNSFMTLAQKLASVNNSSNPLATAPPQQQQQLQQGGGAYGSASQSSMHRSASANYAAQQEQSQYAQQPQYNNMQPMQQGNNSSYYAQQQMSWDSPTGYANQSSDVVDLPMDDDDRVMTMQANQQMYGSYGSPQTGGGGYGGQAGFGPRGGGGMQQQQQGYQGGSGYGGGYAQQSQQQQMYGGMQQQQFQQPPSAAAAGHGMYQYHQYQSQPNMQLHQQPQWPQQQVPGPPQQQPMFYVPEGGGGNNTSGYFVQTGMNGAPMGVPSHPPSYIHRSTSAAASLNDADAFGAGLRDQENARHMMKQHIQNIIPNRPAVFCDQAMNALNSLGHMLNRTAFTELPPALILRIERLGWLPSGACYKIRHLSQIDDHVDFAETLDVRDFCFYRSKEADYDRSAAESRTPERTSRIVGGCAGNAARAAFGDAASPAAGGGGALGALPFKAHATIEDASNRHKIGTDQR >PPA12141 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:762159:768530:-1 gene:PPA12141 transcript:PPA12141 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQQHMQCFDGLAPLSDIKKCIKHGHSVMILMRGPPGCGKTHLAEELLTYRTLDDDDTRKPVILSTDKFFLTPAGRYAFDHTHLDEFHRKNQAEARAAMENNQSPVIVDNTNMQLAHMKPYINFALKSCYEIYVLEPATPWRSDPRELAKRNKHFVKELDVERMLASYEPIPCFADLLKPAVFVVNRIAFSDDESSEEEDRPQVVQQLQLLQLETIRPLSERRQRRSVDDGAARSQQHCQSLRECTQLADLLTAARPLLATIDAAAGAAATTAAIAAARPLLSTATTTTTTAAHAHADILPGARGECWFQGVDKIMLTAR >PPA12156 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:816573:828530:1 gene:PPA12156 transcript:PPA12156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gei-8 MIRAIRKKREEEQCLKNDQAIKVCEAQRDWAVQVEAWENSPRKKKQDEKHREIFERAFPDIKGNRSSVAAQSRSGWLSAPPPAERVLRGALGTLEEDEKMRHGISIPPCQQRRPDEGELFEQGLNETNVIENCFDEHKKRMANWQLKWQVRERNAFKLAFQNYPKNFCAIAYHLPEKTTRNCVQFYYMTKLDNPSFKICHRQYQNKKRRKANQSRPYKPPAMPNALDVASIQASVQDANDRLPPAPVGKQPDQQELKAQVLLVFRRDGAEHGVHDEDNQGESRGTALGVDAENSRICEKCRVLAANSRGGRCPIKGCTGSKRKIKSTKPFPAEYVKLEDAEKKFILEQIEIHIDTVKICHLCVKRVAKEVQKLKGAEYDDAYAAFCEKNGYQTDGPAVKCEEMQSDDKDAAVGAAAAAAATPSGRKGSGRSEDKEEKAWTDDETARLLDLNGKYGNDWRAIATRMRGRNEEECRLQLVRSTKQSPPPGEDERRSPSVKEEAASVAGSLLADRSREEEEDAEKGSTTPVPGLVPCFGGMKSSASSDVTTSTAGGVGPSASMPCLKQEEGGIKQGTECL >PPA12075 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:259150:260317:-1 gene:PPA12075 transcript:PPA12075 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLIVVSALLLISIMTTAPTKKPVSDGFCKICKKVIDEFITGDDFIKNDEKITDAICAKFLTGPMVQVCAAGIIGEIDFIRDTYNDDPNAICVAIGCPSKGH >PPA12020 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:78295:79418:-1 gene:PPA12020 transcript:PPA12020 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDRERLPTTTLDFIAKIRGSTTPSIGANAGGTEGTKTATAGGTAAKTAVKNEAAKYGTAKADDENNEIGIGVDGGGVNAAAQVSGNVTREGKLRHEANKDGKGFTPIAPGEILPFNVENRGIVYVSMVERPDSPFYQFGPREMNHDLREDGGLIIVPGEDGIATLGCNRDPKGTKWYENLFRRGSSKRSKKSKKSSGRKNRSKRSSWKSKRMGRQT >PPA12114 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:545699:547505:-1 gene:PPA12114 transcript:PPA12114 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLAARSTALLQQTRAKATGVTIKNPSADFLPYKGVDHIKKNVRVELQAGKTYAWCACGLSKTQPFCDGTHNLPGITNTRPVLFEVAKTATYSMCGCKQTDSRPLCDGSHKDVPKRPRSADAADRVVFVDASPVYDGVAHKLGYRVKNDGFQK >PPA12163 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:897264:903656:1 gene:PPA12163 transcript:PPA12163 gene_biotype:protein_coding transcript_biotype:protein_coding MFATYSSLIGECRTAKKKYQSRLKQLIQWLGVDFDGCIIFDECHRAKNLVPSTGAKPTKTGRMVLDLQMALPKARVVYASATGATEPRNMAYMTRLGLWGQGQAFSDFGKFIDAVERRGVGAMEIVAMDMKQRGLYLARQLSFRGVNFNIQEVQLSDAFVGVYDESVKLWVEVRRQFQTALAQMDEDDRATCKHIWGQFWASHQRFFKYLCIAAKVDACVKITREAIAAGKCVVIGLQSTGESRTLEAIDEMAGELTEFVSTAKAVLSSLIDKHYPTAGDFQTDIFRDFDRMFEGGEKRKRRGPARNDGMDVLEELGLGPSTSTGRRDRMGGPSAKRMKRERTVDY >PPA12195 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1060047:1062325:-1 gene:PPA12195 transcript:PPA12195 gene_biotype:protein_coding transcript_biotype:protein_coding MSANHLDSSDEEDAFERIEDMNDIHELDSKEEEETVRILEQELARLRSENEFHKQHKNTMTALVVILVIVLYAILIFGPRPCSSSPAEMAECPKISPCPPVSQCESKKCELRLCPDEQRVRVLMRFEDDLPKGFQVKDRVVTQIEEWTLARPALEVGHELVFVDGVNMEAKTDDEISAMIRTSGALIHTNLIVRNSTSRIDYTKEICFLMELGTVLFLVFCFIVNVFEKAVWARDKKTMVDNKKHSLGENNAESHKIVDLGEIASETINAQNVAIEDNRVELEMYEARLAAAKSELVTLEKRLKVVIEKTASIDEVEKASVNELDKLEKTLNECYPKNGEEGEELKAGVITRSHKRLSRSNGMFLDAPSLLA >PPA12014 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:63685:67290:1 gene:PPA12014 transcript:PPA12014 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGNYKISPTQPIAQEWNTTEKVEKNDYGSVVNDHFQNKHGINWFIVAILIVGETAGGGLVALPSSMVKTGAWAGAALIIISAIASCYTAVQLGQNWIMMQDRWSEYRHSCREPYPEMAYRALGLWARVAMSILLGVQQFCLSVVFLLLASNNIATLLFTLFWIKLNFCFVALIVTAILLPLLMLGTPKDFWQMGLVALISTSTAIVLIFIGTAHDQDECMKEAYYPPVVFEKFSLTYGTIMFAYGGHACFPTFQHDMKKPQDFYKSAIVGFVVMLIMYLPISIYGYLVYGGSLSGGSIIPSIQLNWVQIAVNILVTVHVIVTLIILISPLNLSLEELFKVPNKFGIGRIIIRTLIIICVLFTALSIPKFGPIVDLVGGSTVSLISMILPGVFFMSLVAGKRKRIFSLNNPWVLLINFLVIAFGVCGGIASTISAVNELISTSWEVPCYVQIIMGTLNFNADGGLVNCCGRFKNVTTVDVSNPVSFCAAPGF >PPA12078 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:279038:280681:1 gene:PPA12078 transcript:PPA12078 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDEKPHFKTILADFADWSTLSGLKHIISCTKHIFRLAWTAIFILLCALFGFFLYLSISNYFSYPSEFATQIVFEEPIFPRVSLCNLNAFKYASMSTEPSFAVLYAMQESYRQIKQGKTVTADPFGFQAMDRFEMYKNAKLAHMILSQQIPESSREMLAYEYTDIITECTFMGATCTSADFTSFFHPEFGRCFTFNSNRSVTRVGAMTQLRLLLTANAYDSRGLNWANLPSTERLGFKVVVHTPTDTAFFLHPVIKQPLE >PPA12005 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:21475:24909:-1 gene:PPA12005 transcript:PPA12005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nas-36 MDTIQQSILVHINQFELDAISSLLLKIKEKSFKKAFGDRSFGNDAVEDSKKPIAISGNQPEVDKAMIPFLYEGDILLNQKQAEIILQNIGADAKRVRRKRNFVSDALAKWSTLPVKYRLHESLAFYEIAQIVRSVKFWENRTCLSFERVPGIPDGDFIEFFKGQGCYSMIGRNGGRQGISIGQNCLKQGVIEHEIGHALGLWHEQSRPDADAYIKVRIDYILPSYKSDFEQQTSGITTLGIPYDLGSVMHYGPQAFSADKMTPTVETKDPLFHSTIGQRETLSFYDVKTINTAYCSGKCSGATKCLNGGYPHPSRCSECICPSGLGGAVCETFEAPKNADCGGLLIASSDWQFLSSPGFPDPGYSQDQQCAWMVQTPSSSDRIEFEFVEDFSFLCTSTCVDYVELKIQTDLANTGFRLCCYDLPTETFVSESAQALVIFRSQLSSDVGFKLRYRTSETII >PPA12147 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:780841:782146:-1 gene:PPA12147 transcript:PPA12147 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFEPDLPSIDTGDPVVTDEYRTLPTVELGKMAIGSGDAVGCMAVAVKEDICPFLTLPKEIVRLIFKNHLSAADRLRARVTTGLWEIEAEESYAMRTLNIVDDVDYYKKCNRWAKMQQHDIKYRNNTEV >PPA12066 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:238353:238828:1 gene:PPA12066 transcript:PPA12066 gene_biotype:protein_coding transcript_biotype:protein_coding MMNEKNDYFRFPLALCPSEKSLTTTFGTWEAHGRLRPIASALKGRGRPKKTVSSNAPNSKTRKRSIAEVDDIVVGGTEAEAGEDFNTWDLITSETNDKEGYDDEDEEEETILVNRQDDEEIMIGI >PPA12099 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:413922:414805:-1 gene:PPA12099 transcript:PPA12099 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKSFGAMLFPLLLALYYCAPLEASAPCPLPADVSLSFYPPAWTKGGALQQTNDGTSDALQCGNGEEIIGITDTDTLPSSMQPNQLGVGAKAWTFDGPLRCDVATMKWVRADGFEAPPLFTCGVPPATNGDCSHHFYSREE >PPA12188 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1039272:1039964:-1 gene:PPA12188 transcript:PPA12188 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEIQYRDPNSAAKVLCFLMVLGFLGILTITIAFIAKQTRPIGEIPHYSFNLSKVNGTCGLVIRGNFIAEVIPDGPAAIQGSDINRGDTIVNVNGVPVRNMSHSRIVSLIKEYPEYVFFELRENDEPFEEL >PPA12087 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:334942:337479:1 gene:PPA12087 transcript:PPA12087 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHAAYQVKVEVSINKNTSRADESHQFSKTDFKSPAQKGQREREQRERDEEKRGQFPRGNDDRGNGYNREERRDSERNERNETGAPTKWKGEQRRGSTVGSRFQDKRGSRSDDFGSGPSRGRNQRGYEDDDDGSYDRVPEDPQPNRSFGFGPSQKRPDEKRDYGSKYGSQDRKQQFGAQSRNQKSEPQHEEDWGKSATAPSFFSTEGGQPKKERKEGRTFFNSKFQFKGMHSDRMDHKNGGGSRDEERDGGNYKQKGSRSAHFTCCSDYSSNSRY >PPA12119 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:573015:581537:-1 gene:PPA12119 transcript:PPA12119 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPRLDCSDAPPHVKEFVIVDSPAGPGWFVARADSSKVVSSLGGDVARERRTKKPMSVTVKPLAKQQRQVYGEPKAAGVLEGMNARLEAYGEDVRAANAVTMADAPYVSLVRETESPVFIIGSPVFIIGFVAAFDARVADMSTVELERDEAEGAVAVTVDVSALDERCFFPGQMAVFQGTNRAGNLFEATARLTADRQPCATVSRGDEDAVVWVAAGPFTPPSAASYEPLAEIVERARQEQPDVLVLVGPLVDRQSKFLVSKACTVNEDQMMHELLKRIALKMKGCPTTRVLFMPSSARDGCAIPVYPGAGLREEWKDEVVELVSDPLLLVVGGGAEVAITASDIVTHLSRAESYSSSNKENTDRMKRVAGHMLEQRSLYPLYPPSLAASLEGIHTACTLSGKQPHVIVAPSMLTAFAKSAYGSVIVNPGSACKGASVGTLMKMRIGLTEIPDAGTANLERYTHGDEVSLEP >PPA12068 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:240232:241471:1 gene:PPA12068 transcript:PPA12068 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVEESSDGDDGIDILLVRDSNALIDTVERHTTSIEVQTVEGSESIEHRNNHHLDGDKIENVPSINSAEVKRLQAIIAMKDAEIDRLSQLNTDTKPETAICICAKTIDSQKYLSLYLELLSDSRGNHYLSAYTVKLLRHDGMMNCQRINRIYKEKTDAWGFHKFILFEDLLNSSNGYVNKPSNRILWRLKMTAKLSPLICYVQRG >PPA12121 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:596980:601655:-1 gene:PPA12121 transcript:PPA12121 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAFVKAVDGTRFTDVLELRNNFDTLSERLKERDERDANILNGTDFESIEQMAKNVRTVRSESNDTRLREVVTVALSDLGLKDMVEISTSQQSGEIFVNIARVHDSTSPAANRLDSTNLTGPINFLSPRSHAWLRCVAVDGEGARVAVCQSTDYIRIYGIGADSQQPPLTLHHAKMKNVAAMQFDPHDARLLAVAAGAAVLVWRLSGRIVGMKPSAQCIRIIETGVSPLSSLVWDSAWGNSLMAASCTTNKIILADLSTGTHSSVGAWFGGGVTGLYPSKDGTRLAVTYTSNVMR >PPA12038 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:158541:162467:1 gene:PPA12038 transcript:PPA12038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-kin-32 MVLIQSLFLLPNFHQCSPSIQTDVNVAVAVKVCKAENEPADMQLILQEAHVMKNFKHEHIVAMIGVCAENPIWLILELAPLGELRQYLSGNKGVLSASTQDTISRGYVLFACQLSTAVEYLHSNNYVHRDIAARNALVSSPQCVKLSDFGLSRALDYDAVYTASRGKLPIKWLAPESILYRAFSMSSDVWMFGEYAPPSILVWEIFAWGVKPWQGVSNVDVVGRIEAGDRPARTADCPESMHDFLVHHVWSFEAHKRPVMSEIVRVLQEVSDQLKRWVRPEHVRIARKAQAIPVIRTNIETLPNLTLWRTMEEQRRQAEEDDRWLEEEDQKNEDNGDDFLDHAFERKMSLSTENGKGFTLRKPMPEIRFSSASSTDRTTTVSEERDTPSTAKVGNGWSRSNHTSFEVEELPERKNGCVPQRATPSEAMASLDGVMDACRQLKSVYNTNIKQGTFVNLVSEIRNAIVTMNETCTTEFLTMISGRLYGQAAKARLLIANDVEQLSKCIEVLAKEDTTKAVRISNPQSTHKLHCVNKSDVSQAFDTTRREIVRMAGDLSSDADSLLEIMRRQFGPSQPTSPVDDKRSPFRAILSDC >PPA12006 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:27509:28478:1 gene:PPA12006 transcript:PPA12006 gene_biotype:protein_coding transcript_biotype:protein_coding MPIITRTTHTVRATRTTTTTVPIWLIKLITCILCVLVLFLIYLLDSSVIHYYYKTFMFVCLTVGCLLGWSVGSVLQQVLTMRNVEISINFVLTALSVICAILCISWLVNTNYSSSNNNFKMVVGMLAAFIGQSIVCILMLSWACYGNIVVFST >PPA12155 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:804171:810541:1 gene:PPA12155 transcript:PPA12155 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPGGGAQNNQEHQILLMLLQQQQQAQQQAQQQQQQQQQQQQQVNHLFTHQNVAQLAQQMAMTPGLNPATLMPLLNALTQPQQPQNQQQHIQMALLQELARQQAQQQQQQQQQQHAQPRTTSQNFDALHRHQLNSLQNQQSLEQMRQHQRNLLEQRNMMAAAEAAARSRPSLLGDLPGYARQQPITLLGSAAGTAAAAASALAAPQISGTGASLLHAAAGQHSLLTAAAAAAAAAAAVKPHVVIQQPSLLGGAQATGDGAAADDDDDDDDEAPQIQMEMEMEEEEEAQEESKEMKEKISELVKHSAGAVQHQKPKASVGAANGFGSDEKEEMNSDSSDEEEDANPARSKAWNMFFRKGAIYKITKH >PPA12112 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:537821:538782:-1 gene:PPA12112 transcript:PPA12112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-174 description:Cytochrome c oxidase subunit 6A, mitochondrial [Source:UniProtKB/TrEMBL;Acc:H3EQQ7] MNRTLGFPRLAIRATQQTRNSSGGFYGSNNFDGFRQGLNSQLKTAEVTMTTWKKIFFVASLPCLALTMYAAYADHSKHGASKRPEHVAYPYLNVRNKPFPWGDGNHSLFHNKSEQFVPGVGFEEDRKHH >PPA12150 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:788303:792169:1 gene:PPA12150 transcript:PPA12150 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRFTLPGLPLHRELQAPVTIGTQFSVRATPVEHDKRVITLTLVTGSSEAALEITLHLPDKHGRGAKLRAVSRSATAESSPLEKEVSTLAVSKELILGVIVKEHIYLDTAFLLPFVHRINPSEITKIVLDGAMICNEVVIVPVKTDMPPLPQYNEVSLNQRPQQQQTQPPLPAPRAPPVGAVAVLPPYPAGTALPLPAAAPKPAAAASVGKPPEPGWTAPAPPPPPRAQPPQPMQQAAVQQPRPAAIAVPTTSAGVPPPPQNVYPHLSATNQGPQFQNIQHIPGLSYTPGQQPPQQQVQPRQPQQMQQQPPYNPQLQPTYPVQQQPTQPVQIQQQPYQQYPANPTTYNPSYYHTNPYATQQFVTYGSYPVSYVPQTAVYGATYSPYTTCPPAVYGTKYTYPFIQKKIKLELWSKIWIRDGLTVYMNDHCHYNHWHHHHC >PPA12059 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:220818:226142:1 gene:PPA12059 transcript:PPA12059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tlf-1 MSYVYGAYNPKAPNGTSGPSQEAATSATGRKFAVMPKMGLGGLGYSKPPPKPAAASQAPIVPAASSPTPTAAAPSASSPAIPVPTTVSTSNTVIKRETPTPPEQQQQQNHQPLQQSRSHPQLQQQQHSVQPSRSHPLLQHTQPQMQHHHQYNPQMVKQEQPVYANQQNYDPPRPAPAVVRPVMRQGPSLGYGGAPVRLAPRSLGLGYGAVNSNNANLSLNVPLREPTPEPVPVVLEPVAVKQEYKIEDDYAVYGTVNDIDIQIRNVVCNYSLPLHIDLRRLAQNSNNVTFDRGRGVLLKQKRNPMCYVKIYSSGKVYIVGCRSEAECMTAARRIARNVQRAMNKLHEQVRIRNYRVCNVLATCKMPFGVKIEEVATAHPSESQYEPELSVGLVWRNKEPKATLRIHTTGSVTVTGAQSEADVFTVIERLYPILLKYKCPPRAKGELTLAQKRKREQAAMRRENSRYSSTYDGPRVKMGRMDSSAVVNGRVVFSDEEDEDDIYNDDFYKDEEDDL >PPA12193 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1054766:1054983:-1 gene:PPA12193 transcript:PPA12193 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIMRGHLPWGWNPNEVPRRRPVIPTVVPKLTACAATQSCCSITEYDS >PPA12116 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:549507:550986:1 gene:PPA12116 transcript:PPA12116 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDAITCSMQANRAESDAQSRRCGPREERFFLRGSLVECAPDDSEEIYGNVLQHLQSFGADWNAAVEWKHCEAARAADPLQLRFHAAFSTIPVPPLSKIPVPSTDFCFTCLVQAERRKRM >PPA12126 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:640193:644647:-1 gene:PPA12126 transcript:PPA12126 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGASQFTFHYEAVPEPEILPLIARIRALGMKAGLAIKPGTAVETLLNSPGKFDDHARQRTLVARQAACIFASYLHDPAYHMLEKYAGDIDLALVMTVEPGFGGQKFMEGMLDKVRAIRAAHPSLNIQVDGGVTPGNVEISAAAGANAIVSGTGIIKAADQAEAMRVISSAARVRISSRPLHFAHSLALTDRRVSSPHQPKKCQNQFIMAISCFA >PPA12202 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1116735:1121584:1 gene:PPA12202 transcript:PPA12202 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPTGSPPNTSAMDGIATTTKVRPTQSYYVPRPVLEKDHVKEEKETSPRDKKKAPCAQLYTPPHSRGGQTPSTPKLRPLMDIQIDRACLFADSSTSSNSRNSMTLSTSSESSSRKSPDVPRKSPEAENRRGKQRKPSAEHREELFPKIVAVPSSSSSGPTDWRNAGTPPREGFSTNILPPPPQPAVKDGCICEREKAHIACKRCGHECHGRLALVCLLHPMQMNLMDLSACPNPLCHSVQLYEVACNNKYVASGSTRARVEVAVGGRRRLERGNHPRSREEALGDEQRGLGKASGGLGVADGLNSTAELASVDVAEGVDFCKKKHN >PPA12094 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:399965:400296:-1 gene:PPA12094 transcript:PPA12094 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKNAILSMKPKEYIIQMEPDDPMESQNEVPHNQRTEAIRELYPLIWLFLMAIMFFR >PPA12130 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:663404:669428:1 gene:PPA12130 transcript:PPA12130 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKIEELEVYFPYDYVYPEQVLYMKELKKSLDAQGHCLLEMPSGTGKTVSLLSLVLAYMTRYPDKLEKLVYCSRTIPEIEKCVAELQNLFKFYETMNGQAPEMLALAMSARKNLCINEKVLAARTGIGIDSACQKLTASFIRAKKRLNDDADLPDCPFFEKLDATPGFELPNGVWNLSDLKDIGSSAGVCPYFVARQAMSRASIVVYSYHYILDPKIAELVSKDFSKKACVVFDEAHNIDSVCIESMSVAVSGKTIDRWTHYEVTTSIVYDISGPRNNGKKLRPDEAEKRMRERNDILPAQRMTFDQIRNRITTLLSQKKEHQRKEHGNRQRRFVKLIDDFERDLAEEGMSLDYIEEEVDLERPLDEDDLIITSDEIYDLVHSNMEFFDNPSEPVFSDFGEFEQ >PPA12207 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1140864:1142010:-1 gene:PPA12207 transcript:PPA12207 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHTDTQSQVETILRTHPEITALAQQHVYHAEQAVRLNFKVAMLRAEMEDLHRRQQTIDRRIELTLTFVRNLSQFGEEHIKTRQEKEELEKRIIETERSRVSFYNKAESAWVRVREMVETVVIAQTVEEDKENKPAM >PPA12008 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:33030:35652:1 gene:PPA12008 transcript:PPA12008 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTEEEEKVIEKYLINLDYLNVCLERMTERMDICAEKAAQRRNSGYKFTEPLTAMMSKVRELRKKRDELMEKYRNRLRLHVLFIGRIVKEGEDEDEVKKEEIREDEIEEKNEEDKENISIIVEKKVDEDQKKVKKEVEEDKHKEAKRMEQEEKEKNKKIREKKILEEMKRKVESKFLEIDFLCDPSELPFEWNEEECMELLLLSSFNQTNRQVRRINEGVKIEPLSKVENCIWREKKSYKKKGGRPPAAKKAKIDELKIIIEKKDDCLELQQKTIATLTELREKLTEFNREVGGLSTDDRNGRVCRMSDVIKDLPNRVKALNDAIKKLDKERGDEKCEHCYDEMKKGFMTMDFHLERVKKMIENLHEERGTDVQGLKRIDTISYTKKKGFVVTVTLHL >PPA12177 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:968305:974627:1 gene:PPA12177 transcript:PPA12177 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPHPKDSISIGSFLRSSISKALNDVDTKDSVSTGSKYGPRKNPHPRSQELIDSAMKDELFQCGTARCGRWFSSGEELAIHWLKHRRGSREKTVKIASIKGKRCDEIVSRIHTDIQQLGPIIFNNQDSLLPDASISTIEPNATTLSANSDMNVSPRSTRKRPFDWNLFVQARYMDKAIALWIHVTLLKEESIAQLISAAILRHTHIQILYIFTFQLIHTLHSLYPVLIPCPPLLHSIPTPALHAEWFTRPSLFSPLPRIELTVPRTPMAPVKRFQASKEPRTTRRKLASTAEPTSRCKPSNTKTTKTAILNSVAPSMVTTTEMSKLLFEKNVNEEEVLSKPPEMLNEDFYNIVGRAMRNFDWYHGYMSREGCEEYMKEVGDFLVRRTLIDGKPNYIMSVFVIKEGDKGKTAHIRIDYKSGTWNINENVKKASITQLIKHYQEKQSKYSDAPGPFLKSGVSRPPFYLLHENIHIGKQIGSGAFGTVHVGQLKKGTETVEVAVKKMKSEKADKKKLQEFFKEGRLMLRFNHPNIVRVFGVAPGDTPILIVLEFAKGGSLKSYCKNNDPVATSQLDNFAKDACRGMNYLQSTKVIHRDLAARNCLLGSNAELKISDFGLSHRGDSFQLDKLKSVPVKWLSPETLSKGKFSHKTDVWSYGMLLWEIYSRCKEDPFPKKNNAEAMDLILNKKPPIEAPPAMPDAIKDIFLLCLTFLSEFAYPDKDPNDQLHHQ >PPA12076 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:263563:272306:1 gene:PPA12076 transcript:PPA12076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-catp-1 MGLEFIKETMARELSASSLVEHRLNIDQIRDIHPQSSIDVDDPELSEGLTREEAKNRLAEGGTNKIDPPKDPHHLMVFLSQFHSKLWIIQTAAAILSIVAFIITHYRGHGDVLNLYSAAILFIVVGSMYYLSYRQERKARGFLQTFEKKLPEKAIVIRDGDERPVEVEQLVVGDIVVIRCGSRIPADLRILKSKGLIIQSAEVTGNEMPIECTAEMAASGVTALSATNLAFKGSYCIEGDGIGIVLRVGKYTVLGGIAQTHQHIPPPRGKLETELSQFVHFILLLAVFMATTVFLIGCYVTSFQNTLDHFMYGFIVIVVANIPQGLPATVMCELEIIARKLSQKNVCIKRLELIDELGAATVICSDKTGTLTMNEMAVTDVWYNRRLTTADRRLVRTAQATAGYLIEKPLPDILTVMCVCNRAQQEHGNRAARRKHSSRFPIPTRASSEAITTIGPSFSASSLTTDMERGGVRKKFTVCDPETGTESTAVMKRISSNMGHSEASQEFTAHQHPSHGQLHPRKKKKARIIGSASDVALAEYVELYASLSAIRERYHIVYEVPFNSVRRWQLVVARCLADTQGGVTDHLPTPPTGQSRFVVMMKGAPEVILARCARRKENGDVMEIDEGFANDCQSTWESLGNEGRRVIAFAHRHFNAPSDTRFDGEGAGLWKEGGLTFLGMAAIMDPPRPESAQAIKQCKEAGIKVFVITGDHPTTAKALAVQIGLISGRVDNPNKDWTVVTGDQLNEYGKEEWDALLKHRYIVFARTNPEQKMTIVQECQKRDETVAVTGGGVNDAPALAHANIGIAMGVSGSDISKQMADIVLLDDNFATIVSGIEEGRLLFDNLRLSLAYTFAHLWPEVFPIMLTYLVGVPRGLSQIQVLLLVTFVYTSGPQYILEISPPPAHVWGIAPVVGVVILVYNEVRKYVIRNHPTWPMVKVIKW >PPA12180 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:984980:987815:1 gene:PPA12180 transcript:PPA12180 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRTGNSNEYASDEDSVVGSFEQIDVDSDNEIVQRGKEVDEDANILEDICSEPESDFVQRIHGEDGQLVHKEDFGVEIATDDDAYEEEVAEKGGYHVDGEDALDSEFVIEKMDDMVVDMDISEEEDELELDVTQETEGEEEEELERTAIVIEEEREKKDNRKKEKKEKKAKSVEIFDIIVFLVLMCGASYMSVLCLGPPTPSSTANAAIEGSTLEMVSLPLEVVRLLKEDSRMNEELKKRLSEAEEELEQMKKELERPSRYPTAEEIATAHVRQSRDAFLSMVRKLNGTLHRKIIDKMNRSPDCLDVVIIVFWAVAVYNIVVNEMDRRRARTIGEIVLSKNKSGFGFALKGRTVYKIVEDGNAKASELRVGDKIIRLNGENVEKMSAKEIGDKIGATDKVTLHVRKNPMIRAN >PPA12070 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:246727:248102:1 gene:PPA12070 transcript:PPA12070 gene_biotype:protein_coding transcript_biotype:protein_coding MREITFDSAESTELKLLRETITSKDVEIERLTQDNDGKWRIKMLDQILQQRKEFMDSEERTASNLLKIRERIVEEKMNELSKEHQVAIAEKNVEIARLSQLNEGTKRALEGIKDICQKLVQWHEEKPPLSQLNDLQSEIQEKDSAIGRLSLLIEVQRGKIEELTKQVDHSSLSPLRTISFRKVDGQFGAGHNGTSITVIEKGGPADRAGLRKGDQLISINGINVETLSNDQITMLSEDVEDDVVLVVRFNPERLADLWMHP >PPA12159 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:848111:852646:1 gene:PPA12159 transcript:PPA12159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-scd-1 MQQQQQQLAAVAAQQQAAAQQQQQQQKDAAARQKQLAMLQQHQQQQQLSAAAGKIAINNQNAASLAAQQRAAAAQQQYVLAMQQQQRAGPAAAAAAARSTASTVAAPLPPTTAAGWLQQQQAAALAAQEEQQRRSIRMIAERSALHDNISEEAAAAAAAAAGHSSTPGSTNSSSAEGGVKRKSGVENCALSAGSASAATAAAAAAAAATSAASLTSGLSINASGARHASLSLARHGAAGGASPAAGAHSGPASAGATPAPSTAPLDIQTALYQRGLFSSGVQPSPNSQQQQLQQHMLLMQQAQQAAASSASQPHLAPQHQHQQQGGSLTAGTPVGGAAAAGAMPSASAAAAGGGAAPPPPGAHSPAIRKTPIEAMMSPRLMMHTPQQHISVAVTGQHGLHHVQPSPLHSPQLQQLHLQQPSPVLAARAAAAAAALASPGVVQQVQPLQQQQQPAAAAPKKSTEYYEALSDSDDDDDEEKTAAAGGDDGQATAQASAAGAAGAASSSASSNPLASANSTGQQGGMLLSIFDLDDTAGASTSQMFAPPPPAPAPPPPAAAAAAPPTASAPAKPSLFGGSGSAYEDLSSDDEN >PPA12060 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:227397:228996:1 gene:PPA12060 transcript:PPA12060 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNADYYLPPIVRSLGEDVRVVVNKVRLQCKAISDGRYLYTYTMDLAQDHPQGLRTDRSIDMIDQSTVSSKQTTGRTRDASSCTDTASSDYSIQSYHVRRPEPALSYSVRRPEPAAPVQPQTTVSVHKPAATDSIADVTLHSGAEDNSAEARIRQEITVTFYLFGQSDRGDYFRLRLFDAFLGKTSLRRVLRSFGAATDCDFKEFLDHLYMIPGNGDLLKDATKWKKLSRDSVSMTIRDLRFNGSPFTDEFVLIVDLIGVHGMSPAVRRSIGKK >PPA12063 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:231382:232283:1 gene:PPA12063 transcript:PPA12063 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLNHAEIDLIIARLLQIRNKSEQFPSNVVKVSDASGNARMEDKTEYLRRRQEADDKCAKEGCRFSLSCGGCGSENRPSVFSTACGHVFCRECTDGKEECLEKKIEKTENIPTVPIPLKSKFILTRIASRP >PPA12217 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:1191487:1192532:-1 gene:PPA12217 transcript:PPA12217 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVVLKPIAFVPFVPAPKVKEKEEKEEELLELPPFDPASCFKEADKQNDNVFMFLPTFSALLRKVLHEMSLRFAPACDRAKTAHRPTDCPITFEHVRVERVERSRQISHVQSIARPAFAHVQSKAKMDIFQLENKIIQAVLNEKPVSPMFPPSAFALDDFIVNGQRY >PPA12031 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:129647:132897:1 gene:PPA12031 transcript:PPA12031 gene_biotype:protein_coding transcript_biotype:protein_coding MLAREVAHFEKEAHEDLLLFKEWSDSAWSTMGEEPAMKRQRRNAHSRRFVVDDEFTPGIDGSPGANGITVLVTRNNNGCIKCPHGQPGPPGRDGQEGIPGPQGPNGDDAADGLYMRYGPPGPPGNVGPSGAPGRDGPPGQPAAPATRYVAARGAPGPAGPAGQPGPAGGPGYALPGDPGQAGAPGNAGRPGQPGPDGRPGTAGSAGGPGFDGAYCPCPPHFGNYLPPREERELASEGATPPFTPPLDRGT >PPA12173 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:960762:960988:-1 gene:PPA12173 transcript:PPA12173 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTEPGDFNVYNQEALEQLQQSLYSEIDPVGDTVRFINISKDGYHILTQNCFLFRA >PPA12007 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:31552:32262:1 gene:PPA12007 transcript:PPA12007 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTLTAGCLLGWSVGSVLHHILTIRYVEITVNFILTCLSIATAAVCIKYISDLGMATKNAKMALSMTVCFFCQSIMCILMFSWACYGHIVVIS >PPA12056 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:210718:211771:-1 gene:PPA12056 transcript:PPA12056 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSYNESYDRLMVEHQIHGHRSIGSAALSMVYVAQGCCDGYVEYGIHSWDVAASAVIVAEAGGVLLDPTGAPFNVMSRKVLCAGTQELALALSATLTHAEYAPEA >PPA12123 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:620510:622349:-1 gene:PPA12123 transcript:PPA12123 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLNFPPPAAQDCSYSLQEFNGQIIAGTRKQFETLQESKFLTDYPRLERNSLSKLQHSLSRDDVKGAFMFRRSTANVLREIDEGKGVSAGDNDENAGGAGGWGKAVQRRELSANGIP >PPA12206 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1137866:1138948:-1 gene:PPA12206 transcript:PPA12206 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDEAAEEIAGDQLFSELSAPPSSSSMRSTESESTVRPVSSVPTVRPHPDQEKRKLIQQQLVLLLHAHKYTHCISSRQIIHHWKNCVRAECPVCLPLKRIQAQGRVSNTQGHDNLTEEVTTVDKSLLDAYCTVDTSERPTSSQTEMQRAVDRLPDLEASERRNVERDSE >PPA12145 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:776137:776592:1 gene:PPA12145 transcript:PPA12145 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGADPLREITFSDVPAAVALAALDTLGLYLPPETHIHSRIRNVEVYLWNEDFFIIFDDRIITIQACILIRNEPGLFCIERCEVDGSRK >PPA12011 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:42359:42608:1 gene:PPA12011 transcript:PPA12011 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSEQIAIIPSLILDASKNISSPIFTTIYSVVDHIYDEKEATSAEVLQTFEELVC >PPA12211 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1155528:1158223:-1 gene:PPA12211 transcript:PPA12211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lin-7 MDDRTFTVSSDEESSFEDIDGLSMNGLQASDEPGKEKVADLAGAKELANVAEGAALEEDMVVKLREKVDSLLTMVLKGEVEMKQVEDEHWATLKSKDDEIDQLKQFNRLLMKENEDLKAKPRTRTVDEGTVSKADGVTDDHRAKFKEQLNNASGIMFAFTLLHMLCSYYAFYEGTWFNIPAISDVVWLFSVEPKFYFILMVIKSILIVRSAWSMSDDMSTRYKEIKDRLTSACCPAKFAGAEALRDGTPRIVEIEKTGYSLGFTISKNSDSPVYVAHILHDGAAEKGGLKAGDEILKVNGTAVDGKTKEEVASMLKFIEGTVKLEVRNNNKELVANEI >PPA12061 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:229477:230509:1 gene:PPA12061 transcript:PPA12061 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGTLKISVISPPEKAEVDEAMMIKQLEKELENVQTVIALQDQKISSLTIHMLESRIREMEKEIDEGKRAENNGEKGEKKHDDTESAIIEEIKMIKELFSDEDCAKDVECIHNKVW >PPA12004 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:17754:18702:1 gene:PPA12004 transcript:PPA12004 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHTRTQSAVQQLIVDRNESREEEEDVEKGGNSEEVPETSGVFPTGPSPAKEEDSPRAAIHTNTRRFLEI >PPA12045 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:183690:185565:-1 gene:PPA12045 transcript:PPA12045 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIMEELENKSHFKITLERAKTEEARAQVQFAISKENRDPPVPVDCTAYIEKAINAMKGAEPKSILRMPRVSVAEAVSVGFHDRITTENVIPSDVADEALIKTPARVPNAPGQPAAAPSSNPAPPSFEAADRTTEMSVTKPTSVHDEQAVAKKAHSKEVVKISNRKGKGSSKKTGGEAGSSSSGGKSKESVGGSGGSSPSSPSSPSGTGTSSMMATSSTMQSTTSPIGKSFREWYGDGGLLCSVKGLGRRKRLSTEIFFGRNRKKSAGSASSGSSGEAAKNQKKKKGKKDTNTSTNDTEGNQ >PPA12023 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:86986:87712:-1 gene:PPA12023 transcript:PPA12023 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYLKEMGLRASTTTRAPTSALIATRPHRTSPSGGNGATTTQPPGSTPAPTGGPQPTGPGTAPTGAPGTTGEPDPSTGAPGERTTEAGGVETTAAGVEDEMDARPWYHRLKPMQKRPIIGEEVEVTTEEGAETTTEEDDNDPRPWYHRLGPIQRTIEASATPMWLQFLDLA >PPA12092 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:380866:389848:1 gene:PPA12092 transcript:PPA12092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpb-2 description:DNA-directed RNA polymerase [Source:UniProtKB/TrEMBL;Acc:H3EQN7] MLPHVGISDFCETKKAYFMGYMVHRLLLAALGRRELDDRDHIGNKRLDLAGPLLAFLFRALFKNLLKEMRLTAQKYINKNGDFSLDVCVKTSTITRGLAYSLATGNWGDQKKAHQSRAGVSQVLNRLTYTATLSHLRRANSPIGREGKLAKPRQLHNTQWGMVCPAETPEGQAVGLVKNLALMAYISVGSLPEPILEFLEEWSMENLEVDTKKCGYGNTTRYGNDFSISAEVAPSNIADATKIFVNGSWVGIHRDPESLMSTLRKLRRQMDIIVSEVSMVRDIRDREIRIYTDAGRVCRPLLIVDKDNQKLCLKKSHIDKLKERESGSSYSWSDLVAGGVVELIDAMEEETSMIAMMPEDLEQGGYCDTYTHCEIHPAMILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGVYTTNFHVRMDTLAHVLYYPQKPLVTTRSMEYLRFNELPAGINAVVAILSYSGYNQEDSVSSQFIMVYVIMNQSGIDRGLFRSVFYRSYRDQASAEANLDNVNEELIEKPSRDKCSGMRHSLYDKLDEDGIISPGMRVSGDDVIIGKTVAMPDTEDDLDAQAKKYTKRDASTFLRSSETGIVDQVMLTLNTDGNKFVKIRVRSVRFPQIGDKFASRHGQKGTMGIMYRQEDMPFTCEGITPDIIINPHAVPSRMTIGHLIECLQGKLSANKGEIGDATPFNDTVNVQKISNLLWE >PPA12215 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1173551:1175801:-1 gene:PPA12215 transcript:PPA12215 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRQFNQGNPEQHLFVYGNIVHDDHSFAGFNNASPLEYPFSNASTADEAACQPYDYQQGYVRGADGRNPDHVAAMSPTELMKDYMLEHYAPQDGQYQHQSVYPTDYNSVTAWDGRYGPAPAHNSGVGPVKKNLKRRRKPDDEFNTERNKKARMDNQPLVERWHIHRRERLVMVLKFAMANGYQLDDYEMKVIGMKPE >PPA12098 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:410694:412637:-1 gene:PPA12098 transcript:PPA12098 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAIQKSFVVFRSRHTFTEGFLAEDPRGLDIWGCTDGWEYPNNDPPTLQCVKPYCNVNMTLSSGITESKTLAVGDKQTCPSPAESLQWSDGTGIECNQCKENGLKIENTGGQVNTYSCPPALKCVACKLHISTTCPIKNKKSDGSLEDKVLTAGSEKYCPAGTVMKFAVGGTIGDIKCKGTGLEITSEDGSVSSFKKPSVPTLTCVATCPIRNKKTSGASEDLVLEPGKEQYCPSGQIVVYADGTAIKDLKCSGAGLEIEKEDGTKVQYSRANQPTLQCGPG >PPA12138 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:717495:717917:1 gene:PPA12138 transcript:PPA12138 gene_biotype:protein_coding transcript_biotype:protein_coding MISYRHPVSSQAIDKVELNEITKANGLKMFFENLISSSKRVKGKCTRTNGGDEECSASF >PPA12109 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:516192:516787:-1 gene:PPA12109 transcript:PPA12109 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKNRDPMNLASLTVTTSATPSCPTRDLWTRCSWMRNLARRLPSVRPEEAAEEACEEACVTVEVVVDLAAVDVEDS >PPA12142 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:770478:771727:-1 gene:PPA12142 transcript:PPA12142 gene_biotype:protein_coding transcript_biotype:protein_coding MESGADPLRELTFYDVPAPVIHSALSKLGLNVCGEGHLHSDHRKIEVYLWTEDYFIIVDDSCIVVQAPILTKGEPGLVSIERWEMDGSKGTLIFSKEEIREYLHKVPVIYADGRDDDGGEADEEEVEVEVGAGNRRHSI >PPA12026 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:92369:93572:1 gene:PPA12026 transcript:PPA12026 gene_biotype:protein_coding transcript_biotype:protein_coding MVKENVSLQLEVEKCNVAAILKFVMRIGKHRPASYEFGAVKDLLEWKNKQKKDEEESEMGMILIICGCAVVALVIIAVTAGTIIQHRHNAKNKRKREAIQRATAAKAAKESSSSTNLHKIEEAPQPAIIPGETVPLTGGTIVSDDEPTSEKTTSTQFG >PPA12015 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:67812:72881:1 gene:PPA12015 transcript:PPA12015 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEETSSNLSLSSYDEKSESGSRKKEDALEEMKELPHNHHGFHWIVCAVLIVGDMAGGGLVALPSSFVNSGLWAGIAMTVISAVLSGYTGVQLGDNWLIMQERWSEYKESCRKPYPEMGYRALGRGGRIFVAVIITIQQFGLSVVFMLLASNNISSFLFAFWKLNVNFCFIALVVAAFISPFLMLGSAKDFWQVGFVAMCSTCVAVCLMIVGIIHDWGGCAAEVDFPPVVFNKFFLAYGTIMFAYGGHSVFPSFQHDMKKPEDFAKSVISGYILILIMYLPVSVFGYLVYGGSQGDTIITSLQLTWVQQTVNVLITVHVVFTQVIICSPLSLQIEELFRVPNKFGLRRVIVRLIIVLCVLFTALSIPKFGVILDLIGGTTITLLTMILPAIFNMFLVASTKKRKLMTVGLTEVGEDSTYASIMDVFRYNSWPILIINICVLGFGLIGGVASTLSAIVELATTEWELPCYVELFMGTLSFTGDGGAVSCCGKYMNITTLDGVDPNGFCAAVS >PPA12054 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:204009:206937:-1 gene:PPA12054 transcript:PPA12054 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMKHMKTISVIIETRRSVPEYFHKRGIAIYAGMLFGHLNHSYQPELIKICASSTVKNLVIDQVLALQNSLTDTFLHELMRTKESVELNLKCVKLTPQGIFDLFQGRSAHMNFIINGREMKRKFTLEWFVEKAKRILSTWQIDTVNFNVF >PPA12107 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:497612:502610:1 gene:PPA12107 transcript:PPA12107 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLVSMGPMLVMDPGQPGPQGAWNGGQHLPPGFHHPHPLAGPHPSTVFNQHGLLLQDAVFAEAASANRAARALTARTPRSSEDPVSKSKAASSEDVCACWRTDYKANERNVCAKLDGLRVRSRGVARDAPTFKARVGCAGCEDCRMPDCQICLVCLDKRFFENRYMTGAMCAKKRCNNATSIELPAAAAAADFQQRQAQKRAYEATMEFHMKRRMEMQHLQHLQQMQQGQPPPQQQITPPATTLAQHLQQQTLQLQLQQVQQQPVPPSLTPTSAATPPALVEDLISSSGPSDEGAGISPQQQQQLLQLQPQQQQQGLMIDPRILAQNNNILSGGAAAGFDVMQHVQQPQPLQPLQPLQPLQQVQQQQHQQLPLLDPQSFTLYPSDLDYFAKTYWDDVNGMAMQSMAVLNNGDPFVPPSYAPECKNAVVLQPL >PPA12149 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:785411:786929:-1 gene:PPA12149 transcript:PPA12149 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFEPAMQLSVDAPIDTGDMVLPDELRTELNAGLDKLSIESGDAMGCVKLTVKEDFVREKNTCPFLSLPKEILRLIFKNHLSAADRLRARLTTGLWEVEAEESYAMRKLNIVDDVDYYDNKPYCVKMQQHDIKVTTMTLTKSADVYVDGLEKLTANSTFDSILFKIDNPAYGDLFGTVAAIPTHCLTIEIGDEATRAQLLTEERVEQLARGKTKLSCRYAHSLL >PPA12085 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:315895:320285:1 gene:PPA12085 transcript:PPA12085 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLPSSFDVIVVGTGLAEAMLAAAAARSGCTVLHLDECAYYGGEWASFNLRSIDEWTERERKKERGEDEAPPAPDASLVREGESLTRIRRRPTIRVTRDEWTTASSAPSTRAADAADAAGAADGEDVPAPPPVPTWREDAEEHWRRFSIDISPKVLLSRGDLVRTLCDSEVSAYAEFKLVDRLLGVAPSRTEEGGTPIDTTVDVHRIPCSRGDIFDSTALSMLDKNRLMKLLKLCMQWHAAPDQCVKIRGTIGVR >PPA12219 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1201496:1203255:-1 gene:PPA12219 transcript:PPA12219 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRQFNQGNPEQHLFVDGNIVHDDHSFAGFNNASTLEYPFSNASTPDPDHVAAMSPTELMKDYMLEHYAPQDGQYQHQSVYPTDYNSVTAWDGRYGPAPAHNSGVVGGYDASYGLLATQAYAHGYSGGGGGAIAGPNATTPFVSAKRLGMNVMTIPQSGPTPLPNHGHTLQGGLPPRNSSSSTGTPGEMAKIPMESSASVGNAVGQTVVVGPVKKNLKRRRKPDDEFNTERNKKARIDNQPLVERWHIHRRERLVMVLKFALSIGRLRDE >PPA12204 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1127790:1129234:1 gene:PPA12204 transcript:PPA12204 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTLLLLLVSLGLAAAAAPTPPPATTRPHYQEEARAFFGKVANLLAKHQFVDRMVVATVRSRCGQGGGSCAGHRGRPTVVRPFARRIAQYIVAADQGTKSSCGSQLLGQKVRYEDVDQYLLDMQVMAQGEVPTTEFYMETDSPASRIFDRQSQMKPLQSQLVLLLHVHKLTTTAVYPTASMMKEIQVHMRTCDNERLCTC >PPA12013 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:59205:62791:1 gene:PPA12013 transcript:PPA12013 gene_biotype:protein_coding transcript_biotype:protein_coding MIATSRNHGVQLKRAKRKVREARKEPLWMDTSRTNMAFIGSSLLFSSWVKWQEEDSSRCRRPWSMLERWSDYRHACRKPYPEMAYRALGGWGRVAMSILISVQQFCLSVIFLLLASNNITSLLFDLFSWKLNFCYIALIVTVVLLPLLMLGSPKDFWQAALIAVVSTSTAIVLIFIGAAHDQEECMKEPDYPAVVFEKFFLAYGTIMFAYGGHACFPTFQHDMKKPQDFSKAVILGYVVIIIMYLPISIYGYLVYGGSLSSGSIIPSLQLNWVQTAVNILITLHVVFTEIIVISPLALNLEELFRIPNKFGIRRVILRTIIMFCVLFMALSIPKFGPIVDLVGGSSVTLMTMVLPGIFSLSLVAGKRKRDLKLLNGEVTRSEEDNELPSFFEVLKLNKPWMLLLNLVIIAFGVFGGVASTVSAVNELITTSWDVPCYVQIFMTGGLSFSGDGGLVNCCGLYKNVTTVDVPVGEAFCAACPLYFFILSERA >PPA12174 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:961961:963652:1 gene:PPA12174 transcript:PPA12174 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTEPGDFNVYNQEALEQLQQSLYSEIDPVGDTVAPIDDNSIDQWIQATSCDNVDAVETLLQDNPAIIGAKSSVYGSTGLHWAAQCDAPAVCLHLLAVRPSLIEERDHEGCQPLHLAVRRRQLAIVRLLLDAGASPTIRNRMGQSSIDLATTPEIKQLLLERAGLSVDEDTSEKEEAVEKNEEEEEGVEEEQNEEKSEDEESYQSETPEMEPFELEKSKVEEGAPQEPAQDGEGTRKKENVANTSVDIATRVTYFLRTLLVIGMMGAMIMDYLTPLCTREHYERKLGHCKPPKNISTVDPAVFRDCK >PPA12077 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:276835:277627:-1 gene:PPA12077 transcript:PPA12077 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLIFALLLGYLHSPVSPAVKPYPESNAPDPKTVDMHRCFTTRSICYVGEDCVNEPTNRDKAYKLGCLEEKNDKRSGRMRCGRHPHV >PPA12133 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:680469:693501:1 gene:PPA12133 transcript:PPA12133 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPFSKKEQLGISVLTKDMLGEKNMQNGDQPTCSKYYREPEQEDNPVSPPPPEQQQHEDGREEEEQEEIEPSGWQLTFPSPLIREMGNVLQLPEIKNLRLVCRNWTAALDAAFLKKARREAVNATSYQWEPIHYSHALYAPRIYPRMFHCGAHHPMTNKIYIFGGNGLQRRADAYDFDANYNDVWTFDLRRKCWERLLVPDTPYPMPKSRASMVAWRQYLVLFGGFRRPNRRGPMGVGAAEFHVDESDDVNSGGMVMPDRGSLPYEIHYLDVMTNLWETSDTLLAIHYYTLTATPVPRDGGINFLGLHDHAAAVVGKWMVVVGGLRSTPWDEGLHVNADIYLFDLEERMWHKAPRLWSQSCEEMHNKPKPAELARGMLALVRPGRLLYHPCFPIGVPARGAVGAAAAAAAGQNAANAAAAFVAAYQNMLPIQRLLHQSRDSLNAYFLDYDPANVLGVPWRWTPVPVASPHILRAISPLAPPVAVACDERVHLINLVAETVRVRRDSDLDSFHGLQSFAVQIKREIRARTEKSETHAEFYDSLADAPFEFELDCADFYKHMRPRVTGKDALRVVVSVLQGRSGHIGDYKVHIRYLDSRCEHLFTRGVTNFIEDTTVGNLPTKGGGKSPHAASTEKTLQLVRQSSRRIIKIVKFVVEAKGEFPDEDQRSKTTHPSPRPFQADLTALDTQALPQLVWNETVDRPRAEETPIPSVGLLLVQADERIWGLRTNLMKCQSRSVAGELRLHEFEMS >PPA12082 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:293279:294940:-1 gene:PPA12082 transcript:PPA12082 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAAHSSDEEETELVFFTVFSLPSLALLIVLHYSAALIHCLIVLLQRANERKEVRKSPLLPFLSSLSIIIYTIGITLIVFYHSAGFKGNTVIHVDGKATSFNDALMGLHSGKRLLMTLATNTLKENEIFLLIGNRSRIVDIYEPDMVALMQTLYSLVTLTGLF >PPA12201 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1093182:1097127:-1 gene:PPA12201 transcript:PPA12201 gene_biotype:protein_coding transcript_biotype:protein_coding MPLASSLPSSAFPLPHPPVPPHASASSPTSSCTTTTDADPIYQNIEECRFLYDSPPSADRTIDKPSPTRPTLGASSSQPMDTPPGYRRINSASKASPIFSLPPPPPLDDHEQKPSTSRGSEQGRVRHHLVASPARRSDFRPQSQPPEQLRRLGSQKGASLLSAKSSSSCTASCLCCLVLCTMLVFGLAYIIYFFFADIESWTKQNVINKL >PPA12199 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1082482:1084595:1 gene:PPA12199 transcript:PPA12199 gene_biotype:protein_coding transcript_biotype:protein_coding MHSYNLANLSTSTNVLPPLDPGSPHGSANQYNRRKRNCVLRAASANLLCVKP >PPA12080 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:285218:288757:1 gene:PPA12080 transcript:PPA12080 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAQCDKSAICVVAPIYRIMLQLGSCDTWNVNFNSVDECNKWYAKNGLILQVFVDSLQYTKMTQGATFPILSVLIEIGGNAGLWLGMSIISCIELIGLVWLIIYQCFTGGCRKKEKTMEGPKDEPPRKDSFLLSPPPSLIPTIVRLAMRWSTLLVILLFAVVARSKEGTDDKSATDKSNGSNPATGQDGAGGPSIGQTGVDDPKPTGTTEEIDIPAETPDPPTTKKTDDTTTKKVDGDATTTKAVPDGDTTVKPPGPEAPTTKETPPPTVPIGPPVPDGKTTEPTTLKTTEAMTTTAEPPTTAELTTTTTTKTTTTTSTTTTTTTTTTTPKPTTTTATTTEKPTPPPIPITTTAATTTTSTAASDALGGGSNGVIIYSVVGVIVFLIILCVIVFLVVRKMKKKKDGEKPKKSMTKSRTPSTRNNRTETGSQQVLRPLILQSNASEQPPKGGAPPGGGGQGTTPSADPTAPAQVPQMKDLNETPGGLPDLATTPFIPGEEPFKIPAKNFKWRGPLIRRTRWHGEPKHLLEGKKGPEKLEKIWFDPNANFATEIGASEIMEDGGPPSDSNRSEWLNNLDEWEKGRKAMLAAEAAAKNGGNGEGGEGSKKESKKESKKESKKESKKESSKESNKDPKPPG >PPA12047 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:187680:188298:-1 gene:PPA12047 transcript:PPA12047 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKESHIFPCGLLGQENEWTGQENEWTGQENEWTGQENEWTGQENEWTGQENEWTGQENEWTGQENEWTGQENEWTGQENEWTGQENEWTGQENEWTGQENEWTEK >PPA12213 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1163954:1169534:-1 gene:PPA12213 transcript:PPA12213 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNSHQGSYAEHSRFAEGQVVFDFEGNAFYQPHPDCSPHLIQAGEKSPHQDVQSPGDFSECFDLGKLEDYGILALNEVTLNDLADMDRLDSLLKEVEEDEDTIPYHQEAGPITVANNATACSPFPSLRGETSYRQTSAARPPANASSPFTSLHAPPAAIVDVPHCVPMRDAQSPLLLFKQQPVPIQATFSHTTSVDHCTQHNWTPVKDVHNLPKPRSYKIKTVEQRAKEEKKRAANYLAVRKNRLTAAERHPALLQRLYFLEKVMDHFGINICPTEVGLPRGYGSLKFIPTTCEEKKLSLTPPLGPIVARIRLETCGRTVICLAHEAVLPYNDVPGYNCGSEDSNPWNATASPSEIFGVYFMENGEGPLPPMRLVPQDQTIFPREQRTNAPIFPWYDALGQSYNSGPVPAVGGTGYDLNTYPPAHDHEYKGWSGGVPSPTATSPFVSAERLGMHVMSAPSSRPTSLTGEGYLPHQGCASGSTIPDRHNLQQAHQIPIDPLDTEGNGVGQVATESKKKRKNRRRKPDAEYNSEENKKAREKNQILVGNWHARMKTRHIEVLRFAQSHGLELIPYEKNLIKSVENL >PPA12128 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:647980:653469:-1 gene:PPA12128 transcript:PPA12128 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gos-28 MLVCVFVCTSVEPTNSPTPQQFAAAATMAQRWEELRKRARTLENDVDVKLAQLNKMTGNAPVGHLTVTLATGRSVLSSPSSTSSSSSTDRQQLFTGMCDGLERVIGQLQECNDEMCSLVEGSSGAGWAANPAVTHTVRRHRDILRDYGSEYKRARENIQAQLQREFLLAGASPSSPDGSCLNNRVRASDMYYREQDHISSCDRLIDDQIAIAVSTKENLSKQGMNLKGISRRMHDLAQKYPAIGSIMSKIQNKKRKDAIIMAAVVSTCLIFTLFYLMH >PPA12157 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:839528:840308:1 gene:PPA12157 transcript:PPA12157 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLWPQSMQQQPQLPSAAALHQAQQQHLLLPPQPPKMPAPALAAPATMVVPPPQYYYTIFDSGHPVNGYLPSAPIPAQVALVDSTAVHHKTATQLSPQQLPLPSSQQQAAARAAMKRKLNEEPSRLVQPLQQQQPSAGGGVQHTPTIVAPIATHPQQQQQQQQPTPQVSYAH >PPA12104 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:455817:456629:1 gene:PPA12104 transcript:PPA12104 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNGFNFFGNQKLVTVFTAASYYPDRANRGAVLHVDDSGRMGFHVLSPHSGGGEKVFTGEHEDANRFDVGYIMSVADEKKMTGGGVRIAIDVHLNKEKRNDDHNTP >PPA12191 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:1048773:1049388:-1 gene:PPA12191 transcript:PPA12191 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWTEEEGDEDMAKYRLEKLEKKIMNLEKEKHEITTEWGEKWGYHAVEDDVKVMEQLKVDEKKPKKKVSLNVMTAAEAWGSHASGLASKDELKLEERKPKKKLSLSALTDEDDIRLP >PPA12040 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:168685:169807:1 gene:PPA12040 transcript:PPA12040 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMWKTGKILLRVGVVAGAIKISLDNDIWSLRTEKGSDLYEKLKKYIVPGTIVYREKLPSVGDVGAEVGGRWNNGVNAVFSAVENAPSSLNTVANGLINNKN >PPA12019 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:77330:78067:1 gene:PPA12019 transcript:PPA12019 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDYYNRNAHNTCVLSIAQPWNPMSEVCKPDEGGLTDIITKDPDFNKDQKYVKDNFRLACDLPCVEFKPPTYPKCCTPIVQNALPNGDSLCGDKGFKSWKESSNGFATAKTTSLECTPNGWTAGGTLVVPFSVQCG >PPA12171 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:941183:945169:-1 gene:PPA12171 transcript:PPA12171 gene_biotype:protein_coding transcript_biotype:protein_coding MANGLFTPQPDSAASCCNVHPTEKNTEKESKKQNRKEKKAQEPSSNNEDPTSRKQKSHTGGLTGTTGTEGTGGSTGPKDKTGTGLGGEGVSKKEKKEKKEKNQGGEHQTESARLGAKADELLRHARTPMEDGKDDLTNRIMALSPSTTIGSKDPTDNRFITEEEEMENMDRSETVKKNKKDNDPEKLPPEKKKPKEGEKKKVNSIAKTKTASGSKSRSMEKGRSAEGKTATATGSKEGPSSTVRPRRVTITRKFVYKTCIDRTFLKLLDKLPRKTRIVVWAAWGITFFLGTAVFAWVK >PPA12044 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:182793:183448:1 gene:PPA12044 transcript:PPA12044 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLCNSSLNDWLRTNQVPRDLEAMREWFEQIVSAVDFHEKEIMHRDLKPGNILIDYEHKLKICDFGIVAEFSNDQTQPVWRTDQLGTALYMAPEQTFGCFRSGMSADCLTDIPDD >PPA12021 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:81060:82406:-1 gene:PPA12021 transcript:PPA12021 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDFSVFHSAEGPALSAQLPRRAHKSERPALFPPAGTELAGEGGGGSKNSFNTIANSLDFAVFVDLDGDREHLANKVITHTMTSGTTLAIKGNASLGTSLGTKVKTEDKDKKDDLGGGGGIGATMGEFSYSSRLRSLIIVTDGAGGGAWDEDHNPKKKKLMKAIMGDS >PPA12095 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:400992:401822:1 gene:PPA12095 transcript:PPA12095 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLGVDPAAGVLDPKENALIAVSCDAFAYGQEDTNNDRVTIEWTNTPDGAAKQFRREWFQGDGMFAMAFRFCD >PPA12074 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:257415:258245:-1 gene:PPA12074 transcript:PPA12074 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLLLSLIPLLVSSVPFIKLDDDNTLTTPAGITKEEFCEQCPEFIDFFLSNIGEEEAVFQELCGKFIKGDNNPMLNVCVAGFLGEMFYVRDRLAGRTDEEENQHKAL >PPA12179 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:979871:984588:-1 gene:PPA12179 transcript:PPA12179 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQSGKNEHGFSSDEESGNDSSFEKIDLDSDNEIIDQDVKEARDALSHREKKDREGVEEMLLTMKNNEVKARFSKPSPSMVKGQIAFDIMEPAGQELEKEEKKEVEVNEPDTITKEDDQDQWHEVKGQEEETEREMVKVDEWKAVSESVPSIEEVDAGAMDMDISEDEERHEEREDVEQMLDVTQEVEDEEEEDELERTAIEMEEDEKKEEEKEKKAEKKLEPTVTRGEILSTIFFILLMAGVSYSCVVCLRPTKSIHETRDAFEIFRGPVNADGSSQEFIALPLDMVEKLRSDSEERDALKKEVDRLDSFAKENEQLRKSLQKEAYEGNRERLLDLHWTSEQMRLLDIIAQRDNLKQHSLEETEGCFNTIAAKDAEIDGLKTIKSAENANHRSKIYAANAVKKAKVKQMEQKMEKANNVDIAGVAEKKKTDDKVKQSTTVIEHTEPKSAIERIQEWADALSVMETKLKAKMRETVKMTWGQLVCICTVFAFFSVCVFNALVKIVDMPMNRTPFGSIGLYKAANEKVFGFALKGRVVSKIIDSSAAERKGLRVGDEIMAVNWRRTKFICM >PPA12036 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:146067:150135:-1 gene:PPA12036 transcript:PPA12036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vha-16 MGEFFFNIDHGYLEALVRGFKGGILTQADYANLVQCETLEDLKLHIQSTDYGNFLANEPGAITVGVIDERLKEKLVTEFTHIRNNALEPLATFLDYITYSYMIDNIILLITGTLHQRPISELISKCHPLGSFEQMEAIHIASTPAELYNAVLVDTPLANYFVDCINEHDLDEMNVELIRNTLYKAYLEDFYGFCKNLGGTTAEVMCEILAFEADRRSIIITINSFDTELSKDDREKLYPRCGKLYPEGLAGLARADDYDQVKQVCEFYSDYKALFDGSGNAAGEKTLEDKTLTLKKVKLNVQAYLHQFHFGVFYAFIKLKEQESESGATQY >PPA12097 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:407692:409772:-1 gene:PPA12097 transcript:PPA12097 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFSSLFPLSLRILIMTSRQECLLPTGGRVERVDGTCAKTCGAYSTAARNRTCTNKCGENCPCIGPTDDVGPCGIALCAFPAKDCNLPYKKSFNVYMRKSKICHTRRALMASRFFCGLDNVDAVVCPLATTTTASTAATTTPVPKDVPPTNNQLWELKFYYNYGRILHAFCSDQACIDVIQSNGIGYGLNDAYTGVIGRAIREDAIAAVRAACPTGSAHLRNLGFTKVGLHYEHNLSGSGLKQGWVSIVNGACGATRGIKRWRCHYSEDMQYDIESNMKGNTFYDGLIMDNGAVQFYMYN >PPA12196 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1062798:1065701:-1 gene:PPA12196 transcript:PPA12196 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAAVQKALEKALADEEDDSFEKIDYDNQLELTEEDQKEKIAKLEAELANARRAIEYYSKECLAPPGLICVMLSIVFMVTVVWHMIMYGTCASATAFSTDSVCPAAPVPAPTLECPKIPECPPVPECQQNKCVTRLCEGEKRILLKGGYSFNMTGKYVQHVDVRAKQQSLNVGDSIRFIDGVATDDWARANISRAFNDDDDGSDLEFSLHSEKLSCEINEINDQAPVESGSVEPVDSVAGEQFTDDSDVEDEDEGDEQELESESENVEAEEKEESASEDQAIETEDNEMELEDNETEETASEVIDQQTVAIEANKVELEMLQSRVASAHVDWMVLNAQVDMLKKARDELIKKNSELEEKLRSPVEQRTSLEQTLDESFVPENGG >PPA12039 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:162922:167603:-1 gene:PPA12039 transcript:PPA12039 gene_biotype:protein_coding transcript_biotype:protein_coding MHEQYDFGCSDIHSRRGTHLLSFDASDSAIADEGFKYLVWRRTPDRSTVALLRQEFARRRKRASRNILRKEEDKNVKCPELPLTPGRSPVAYLQQERARRLGQVRGIGMREDDENVKAHLDEMMSNPNSLMADQGIHKLSPPPQLEHQATAWVRVPRAPNPNTRPLPGFGFPAPPIRTPGHCLGSGSPRPQSEHQATAWVRIPRAPNPNTRPLPGFGFPAPPTQTPGHCLGSGSPRPQPEHQATAWVRVPRAPNPNTRPLPGFGFPAPPTQTPGHCLGSGSPRPQPEHQATAWNQLEFGSWMTQAGRGSIAAGDDFVDRTTLSEDCRSAEAIQRECFPERRKRPNARPIENLNKSKEKENQSAKEKGKEKKGDVSNGSSLPDDRLSPFYGKNDQNDLNERLELAGKKENDENEEMHVDKMATTLNKEGTSHADQGIQKLNCAHLRMVWTRRPTGTVTFGVNFFCF >PPA12108 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:506273:509995:-1 gene:PPA12108 transcript:PPA12108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3EQQ3] MKKLSEDAYAKSGDQFEFHYNDLVQILIKIKSALSKEPPLVRIAPPVVIVGDIHGQFNDLNRMLDTFGDREMDVPGVLRKNFVFLGDYVDRGKQSLEVIVYVFIMKILFPTQVFLLRGNHECKPINRVYGFMQEMQERFDKNEGNNLFHMFNEAFTHMPLACLVSGVILCMHGGISPRLTSLDEINKIPKPLVDPNSNELACDLLWSDPMIGLKGFKPNAIRGVSVHFGEDVLHSTMAELGVKLIVRGHQMMMNGFNFFGNQRLVTVFTAASYYPDRANRGAVLHVDESGCMGFHLLSPHSGGGEKVFRGDVEDSNKYDTGYTLSVADEKVVIVIVMVVVGIVLTRVLLLESTPEFQ >PPA12110 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:519212:521980:-1 gene:PPA12110 transcript:PPA12110 gene_biotype:protein_coding transcript_biotype:protein_coding MHTNPTVISYRSANITNYQPDSGKSAQQDVQGENLNDFVASLSAGGKLKQHAEHDGVRRAERQINKFTKYTDKNRFNNAVLFSQGSIDVQPEEKGDTTYIHASKIACPGGALIMAQAPMKTTLIDFYRLIWQQKVSTIVTLVNLENKEDCTPYFERKAGKKTTQRGRFRVRTVAVRAEGKNIVNYELKIENYLEKSQNKSRPLNVISVLGWEPDQPFDVKVIVAAIHNAEALNKIGPQLENGRSAPMLIHGCSGIRRTGVFALAFIFAKQIIIRREINLIGVIEQVRNVRYGVLRKKAMFFFLLEIIIALVAETGVVQPGSDDHLQTIASVKKMYAMELAEGEEGEKRKGRKKKSKGGKSKEPKTDRTEGKTGVEDEEDEEDDQNDCDKSVTGIQSEAVKRTPPKGKKRK >PPA12017 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:74619:74939:-1 gene:PPA12017 transcript:PPA12017 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSLISLIFLVNSSSAQSQCAGGIPAKEVKSILDVHNSLRQTIAAGKFVAKDKLMPPAKTSIPDLYFHICYKCESK >PPA12043 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:179847:181579:1 gene:PPA12043 transcript:PPA12043 gene_biotype:protein_coding transcript_biotype:protein_coding MCKGAEPRQIRRQKDQNLSISHDLLPIRQSERVYELKRARQLSSHYYVTGRSFMLDYSSDQLASAYDLTDDTVESPQGPKIWLKKSEEWQDLAVLHGFSFPFQFLIPRSGGRSSSRPRLPQDIIHTDPSPPLSSHPAMIRAALLVALTTSPILACIGGSSGGGCCAPSAPSCGPSVPPCSSSQPSYLPPPPPPPPSSGGYAVAPPAFAQAGPPAFGGIGGGPIGGGGAYAAPQIGGGSYAGSAGVGAGSYAGAAGVNSAPLALSAPGGYQQAPAPVFGGVQQQQYQQAPIAAPVFAQQQVAQQGSYQQGPAPAGYAAPVAAAQVAPLVPEAIHTETHEVVQTVNTEVQQIPEQPQQASVAQYEPAQEIEEAAPVESHAEEAAAIGDQVAAAAEAAQPSAPQYPATTF >PPA12028 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:101050:101307:-1 gene:PPA12028 transcript:PPA12028 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEDDDNNEILDGLVKAATVQNETRERRKARQFNRKSLRRTRTLKLNDDDV >PPA12151 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:794180:796663:1 gene:PPA12151 transcript:PPA12151 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTLVEADSVVRRNALRLLTQHGVFKLGEFFLKSGQRTPIYIDMREAFGHPALMSVLCKAMQHMIERVQKEKPYRGIVGVPYAALPYATILSQYTLQPLIIVRKEAKSYGTKKLIEGACEPGQRVIIVEDVVVSGESLLEVITTLRKNDVVVEDVFCLFDRDQGGKKNLADEGVTLHSLLNMETALAFLYAVDRLTQEQFDDIVTSLHLPFKELTKIDVNWEMEEQQ >PPA12182 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:992234:994425:-1 gene:PPA12182 transcript:PPA12182 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSDLPLLNSDDDSSFENIDNLAEKDFEEKSNPIVEAALKRMFPEEYAMFEATRAAGLMMRSLDEVTAAALANMLKEEKAKAGPSTEKAEDADGQTSGEPVKHKEKTQKDQKLLEKIALREFAEYNERYFKLLTIFAVIVMLLNGYTFSQSESETNKLLAAIRIPTSKPLDFTFPVGRRMSIVGVPAANASRFHVDFIAASGDIAFHLSARFEEKVAVLNHAVNGQWNFDLEERYSEFPFQLGKMLDLDFYNDDDRLQIRVNNKYFVHFAKRTPSFTDAVQLSIGGDISVYAIKDKPAPPEPIND >PPA12071 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:248323:249345:-1 gene:PPA12071 transcript:PPA12071 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVILLSTVALTSAQSCSCESSGTEFTDLHYNCPLDNFCSDALVAGTCGKTCHSSGVFHSSPYDDADVSNVIGSHTCAGSLFDFNNGGSVLCAYSTPSSVLSTSNACNVPAFECIGCDSSDIRYFDYSADYYQILCESGLIQYTYSDNTTAEYDYRIYLDKNTCAVTGGEDSSKTITSVSCKVSPYEIDACIENTGSSSDVTGHCHFGTCWLYCQDNTKQLSFIQDLEGLGSRIDAEFVCESGFIQYGQYSAFHLECN >PPA12160 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:860620:862250:-1 gene:PPA12160 transcript:PPA12160 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVKYFHFQPEPQQQQQPAAAAAAPKKSTEYYEALSDSDDDDDEEKTAAAGGDDGQATAQASAAGEAAAASSASTSNPLASANSTGQQGGMLLSIFDLDDTAGASTSQMFAPPPPAPAPPPPAAAAAAPPTASAPAKPSLFGGIYLDCTI >PPA12153 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:800241:801147:-1 gene:PPA12153 transcript:PPA12153 gene_biotype:protein_coding transcript_biotype:protein_coding MIAQQYETMTADAPLTSNASPQAKMLQVLLEDCFTTFAEDVDPTSYEAITRTLLQWTETLENDVQNTVSKMTAIPFKKPRR >PPA12186 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1031241:1032928:-1 gene:PPA12186 transcript:PPA12186 gene_biotype:protein_coding transcript_biotype:protein_coding MTIITFRRGKTESKEVKVLVEDDDDVEERPEVNTDTPMPKRETMVATFIVFILIFAILALVYHLFYAAPISAHPLNFVHGNETYEGYGLTLNWDAEASENEQLSPIDGTSMAVVRTSEGFANLKKGQFIVVSEHEVPYLEGLWNRLHDIHIGWPALGASLITIWTAWHFRPIKVRDVSLTIEEALRYKVGIRGDYIEIDPEGEAATTLTRSTKVIRCNGLRISTYPSEIVFLILNSGQEGVTFTVKA >PPA12194 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:1057932:1059323:-1 gene:PPA12194 transcript:PPA12194 gene_biotype:protein_coding transcript_biotype:protein_coding MMYMQMNNPGKPRQLKDTPEQRDNLLSALRHCHIGLHSETPHFYYGGDVSEGELWRIHPDDDYEFIAKVREEIAYCGDRKALRTEIERVQGQYKEPEHMSRIDYLEGILDKLRAENDKVFMQCDATEAVNRLGDTPEKGSRSEYLEIEIAKARIEKERLREECRRKETKVKMCNI >PPA12135 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:706076:706502:-1 gene:PPA12135 transcript:PPA12135 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEFICAMTKQGVREHDFIAAILPLQEAEEMLSNGQCYTDSSFTLDQRSLASKLHAMYPGVVDTDRIDAIFAASGLVNDFE >PPA12167 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:926729:927390:1 gene:PPA12167 transcript:PPA12167 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNRSAVDVPRVVDALYTLCANETLFAPFFDSQLLVMNLVNNSCKLSGLVPMWCLVLRIEAGLVRGERMPYDQHYGIEDPAYFIFDRNTTRKTMELVNIVILRLFQQDHIDNVWTARHMQSLRMLDFSAPVKQLEYRSFKFVQTPAFVVQAKHSVYLVSAYFSSYFLDQFLFKLARSLQR >PPA12079 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:281247:283945:1 gene:PPA12079 transcript:PPA12079 gene_biotype:protein_coding transcript_biotype:protein_coding MERLDKPWGRCTTTQTNDTNFYSDYEYTIGACLDSCRQRWTVEKCGCAHPAWRKADNETYCGGTIDQYSVGTGTNSQFDKLYQEQGGGRDNNEVGCLSSLSAAIRDDVLYATDAFNLLKICNDDPPCKVKITPANSSQPWTSNWPCYYKSCNRPSTSARKGTFECKDVLPNINFVPNSVNVPGWSGWEKGDEPSNVVQCLTTQDPPTVDNSCKSGVYMSVSMVRWKLWIWKVGLTNALGMNGKKKRSVDNGLSAWMNATTTTAPKNSTVIDNPGLDFSEHS >PPA12125 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:636070:639653:1 gene:PPA12125 transcript:PPA12125 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFRGTMDHRADYAPKGRGSANTKGCWISSYRACDPTVRDRTIYGNIVVVFVDEVQPFLAGCVALDNQAKFAEKSGAVALVVGAATRLSRNVRAKSTPASIPVVILDEKQTRKLKAALATAAASGIAPRAEIQYVGVDEGAVGDRTATLRLQVFRPTLLNIALLGMMAFLVVLISAVLIMKFRWRPTAHHDLWMRALALAALKNMETRKFTKQPIFKMPSSSSKKFLSSSAQKAAGSSSKQSICSSRSRLGSMLPRFASMQSVAQTAMSGGQERCSICLDDFVDGVQLRVLFCGHEFHSACVDPWLLSKRRCPLCQFDVVAKRWPEEEQAKKNGNERPSAAASAAAVAAAASPDEDTVDARRPLLAIPLDPEVCTPVHVVHSSLRQITVPVRYAMATPGGAMRMGVAPSSRRSRSIPRRRPLRDRYGNRTRSGHRELT >PPA12164 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:909752:909963:1 gene:PPA12164 transcript:PPA12164 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVVNVAPQEVILFRLQKKTASDFMTSSRLCEADPDTFNPKMIKERETSTCRILTGISVWCVH >PPA12208 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1143438:1149230:-1 gene:PPA12208 transcript:PPA12208 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHLPIVSVSTISSSSGNAVPPSGGSFSLTTPSPIPIAQRNELIQQQLVLLLHAHKCVDMFDRPCGLPHCGEMRDVLAHIAVCPNGRECEYKHCASSRHIIAHFKTCVREDCPICGPLRNIQAPYWEEVEDEMAKLLEQARQAASMDGTDPREQGNRSETDKRNHDRKLKALLDSYFISVEERNVAQGQQTHDSDALLRFGVSLNSPNASSVTSSAHVHQMIKTATGGGSSMTSITPLRSEQQELVKTESINASSQSGVTSTVLSAQQLGKIIPLGTISQADAQKLAQHAKAQAAPRLLATDPLNASNQAPPQSAQKLEQTRVEFELVYQPPQPAPHPHHESPSLMPQVDRMQQEMQHHQNFMRDHFMAFHQRDQVPPPTAMQQLQHLQQGSPSIMQQLDVMMAELAQESPWMANAWPQQQQPTTGQMGNSPIFAQETSAFMLLIIQYYKQIIFETSLFLALETPSMVGILKRQAVYTSRFLQAPLQGTPQERAAIAELKLTLQQKMLQMMQRLHQMTPPQMRGPLEQLMDHQMEVMMRPGQSRYGPTQMPLVQPMDLTSQAVAALHAHMAAIQQRSNPIGVAPMSLVQPMDLTSQAVADLQAHMAAMKLETADTQKRVRARCAKLHYEEKMKDMEKKHEEEVRRHQKEMDAFRKLHDHCLDTYQRAYMGLQDQLNAAQAVVKAKNAEIAALKAEVHKGGEDGRSPDNVREEMLGFAGNKEAAKAIMIKASHEPRGRLCKVRKY >PPA12115 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:547813:548857:1 gene:PPA12115 transcript:PPA12115 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDHSKGQKSPSGGVKSPPSGAHSPSSPPKAVSPIWQQRLHLLTEGADAVSRAHFDQFGDEYGDLLWAYFTEGDGSDTISLDRFAHKATPLFGTSTDVYVKIAGSGEALLRIAASQFPKMRIGSSIVG >PPA12069 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:241836:242746:1 gene:PPA12069 transcript:PPA12069 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKSTTVRYKSLVDEESVDGDFDIINTPPTAVNQISTHSKTQNNEKATIKELELALKDKEHEQLGGGTIANFGHEKLGKTADSTEIRRLRELVARRDEEIDILYRDKTDANGKIEELQSKMQKGDSDMCEHSGIVEISGRLSSANVRSQKQIVLNIVHIQAAPSQ >PPA12118 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:562758:570922:-1 gene:PPA12118 transcript:PPA12118 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRVVSSNEDAQQQQQQQSSDDTNTMEVTSSSPSAAANDQMTRSQDSGYGGASQSARKYLGQNLRITACANQGGRKYMEDRVHVHVHRNPASGDVDWTFVAVYDGHGGPEASEYCRRHLLKNIRAEKGFDSEVDAEFLEAIRNGYLTTHHTMWKDVDSWARTASGYPSTAGTTASCAFIRRGKVYVGHVGDSAILLTTRSSPQRFYRLTEDHKPELPAERKRIEAAGGAIMQKQCVYRVVWTRAIIGYVGPIRRSTPTQTIPFLAVARSLGDFWSYIESTQEFSVSPCPDVSVHTLTADHHSLVLASDGLTNVMSADLEAAIMHDLAEEEHQRNWFKNPYEQPNHARIMMRRTLLNWRRFRADNVSVLAVIFGSDEDRRSASPASEGEEEGVEEADSRPSSPAHDAPVELCASTADVDAQLAEHPMCMIHVTNERVMRYATARVALRYNGQFDNNFHSVNSVGPGFVSHDTEEAAFAEITDGDDTMRSLNDRLHQFYDMLGIGERDVTPENQPPPTATHNLTNRVSILVEKKPSASSPVLSCPAAAIIIDELRRDGDATAAAAAAAAASVAAASADAADGAPERPATPENRPDGMFAVLRTTRSSGKRKSRMIAPEEAPSTSSAAREADDDDESMDASESESATNDAALIKLSLPFAADDDDVNRVEEEERDEREELMRTPSPIGDAAVGGVISARATPLVNTVATEREVVRRVTRSAAASTVESREMVVVERPATRRSSSRRLQLQQQPGGADDATAAAPTTPAAGSAPSSNSAPSPARTPKLVLEVDDHIATRTRSRNGEVTPPASAFTPATSASGSRKRPRAAAGGGASTSSTATPLLARISLAEMNENAGSSAGSSGDGSDKKAEGGPTNKSARTESPWVRVRCEEEDGQAVGGEEQTFYEVVPATGSEPEEAWEDEDRGEPPAKRFRLWGMLKKWWSGGE >PPA12220 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:1205344:1206113:-1 gene:PPA12220 transcript:PPA12220 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLAMLRYIGKVQNKIIQAVLNEKPVSPMFPPPAFTLDDFIVFCSAKLLPLGSPAIAAPAGSDLPSEDIRIGWPSKGRNLPISGQISGVIVLH >PPA12131 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:669452:670126:-1 gene:PPA12131 transcript:PPA12131 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLGPIMKSPRRSFTISLAPGSRLLHSFRNIPHSVRLVPHSRKALFSSPGTWKPETFGRGKTQDPTY >PPA12041 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:171751:173063:1 gene:PPA12041 transcript:PPA12041 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPMILLALIQSVRSQSQIDNEVIGEPQVRCEDTTIVVNVRTKNTFKYLNEIDKPYNNMHKCNFSSKTEGINLPEKFSIVSQRSSLILTIRGNIFVRGYFADPACRVECSSNDYAGATIAVSLVGCGVSRVRQIQPPGMNYLTTVEIAFHPLVITKRDRAFNIRCFYAHTDQEVKNGLTRPPDAVRGAGNCGSTAVRV >PPA12184 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1020627:1021319:-1 gene:PPA12184 transcript:PPA12184 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEIQYRDPNSAAKVMCFLMVLGFLGILTITIAFIAKQTRPIGEIPHYSFNLSKVNGTCGLVIRGNFIAEVIPDGPAAIQGSDINRGDTIVNVNGVPVRNMSHSRIVSLIKEYPEYVFFELRENDEPFEEL >PPA12101 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:439863:440772:1 gene:PPA12101 transcript:PPA12101 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMPREAFHHNVYDFTQMCTHLPRYNQPDQVFYPYHVETGHEIVAIECLPEKESDDSVTAAKMPYAILRNVNGTAMPYNNFKDKYRDKNKVNYQFVEVTCRSKEDICYYCGEPEWNKDTASIPSDLAPMTFTAGQNGSCATLTVGRMGPK >PPA12162 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:880444:896873:1 gene:PPA12162 transcript:PPA12162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-let-765 MDDLLSFALNEAGLDELFLSNSNDGFHDGQPAAAATANGVAAGAAADTAAQQQQQQPQLLLQQPDPPPQLPPEPKIIIVPRSAPPIPPSSAPPFGDLLAHAAAALNDDMDDDPLMMLSTAATNSTPRSAPPIQSTATAPAAAAAAAPPTITTARSPGSKTTPSPDRPPAPARAVGGAESTLRPAAVAAAAAAATPSHAAAEAIAPPPSSTPGSSSAEKDAPPQAPPPQQLRPVQPKQQQQPLLSTPMGRAAAPGRVGTPDTIFGFIGFALKTANPRLLLSASHIRYESSTGTAVRRVLVGAGGQRTPAGTATPSAAAAAAASTATPATTATPATPGTPAANRPVTPAAPGQLVRKKVLLKRTVRGPNGPQMTQTHAIMTVNDQGEKNYYTIPSPGGTSTLITTVGAPGSTATSTVVRPVQQQQQPSAAAPAATAAAAAAASAPATQQQQQQEAAAEPAAPDAGGGAASGSASGSAPGAPATGAGKKKVVTVRRQPPEAVPRRILVIRRPDGTHARMTPAYQSGQPIEGIWPLAAEKIRQDMERAARYEQGVASPSTRGGMVRRPMVGSASTGDLERLGASAGARGGGGAVSRTPNTMARGTVVRRVSAAGTPTTILRQGGTAGYGGVQRGGFVQRTSNLVEQRYGQGHGEGTMVRGVNRLAGRPPAYRGSASMRLLAGSGQLQQHAGSPAPPQATMAPGNAASYTTYQRSQYPRGGLHHHQQQQHMGGHGHQLHHMRGGSTMASHRMSRGGHYGTTPQGHQQQQPAGMRPMGRQQQPLQPQHHLLQTAQPVDMAAYSPMPRGLGGQDSSRQELDIRRRSMPPGPSQLAAGPSNGKASSSSSAASPALPKMAKTAKEEMQKAIQMAAARAQRNDIDEEEENLGHAETYAEYKPAKLRSGQPHPDSVVETASLSSVAPPDVRYQHHIPEYLIDTGGVSALQLEAVIYACQMHENILPSKERLGYLIGDGAGVGKGRTVACIIFENYLLGRRRSLWLSVSNDLRFDAERDLRDIGAGNIQVHCLNKMKYSKISGKENGMVKKRRFGHRPIITCLPIY >PPA12117 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:559928:561434:1 gene:PPA12117 transcript:PPA12117 gene_biotype:protein_coding transcript_biotype:protein_coding MGISWKGGDLDFDKDLSQVLEMEVWACGGSKHLEEHAKLKVWQKNQTERLKKVPLPGNWDDNADKTILEMAGFQFSNERNNDPKPEERRE >PPA12065 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:234890:237640:1 gene:PPA12065 transcript:PPA12065 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDTTIGKEDASYNTFFSETQSGKHVPRAVFVDLEPTVVDEIRTGTYKALFHPEQMITGKEDAANNYARGHYTIGKEIIDVTVDRLRRLTERCSSLQGFLIFHSFGGGTGSGFASLVMERLSVDYGKKAKLEFCVYPAPQISTSMVEPYNSLLTTHTTLEHSDCSFMMDNEAIYEISKNNLGIKSPTYTNLNRMLAQVVSSITASLRFDGALNVDLTEFQTNLVPYPRIHFPLITYAPIISAEKAYHEQLSVSEITNACFEPGSQMVKCDPRNGKYMACCLLYRGDVVPKDINTAISMIKTKRAIQFVDWCPTGFKLSSARVSKYFQRHIHLNIHSTYCDNVP >PPA12187 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1033743:1037128:1 gene:PPA12187 transcript:PPA12187 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVDSSEQLAASFEQIEDSSTASPDISLSEEEEDAPVDVELLRSAKVDIIVDCPSVKYTAGAGKAAVELMSESLRSDDTTKSGAAQSVEELIDGLGQRFQSLIDDKFGKIMDRIAEMEERLEQRMEAVEKTILTVDDTRRESIASFRNESMMKCEVLQLQIRNEMNEMRGNPDLSKKTVEVEKKELIGLRSFPKSQSARACEAAKKGAIDCFDKIKSKCRKSFNSKVAVLADDTVSVATEATADDLQTIMLAKPAIKLVFKQGSKSAQCWIIQEVTQPVPCALSPGDEIVTIDGIDATGIPHARLSKMLGQKTCTLEVRRRHVERAEEAPIPGGSDDQ >PPA12024 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:87850:89085:-1 gene:PPA12024 transcript:PPA12024 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCSLLILIFLLLPSIEGAFNSYLKNLLRAGTAPSPNRKGRPVDQGREVTGEAPSGTTIPGDTQPPSTGAPQVLFKLGRSFLRIDCSSTAEQWRPSVSWANRHTEVDHPCRINDDSLYRGNLERVERGYEVAATRIVARAAQSCVGGPVRPVLRDAHASSGAFRESRPCRISACPYPQPSCCPPFNIIYVNGTFACGPQNDVGFH >PPA12176 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:966077:967081:1 gene:PPA12176 transcript:PPA12176 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIVKNFLYEEKNGVNVFVKFTRIAREWFKSYADECKFTIMMAVLFIMIWLLYTPEFPKNKARIKWVDIVRGEDGYGFALKGAVVMKIVPDGAAELSGLEVGKKIIGVNDQNAETLRPSAIVELIRGSKESVRLWSFSH >PPA12100 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:419543:423842:1 gene:PPA12100 transcript:PPA12100 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDSSALLAKYLGEKEGNSLKFMQRMIYLIFSEVVYQRELLPRDHFTEKHVFGCALHVPDTTRDRRQVALHNHLKGAADALTDKKLKQYHLMVHKCREDPSAADEVFIMDVVYAEDEGDEASMQMSCGELAEVRVRYGGVDELQKQTRDVFRSLRRGLSTLEPITRNVSFSFYITYRNGVDRAYHPAGYGQAARMYDLPQTAEIGDMGVLHAKEHARTYGRILIWLEYGRLWCGIDWLGLVVGDMGMLHAKEHARLASGGHVLCPKSEKAGTLTPGSPKEDDARN >PPA12057 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:214902:217196:-1 gene:PPA12057 transcript:PPA12057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ttx-7 MVFTPVHPDEQLFFDTALALVKQAGRLVRDAFDQPSSAIQTKASNTDLVTETDQAVEKLLIKGLGDAFPDHKFIGEESVAGGAKIEWTDSPTWIIDPIDGTTNFLGQKEFGNAKSAHTCKISTIAAAAADS >PPA12181 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:989220:990848:-1 gene:PPA12181 transcript:PPA12181 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPGDPNEKMNGSFADEGSGDESSFEKIGVDSEDESGRPHTRSTDQLVELESASLEEAADNKKEKSIVNEDNQHNEGDREEQGSFTTISGGTTIPCAYIFVLSMFIINVLLLIAYAILTVMLIPVCYNMYSRPDMSLKSLRARLAGFLSETKERMDPFAKEYLHEVMHEVYFDILLSAILVALAVLANPPQPFSHSIVNYLRSAASLAILIVALASGRDWMYYLCYGKNDCNFKYRPLVREAMRDEDSSTSSFGEDDADQHETRELAEIVSDFHILWWCMTVFKMTSVVDE >PPA12010 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:37548:37811:1 gene:PPA12010 transcript:PPA12010 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAEQMVVVPSLILDASRNFSSPLYNSVSSFVGQFYSEQEKACDQVFHAFDELTC >PPA12049 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:190947:192668:-1 gene:PPA12049 transcript:PPA12049 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMTDLSVAAPEKIQVEKSLVESFVKKSEELKNSLSALRETMRHSMEFRLQKMATDWPLNALVMGNRIVMEQFEEYGKLHEEMEKEVTTQRLINTVKSEHGTNLDFLTDPSDTPFDWRKEEAIELLMTSKFNVERRRERRAKEGSEFEKVQLLKGLMDQEHTTILNTFCKVKDHLLEKKKILMEMHRINDKKMSQSSQENVLYSSRQK >PPA12093 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:391700:398883:1 gene:PPA12093 transcript:PPA12093 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDDLSLKFEGRAKKEGLRGEILRYGYQQRGNEVMYNGHVGIKLTTQVFLGPTYYQRLKHMVDDKIHSRARGPVQMMNRQPMEGRARDGGLRFGEMERDCQIAHGAAQFLRERLFEVSDPYHVYVCNNCGLIVIANLRTNSFECKACRNKTQVSAVRIPYACKLLFQELMSMGIAPRLMAYTGPPVDREELFQWIEDLSNPARRETALLELSKKRDSVADLPIWLWRSFGTVAALLQEVIAIYPAIMPPSLTAIQSNRVCNALALMQCIASHKETRGPFLSAHIPLFLYPFLHTTKASRPFEYLRLTSLGVIGALVKTDEKEVIQFLLSTEIIPLCLRIMEQGTELSKTVATFILQKILLDDTGLAYICQTYERFSHVAMILGKMVLKLSKEPSARLLKHIVRCYSRLSDNPRAQQALRQCLPDQLKDETFKQHLDEDRSTKHWLKHLLSNLGYEQAANGVGESGKPSTPVTNA >PPA12016 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:73416:73938:-1 gene:PPA12016 transcript:PPA12016 gene_biotype:protein_coding transcript_biotype:protein_coding MMWSSVKVTIDGQGKAASDSWANEFQEYGWSDIKFTMDVFNSGVGHATQMAWQKTTQIGCGMALCKGEKSVLVTCQYRDAGNYINQYVYIPK >PPA12140 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:755513:756332:-1 gene:PPA12140 transcript:PPA12140 gene_biotype:protein_coding transcript_biotype:protein_coding MYDDSAVGELCCAVDGAERVAQRRRGAPSADAVTDAARPAPSTVAAFAAAFPTVPAEDVEHGAGTHGVVKYAPVIQ >PPA12192 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:1052774:1053148:-1 gene:PPA12192 transcript:PPA12192 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGNSLKSRKDGKSSNDVASTNTLVDPFWTGFYSSLIIALIVVLIYSAGYVMFKR >PPA12212 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1159640:1161496:-1 gene:PPA12212 transcript:PPA12212 gene_biotype:protein_coding transcript_biotype:protein_coding MACNNNNSYQGSYDEYSDFADLQVFLDEEGNAVYQNRPNGSPHFLPAGERSPYQDLNEVTFNDLADMDRLDALMKEAGDEGMAGDDTIPYVDTNTSSPYAPQKGANTSHQAANARSAATHACSPFASLNSRQVVLNVDYRPTEVPIMELRHVTHNASSNEAVSSLTAAVQHGMQLKDSLIKKGSNFPKPRSYKIKTVEQRAREEVMEHFGTNICPTEVGLPQGYGSMKFIPTTCEEKKLNLQ >PPA12102 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:441723:443821:1 gene:PPA12102 transcript:PPA12102 gene_biotype:protein_coding transcript_biotype:protein_coding MLECPKQTAHMPWFTGCVPANYTNVFAPRYFCDNSTKQLYFAISRRILQKPAMGILCNSDTGLYEAHLPNGKMETGFTEESWVVCRDMPVHPKFDTYWNIKPDWKLRVLVGSIVGVFIPILLSLCFIGLYFPIAKYVRVQAEMTLQPEQVHKKEVEKKKVSTIDEKEEETTRKRKEYKEEDDPRKKNVDDDYAKVLEARQEMAKRMIEKFVVMDHNNDEKTCRVPTKSEQEKMEEMERIAECTTESDQTGRDVKPIAREEEPEREVRLPRH >PPA12086 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:322102:333700:1 gene:PPA12086 transcript:PPA12086 gene_biotype:protein_coding transcript_biotype:protein_coding MCPETIPIFSLTRHFYRSMGGAQWDESVDVELAYEVVKYLMDSPIPGAILVFLPGYEDIQALKEKLAFDQYPGLRPALCLLHSQLNSNDQQRVFEPTRNGERKVILSTNIAEASLTIDDVVFVIDCGKAKEKTYDHASRISQLKCVWIAKSNAEQRRGRAGRCRPGFCFRLYSNEEFDKMLPSQIAEMQRSAIHDVCLHAKMFAPERMSVKDFLQLAPEPPESKAVDSSLQFLEQLGALYTEQEEESGSISVGGGSYFGGRIPKPREPELTQLGRLVAHLPLDPQLARLLLFGYALRCFNPIVTLVALLSHRDPFTLAVGEEKQAALSARDSFAHRDFSDHLMILRAFSAYAAIPSNVNQQMKLCREKYLSAPTLKMVNGIRRQLLMELRRIRFINEIDGALDDPYLNEYSNKWPMVQAAIVAGSYPCIGFVKGSKMRKIRTYTDAHSQLHPSSSLKRQMLSQEKRQEALQKYYMGEPTIEYLAFQEFVKIDEGLTTDEGLMLRTATAIPSVTIFLFAGPIRLSKDKLQSYFVSTTEDTLREETEGQDRDFFQPRDILELESWLSVKGCIGDFIRLMQLRFKVMEYMLSVMRTPPVINEEESKMLLSTLANVLDIEHKTKGFNEVTDIYGRPGYGSPTSNNNNQNPLKKAEKAAGYDFGANTSSSSNSQRDEKKKPDATPASKPSMVLESTSFYTRQKPKFSQYSQQYQQKGQQQQSQQGNSQKQQVESKGGRGEKERERDMGPADTTMNWRNQMAPSPSPPLQQQQQKQQQTRSDKKFYPDPYRDGKDRDREREDRSAAVIFDLSVCTIRGH >PPA12033 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:135251:138539:-1 gene:PPA12033 transcript:PPA12033 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFNGFNIYNPQARTHNEEDFREMVDQVQLLQSKLTAKTEALLRVGETIDRLKSTHAREKCELEGRIAKAELRLKSTDADGSQLRAEIRELQKDCKIYRQKLAKVEVLRMESEDTGDVFSPCASEGRRISSTTRDNRSSIQEQRLEDFEKLNHEHRQLESDFQTLLTLKEESIQEKDVMAKKIVRLQTELSYLLNGDTRRIAEDLDDVLAENRFLKAQLNSAQEESDSMKVGRILHLVTSIYPA >PPA12154 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:801669:803176:-1 gene:PPA12154 transcript:PPA12154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mxl-2 MPRGRSNVPSSSTSHIKEDSSPGDAQSPNGSEVSHENAESTTMDRKKATHLRCERQRREAINNGYNELKELLPPSMTALGCKTTNAAILFRAYDYMKQLESENDTADSDLGQLQAQTQYPF >PPA12124 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:631792:632234:1 gene:PPA12124 transcript:PPA12124 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAATTVLLLLQLLQRSDARPTARPSADDLLQLQATKDTVVEDTVVSVARVFHGRVSHEVAPVDLLIRAGDYGTERLEAYFSTVGSNYEQKGGIVQVGGDVY >PPA12185 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1025962:1029063:1 gene:PPA12185 transcript:PPA12185 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTSSNKRAASESSGSESDPEYIVEAILDKRTKKGQVEYLIKWEGYDDPEENTWESDVSGCREKVAEFENNQKATPTSSRRSAAAKKDQTPESEDEEVEEEESTPPRGSKRKVTTPTSTSAKKPSRASILASPTKKAREDGPSSTKSPRNAAPKVLHSICGLCRTSNGGKGCLAKYKDGSSQVVSLRAAFDQWPEQLVTLHMDPIAGKYKELR >PPA12161 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:863293:877078:1 gene:PPA12161 transcript:PPA12161 gene_biotype:protein_coding transcript_biotype:protein_coding METSSEECGFPGVIEKYTENVRFCIICNYLSNVIPAIQSRCTRFRFAPLNPELVAPRIDYVVHQEKLNLRHSRALAGALDLRASRSEEEVEKEYIEKGYALADIITRLHDLVYQMDIPSDVTAGLVIALATTEQRLSVGCSDRLQTAGLVSAPWFASGSRRGMGGYGVSGGAGGGGPPGQPGELQYYQGGNPDDPAEGGAPLQQPDPMGYTNSPQQQQYAAAARNAAGAAPPGGGYGGYYGGQQAPGGAQGYMQQQQPPQQQQPQQQLHQQQRAVAEQQRRKEEERARREKEEKEERERQERLEKEKGEEMALRTPSCKCKMISLLGDPSPREPRLPAPSSKVESAELERIQRDLDQERYIHQLMQPGVAQEYSSSVGSAPFGSLMAPSSVLPFTRFQEEDVDALPSRKRGNSEKNEKRRKKKRDEWETTRDEREKKTMLKEQEKTRRADERHEREKMRRRDDATASASPTDAAPPAPGATTGAGKNKSLGRHRDHGATAAAGGRATAHPRDSSAGRDARARMTPAFLSGQPNEGIWPLAADKIRQDMERAARCEQGVASPSTSSGMVRRPMVGSASTGDRERLGASAGARGGGGAVSRTPNPMARGTVVRRVSAAGTPTTILRQGGTAGYGGVQRGGFVQRTSNLVEQRYGQGHGEGTMVRGVSRLAGRPPAYRGSASMRLLAGSGQLQQHAVARAAGHDGARKRGHSRKYDRMLKPVCCYSPAAGAASAAGAASAAGAASAAGAASAAGAASTSASSNPLESANSAGQQGGRMLSIFDLDDTAGPSTKEASPCSSSSSATACDD >PPA12209 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1151196:1153353:-1 gene:PPA12209 transcript:PPA12209 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESDTIVWNDENLPISPKASGSTTPTPGPEVKERTISFSISVPTNSFDLSKIKAFATVSSSGTSSISKKTTSSVSTMTPKPSEKQKLISNQLVLLLHAQKCIELYAADSTRTCPLPHCLTMKDVLWHLEKCTKGKECTFRHCASSLQIMYHWNTCKLLETCPVCVPVKARARAIAPGPSHASHMSDRKAEVQLDQHLSELVKAQEEWSKLNDAFVLLTERVCKLKERQKAITDRELELKEAMDSLLPVLAQISPGQADTLYNQFLWRVHANITKKQQRLAASYDAAEKKIERLKKQIEENQVATRAVVGERGDVACANAEQMKKQACHPKRTQPKPLGESFNVNDPKHIITMMKKMKLKTKAM >PPA12055 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:208553:210221:-1 gene:PPA12055 transcript:PPA12055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dylt-1 MASNGTTHEDLSSQVENNGHPDNNTALATLTQGEIDKIAKMVLDQVIGQQAYTYSESSAWNQLIVEQITTELVRTQRPYKFIVTSALLQTANGSGLNVSSVSYWNKATDLSFSYRWENKHMLAVVNVFAVAF >PPA12210 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:1154284:1154988:-1 gene:PPA12210 transcript:PPA12210 gene_biotype:protein_coding transcript_biotype:protein_coding MSEISRLEGEKNAGEVENAKKVSAVDQLVQICKQDVGLAKWMTRTGVTDNMKE >PPA12113 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:539416:545320:1 gene:PPA12113 transcript:PPA12113 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDNSALRKRKSTASPTKMGATVSKLFGWGTKTKEEEAAPSSSKTDVADVETLSSRIAAGGDDDATSKVVDDVEMAESLSKKDDDGPPALDRQDDQPSEELPLDSTPDSSPAPSPVKTADKEKVEDVVEAKDAQKEEEDIKVGCLLKLIRSNTKRNGLSPPPLLLFFPSSTMCCGCCGCCVNKEPIGEGAPPNLADGTGKEVTTQQPGSSKKVEFEIRVDKVVEKTPPPLRSSSSRGKKTIADFGYHLVDGKLRTIEGDEGFKFTTQREYEQLGDLIGDYVYELLEAEGVTKRYLEVGRNRRFYFASRDYEKKHRILVLIHGSGVVKAGQWARRLIINESLERGTQLPYVKRALALDWGVVVINYNETCVGQTKGCHGPDAHGTTEMRFALKHRDALNAEIVVVAHSAGGGVISSAIKDMHRLGDDRVLCVALTDSWFSEGQNVFAVNFHTTKKQLSRRAGVFQMFSGDVTHEGSSSSCINACFALLEGVTVDTTNDDFIELLADAEQIISAEYKEKQRAKRRQEAGDTASVKSLELEKAKGEEAIVKKKKKEEEEGTEKEEKKEEKEGEAKEKEEVKKEDEEVKKEKEETKEEDKESKDEKDTPKKEKAVDDDGATECAGRSEKEAVEEKDASPRYSDTEN >PPA12073 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:252562:254670:1 gene:PPA12073 transcript:PPA12073 gene_biotype:protein_coding transcript_biotype:protein_coding MASYSVNSSQYGSDDEFEIIDSHSNEEKQPIEEIVTVKQRPQLDENNADTANEQDNDENEFVSREEVKVSSEMPIEETAMYRLTRVIVNQKREIESLTSQLVMNSIKAEISETRWEEEKREMKKAHEDAMAEKDAEIDRLRRMNDQGKKIEEYKKVFLGLRTIRFRKVKGKFGAGHIGSCISSIEKGGPADLAGLVEGDQLISINGVNVETKSDDQITKMSEDVEDDVVLVVRFNPERLIDLWRIHSNSANIIKKCEALRNKIVVPTSHYWNTVHNGVYLLGRGSTLCEFLFDVLEFAHQTGLAHLKYNNNY >PPA12027 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:95817:99426:1 gene:PPA12027 transcript:PPA12027 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLIFALLLGYLQSESDSIPSTTPSIPEGTTQNETIVSISTEAPVGIDEETTDLDLPEFEPPSVKVKPQYEVNMGRCFRIPSMCFVGTQSGPVNMDIELSCDFGCTWTNRTSDKNFVSCSSSCDERSKPPPVEETPLDEATPLGEDDPCSEVTTSTKFRQRITNTILD >PPA12144 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:774845:775702:-1 gene:PPA12144 transcript:PPA12144 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDTMVADTVKGDFVSDYGDIVLLSATHRIKDQRPTAKDQQSPTLQFPILSLPKDIHRLIFKNHLSAADRLRVRVTTGLWEVHYLIHPLLFPPEWSLRSEMGDFEPLMQLPVYIYRIVVGFAIANRYWRYGSPRRGSIPYPADG >PPA12067 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:239231:239918:1 gene:PPA12067 transcript:PPA12067 gene_biotype:protein_coding transcript_biotype:protein_coding MKCGKVVKLWMQVGINYQPPTVVPGGDLAKLQRAVCMLSNTTAIAEAWARLDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDMAALEKDYEEVGVDSFDPNEEEY >PPA12165 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:913023:920802:1 gene:PPA12165 transcript:PPA12165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nsh-1 MVLIDDLGGPEFVAEMTGRKGRIVQREDGEVEYELRHTGADVPLELMNMDEKDKFMKGEKVIAIISEAASSGISLQSDKRAPNTRRRVHITLELPWSADKAIQQFGRTHRSNQVSAPEYIFLISELAGEKRFASIVAKRLESLGALTHGDRRATESRDLSQFNFDTKYGRQALEAMLKSVVGQYRPPIIPPPEDYRPGNFFQDMCVYLEGVGVVSVPRGVDPSSPTATYSIEKDGSSISKFLNRILGLPVHAQNALFAYFADILAELIQQAKHDGTFDMGIMDLGTGGDLVKKLETRQFAGKRENGSFRVELSKIGVERGVSWEDAHSIWKEHNTGEDGFYAMTVGTQKKRIVALVYGVGKKRLESGARLYAVTKPSTGRSAKLETFAELSKRFEKITDPDEAKVLWEEHYEGSSKQCQHAYAYGKCKHEASGIYCEADEDLLRVEWIGEL >PPA12064 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:232329:233240:-1 gene:PPA12064 transcript:PPA12064 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRGLFVLKKVPDVIYCSPSLRCLQTAHAVKTLSQSKAKLRVEPALFENPHLYRAMPTLATKEERAQFEVDERYQPLIRLKDLFAKEERIKSYNSRLKDVLLRIAATTEPSPDTTKPLTVLVVGHASTVDLAVGVLNPKQRQTTTDDLHRIGDRIPYCSTVLFRRQSKTSPIEFFMNLCIERMNKKIRNKSPND >PPA12053 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:201975:202479:1 gene:PPA12053 transcript:PPA12053 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSSQTLDAGKTIPIAVNYDVNHDDNLIVANDKMNRGYIVTAAKGQIWIDKYGTNHNSMKNVKNTQE >PPA12088 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:338957:356218:1 gene:PPA12088 transcript:PPA12088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cra-1 MAKSSVEVASSSYNGKGKDRKRKRDRCPSASSLDSIEDSAVKRTGSSQSDSPHRKKSKKKKKKKEEDGKNKGSAQEPGKEKKSEAATGAKRVLEPATIVGAATDELDLTLRKEDLEENYDTDEEEEAEEHRKSCESQRVVRVERRTMEYGGEKGWNHESVLAQFEHDVREDIAWKKEGQERVPVHPLETGRNRGRPPLLQKEKEVRTVMMRIREHRGIRGEGPVSGRADQGEKPKKPVVLRRIEWRQIEYASGADVPSNVGLLRLPDATADYGTVMEEAKTLFARFWPDRDFLPRALKKEQEDGEPFDARDDEGEVKDEKKEEGVKEESTVADSLVMSAKAVEERRLRLVYDALDNFNSKKALQESDKVLKKHPNMQTAKVLRALALIRLERLTEAAQILEDVQAAMEEYDEATLQAFVHCFKELNQPERICSIYEKAVAKGKADENLMSHLFMSYARVCNFKDQQKTALALYRLQPSQPYYLWAVMSVVMQATENPDMGAKMLLPLAQKMLTKVHDENKKEWKNAQEVELTVLVLEKQGRQAEAADLLDSDAAALLTHSSAMLMIRVLQLRVAAKQWQTVLERSEEALGRVEGVDQWLLWTYLFDAAFALADECSDEEEKQKLIDRAFSVVTQACEMAPGYRGPYMAHFEFVARTERAGVYKADKYGDAVALILAYARRFHSRPSCFVDLVKWLHVAKDRQDEVESGISAIVDEVMRKALQTAPKGDDDTDCPTRNLGECWAIVLQERVRRWYGRETAAGPTERRSRVLRLARAQLHTSSVQQPSAAMAALTAEGLYLSYRRDGDTRSLYEALLILETASRNWPEDHLSRLVLMHIYSLLGVPGRMRQLSEQLDIKMVQRDSLGHLGFHAAEFGGQVHFCTIHYTSLGDFYDLADREISECLVAAYKNGAFTQIEGVAGMRRKMRKSIMATAADVANRWISAAFSLKVVEHVVTIMKGDEEPAVPLLPPV >PPA12120 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:582777:588673:-1 gene:PPA12120 transcript:PPA12120 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYDLSPIEFDPLKEATEEMMEHSNLRALVQIGGAVRSMACSADGERLAVTFASQDSAGFIATFIVDWTALPVKLYPTGFVEAAFAGIPEAVFFLPQYSAGSMLAISWSTGSVQYVPLIYGKTPAAAALSVHPLVESTGVHTILEESLGWATGNDSLASVELSSRLIDREEGDQRMPLGGFTVEQLEEELSDFSCKFDGEQEFVDKMNALSHAFHIDSEDLINETMGYAMNMKRTDLDVGFLDAFEAHYKKKNAPSAVSKSKPRAVLADLKPTTAVELYATSRALTRTLPNGAAQGRRQ >PPA12178 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:977078:979255:-1 gene:PPA12178 transcript:PPA12178 gene_biotype:protein_coding transcript_biotype:protein_coding MATETYRDSFAERHIIEQRLKAAVPNKERLRQYNLQSNHVILNDYSFYFKGDGNLWACHVPTKMVELLTIANASEQINTRKMSSMHESLRLVGTGCLELTHFLPDRKNCLSIVVTMYRLDPCFTETRIERMKRLKSEKIKKMREEDAKSLSCRYFRACVVCASENPRKRAFLVACGHIICNACAVQMAKMDTNLLDCPICRTNTAFKTMFEDELRMVEEEKEERKLTEKSLDEP >PPA12169 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:933750:935739:-1 gene:PPA12169 transcript:PPA12169 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAKIAHPLETIPKEEVSEIPHHLDTVTEDVVKEIPQPLEAISTEQVKDVPQPQRSASADLPETEGKKLEPPPKDRSNSHCFGSPISALLNKLRRQSSYDMRQTEPDRPKPTSTGPGFISSTQDGVSRAARRSIHGLSSAFASLRGKKDAEEEVIHVFNFVSPTLFLYGKDLKQAAGKKLAEWDKIDASRAKEEKEQREAVTRHFIVDCSAFIFLDTAGAASMVQTYREMSARNIRVYYAAARESIRSFFLDLDEADRVPPSAFYPTVDCATQVAKAYRDSPPHITITGLPDDEALGDNLSKRELDSDEEETVSRH >PPA12137 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:712354:714230:-1 gene:PPA12137 transcript:PPA12137 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGSWFKKFTVADSTPMACALEQAKPKPTHLPGGWIEELDEITKARGLKMTRDEFFTNYILPFYQDINSIQEKIDMRKKKLSEPNKDKAEHLANF >PPA12214 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1170486:1171273:-1 gene:PPA12214 transcript:PPA12214 gene_biotype:protein_coding transcript_biotype:protein_coding MACNNNNSYQGSYDQYSAFADGQVLLDEEGNAVYQIRPDGSPHFLPAGERSPYQALNVSVNDLASVDDFERLLKAAGDDEDTIPYLQVVVPSTQSAPINASIDNGNNANQYSITMLPTNNGTALSE >PPA12146 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:778129:780022:-1 gene:PPA12146 transcript:PPA12146 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTKPMDVYVDGLAKLTAKTTYDTVLFKDEATRTRLLTTERVEQLVRGRKTVGFYKSTPCLTDEMLLRFYEGMESGADPLRELAFYDVPVLVIRSALSTLGLNVCSEGHLHANHRNIEVYVWTEDYIIIFDDRFIVVHESILDNCEPGLGSCMFSKAYLRAYLHKCPVKYADEPGVFLV >PPA12091 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:376684:380611:1 gene:PPA12091 transcript:PPA12091 gene_biotype:protein_coding transcript_biotype:protein_coding MYDEDDQDFADQMEEEEEISPDQWQEACWVVISAYFDEKGLVRQQLDSFDEFIQMNVQRIVEDSPPVELQSEVQHYAGDLENPTKFILKFEQIYLSKPTHWEKDGAPTPMMPNEARLRNLTYSSPLYVDITKQRLKEGEQPSERKYEKVFVGKIPIMLRSSYCMLSNMNDRDLTELNECPLDPGGYFVINGSEKVLIAQEKMATNTVYVFSMKDGKYAFKTECRSCLENSSRPTSTLWVNMMARSGGGGAKKAAMGQRIIAVLPYIKQEIPVMIVFRALGFVSDRDILEHIIYDFEDPEMMEMVKPSLDEAFVIQEQNVALNFIGSRGAKPGVTREYVVASGSLFILSDEVRFLLIPSSLCQRLNKIGIPIQAGLVAVTRAQRRLQHTPGFVFDLQWDSIYVQLRQQSYREPREQDSFSSHCNCDKERRPIHWPETPQNDYLERRTAF >PPA12132 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:670856:680388:1 gene:PPA12132 transcript:PPA12132 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGAMNELSALERTVERTKEENAAKLQEEYEKMLETMRRNERERANDERLANPVLPSDILNEAIPGSIRTANHFVIFLKRVVEYIRHRLRSSHVLIESPASFLKDINDKMFIDRKPLRFCAERLANLARTLELADASDIGALTQICTLATLVSTYSKGFTVIVEPAEASQPALLHLSCMDASIAIRPVMDRFQTVVITSGTLSPLEMYPKILDFDAFSCVSFTMTLARPCIAPLIVARGNDQVAITSRFESRADVAVIRNYGNLVLEMASLVPDGMVVFFTSYTYMEHVVATWYDQHIIDELMKYKLLFIETNDALETSVALEKSRRDHAGHSVRVHGESHPASETGVSEGSVRHQNDFLTFDAMRHTAQCMGRALRGKTDYGLMVFADKRFSRTDKRGKLPRWMQEHLDPANTNLSIDEAGQQARSLTSVN >PPA12003 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:14372:16784:1 gene:PPA12003 transcript:PPA12003 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQPADVPSCAEGPPGIPGYNGEPGTDGEPGRDGIPGMDGVQLHNDQYTACILCPRGPPGPSGPPGTDGPQGPQGSAGAPGATSYAYPVPGAGGPPGYKGEPGPDGNPGPPGLPGQPGRICRPGKIGPQGPPGPRGPIGQAGETKPRPEKGAPGPRGIPGMEGRRGREGANGNNGPPGERGPKGQDGLYCLCPSRPPLDNYYTTTTPPPPAPPSYVTAPPPAIPFDTPADAITVRTLTTRSTESQFPKPPPFSVISIEGASLGPPNEIVEDISMEVTPPTGGWASIKWSDMVAKRMKKWQQQMMIDNHDSSLVIKRHLSPPAAEPIVPPRFMQPYTAERSDRVQELILDNNWIVVNPRRLARLRLGAVRGSLRGVDGAVTKEMDRGEYARPPAAVEETVVDVQ >PPA12139 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:750550:752885:-1 gene:PPA12139 transcript:PPA12139 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAKCLDGYSELFRAIIIAALYASEWPAGQYADLLLAMGYEMDHTAPIPWTEDADGHARARKGRHKETVQGGFTVAEKEGPLSAPATPQRQTTIRTQQRHLKRGRGEGCGEKVGESAISDIGWKRDFGKEVQHECGEANFWCD >PPA12037 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:153419:156394:1 gene:PPA12037 transcript:PPA12037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-kin-32 MLTDAKAFVYLHKQVYDDFLSAVAWKVSQEAALELAALHTCREFVEKQSKSCLDHKLNIDSIDIDGALSAFIPEAVRMQHNMKPAQLRKQFCSLLKKYAALPHSESVLRSLSILAHIVKYDVEVFKASLGAGWNTPVDLLVGAEVGLSYRINEMSKPTRLCELRNIICLSTRPMDHSGKCIVQIRLSGSAQPLLITVANRSIAECLAHLLDGYQRMFNQADSVYKLKGLEHCESVDMKACSTKLPPPPINTIERTTFESDESPSHSRN >PPA12218 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1192744:1198021:-1 gene:PPA12218 transcript:PPA12218 gene_biotype:protein_coding transcript_biotype:protein_coding MACNNNNSYQGSYDQHSPFADGQDFLDEEGNAVYQIRPDGSPHFIPAIERAPYQALNVSVNDLASVDDFERLLKAAEDDEDTIPYLQVVVPSTQSAPIYASIDNGNHANQYSITMLPTNYGTACSSSPHQHAAFLNDPGHSAQATNHHLEQRQAVSHKVATPTSEVGVQLNSPYCSDSPSTSKSNRFNEAAKPQLRIRVPSIPEKLHASIDLEMMRMKNKGGMSKSDYKTKMDAIYNSSTIASKDKQMIAVNEKWNSSLDANVIDVHYLHQQHIAIVLVKKIKVIRGLNWNCLYVVTGGVTGMNVRESHNEIPGLPRVGNGSPGKVPVLKRCVQDFAAKYGYGCEQMAGNPGILIISIVKK >PPA12032 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:133111:133592:-1 gene:PPA12032 transcript:PPA12032 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSHSIELDESDYRAISTILLDLCNDKQMAILGARLAEVEAKLLKLESNRSPGRSNPFLLSPISPKNEQRNNDEPSTSD >PPA12012 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:45209:45435:1 gene:PPA12012 transcript:PPA12012 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSDQVTVLHSRIADVSSPIFTSFYSVVEHVYDEKEATFAEVLQSFEKVVH >PPA12084 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:313864:314783:1 gene:PPA12084 transcript:PPA12084 gene_biotype:protein_coding transcript_biotype:protein_coding MADQESRSLTIYHLLWGYGGSFVAENVAAARYRYQLRAVSEHKGLAESGHYLTYRRGLGANSHVWYLTNDSQNYGIP >PPA12127 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:645415:647181:-1 gene:PPA12127 transcript:PPA12127 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLRPYVCPSILNADLANLATACKSLLAAGADWLHLDVMDGHFVPNLTFGHPMVESLRANLGKEPFLDVHLMSSLVPEWPLFVLIRSGMKR >PPA12189 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1041502:1044755:-1 gene:PPA12189 transcript:PPA12189 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWPTTAVCTKPKITELVVNQGNDDSTVIVENGELLVSASKKAENKNSDARVIAKFLTGLYAFYFLVCLCMYYFPVTQTHYVHLKKTNGSYGLELEWDNVVREVPGGAANVSGLLFVNDCIVSINGISASEMERPHGITDFLERRYSVELEVRREVKIPLWLWIVFSLTLALFTLILYVLLCIGMLFILFIIFLCMKRRHIARTNASTASLTAAAVPSIKQTCQMTFLCVCILFSVIFSMGFTCSFRYLEPTEFNRDVFLTKYMGDCGMEVEFNRITHIEFGGAADASGEFRLNDYITSVNGVSTENFRLRYDLVNYMIITSNDEDTVKFGLKSINEVTLSDRILFALKFPLYALLAIGIICSAVGISFLFFFLCLRVYDHYRRV >PPA12158 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:841296:847436:1 gene:PPA12158 transcript:PPA12158 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRHIVNDISLNVIFNMITLQQLAAQAALQQPMHSVLQPQQPATSSAAAAAGQQQDATTTLHQQILQQHFAQQQHAQQQQQQQQQPGAAGFTPQHQHLLQQQQLQQLQSMIAHLPPHAPQQHMVQQLVAQAAQLAQQNMQAAAAQQQQQAQAQQVQQQVQQRLAAAAAAAAQQQQQQPQSAAIIAQLAQNPQALQASVRQLLLSGQQGLDQLQQLSQIMGFSAEQVVQALQLQDLPEAQNLIRLANDQQRARQLQQQQQQQYQEMLVQQQRAVAEQQRRKEEERARREKEEKEERERQERLEKEKREEMARRERIQRDLEQQRYLHMMQQGMPGTLSKNLAPLRVQHSDIEKALQVCNVKIAELQQHLATVHQMMGMVQAGAPDNDQTNILRHLNQNIPQDLAGLEAEKHTKEQERDRIIRNIQEIERTRQDYVKRAEIAENKARQLETEAQLRLQQQQQLQAQQQQQQALQAQINQIIMSGDQNKILELMATLQHMMKTNPNGGGEDAVGQMIAQALLKQGQQPALMVRRD >PPA12190 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:1046978:1048732:-1 gene:PPA12190 transcript:PPA12190 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKEPKKPKKKFSLGSCVENIEEDEKWQRVFTSMGIGEVEDHKKYSEEIERNKLPEDCALSKSETIKFVIATFAIGLILFGLINVFFTSSSVSPTQFTFRNKSFEGYGLVLNWSSAKKEAHNSANFEVIAEADVAVLRTPGTDVSKEIIFNEPAIKVRLQHYIFAFLGYPLLGATLLAGLLYGLFCRTVEIRTVTLVETIENENFGLKINYGKISEVTPGGLADVSGDVES >PPA12062 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:230594:230919:1 gene:PPA12062 transcript:PPA12062 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSHNDNKPKFEKTLIRVFTAYSKANEVQLISFKELVDALKGYVEHDSIYIDFKMFANPSPRRSLCRKLEKRNGEAQSIAMPH >PPA12172 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:957227:957662:1 gene:PPA12172 transcript:PPA12172 gene_biotype:protein_coding transcript_biotype:protein_coding MTVELLNNNTKCTTAFPLSRKKLVFHADKPGSKVNKKEVVEAVTILETPPMVISGIVGYIDTPNGPRPFKTVFAEQLSEDFRRRMIKNCAQTPRFYLWVH >PPA12051 pep:known supercontig:P_pacificus-5.0:Ppa_Contig22:195884:199412:-1 gene:PPA12051 transcript:PPA12051 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLIFSLLLGYLHSPVSPAVEPNPESNALDPKTVDMHRCFTTKSICYVGKDCVNEPTNGAHGKISRRLASGILDDSSTTGDFGETCTIGISQGKICYYGDVCIGGKKGDRHKDIKAVVSKGPDNEIRYNTGTNPNSQKKGNQDKYSTRKKEDFVDDKKESLSLLGLILIISCCAVVLGIIVAIVVGTIMQRRHHAKNKRKHAAIQQAAAKAAKQSGPNLSNSDERQKAAATISKPINIEKTPLIEPKLHPDDEPKSEETTSTKFSNTVEKENSARS >PPA12046 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig22:185618:186518:-1 gene:PPA12046 transcript:PPA12046 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGLVLDIEEDSLFRTFLTIGDIVLTINDKVASRPDFKLTDAFKDRNSKMISIAYIRLRNYSPEEPPLEEGERRDNYEYKVAVIYCLQRMTLGMAMEGLSNRVYITSIQPKSLGSLCAAIGDVILHVNGKRVCDTDKVRTTAAAAAVVARVAAAELGELQQLLHDGPQ >PPA12222 pep:known supercontig:P_pacificus-5.0:Ppa_Contig220:4676:5438:1 gene:PPA12222 transcript:PPA12222 gene_biotype:protein_coding transcript_biotype:protein_coding METDKQPIIDDLPSPSKKEKCEALFEEEWSRIYPNELVITWYWFPTAQAKRIDTHQIKGIYYSKQTFCKAFGSVKSWGMSFSPCWWACDIKRCLRSNPEGNGFYNVVIDIGDGTMKGFTTANLQAFLTVLRRQCNPGVICQEGFPW >PPA12234 pep:known supercontig:P_pacificus-5.0:Ppa_Contig220:47470:60307:1 gene:PPA12234 transcript:PPA12234 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVFVDTKLSAPATDAPVPEIPTKGTPTGPSDQCGSVAQFPVHDKCWKIFANVTKSVDVCDKNFGEIKLSTLATDRFVGAECGCNQAGRGDGFNCYGACGKENRITCNGAAKECNVNPVDNKPFCNCPFGMVKEANGNCKPTDSQCATCKHNCHVASKCLNAREHKEMMYKCASCDPELGYEGNGRSCFNIDECSLGTHMCDSRATCEDKEPIEHNGKKYECNCQQGWTKDPNAGTKCNPCVDNNECEEKPDICGENAICHNQPGNYRCECKEGYAKDNDNHCKDKNECLIHGICPAFSNCTNTPGSYECTCITGFKKENGKCVPDPEYFCKDCDQKTTECKLTAAKDAYTCECKKNHHRVDARACTPNTYCDKADKNNCAPVDRATCTDIPDGSGFNCTCKEGYEGDGRVCEAINVCDRKRPCTFVSNTNCRADEKEPREKFTCECRDGYVRQLADQDNHMAPCYNKDSNVVNNCTLCDKKTEDCGPVSGDKSGALKTCICKTGYGKGPNGRCFDKNECNPPETHNCDKTCALCVNKIFYEDNMYFKCEVMKGYTGNGTIGTCQDIDECLKNPCEGQNRECHNTIGSFKCPCVPGFREIPGVKDCIDDNECDRGNFTCNDFSFCKNTIGSYECVCQNGFKEVGRDDKGKPICQDIDECKEGLNATGTKACPCKTSCLNQIGSFECPCLPGYRKNVLGECVDIDECKERLDNCDVLTTRCNNTDGAYTCDCKHGYETKLDSNVTCSNINECTSTTKPHKCNPNSSCKDLPGSYECKCDVNFEPEPDSHPMRPFCKRVDVCQSMKNLNCMCICQNIDVAPFYKCKCQAGSINYNDTMCITPGYCDSEKNLKPEFPCPEHSVCMNERCVCERNYDWMNVEKPLTVEKIKDRKGCKPESWCEKNTCPPRAMCRDTAAGAGECYCPDGFIMDEHLGECIDINECYNKTLTCPANSKCFNLVGSYKCECDDGYTNTNTNKETKLNQPVCDPIKFCGLRIDNCTMYNNTVCRDTNPFYECACKRGYERNQTRGTCPVKKACPPAPCSDIDECTLKLHDCHKDAKCINSPGSYKCKCNPGYYGPGGKECFDTDECDLGMDDCDHATQDCINMPGTFNCTCKAGFEYGADGKCKDINECLNEKLNDCAKDVKLECVDTPGSYFCKCAKGYIKALNGSCIDENECLDKDACPAGNICVNLPGDFNCTCQNGYQKSEECAGEPKSCRCVDIPECEGIVIDGKPYPSPCAPNAICFEQPGSYDCKCKTGFEPDPTAFLPHKCKNIDKCKDLCDEKTQRCIMYGKAPMCICKEEYVPGADGKCIKNPCLKDNGGCGESAMCFPHPKTGEAKCNCASGKMLDEKKNCVNEDPCKCGTNPHCRSDVCDDKDKMTCYKVGSKASCICDKGYTFDEKTKKCIGEEKHIILTCCGENTMHISDINECKEEKPCPGISNCTNTIGSYTCTCPRGQAYDGKGCPADDGCKLEEYCKGDINAKCTVIAKDKQYCQCKEGFKGTGIPQDPHCVATNPCEEKEARDKKKPCMGANEYADASGTTCQCRCDPGFKRNTSEPFDCVDIDECKEFLFTCKKTFVCKNLVGGYECVCPEFHKLVNGVCERDDKCDPFPCNNETEHCDWRTGKCTCKDEFKLAPNGTCIDRNECEENTYKCMENSKCNNTFGGYECICFDGFEKQGDKCVDIDECLKEVCGKEHKCENLPGSYRCKCAEGWLQYGPRDCLKNTTDKCTDCNKFAHCLVGLTSNIYNCTCNLGYEGDGIKNCTNVDECKLGIDTCHKLATCVDEQPLYSCHCNEPYVGDGRNVCDLPEMCTTKYNDCPKDANCHGLKEKDASGNWVTCSCKAKGFEFNNKTRQCEDINECTRCKDYANCPCPTEAKCINTEGSFTCECPAGYKYNQAKNTCDDIDECKLGTYQEKCMPGKGKCNNTLGSWECTCEPGYKNKADDKQVCDKEIFCGTAKDDCEKNTTICVDLPVGYKCDCLAGLLHVPGNDKKCEDRNECKDGSHNCSLDGSELCTNTYQYVYFFFNFMGFACNCTNGFTLDDKSKKCLPDNKCSPAEAEKANCGAYSFCVNLPGKEGKPAPKCICETGYYKAEKEQVCVVVPPCKNDFDCPSNSRCQVVQAQNGTGEIGTYKCMCADGYEMKGHQCFPTEPCKTAKICGEGVCVSKILPPFYECQCTPGTKQDNNTAPCMPLTCEGNSICTPHAECKTRQNGGIYCQCQPGFAGLGIISSPCKQIDLCASATPCSRFATCFSTGDKYNCVCNAGYSGNGTWCSSEYHAFNPDVDECADPKLNNCDVNADCTDTQGGYKCTCKMGYTGLGTKGQCININECNDPASNQCDVISTICKDTEPGYNCTCKTGYEQGNSSFACDEINECERNKTICGVLHKCHNIPASYECICAPGYELLEGSDKKVCVDTDECKLNPPPCNPNANCKNTEGSFECTCKDGYKGDGIKNCTIEDLCVPGKNTCDPKSTKCKMLSDKPAYVCECIEGYERQPGVTDQCADIDECNDESTPYDRESVTCKNKPGSYELECKDLFTAKFVSEDKKNISCVDKDECDIDPAYAETKKKIEAGQNKGAWKDWMVAPKDNSSGYAICYYNAINSVKFNSIQTTIPLADTLLPFCLNLSPNTISNQTTYDARLKGFECTCPPPTERQEKVVLKRFGFSCPNPACPNCRTDLNYECVDNKCRCKHGYSERMIDGIAQCIQRECGNGEALPEKLRASGNSIRVKCDETTFKYVPLEPGWRIVRDNVTQVVIDCVDINECAEQPDICCANESKCTGANCVKCFNTPGSYACQSDTIVCDVANVDKNSCKCQELACTKVTAWYTECSGEPTKIKGLDKEKRVCSRIFDSNLNAFSSFDNEQLLFEYAVKGKCDCCFLAAQPAKFSANPVVNYYGPCLDKEDKVVCPDGAKAKMTDAGPWCPIAAKITDLPNLRTGEALGHWGADPTMCKPFPEFSVLMRDPEQNAIIIFDMST >PPA12226 pep:known supercontig:P_pacificus-5.0:Ppa_Contig220:15764:16680:-1 gene:PPA12226 transcript:PPA12226 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAIFVLGVFTWIVLELLQRRRHFQLREELGLAGPPASFISGNIFYIADVIKKRGLEATPLVHLELEKEYGSTYGFYFGCNLEIVTSDPAIIKEFFISQFGNFVARKKLAMHTVYPIRDGLLQVDHVGSLGAGWKEMRTVITSIFTSGKMKKMHLMFHDQLDNLVDELRAKSKLNGGKMDIYAFGPQAPPTFV >PPA12223 pep:known supercontig:P_pacificus-5.0:Ppa_Contig220:5501:6894:-1 gene:PPA12223 transcript:PPA12223 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNWTIDWSTRGTVRPYFGAFCIIFAVVTIPLYLLSAQVIWTMRRSATYKVMFMLAIADIATLFVNAFTFGILLIMVMYYNLAHIFNNTMMLCISFTAYIFMLLYLLFKKSSSAIQDQTKTNVVNRAAMMLSIQSGIIVVVHFTTCITYMVTQYVPPSHAVLYVAQVTWQLLHGIPPFVYMLFNPSLRDGVKQYAAPVRSSFLSLPSSAAPKGTTVIPSKAPAPSQYQSTF >PPA12229 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig220:29167:29730:-1 gene:PPA12229 transcript:PPA12229 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAIACTAHIIKIINDYDKLRSSGNFTAKIATFATVLALPIALFMSLAVFEFDDDTKKVSTAAAPAFNTLR >PPA12227 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig220:17330:18047:-1 gene:PPA12227 transcript:PPA12227 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAWFVDPYSHGDPRLPHHIFPPKRLTPDQLQKQCEALVWKLNSADPIAMSTRIANIKYERYFVREDIVEIGDKITLNFHDKLAELYVETYLKEEIGRLVLDGEAYFDVKGHRKHQDNEWIRILAEPGDLISIPMEVATRFTTTPTNYVKMKRFFKKEE >PPA12230 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig220:35190:36891:1 gene:PPA12230 transcript:PPA12230 gene_biotype:protein_coding transcript_biotype:protein_coding MGKISDVLVDAGHEVVMIAPVIDYNVPNVGSTKVQKAIKLLYKVWVDQCNATLNHPGLLDSLKEEKFDAAFSEPMDKCGYGIFHHLGIKNIAATLSIAAFEGSFDLTGLPSFPSYVPGAQITYSNFGSMMRFGERMTFLQRVGNTLSLGFGKVFIPMMSQGVELLLKERFGNDFPDMNELLSETSLWFMNNEPLIEFPRPMIHKIIDIGGISVSTGYSQLNKTWSDILDLRPQTVLLSFGTVAKSFLMPENYKSTIREVFSCDQRLQKFPDVTFIWKYEKPEDKISDGIPNLIETTWVPQNDMLYDPRLSLFITHCGQGSATEATTAGIPLIVIPVLADQNRNAAVGSSH >PPA12221 pep:known supercontig:P_pacificus-5.0:Ppa_Contig220:828:2565:1 gene:PPA12221 transcript:PPA12221 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSFALVFISSLRTHATNPSHRLPNDTYELTPVTISLQYARLIRVLEPEHQHRNLVGVFVVGNATGKIRVSNGIHRNTEDTSEWALKMHGIKNMVSLDSDFNIGLVYYNITLSRRPQFWVGLVITPTFVIGSLIIIGLFFGQGVDIVNNAVGLGLTTMMSMMAIVGILANALAKSAYIPILGQRI >PPA12231 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig220:38756:39590:-1 gene:PPA12231 transcript:PPA12231 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLHSNCRYILSVWTVIFGAVYINETGYMPLYIFNPAIRFTFYRAHIMCTTFCSSFEIALSIERIAAIIKPRSYHFAGIAWKSLFLLTALLVNEAYDMSKAMIPTYITSFILKVRI >PPA12236 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig220:73959:77992:1 gene:PPA12236 transcript:PPA12236 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLRHLLLLLLPSAVYAQADCDTAPTEAMRTVCHQLNNWDDSARAQPSAAPPPMGVAAPGIAGQASVMMELPAATITDPAACNDIPCICGIVGGTMNGRSCRLPSGAMYGKGVRKEYRMMTDGERNRFHAAMWTLKNNGDYDRLAHIHADVNQAPSAHSGPAFLAWHREFIKRFEIALRLVDPSLSLPYWDTTLEGALADVKYSCLWSTELMGDTMNGPVDTGAFRGWTNIDGGTIVRNLGQDSQRVLNTNDRNQALAKRTIEGIMAYTSPRQMLTQHTAAFDPIFFLYHSYIDSLWEIWRTQRQSRSARETAYPVDNDACSSEAHFRNSIMSPFAPLQNIDGCSNVYTDNLYTYDRRKPSCILGENCGSRFLFCDRSNGAPHCAPKIRLDQPCDAYDQGEDMCYNSVCIDGVCSIDPNSNPPQTTQPPIITVPTQAPLTESCFNEHECCEPWQARGECWQNPSYMRLWCQASCNICTPRGYDLNSECSDRHPSCRGWAAGGRVIAVDAHDSKLASLDVAGICEPKRSAFRQHSAARAKPQAHSSRSSSKKVVHTKPVPPVKGPIETGTYPERPPMNRTMNGANAPTPALKTVCLQLNNWDAVSRSQPAPAPALAVAAPGIGGIPFV >PPA12228 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig220:18678:20137:1 gene:PPA12228 transcript:PPA12228 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLLLATQFICTGEGLTGALLNVYLFYLVAVSKKSSMSDRVYKAHIFREGTFITAVVGPLAHFLPRPLSMIIIRITMVLATMIWTLIPAMSTLQLITLTRNLHWSAGKRLVISFLFPCVCIAIVATTVEELIPSYEFENIMIRISQEVYDMNGTLITFGSTMRYPELNFDRTLVYFALFYAIVPYSLTYAALGILIYKSVLPLIILSSPFTVFLYGVFTQTDLGLSALWFTSFLWLCPSVQAVVQLRYIIQAAKTRLKETTQVSVISKTRGSTL >PPA12224 pep:known supercontig:P_pacificus-5.0:Ppa_Contig220:9664:12653:-1 gene:PPA12224 transcript:PPA12224 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLIFCIAVVTWLIHGIIQRRHQMRIREKIGLTGPPASFLFGNMMYLFEVIKTKGVEATPYIFPLLEKVYGATYGFYYGSNLEIVTTDPEIIKEVFISQFGNFVARKKIAINMVYPFLDGLLQVDHEGTKGAGWKEMRSVISAIFTSGKMKKMHLMFHDQLDHLVDELRSKSKLNGGKMDIYGEYQAITMDMIARCALGQNISCIKDRSNEYYNRALFFPIFKYLRCYSIFGREEHILIQNLSEIILERTKDRAAGKFRPLPDLIDLILAENEKRVENGEKPLHHDIVVSNAWALFFAGYETTSTALAFASYLLAKHPEVQQTLYEEITSTFEDNESIDYERVMKLPYLHAVFSETLRVYPPVITFTGRRCIKETIIGGNIRVPVGVSVVAPVHAVMWNENNYERPREFIPERFLGDNNKAVWSATYLPFGIGPRNCVGARFAEMEFKTVLAEVTRRFVTELDPEHAKWMDAVRPPSFNCLQKAPFMTS >PPA12235 pep:known supercontig:P_pacificus-5.0:Ppa_Contig220:60551:66734:-1 gene:PPA12235 transcript:PPA12235 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFHHEWGMPDAQSIKIPATLKFQFLVIIVSLVPMLSAINVTREISAERESNMKPYIGFHFLLVYLLFVGEQISLSALISSIIKKPNIATITAFVVIPFTSTLAMLFPVLRTDTPWSFLVCFNPGHAISLAIDALIEGCYRDNTVYWFNDFKYALPFGPIVLIMAYDKEAHEDDLLLNIHEVDPALANAKVDIELINVHKTWPSGERAVRGVDVKLYRGQVTALLGHNGAGKSTTFAMIAGMVVPSMGTIKIGDADAKRAHSERKSLVGFCPQYNPIFPKLTVNEHLDFFARLKGVTDWREEGARLLELLLLKDKANTLSSDLSGGMKRKLCIAMALIGNSRVVLLDELTAGVDTGARRDIERLLIQQKKERTFLLTTHYTDEAENLGDRVLIMAGGKVVCSGSPSFLNRKFGAGYVLSCVSVDSKRLHAIADETLELVRCHISNCKAERQHGQQFEIWLDKEECDKVGEMTGAKNREAEVNQALGVLLKENSNKGSRLGGIGNRFLYLQYKRLIYELINYKSFIFCFLPVIAIIFAASRLSSLNSNSQNDSDAVDMLLFPKCARIGIEKSTNLIDRFKAALPPKSDCIVIEEINNANDWFEQTAMVRRPLILAAFARNNTAGGIVVHRPSNQYIALPALVITLIKAMSNQSFNIKMDNRPTPDFDSPGDGSGVNDYPYLLDPNVTVMAYILSFIPQASTAYIILLAQLSLFFLIFFFLECGPIMRGIERCFTPGLPKGVGMNSDSSLQSTVPNDHLVLEAIKRYGSKKFAVRGISFGVTEHECFGLLGVNGAGKTSTFEVLTGNCRATAGTATVAGVDCAAPARIGYCPQFDALMEEMSGRQNLLILAALHGYSNPAAVTDTVIECVGMTAHANKTSRSYSGGQRRKISVAGALLAQNSLIILDEPTAGIDPVTRRDIWSVICALRDSTKTAIVLTSHSIYGNYYKLSFVVPSEDPDTVERAVKDVFRNATRLNGSTSSFNFEIPREPGMLWSEMFTSAIKVARILNARDYCLSQASLEDVFIAIASGDEKKK >PPA12232 pep:known supercontig:P_pacificus-5.0:Ppa_Contig220:39929:40700:-1 gene:PPA12232 transcript:PPA12232 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAYEVDLWVHSADRFVEGLVIYAAIEISVLIVKEAYEMSRAMIPAYITSFILKRLRGNGNDSGYFN >PPA12237 pep:known supercontig:P_pacificus-5.0:Ppa_Contig220:78803:83237:1 gene:PPA12237 transcript:PPA12237 gene_biotype:protein_coding transcript_biotype:protein_coding MANTIDSHTYTPIFSRFEIALRLVDPSISVPYWDTTLEGALANVRYSSLWTNELMGSTMNGQANTGAFRGWTNIDGATIVRNLGQDSQRVLNANDRKLALGKTRIEEILAYTSPQDNRATRPIAYPVNNPQCSAVAHFSDSTMSPFAPLQNIDGCSNDYTDNLYSYDTRRPSCNLGENCGSKFLFCDRSHGPAHCATKIRLGQPCSGYSQGESVCYNSVCSRGVCSALLGTPPPTQPPIRTVPTQAPLTDSCYNEHECCGPWSARGECSRNSRYMRIWCQASCNICTPRRYNLGIECSDRHPSCRAWAAGGECTNNPLWMRENCHLVIAVDAPDSRLVSLLIDESPWHPSRSNRDVVGICETKGSAYRSIDNIQQQALNPKFIRPAPMETGAYPERPPMNRIAERTKVERQRLRPTLPDPPPTLAVAALEVGGTMRGSSCRLRSGAIYGKRVRKEYRMITDGERNRFHAAMWTLKRNGEYDRLAHIHANIQQAPSAHSGPSFLPWHRGFEIALRMVDPSISVPYWDTTLEGALANVERVDGFNDEWASEHGSIQRNIDGATIVRNLGQDSQRVLNANDRKLALGKTRIEEILAYTSPQDGCPYTEQWDCMEFAHGYSHVKKVP >PPA12233 pep:known supercontig:P_pacificus-5.0:Ppa_Contig220:41533:46003:-1 gene:PPA12233 transcript:PPA12233 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRALELAKESVNKGEKIFKMWIMHDSLFIPMSGELLQSVFESNDEITKGADYDILVPWLGTGLLISTGDKWRSRRKMLTPTFHFSMLDGYVETMNRHAKILIDSLDAHVGQVADLFPLLKLCTLDIICEATMGKELGAQMNPNQPYVSAIAKLMFLDTNRQMLPHLWSPLGRWATGWQKEHDKCLDVAHKFTVKVIHERIDLLSRGKVEASKRAFLDLLISQKESARLSMEDIREEVDTFMFEGHDTTTSGLSWTLWCLATHPEAQEKVFQELNQIFGDDSSRDCTREDLGKMHYTERCIKEALRLFPPVPFALRQLQNDMHIVAMRFLLPAVLLSLASSQTDALKFLVYNPLWGRSHVNFMGKMADVLVEAGHEVVMLAPIIDASTPNVGSDKVQKVIKVPPSEASIEFTETVHNSASSNFWRSKSILGTLQQMDKFLNAWIAQCNTTINHPGLLESLKDEKFDAAITEPMDMCGYGIFRRVGIDKVAATLSIAAYEGSFDFTGLPSFPSYVPGSMMAFGEKMNFFQRVINTLSLGIGKYFLPYMSKGTEDVFRANFGDDFADLNELTSETSLWFYNTEPLIEFPRPILHKIIDVGGISVSTGHNKLNQTWSDIMNLRSKTVLLSFGTVAKSYLMPEHYKQTIREVFKKFPDVTFIWKYEKPEHKISEGIPNLIEATWVPQNDMLYDSRLSLFITHCGQGSTTEATTAGVPLIVIPILGDQLRNAAVIKRIETGLVLDKEALENSNILEKALRDALHNEKRASHSKRRKSLHCALYIPIQSCRC >PPA12225 pep:known supercontig:P_pacificus-5.0:Ppa_Contig220:13802:14938:-1 gene:PPA12225 transcript:PPA12225 gene_biotype:protein_coding transcript_biotype:protein_coding MCAWTEHFMYKMFFPFFKYLRPFSNFGKEEKVLTRLLSENILERQKERSSGKDQPLHHDVIVSNAWALFVAGYETTSTTLAYASYLLAKHPEKQQALYEEITSTFNDNDAIDYERVMKLPYLHAVFSETLRVYPPVLTFTGRRCIKDTIIGGNIRVPAGVSVVVPVHTVMWNENNFEQPREFIPERYWGNN >PPA12246 pep:known supercontig:P_pacificus-5.0:Ppa_Contig221:56606:59842:-1 gene:PPA12246 transcript:PPA12246 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSTLLAVLSTAIAVHAQYQQSQIEFWNALGTEHLQQKLRWKAPGDKGPKNVIFFLGDGMGLSMVTSGRVITAEKTGRDYRNEKWAFEKFDFSGILKTSSYDYHTTDSAAGAMALFTGHKVEQNTLGRLPGFGEKCDKGDASHINDGIAELAIARGLDVGVVSTTRLTDATPAANFAKGVHRLMEHDSSTEIKNTNCTDIAQQILRHPADKFKVLMGGGHAFFTPTEEDGLRTDGRNIEKEWQALPGRRTILRTVDDIHAHAVNNDDQVLGVFHDKSFNYHLDELVNKTSQPRLVDMSVKALEILQKSNNENGFYLMIEGGHIDLAEHENKMNLATEELAEFSHTIETIRGMVGEDTLIVVTADHGHALTLPGYVHKHNSILAAEVETDGMDADGLPIPNILFAAGTSSTNRANMTDYDQKQAHFQSVAAFPAKWGAHGGEDLGIWTQGPYSCRHFVKVLSTLAQLCKISAVVLWFDGEHSSCVSYQIPSVFGPQGTDVVWNEKGGRRWELCHWACISCTTQ >PPA12252 pep:known supercontig:P_pacificus-5.0:Ppa_Contig221:82696:85419:1 gene:PPA12252 transcript:PPA12252 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHGEWRDENDNGTFYQERAEEEGDGQNTLIALYVITIAYSITFIFGVAGNGWVVFNLLRTRPWQEGGVTPSQRSRTYILVLALSGIGFAVASDTAKDDNIHQHGRLDMCHISLAMEIFTKLFSVLILTAMSLERYFIVCTRWRHSVSHGLLYIPLSIALFFCVIIPMAVQIHYTQLIYLQDDMTGIVHRVCANAMPDFMFTPFVTYTFIMGFAAPLAIMALCYIFLVRHVRAKFRKRTNNDVMACKMVREPRYMNEMRKSIWRIAIYHFICWGPFWLFTISTMLKGGEAPAWSV >PPA12244 pep:known supercontig:P_pacificus-5.0:Ppa_Contig221:17314:18035:-1 gene:PPA12244 transcript:PPA12244 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGGWGGSSIAAHYLHNRAASSGASPTPSHARIALAGLAHRASPQPYPYYHHQQPRTPSPGPTPSIVLENGGSGGGGGNAVSARDPPNSSTSSEKGHPQLS >PPA12249 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig221:69730:70028:1 gene:PPA12249 transcript:PPA12249 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTRVFIVILIVAVAAAIEVTVKQIIPDLGITPAFSKKIKPKNITAFKISAWNDYCE >PPA12254 pep:known supercontig:P_pacificus-5.0:Ppa_Contig221:88596:91833:-1 gene:PPA12254 transcript:PPA12254 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPMSSMSGKSSHENWLAMKRERDLEQRRKQKQEEEEKKREEEEKKKAAAKVYERWKADREVKDKEKRDKEKEKMKKMKEEKSDEEKQKKAEAAKVFEAWKKERLRSMTESSRRRKESEDREKLKKAREIEEKQLESQAAFESWSVKKKEREREERMKKLQREQELAKQKEDEEGYREMLAKEAFQTWLEIKEKEDELRVSLENKIVQFEEEIARQWNAPWRPPSNTVPRTFVGTGNRRKSLERRNKAPSRAASAHSMRRSASMKSVKWINLGMIFFLTRSLGNTVMMSWGGRRGHLYLEDYHGDLCDRQLDVFSARNVTPEVTTNDHVPVTV >PPA12262 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig221:124405:127287:1 gene:PPA12262 transcript:PPA12262 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGSKNNGAVERERYAASLKQKETELAAQEKLNDQEREARYKLDEAAQEKAALEIRAHVEKLKLQQEKMDILKESSEMQLRMMAENNAILRETERDAENQRREMEKNAHDSETRIREENDRKREIERAEAKDREEAKQNEATEAINEANKRVLDMKDKIHAEVTQLIADHHKNTDSIVKENLKNMEAKDAANAEKMEKLSTTYQTQMKETQEMAQKREDALNLERKALDEKYRKDMESASNQSKQEREKMTADYHRMIEEKDRELSRNYQEKEAKMDELNKNHLIDLKRAHDDYNKLQESSKAEMERLHREKEENLKEFAVRESETAQKMLEMNEKHHMEKLALINQTTYLLAVQSKEYPIENAEEVKELIYTKSRSILKNITDLLRTVDKCRSLRDQKKEWKKELLTLEREYAGPYAIEVRRTFRGEQEPSTEKLSELEEQCIKLKEAILSLPDMEVESMDSLMDKNAQGTISFTDKIGSVKAISQATKEKTEEDQENNGGMLVIEES >PPA12259 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig221:115016:116244:-1 gene:PPA12259 transcript:PPA12259 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKITVKNRHDLEEYIEAGMWLVSLDRTQEKAGWALNQCCICHKSLYNPHDEVEIHIDSEFCFWCNVEAVHEQNKKNANLTLQ >PPA12241 pep:known supercontig:P_pacificus-5.0:Ppa_Contig221:8586:9103:1 gene:PPA12241 transcript:PPA12241 gene_biotype:protein_coding transcript_biotype:protein_coding MFVYYSDEIRYTKRTLETHQDCPLQEMLRSISAKARRPAQLCRVFSCDRKWGKLKEMDLHETGKTRLMDIPSAKPNRLNFIVDYVNTVTEHGRPAPFDLNEAKITTE >PPA12247 pep:known supercontig:P_pacificus-5.0:Ppa_Contig221:65484:65918:1 gene:PPA12247 transcript:PPA12247 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAAAKPAKKSSPKKAAKPVAKKAAASPKKKATRAKKDPNAPKRGASAYMLWLKDNRSRITKPGMSVIDVSKQAGVEWNAVKSVVDGSILCLTVLFPGQEQVGEGCR >PPA12261 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig221:122995:124143:1 gene:PPA12261 transcript:PPA12261 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAEQTNLERLKGVYNELLQKTTNDKKTKEHIKRKALAKKLSELCEEIRSTLRSVKSLLAVNTTLMGSTKKDLKESVVRIEVAIQTFLKVFGKLRLVINRGEDMSDDHVVGITPSFDRMQREIVNMPPLNCLSALEVIETGLHQLGIEDRRGQEGLDQWKEGPMDRHR >PPA12248 pep:known supercontig:P_pacificus-5.0:Ppa_Contig221:66740:68863:1 gene:PPA12248 transcript:PPA12248 gene_biotype:protein_coding transcript_biotype:protein_coding MAREPCVLQNRGLQAAVNLTPEISAELCEIGHPRMKTLVITDPVIDYEFRAFGTASLLIIFKSGGGGGMAEI >PPA12253 pep:known supercontig:P_pacificus-5.0:Ppa_Contig221:85983:86965:-1 gene:PPA12253 transcript:PPA12253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-col-182 MGTSFMLGSTATGAASLIAVYMLLTYVPAIFRDSDAILSNLEKRMDSFNEEQLAVWQSLSIARGGALGARRATISKRHAGAECSCEAGERCPPGPPGIPGAPGTPGLNGTPGGRGAPGAPGVMPQVHTYEEAGCKVCPPGPVGPAGPPGTPGPAGDKGQPGQPGQDQKPGAPGAHGPPGPPGNPGAPGQPGSPGPSGRDGKRGGKGPAGPPGPPGPDGFRGYPGDPGQPGQPGQPGESGPVGPPGPPGFPGTAGAPGVPGAAGEPGVDAHYCPCPPRRFTKVRRSSD >PPA12242 pep:known supercontig:P_pacificus-5.0:Ppa_Contig221:9488:10138:-1 gene:PPA12242 transcript:PPA12242 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDDFLTHRSLFPYWRNVPHDHSLNLGSALGEVENTSEKFAVSVDVSHFKPEEIKVNLNGNELTIEGDHEEKTDQHGTIKRSFVRKYILPDDANVDSLRSSLNDKGHLTIEAPKKTQSLTQPRAIPITRG >PPA12245 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig221:20375:20770:1 gene:PPA12245 transcript:PPA12245 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSISAKARRPAQLCRVFSCDRKWGKLKEMDLHETGKTRLMDIPSAKPNRLNFIVDYVNTVTEHGRPAPFDLNEAKITTE >PPA12258 pep:known supercontig:P_pacificus-5.0:Ppa_Contig221:112638:113901:-1 gene:PPA12258 transcript:PPA12258 gene_biotype:protein_coding transcript_biotype:protein_coding MCHQCGSYFDFVEEYLKDAVLNDFYKAIAQEGFKYLPKDDETQYLCVWCFAKGEYATFKFQSLDSAVRQENDIEMKILGNDKDIDENDKQLKLLQWYKIK >PPA12251 pep:known supercontig:P_pacificus-5.0:Ppa_Contig221:72964:74648:1 gene:PPA12251 transcript:PPA12251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-grl-4 MSYILLLSLLPIASANFFGSPCGCGGYIAPPPPPCPLRLPQICPPLPPPCPPPPLCLPTICPPPLPCPPPPPPPPPPMCPMPLPPPPSPCTSYAQVPMFPPPAPISLPSLPSYATPFNDCCCRCGRPCSFRAMARMHGSKTFKTSDVEEDPQCNHRKLKEIMEDNLTSDPSIAKRAIQKAAEEKLEGKFNVICAKGDFTYVAYTEKYCQASNDDVTCYAFSPM >PPA12255 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig221:92708:93476:-1 gene:PPA12255 transcript:PPA12255 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLNGSVPSTAESEQRTFRVDAIEEEEGTPREEKKEEKKDEKKDDKKVKVDESRPSTAKKKPPVPMPRSASASAPTKRFEIQATVSPFHILSRQLE >PPA12243 pep:known supercontig:P_pacificus-5.0:Ppa_Contig221:11580:12698:1 gene:PPA12243 transcript:PPA12243 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDDFLTHRSLFPYWRNVPHDHSLNLGSALGEVENTSEKFAVSVDVSHFKPEEIKVNLNGNELTIEGDHEEKTDQHGTIKRSFVRKYILPDDANVDSLRSSLNDKGHLTIEAPKKTQSLTQPRAIPITRATIHI >PPA12263 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig221:128294:128537:1 gene:PPA12263 transcript:PPA12263 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALTSSITAAAAEESAATERMIREMTSETEAQRLMKLRTAELEDEIKRATIQEKLETNLVEFNV >PPA12256 pep:known supercontig:P_pacificus-5.0:Ppa_Contig221:105978:107811:1 gene:PPA12256 transcript:PPA12256 gene_biotype:protein_coding transcript_biotype:protein_coding MMAANYAAIWLLALICAQRFQAICHPQNVWKKRLSCIRRSKIAVGIVAFMAFAMNILRFFELEYSENGVDLTHTAMKGNVAYKIVMEGLCYAILVYGIPILILVWLNINTCKLIMNKEIHVSATSRRPAEYRTAMMTVCVFIFFFLCCTLAASLRLFTLVTDADVHSTDMLWLVDVSNLLMNINALVTPILYFLFTRGFRDLFFVIRFAPQRNPSPFGVLEKSPLTTDDDMASPISDFTSLNYFLRCKGIHSVISNSKTISRNS >PPA12257 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig221:110866:111604:-1 gene:PPA12257 transcript:PPA12257 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCGHYVCSSHENAVDERDQFDKCHECAVKSPEQKIFLEDAVLNEFYKTIAQEGFKYTPTNKFDTQYLCVWEIQTR >PPA12265 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig221:132172:134055:1 gene:PPA12265 transcript:PPA12265 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVGNLIDGVTKSASIEASRIATESADKSEQRSHETNLAIMNATKEQIKQAQENLRETTKSFKETIDSMQAAALQTDEAQRRQMDELIKEQNEKDKAMINISVEERDAMRKGFDEERKEKKEEMRRIHEENVAQINEARREHQAKLDEMQTEVKALNEKNIDTIRECSQLMLEQAENQRIELNQVNEARLEDQKKNTEKIEELHRKQNELTCGYATAMINMTIIQANAKENATIVELSNSLRDSLSDTRTLHHNAVEMAQIALNDYTNFLPASIQFGNLSQRVDQVDTRKAEYVKALNRTNTTNNQFIAKQMAAIFHLEQALNEYRSAVGSLRSKMISSMPSITQNDIDKLNALQVNMTRKMGELPLIDTNDVYKEIASNAQQALSGSTVLSLEQ >PPA12260 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig221:120657:122782:1 gene:PPA12260 transcript:PPA12260 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRYDENISDMKRSSAEKDALNAEHRMRENDIRIKNEEQQSIARKESEERLDNLNSTYTKNIEQKNKRVKIILETKEKMHDEKISMMKNNEKEKNELQKKHVDHVNELQRLYIEQEKLTQEKFETEASAIRNEASMREQFMREEKANAEQEYFRMIKEQESMSKEERKEHRKYMSKDAAIEQLKSETDCRMEKLHEENRVQQARDLATLQEIQNERIEREKEVHTHLMDIQRKNQEDRALLHEQMMKKQEQAHAMLFLSMKQRQDDMHQYQQMLSAIPRALSSEQQTSKLMLLKTKLYEITSSTDDSIVTFDMAHSDFKKKSTAPNFAALEKSASEMNECVKTLNRTELLKQLQTLTSSFTRTSTRMLGLVKRKAVTVESIDNLMKEFDLIKGHVYDLPNLDEGSVLGMIEQKQSEIMNSQQNRLNMLTLNGTSSLPSIAAAP >PPA12264 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig221:128903:132045:1 gene:PPA12264 transcript:PPA12264 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLKEAHAARVHELLREEKLKMEEINRRKRQKEEERKMNWNRIRDAFAALMRARYAGMMNNEMENKWDDRFNELRRFHDPVKEAFLDLQCIPLRRTDVALSLIQFEVHIDALRMSLSTLEEIMAPEHLQVESWKEEWNDAHFLIDYGRSLEQILSSIIRVRREIEMVIDGIEATREKVEELDQIFGLYYLENSMRELANAVDSIPTVYDLKQKYGNDSSETLKEIMASEALDAETLESLKVERKDRQMEAAEWEHRTEMNNMLHENSVMKEKLHEELQRSSDQMIKQNKSQKESVLYQKITRILIETMTNYEIEKEYSNIRVQQNELIGAFCKLEATSSQDDGKDHSVDDASKQFYSVFCNLIKSLCGSKSISPAERSEVNELSTLMSGNGAERHYLDNSRNYRLLIIDNRGPWNLETLRMGLEAERNEREEFIQRAAQLTKLAKRESLDRIQEIHRSSEKLRWERGEAEMKLSLKENDHRQAIELLKRKSIEDRESYENELAILNEGHNDAVRKIHEEKEMRMMDSQRVFSFRTTAATYAD >PPA12250 pep:known supercontig:P_pacificus-5.0:Ppa_Contig221:70068:71847:1 gene:PPA12250 transcript:PPA12250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-clec-184 MLSITLTLWAEVCSGTNKPNACQNSLYKPLTNLKTAVSTAIKNAAKITTGQAPSSTVQATIDGAMTKIGTILTSGKTPLTGTPKAFSASIVVTTTTVAAAAATTTTVAALLPVITTTTAPVVEVKTTTTTEPTTTTVPTTRTVPATTTEPTTTTVPTTTTVTTTTSEPTTTTVPTTTTVPTTVTTTTIPTTTTTTTTQTTTTTTKPTTTTTKVTTTTVVATTTAATLGSIIGGFLGGLFG >PPA12275 pep:known supercontig:P_pacificus-5.0:Ppa_Contig222:98836:101870:-1 gene:PPA12275 transcript:PPA12275 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLLQSLLLILLFDAAAPLKFLCYSPRLGYSHVNFLGKLSDSLIDAGHEVVIISSFFNSNVKGAGSTRARVIDIPETEITGAFEREMPVDGLDTWDSGETWQAMINWVEKYEHMVADCNATINHPGLIKQLREEKFDAAFGESNCFCMGALLHLAGIEKFAITESISYKDGLYGLHQVPTMSSYVPSIMGGSFGEDMTFCQRAYNLFNTLVYMQFNYWPMEQYRKMFESNYPGFPDIQNLMALNSLYFLNSDPLIDFPRPSAARVIDIGGIAVSNGFNKLDQKWSAILDLRPQTVYMSFGTFAQAHAMPEAYKETIRATARALPDVTFIWKYENPEHNVTQGIPNLLESTWVPQNDMLRVPLVIVPVIFDQIYNAYQLKRHGLGVILDKSDLGRPAIFQQAIGDVLRNPEYKRRAVTTATMLNDKPFTAREASTFTENLFVRNMEFLAKHGPLRQLDHYGRKLNFFQYYLIDKIYPTRRDLCDYTSLI >PPA12272 pep:known supercontig:P_pacificus-5.0:Ppa_Contig222:80402:85475:1 gene:PPA12272 transcript:PPA12272 gene_biotype:protein_coding transcript_biotype:protein_coding MRQYRWTDFAFVYSTVGDADKCTSAINDFNDDIHISFFYQFPDYAISQADTTRYMQALKSRARVFAVCLSDNLGIKRDFALALTDAGMLNDEYVYIFVDPRTRGFVTFEDGVTMDVWVDRYGRKDGRDEEARQAFQRIFILTDLIPEGANYTAFGKEVIKRMADAPFNCTTACSAPQFQTPAVYAGQLHDAVYLYTLALNRTLSSSPQKYRDGNTIMYNAFGVFDGWSGQVNMNVNGTRSPTFYLFALDSNSKTVTQGDVFVDGNTAVFTTYYKSESDLWWNRAGYKRPLSEPICGYKGDNCPLSWDQQYLGIVLGAVAVVIFMGGCLIALGIYFYIAKQKENERADKLWEVSSLSLIKAKSKAGMESMRSIQSGPSTTSTKMTIDSKKDSLHYGFFIYNREIVVASKYASRVTVMEENRVEMRMLRLIEHDNLNRFIGLSMDGAQMMSIWKYCSRGSLQDVIKQGKISLDAFFIYSILRDIINGLNYIHHSPLICHGNLSSECCLVDERWVVKISYYGLHWIRSHEKRRKKDLLWTAPEFLRSEDIFGSKEGDIYSFAIVASEVIAKTSPWDLENRSEKPEEIIYMVKKGGNAPMRPTLTLGENMDINPAMLHLIRDCWSESVRDRPNSDTIKSLLKSMHSGRSDNLMDHVFNMMENYAGSLEEEVEARTRELTEEKKKSDILLYRMLPRQVADKLKLGQSVEPEMYDAVTIFFSDVVKFTNLAAKCTPLQVVNLLNDLYSTFDGIIDEHDAYKVSLPRRPFA >PPA12273 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig222:86975:88630:1 gene:PPA12273 transcript:PPA12273 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFMASLKHFKIPHLPGERINLRVGINTGPCVAGVVGLTMPRYCLFGDTVNTASRMESNGKPGHIHLSSDACRLLNLMFPAFRTEPRGEVIIKGKGVMETHWLLDQDADDDFDRAVQDHEARLNKDSPLYRQYQRATTIEMEKPNMADEWAVDEVNEAVDVAPAFKLKSYLPEVKLFGK >PPA12276 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig222:102909:104559:1 gene:PPA12276 transcript:PPA12276 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKSIILAHSLFLLLLFPLATPLKFLCYSPRVGSSHVNFLGKLADSLIDAGHEVMIISTFFVSDVNGAASELFLLLLFPLATPLKFLCYLPRVGSSHVNFIDACHESIRLTVQRRVIGNKRAWA >PPA12279 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig222:116509:117647:1 gene:PPA12279 transcript:PPA12279 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFIFISINVILKYFKYPSSTELSIGVQTQKFPLFSFCNENPMKRSIVDSDAAYAEISNMLKQFEQFELKTITKDDYGFSTSTMRMQRFNRARTMLRLMMQKLSAADRYRAGYAFTDIVTECTFMGKTCSSVDFTPFLHPDYGVCYSFVADREVTRPGAEQGLRMLMTVNVGSSITVV >PPA12271 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig222:72347:73257:-1 gene:PPA12271 transcript:PPA12271 gene_biotype:protein_coding transcript_biotype:protein_coding MFHPARGDNSTGWSEDFENVFALWLQYDSEPTPDSIVTNYVTDPCVQSSVLSPEYTFTEQNGICPITPIAGESMARSYFVSVIRHDGSYAAFDNNVINRLKWNASINSYVIYLYYGRLLLNDPVYAAVCAYYPTDG >PPA12267 pep:known supercontig:P_pacificus-5.0:Ppa_Contig222:1020:2038:1 gene:PPA12267 transcript:PPA12267 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRDCLSKKRSPLKRPPRKRGMQLSQNRNGILPEDPGLDDSSTVPDARPVDYDSFDDDDNVIGERTLVPPTPAPVEHDSFDDEDNVLEDSFDRSFEMVRPEDLPTWDPRSEYANDPVFRTTVRSRRLADS >PPA12280 pep:known supercontig:P_pacificus-5.0:Ppa_Contig222:118880:123506:1 gene:PPA12280 transcript:PPA12280 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTQTRTDHCRAIVHFPEDYPDFSKNGFKLGASSQAMIAFSRIMMGRNDKPYGNCTKPGEELENYYSNFTYTFNSCQHSCLQRLAWEHCKCVDPLYRKADQHTYCATPADMDTQWNFPLSVLCLLNLTSFAAAANSSNGRRVCDCLPPCSESTLQKIVTYGVYPSAKYKVAAGTQGQRDVLLDGQGGGRTGDGDEDSDDYDGTTTTTTTKPKTTTTSKLTTTTIRLTTATADSIECSTEWLTKTVNIVSVGQQAHQCRERYPEIYNSSKFTVIQGWPCTSQKECKTCVMFASEFEPDDSWPCWYDDYRFCDRYNNMGAVNMKCDQFFRMFDFIPIGVNTPNISHWEQGELPLSSGCQSSWQTCWENGVCKRIPSSSDLQDLVGNPLLDTSFLQRGDVDGYTTPCQLQKKALAAANTKFVSPGGPPGFRKRRAAVPETTTDAAKNATVDKPGYGSCEYANTNFKGAAECIRWYRRNGLMFELYYETLQYQSYAQGPTYTIVDHISMSRTRNSTLQLVSMLSDIAGHAGLWLGLSVISVVEFFGLFFMVVLTCVRGRKMVSDEDAIGEEVANREKQARRKTTQSIDSIDDD >PPA12277 pep:known supercontig:P_pacificus-5.0:Ppa_Contig222:107198:107633:-1 gene:PPA12277 transcript:PPA12277 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSTAGLFDRNGSTLGRDNAETFDYHNLFTAIHISVSRMHLQFDYKSSGPNREKCRIRVKSSTPLWSNENDYAILTMEKDWQ >PPA12270 pep:known supercontig:P_pacificus-5.0:Ppa_Contig222:44177:51624:-1 gene:PPA12270 transcript:PPA12270 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHHFAAADIDSEYHFTKVSKNICFPPIAQSPVELIKGNEGWNQLATDFINGVTSGLSNDLITEIGNLIFGKGGKEGKDVAEDLFNSQTPIVIFIAVGIAFSAITFIGAVITIIWACTCRWKSKGVKSPCMNITGIILAFIAFGFVVSGITLYCLSIDSFVNGVLKAPNQLTTIFSNVNNFTTGAASQISCSVTNGFGQITTEVEKLPDEIVDLFNTAPGVVDVLGPDYTALGKTFTDNKKAVDDIVAGLTTALNNAAVAGNAAAQTAVQNALTSYSTFDELLKPTTANNIPQTLNTLGGQITGFQTNVDKLIKDNAAPIAATATTAINSMIDTVNSVVDGINQAIDGVVNLVNNVLDFFNQIEKNLNDHDEVTGWITAGFKSIVTAPSVVALLGAFVAFVAGSFLACKKKSLPSKGCCSASCTLIVLSILTLAVAFIVMLTASFVMTLGYGTQLVCQPFFYDDKLQYVKDQFWFTEMVHEFMLLFKALTAIDDIFGTVQIPSMTPNKKVTLKFSEVMISCKDTKTFMEAVKGDDIIDITVITSAIDVTGLTTQAALSLNLVPDLSAAKDLDPPDVNAVTAQMQALKVILQPAIDTLNTASTSAADLTDPVNIKKVLKSTSNDFIKALSTELTNVANQFKTDLITKSAPCTPVYQSYEDVGHLGCEQMGGGVQGMWAGAGLAALFFIPAIIATFFVASALRGGKAAKLEELSGKIVLQKVNIPDDEDPYKISAFNSGPMVSRQPMHELRLTAYIDQPNYTSPWESEVAKPVPAAAAYYNATMEASAPPDEDSESECSMRL >PPA12278 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig222:113237:115152:1 gene:PPA12278 transcript:PPA12278 gene_biotype:protein_coding transcript_biotype:protein_coding MWRHGARTPRGCYPTDPYQESFWGVPWGELTTTGMRQHFEQGQRLRRRYIEETELIGRKYTRYQTTVRSADTPRCIESAQANLAAFYADSPTFPSDVNGWPSSWTPIAVHSRPYEEDRELEVAVSCPRADQLSKNRENLPAFQSFLASKQPLFDAINANSGDTFNVSSYTIAHWWGILRVESQRSQKGDFNLTMPEWITDEFYEGLRQAFEDSEDYIDGQGSKSTLKCSVAMYHAYNMFLAGFGLPDDTELLRLRGGFMLGELVKNMWNAVNNATATKYFAYSAHDTIQRALMLSLGVKEAIVGSGNPYYASVLAFELWESIGQYYVKILFSPNSETDLIDYSTFLPMKCSVGLCPLADFVMYAQRYIPQGVEDCYKY >PPA12269 pep:known supercontig:P_pacificus-5.0:Ppa_Contig222:9639:14981:-1 gene:PPA12269 transcript:PPA12269 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSTIQVEYTIGVSPSNDVAQSFCGSEMLLKQRSIVKRDTDSIAADENNKFAALDDTRYHATDVNWFEHRILGPIIRWFCKIRGNSGCVPDNTLEKYDEIQRNLTYFIKMAEDNMIQVEESNLLETIIDESVLPLHMRNDPHLQNLVLDRAMRADQDIDLREALFFAQVTPLGCTSSNSILLSIVLVDFRDAAHTTLFQVMHAGSFNLNKTMLNRMRIPSHIAVDSEGKYKFVDLTKCAHSGFGNYMCPEVGAVVPSACDLETLSGCALHDMKFVANSTGDIDVIVELGMAYVIATNRQHVYTVTSLERERIRTPPSGILKIKLKQDHKLIVGTRTLLGMDPYLHEKEHEPNFSWESQEVSSNNSHVDSFGNKHFMEEIEHDDEHDEKHRMETSTEISEWDAIFDFIIENLKLYYIMSAIDIVVLTLISILLKMIFNKVQAKMRIVQNKALTKTEIHVDFKMLAEMGTFALRNICANSSNDDALPGRVKRSMDSKELSWCLHTDCSESVWEMKKMEAHLDHAQQAVAILLLRSHHRMKYSDLTNLIYRNRIPLSLKNNKGFQIPVLLINTLRIPQQLLSVVPIFQLMTTMKFSILRCTSLDSATFRLVAPNFMGADGGAIMKVEHIGAFSPDRSRLLIKQMPPYAVASQRDGIQAFMEDECTNKKWGIFVCHKNAHTAADACNLATLNGCSRTRNLDSSNEGFIHHTSLGDEVIIRTRIEEYTQTNSKGVSQRMKVLGNGIFKMKIMKGTNVTFVHRALPQLRRGEWMELKAKTENMLHIAEWTTSDTESAVERHHSFGDSVKYWLIEGFIPFGGVVFYEMKSLLILFSLSLAVTSAIDESMPSGSPSLEENKPSLNYSHPRLLFEYIGQVRIIAHIRRLHFAAPLPFYMTVTNKWRGVLWRGETSHGYLNKHSTTGLNLNHTLVAALDRNMHAIDSNIESLAGAGYERLSWYFADSLTTWMKLPLVFLDSKIFLDRFVNQMRESNMEISEADAEQILMHGEIMVHGCYDNALKLSVKLPEVLFEGSLFEMIDEGTFSANFSTYQFFSTPHNIIERMHTNGSFEYYAVNEDDCSFTLGDIWCTSINKRCIPTDMSECASETRDVKKTSDIVDIRSFGADFIVATTLKFYHKKIEKIYSYI >PPA12274 pep:known supercontig:P_pacificus-5.0:Ppa_Contig222:96096:97894:1 gene:PPA12274 transcript:PPA12274 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLYALPKLLWNLTYEWRGTDGMCKTHKYLSMASYYLSSNIIVCIAIDRLKNVLGASKIRRGSTSSIRVLIIAAWLLAFLWSSPQLLVFQTVDVLADSEGSWIQCSDVWTINSFHKVGAPPTAPEWLLQPSMRAAYELAHLLLVFWGPLAALSICYIIIAIRLARYSMGGPQTEHRLSCEPTDGNCKLGRFGQSQVIAVGAEEVARPVDVREYYRGAQEAKTVVDGAAEQSTVLIVAAHFLLWFPYNFTSLVSYLSIDFRQLISMHAYFLNDLQILITIVNPMLYAIVQ >PPA12268 pep:known supercontig:P_pacificus-5.0:Ppa_Contig222:5992:6410:1 gene:PPA12268 transcript:PPA12268 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDKGTFSANFSTYQFFSTPHNIIERMHTNGSFEYYAVNEDDCSFTCIPTDMSESASETRDVKKTVVDIRSFGAGFIVATTLKFYHKKIEKIYSYI >PPA12281 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2220:218:728:-1 gene:PPA12281 transcript:PPA12281 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIVAHGALRSDCDVRDFLTMEVELPKATSTSTLSSAGMKRMFKSVGEVIFDL >PPA12282 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2222:35:714:1 gene:PPA12282 transcript:PPA12282 gene_biotype:protein_coding transcript_biotype:protein_coding NLVNYLDSYLVEADDLWVVMDYLEGGNLTDVVVKTELDEGQIAAVLKECLKALHFLH >PPA12302 pep:known supercontig:P_pacificus-5.0:Ppa_Contig223:72563:73616:-1 gene:PPA12302 transcript:PPA12302 gene_biotype:protein_coding transcript_biotype:protein_coding MICDSIQKRLKSILLAKKIDYRVSQRDNLLKFVSQLHWCMGADRFKGVVSYGFYKGGFTTTKPAPFESPKDYMFGSGSMAACDNCSSLSCTKVFDETSAGANE >PPA12300 pep:known supercontig:P_pacificus-5.0:Ppa_Contig223:68164:69157:-1 gene:PPA12300 transcript:PPA12300 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNDDQGLPARLLRSDAAGAEKPALAVYDSALLHASQRRATYPPRSVKNPYGAIAPWVRTLNTVRRNAKRKALLMLSFNLIFWLPYCFHAIASSFVELNYFQFQFAWSSETVQENV >PPA12291 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig223:20623:22349:-1 gene:PPA12291 transcript:PPA12291 gene_biotype:protein_coding transcript_biotype:protein_coding MWLSGLCIALALFVTFRSSDACIPTKTPEPGIPATMRPPSLFILLTVVATLFLASSDACVPTKTPQPGIPATTTTVKPAITCNPPITAYPPADCMAVFTPKGKMCGEADITPTMVSCLPGWTVYYVSPTKTGTLADVFKCVGTTWMVSGFPLSQFTVRLHSSIKLSSMPANTKKVC >PPA12296 pep:known supercontig:P_pacificus-5.0:Ppa_Contig223:41882:43367:-1 gene:PPA12296 transcript:PPA12296 gene_biotype:protein_coding transcript_biotype:protein_coding MREASNRSPHEELSKYWSSIKKSTPSATSTEASPAVEFSPTATPRPPEAFPKPLGLGKASGGLGVAVGLNSTAGLASVDVADGVDFCKKKHN >PPA12285 pep:known supercontig:P_pacificus-5.0:Ppa_Contig223:3382:7065:1 gene:PPA12285 transcript:PPA12285 gene_biotype:protein_coding transcript_biotype:protein_coding MADYQRKVIIILLLAVAAAAAAGESTTQGPKKSIIDEKSEKTAEDLEKVKQSLQHLNEKVIARSNTTAESPHLKILLGLDLGPESNETRVNEAEMNKGVKEYLMGGDISVNPSQAAALVAAHGATTHTPPPKDEIEEKKIVQHRKRAVSLFDVLHATTAPTVKHICAESPNESNNLELTTPASARRVKRGFQTDPKYAWDPTKPIPYFFDPSLAASSIAVIQQGIALWQNNTCLNFVENPSGDNALRFFSGAGCYASIGRQGTQTQDVSIGVGCDNLGTVMHETNHAIGFFHTMSRPDRDNFISINFANVDASEQYNFVQNAPGTDNSFNVTYDYSSVMEYDQYAWAANSSIPTIIALDKWMQTTMGQRTGAAWSDIKQANLAYSCPAKCPGKTCSNGGIANSRDCTKCLCPPGFGGASCDDVAVGDAAVCNGGTLTATAQPQTVLAAAGTNDYVAYPTATNCYWMINAPAGKKVTFQLTAAPVSCVQGCVWQGVEIYMGNFDAWGVTMCCTSFIGQTFTATGNVAIVRGFARSNLANFTFQYSYS >PPA12290 pep:known supercontig:P_pacificus-5.0:Ppa_Contig223:18623:20548:1 gene:PPA12290 transcript:PPA12290 gene_biotype:protein_coding transcript_biotype:protein_coding MYYKSINTVTSDPAAYLDDLRGQVVSNQNLIYIGSICAFINIPLLLLLITLAFADLINCLSIMAQGIQRSSILLDVIATSLMPIKSPFDCAGELWLILREVGGLWAPMIQIIMGSERIVAVFKPVWYNRTYRMRSVASVLISVLFVVASIVSATIVAWTRRERKLSHYCGRRSAFTASYGTYVYVINVCGYAIGFALNLISYCKVRTFMSRTEKSRNLARLRYYLAISAMSTVLVSIPNLINLASIADRVANAANWATAINSGMNFFVYLALNEEFRSRCKQIVNALLGLNATASMVEAKSAMGNYQAEKGCVIKIKKKACNSSLHPISSHFRQTIS >PPA12299 pep:known supercontig:P_pacificus-5.0:Ppa_Contig223:63147:65742:-1 gene:PPA12299 transcript:PPA12299 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDLVQVKCVFPYNSSDEEILSSSPSLLIKPSGGGGKGQVSVTRLIPHSGSFNDARFLHLNAPAWLVPKGYMQVNRELLNRTVTNGVCLKSSNVIGMTFTATVAVYNFSYDKQNNTDIFIFSLFLPRSMPVGAKCEFALRYVI >PPA12288 pep:known supercontig:P_pacificus-5.0:Ppa_Contig223:12383:14172:1 gene:PPA12288 transcript:PPA12288 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLGIVTHNGIFAVALLFNSVALYLIVTRSRKEIGSYKYLLIAFLCTDTVFSLVHWLCRPVLSFRDGFFVSYGEGLVDAAFAMTLYGASGSLSFPLLACHFLYRALVVSMSKRPALQQLFRSKLLAAAIAALLVMDGATWIFFNYQLRLDPNDAAIRAKIVATHPELKNRTEMIAIVPFMDGKLNAKVARNALPLLAIATSSFVIIVLCAWRITNALYVRSSMSVALRNMHRRMFLALIVQMLVPSLTMFAPSVTAISLPFLPMISSDHRASGHEQ >PPA12298 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig223:60752:61198:-1 gene:PPA12298 transcript:PPA12298 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIHSIIHASLGIIGFVANSLLLVATYSLLLFNSAITDFVASVTDGLTMIRIVVDQVSIVYIYNGPCGAISEPTCFFLYSLMLHFTMHSIALMAVSFWFRY >PPA12292 pep:known supercontig:P_pacificus-5.0:Ppa_Contig223:24066:24493:-1 gene:PPA12292 transcript:PPA12292 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGISLKSHNQLDFLVTGVILSDSLCSSTIEHGKFEVCHEDHSSVCAKSQIEPSANISRVHE >PPA12297 pep:known supercontig:P_pacificus-5.0:Ppa_Contig223:44797:55000:1 gene:PPA12297 transcript:PPA12297 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSTITFFVNGNEVSVENPDPELTLATFLRYKLNLTGTKLACEEGACGACTVVIARWNKQEQRARFVSANACITPLYLVGGALVLTVEGIGSQKRLHPIQERLASGNATQCGFCSPGFVMAAYALLRNNPCPTAEEIRSALVGNLCRCTGYRPILEALESFSKSSGGCCMGGKGGCPCKEAKGEGSKEKTPEIVCGLVNFEQLQPFDETSEIIFPLKLIMDNKQEPLIIHGKRVTLHSPTSLEKLSAIFKSLPHVDKFVSTGIMTRLIQSMNPSPTAKSNWLSVQRIEQLKVVDVDDCEIHIGSGLSIRQVEFLEAVRAHCKTEQYVTAINTLYAKYSSDQVKNTASWSGALASASPTSDFCTIFMALNWRVRLLNLSTSAYRTLSADQFFTSADGNKTALEADEIITVLLVPVAPLQKDRIAVFKHGKRFGADDAVLNAAAGYDEDSASFRIVVGAFRKPLLLEYSSEKAKTVIGKLRKGESADDLISSSITEDFKNFAGEKEFDYKTSIAKAALTDMLSVLAGSASGDGLSVSTANLEPLQLFKDADLSIAPVGRPLRHAAADRHTTGEAQYVDDVKIHELKHAALVLSTEAHARIVSIDATPALTLAGVLAYVDARDIPPGGLLRPSIQPYFTLQDDTPVFADGVVEMVGQPIGCIVAEDVQTARRAAKLVKVEYEKLPAILTIEDAIAAKSYLSEEHAIYGKKPEEIDEALKAAPILLEGECSIGGQEHLYMETQSSIVVPQENDEWIVYTSTQSPNNAQYLCASILGIPANNVVIKVKRLGGAFGGKLTGDGVARGPAVVAANKLRKPVSCVLHRYDDMAATGKRHPAIFKWRVGIDEKGRLLALHVTQYLQGGYSIDHSLWIATVIEESSAVFRIPSIRAECYAMKTNTCSNTAFRAYGMPQQFFFMETVMAAVAKRVGRQLNDVKKLNLFQEGDVVLGGTTVRNYCLPECWKQVEQLSDFAKLQKECEEFNKTSHRIKRGVAISGAIQGLTHPGVGEQGTALVQLMLDGTVRVNVGAVEMGQGLNTKMIQIASGVLKVPHEKITIIEMATDKTANTVPSAGSKCTDICGHAVKKACEKLAEGIQPHIEKCNGDYVKALMSAWLAKVPLQICETAIIPRKGTGVPDDELAYFTSGAACVQVEVDCLTGEHKLKAVDIVMDVGDSINPAVDIGQIEGAFMQGYGLTTSEEIDTNDQGKITNASVYAYKVPTVHMVPERFRVKLLEHGKNYPGQIYRSKGIGESPYLLATAVHNALRMAIDSFRGKDDFQRLDSPLTAKRILKACQGGN >PPA12295 pep:known supercontig:P_pacificus-5.0:Ppa_Contig223:38850:40177:-1 gene:PPA12295 transcript:PPA12295 gene_biotype:protein_coding transcript_biotype:protein_coding MLEWQCNPRYTALLKSTWSDDFEVLFALGAKMYITAFEGPHGVACKSLFPWVAKYEEAGENYADKSEFRLQALRLVQTIVKALDKVDDLQKLEAYLYAVGHRHVFYLPVWLDPVYWDVFKASRATSYLGQSTMLKSASERDAVQVGVNDHLHKLSKLSTDDLARATLIWTDIIEYIFEYVKEGFYDGLKGRNRFS >PPA12286 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig223:7603:9459:1 gene:PPA12286 transcript:PPA12286 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQGPSSCLVCGSATRIAHLGIDCCLAQEARIATHAVLGPENVLLDEDQSVEEGTSSEMELEMRSEKRLLDRVRTNYKTMCFNRLSGELNSRINPPHPLDVDVETGPFFMADFASLINAVRILLTSALEFGRATFPEFAGLERADKWNLALNFFYHFRMFLQNGDLPRIRQNALDFVAKVDLKEEELLVVAVLMFWKTGYLSVSDEVRQIGEKYRREVLKELHTFYRHEMGLDDYATRLGELMMLMQIFEVYMPWGVKIAST >PPA12287 pep:known supercontig:P_pacificus-5.0:Ppa_Contig223:11178:11766:1 gene:PPA12287 transcript:PPA12287 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSILLLLTATVAITLAQRPGGQGGMGGQQGGNQGGMGGQQGGNQEGMGGQQGGNQGGMGGQQGGNQGGMGGQQGGNQGRMGGQQGGNQGGMGGQQGGNQGGMGGQQGGNQGGMGGQQGGNQGGMGGQQGGNQGRMGGQQGGNQGGMGGQQGGNQGGMGGPRGGK >PPA12301 pep:known supercontig:P_pacificus-5.0:Ppa_Contig223:70018:71394:-1 gene:PPA12301 transcript:PPA12301 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHYPAEQDSDQEYHLPLAYEIERAMYEVAIVVGIFVSAYTLCKFSRCYKENRSVAARLLSYKISLSVADALILFVYAPTQAVWITTFWWYGGDALCRLYKFISAFAFYLTGHMQE >PPA12293 pep:known supercontig:P_pacificus-5.0:Ppa_Contig223:28630:29209:-1 gene:PPA12293 transcript:PPA12293 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVISRPHRNERSQDRSDPTRPQRNWKSSRVVDLLDIVGRTPYKQQLNALIKRRPIAGRILNEINGEFEYLELKDAKVVDMNCLAYISHRNREGKHVRETLHAWATKSGFKHNCSNSVIKAGDGSVYLLECVVVFL >PPA12284 pep:known supercontig:P_pacificus-5.0:Ppa_Contig223:1435:3303:-1 gene:PPA12284 transcript:PPA12284 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKPKYNGIAAALIFVVGSTFCSLALWTIHKSTALRESFGLICKYQMITDLTLLSVTSIWSLLPAEFAPPDNSFIAITITYVSTGQITLGMVERFQVAEICYHYSGAMHDLFAINRFVYIVFPTKQPAWRKATPKILIVCAIIIAFHTIAMTLLDVNLYWVYDRHTYIWHMTNTDWTEFYIKYFELYWSTVEISLILVLDSITFGFIFYRKYKSFCQCVPTTTVNIIFFFVLPGTASHHLEIVFSSIWIVTNILDA >PPA12294 pep:known supercontig:P_pacificus-5.0:Ppa_Contig223:35621:36190:-1 gene:PPA12294 transcript:PPA12294 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVGFSSKLGHELVPNNHSGELELFDHANPVIGKAVRTKEHVEKDQLVSEFRGKYYTKEYFKKLGS >PPA12283 pep:known supercontig:P_pacificus-5.0:Ppa_Contig223:63:741:1 gene:PPA12283 transcript:PPA12283 gene_biotype:protein_coding transcript_biotype:protein_coding VHVTQRVMTLEKIARLTEGGVDNLAKGVTNHKTEVIARFVHTDDNIDGVTKNITRLVIGDHHELSDKASIATRPHLIVIGDTVDNLEKELGKEKFVTLRIGFLNGPVEKLPKFLSCFDMIVTDKQAAVDVPRRIINAIVEKKIAKD >PPA12289 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig223:15070:15787:1 gene:PPA12289 transcript:PPA12289 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLALLLAVLIVSCLFVDQTHGDGLNEAATAILIRIRIPTRIPNLTRDHYM >PPA12303 pep:known supercontig:P_pacificus-5.0:Ppa_Contig223:76242:78867:-1 gene:PPA12303 transcript:PPA12303 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKSQVGIALDYLSSEEDPDQEYQLSTAYENSKKPHFLNFSMQIERAMYEVAIVLGIIASVYTIRKFTHCCKENRCAAARLISYKISLSVADALILFVYAPAQAIFVYFSRLQWYGGDALCRLYKFIATFAFYLTGNMQVLIAFDRLVTMTHLTEVHVKEEKGYNTRLFLMVAWALALFSSVPQLFIFKVVYVNEDADCPQCTSIWNEYTVLLDREGERRASARNSAENTSMIPSFNTSSIRDEWIRMQELEKMYNILHISMMCIIPYLFELVLYALIVSYLSDATKGEFSGFRRFAYK >PPA12304 pep:known supercontig:P_pacificus-5.0:Ppa_Contig223:87478:89679:1 gene:PPA12304 transcript:PPA12304 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKEYVDPLVNEITYAVQVLVTVVAYILNLLLLDIGTYRILLTYFAVSDLYYNTLHFSVYPIPEMHGNAFMMRGHGIYPELLGVGLYLGAYGHAFPILIFHFLYRLVAIKYPHWLKHFPVFFGALILSTVACNLLMFSIFYWFFHPDEQSLRILGPIFNGTIPQDVVHTPDTAAEHAQALYWSGKTFEGPRWRNLIGVGLMASTMTATYAFIVCCSLLINKYLKKQMKSALSMRLHKQLFRSLIYQAFVPLFTAYYPAGTSVMLPIFGITIPYISIIVPPARAFFRLTGLSRLAQSIIADAKSKTI >PPA12306 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2234:66:741:1 gene:PPA12306 transcript:PPA12306 gene_biotype:protein_coding transcript_biotype:protein_coding VPTRWLKDPIGQDTLQKLIASDLKDNGGKLGIATEGLLWLKRGQEFMLLMLIFMVRDYRKDKASTESLVSVINGAYEGSLKRHHGFISKQVFKVLTLGERSPLAEWPTMADPVVEDQSSSSQDEVLQIKTLTKNIS >PPA12308 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2236:36:325:1 gene:PPA12308 transcript:PPA12308 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAKSGSVLYHTQRLQNRMAGVGFIVYAPASSRDDSEYNEFVDQLEEAYHAPVSGSHRY >PPA12309 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2237:27:746:1 gene:PPA12309 transcript:PPA12309 gene_biotype:protein_coding transcript_biotype:protein_coding LRTKRAENDHLREKVRDAVEKAKDSTKKIEDDVKSSSPESAAKGKKGKKGKKDSSPGVEAPLADQIAALERHVRDINDTILPSIDSALAVPVDAPTEKKEAEKARQNAQDLASRIADDIKNKKDELENQNKARAVEDALAKIGDKIDSILAPYSEKPQSLTKAESDMQKIADLLAKDLASVPIDQLADPSSAAATAAKLKQRAKETIVPLEKEIEAEKKLANDADAVEAQEAQLEKELDS >PPA12322 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig224:47617:48195:-1 gene:PPA12322 transcript:PPA12322 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNRANLHRKSDFVHLLAKFITLIVQVIFLLCLTVIVFHHGAGFQGNNVVIKPGKETSFTGLIDGLHDGSREFWTDAPGFVLDDVLVYDLLLGSKNIHTVPDTAALLTKICEDPKAVTGMPLNWIMEMNLIQNPCSLVG >PPA12328 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig224:72624:75566:1 gene:PPA12328 transcript:PPA12328 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLIALNIKEFVNDNGSTQVNHTMDANGKKLPKVTFCNNYPIRKGHVQMKYGEMSEKLRRYVILKTRFNTTLTEMGLCHSITINDEYQTSETENGGLQLILDAHTDDYLPFEIDTNQIFNYPLSEGFRIFLEESEMHTYRSAHGISVAPGQSIFSGIQLNQVGMAELVMMNDIDQYMLATKCRSDWEGVNLKGYNTVLKYQARDCRSKCLSKVYDRICKCAPLVYDIDSEYTNCSPKQIFECSRREEVQKAASLEEETECDFCKVECDRYDYQSYNSYGGPLSKSAVDALHTHFASVTNETIKQFVVVTIYYRELSSIMYNAVKSPSFGELLIRGTYLVGNIGGSAGLCLGFSALTFIEIIVLFFKAISYIFRKKDLDKKGVEYKENKRLEQAAIDSLKEKHKKKHSGAGDAGGDPNGPSPPGHSSSPVAIPPRGDTAHLGLATNLTARGQANLRRAPSAPETNATQTTGTEGGGDAPNGENMNSTPPARLDV >PPA12319 pep:known supercontig:P_pacificus-5.0:Ppa_Contig224:29856:32421:1 gene:PPA12319 transcript:PPA12319 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLSIAEYSLQAGSVATIEPHFEQFSKPEISAKFLSSNGVQAKVKIDNVVIKALTDINLVFYSIKEYVNIHIENVSLDVILQFGRNSSTNRNVITAERCDVVDPQITLTFKKDSELEGYADTFHNGIKDALKGAVCEKAVQALIYIDEQHVQQTEPSDEPSRGISAAELAEGLCADEKALTTLAPPGGLTSPIQTGPPWSVDLTMTLPPQFTDEDVTFGVNGGVLLASLAAKDVPQPVPIDPKLLGRKMVGAMITDFVPNTFFSHVFDFGIGEIDYKVHPEHLPSSLRSLAKLLCGGCHLQVTGSLTRKPVVEMDDKLGARVSLAANVSILFHGKKSSYDVINATTDMHLTLKPTIRRSRIYGDVALTSVDFDIKNLGMVGALAAPLEKLMSFVVPRTMWPAIRKRIRFAMHQRGIRLPVLCGVSLHDFSLSYTDRAAILQSDLAFDLELFLKKFKCENYRM >PPA12325 pep:known supercontig:P_pacificus-5.0:Ppa_Contig224:60620:61989:1 gene:PPA12325 transcript:PPA12325 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLLLLTLTFLVAANSLNVFSNNCWYVLDRVYENGNSRSMTPEEVDRLKDYGVQLAEYSVSLTQSLLNLGRDGWPQPPVLPCYCDKDFRNVSMLNSGMNCLLILAQVLIVFSLSEEWNYHEYVNEECFYKNDFVFDHMISRPMTKNEQAEIRLWLEESKIYGLASIVLMDEIGLGKPRLPCFCVRCE >PPA12316 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig224:19323:22579:-1 gene:PPA12316 transcript:PPA12316 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSQFSSHKKRSDLQGIRGVAIVSVLLFHIRQDFFINGFLGVDVFFVLSGFLISSLLSRHHKLSLPIIFDFYARRFRRIVPLYATMLLLSMICSLLLLSSIDVEKTQSSFIWSLLFARNIQQIRDDRDYWRQASDSVGVLRHSWSLGVEIQYYLIAPIIAAVLLLFKSQSGRISFISVFSADALKKSVSFAEDKEALLEKEDELENPETEAVSRPFRYHSTFVIVILVIAFLSPIPIEKRLGAIGISVLVTVLIAMGKEEDNLLLTNYPLTYLGDISYALYLVHWPVIVFFAYQRERATIDSWRALIILLVIIMSITLLSHHTVEKWSLAAVYVGAVYTVLATLLFNSYSISQALLSNRIEDLLNTSSASKREPVTKEQIREIISYNMGGPLLPIPPYQIDYEARQWTNYTDEKEHNDYSFVWKGNGSLSVLLLGNSFAWRAAPVIHHVFKGNYSVLRVYTHLGTRLLTDVDCPKYRAAYAIVLEKMRPDITLVIARDPNYLSQTLTAWKKITEERIEQLKNFSQRVVVDGQNTFCGPVLKLKSGEDITTPTEIVRRLEKGNLNMDELHWSRNKSDSYHSLETSILYSIAKKNPNITFNNIYEQFCLEKEEVCPFYNPKNIHSYYGDKWGHLISEGLNTLRIGYQRIANRLIKELSVIE >PPA12326 pep:known supercontig:P_pacificus-5.0:Ppa_Contig224:63927:64991:1 gene:PPA12326 transcript:PPA12326 gene_biotype:protein_coding transcript_biotype:protein_coding MRILLLSILLIAYVAASNVYSGTCRYGGSYVYENGYDPRPMTANEVDQLRNYGTQWSQYGIQKGQYALGQNSMPTPPKLPCFCHNCY >PPA12317 pep:known supercontig:P_pacificus-5.0:Ppa_Contig224:24462:25822:1 gene:PPA12317 transcript:PPA12317 gene_biotype:protein_coding transcript_biotype:protein_coding MRISLLDELFTQFFGLNLIDKPYQVHDIYFAKTVVSSLTTTHRGMISFVHLSVFNSNLEELNSKGFAINLNLSTEHYLKRTEANFTMKYGWGKLVYGCPVFNSPVQVKHYHCDGREIDVNMTVETNEWLTTPPGTLFGNETFIVIVEIEGGFVDIRHFTARMQMTNGGNGSEKSKGNGTSEDQSKSSIEENCSSH >PPA12320 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig224:38559:41924:-1 gene:PPA12320 transcript:PPA12320 gene_biotype:protein_coding transcript_biotype:protein_coding MFTESFNFIQINSCGTTNPCWNGGRCLTLTADVFKCICPAGLGGFYCELGNPCAGVNCGRGWCQVMDPQTHFCVCPPDGTYGRLCMMAPTLWPMVCDNVNCSGNGECVFVPGKTAYTCNCNYGWTGANCETQTYCGKEGSWWCKNGGDCVDNPDGTYYCNCLSNYYEERCRGYDVCQATTCSGRGTCSQVLQPDVMVMQCTCNNWFAGLDCSDDSPQKLVDAYGVENYLKIKDLVSNKYTNNANFLTSLPFMVMQVEPAVRETLGYQINEFMIHVEFEGKPLVATEVFEFFMDNSLGNCYTFGSSNINYTFDLRSTGFESGLRVRVGSTVNESLAWDEKSAVTIFVHESTKMINAESINYNPIPGYLTRMVESYTRLRMGSMFSMACARSVKDVDNFYSTGAYTIEACFRSCYQDMSMKMCGCMDPRYTMPANATKCALDKFDCCESIIPIKGDPSTWKSCFCPQACDERQFSVVMTSSSIGDDCDKFADNDTCISNLMESDIEVVLSDSSHIMYIENPALPFTKVVVNLGGYGGLLCAFCSIVLCELITLLWILCTGGCRKFII >PPA12331 pep:known supercontig:P_pacificus-5.0:Ppa_Contig224:94574:96867:1 gene:PPA12331 transcript:PPA12331 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLLFFFASFASVSIASTIPGGVNILEDPSQYISLVWDNLAKVNEAIGKSVNGNTYYVPDKETCRPKIGIDSIGIRFAVTLKELASTNFKQEWSALPALSDDEIQLWKEIVMINTELPGNSLYYYIPGNIYMIQDSGKNEKKYRLSMLLTACRKARDLPTIENCPPLRKDDGSGIKFYEITRFEEKGTVHFVQYIAVETPPIVEITLHLTMKILLLLLAVFTITVYSQSTADLDLIWSSIADINTKIGPKKYLLIPKTEEKPLKWVNDTTTFHYVRMVLTICKTDAAGQATVKTNPEKCTALPTIEIKEPLIQYQYVKLVRTKTETPSKTTFYKGYALGSLAELTAEAKKDLLP >PPA12311 pep:known supercontig:P_pacificus-5.0:Ppa_Contig224:5268:5833:-1 gene:PPA12311 transcript:PPA12311 gene_biotype:protein_coding transcript_biotype:protein_coding MQFISLLILLVASLASAQWGGWGNNQGGSEVIERDIVTIRNPWGGSETIEKDEVIVNNNNNNGWNNGWNNGWNNNGGWNNGGYGYGRRWGRK >PPA12330 pep:known supercontig:P_pacificus-5.0:Ppa_Contig224:92460:94070:1 gene:PPA12330 transcript:PPA12330 gene_biotype:protein_coding transcript_biotype:protein_coding MTALSLVAVLLPRYPAPAGSTPAGFVYQNLEKVNNAVHPYGSTEYFVPYIVENGTSQLVSGNKYTWTILFSTVKCNGTREGSIGAVRNSCGQGSGGKKERFLVTFYRPFEGNNESDLWTASAQILLWKDIENINVAVPGSVNLFYYVPTEDITRIHSSSNVTIWNVRMVLTECRKAQDIPNRSCKPAEGKPDVYFTLKREQASPNFVQYTVWKVCG >PPA12324 pep:known supercontig:P_pacificus-5.0:Ppa_Contig224:58512:59062:1 gene:PPA12324 transcript:PPA12324 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSLISSTVLLIVFCSTLATAATWDDPLQFCAKKALAIAENPKCKWASDVCFEKNKRASANRKLDFGQSPSSLKGPSEEKFFLIE >PPA12329 pep:known supercontig:P_pacificus-5.0:Ppa_Contig224:76052:87939:-1 gene:PPA12329 transcript:PPA12329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lam-2 MGTGRRKSLCLLLLLFFIRIEAQPASQFPSVDPNHYGAASYDRFAPHCVAPSHLFSARPRPHEPFARDDANWVRDYGNSCYERDGTPQRCVPDFINAAYNLEVQVTNTCGVNNRTKFCVQSGHSGLRSVCDVCDDRHPAFAHPAKYLTDFNNANNETWWQSETMYEGMQYPNTVNLTLVLGKTFDITYVRLKFISPRPESFVIYKRTSADSDWEPWQYYSGSCRATFGLSDKAPILPGNEAVAQCTKEFSDISPITGGNIAFSTLEGRPSAHAFEDSEVLQQFVTASAIMIVFNRMNTFGDEVFGDPQVQQSYYYAVSDFAVGGRCKCNGHASSCVQSTGDGINRLVCQCEHNTMGADCNQCKPSFNDKPWKPATSLEANECVACNCSGLSNRCFFDKDLYEKTGHGGHCLDCQGNTEGVHCEECVPNHYRRGDANYCVACGCNEQGSVSTQCNNEGQCQCKPGVGGKHCDQCLPGFYDFGPNGCKDCKCHVQGSFNNDPMCDPQTGVCTCKTNVEGRDCGKCKPGYFDLSASNQYGCAPCFCFGHSSVCSTAEGYYANNISSIFNHDKQKWSAVSDGRPVDTQWAELDKAVAVSDARGGMTYFLAPEQFLGDQRSSYNQDIVFTLRVNQEGPRPTTKDISIVGADGKELGLPIFAQDQPIPSSTHATTYKFRLHADPEYSWHPRLPELDFIGVLSNITAIKIRATFSPNDIGFLSSFHMGSAALTASSEDPRTAPWVEHCQCMEGFVGQFCESCAPGYRREVRFGGSFNRCIKCDCHGHSDSCDAESGACICNDHTTGNTCERCDRGYYGNALAGTDTDCQACPCPEGGPCTVHSDGDVVCTDCPKGYTGRRCEECIDDYFGNPKEQVACQLCDCNGNVDPNSIGNCDKVTGECRKCVYNTIGSHCERCKPGYWGDALKEPKGDCRACACYTAGTVRPSHDYDVLECKLDDGQCDCLPNVIGLQCDTCKPGYFNITSGAGCESCGCDPLGSTDSSCDLQTGQCSCKPGVVGRKCDQCAPYHFGFSAEGCHLCECEPMGSESAQCDVVTGQCLCHDHIQGRRCDECAENRFDLRGGCKPCDDCYTLIQSRVNEFRESIGALNNTLNEIIENPAPVDDDEFKSKVENVGAEVSKLVKEVEKTLAADEAAIVGKVAQLKKDVKDAMKNVKEVDSLVESTKKTAADASQSLQRWKIMHKQAKEELERALSYLETEGNAQLAAAEKASKQFGEQNEQMSKLAEKARSEAEKQEKKAAEIEKMANEAYGQAKQAHSEAKEAIFGGQNISRQIAEMKQKEEELKVSLERTKELALEQKKAAEAANNAAAEALTSVEGLKLPNVDPTKLREESERLIKEAKEAREAVENEAANNRGEERLELTKQLVDNLAGIEEEAERLREAARASLQGATDQQNVADSMMADVDTARVRAEDAVNSVEETLKDAKNTFDTLNEFDERTQKNKAEALKELENLPKIEETIKKADETTSEAKLAIGNALEDADRSKDSTDLETELEKLNSTLETYKKQTEEDRVLATESVRKASLAEKAAKEAMNTTSTEVEKVKAIIAKLNAVEDVSNSELDELEKMCEDAEAWLGIPEREAELSSMREEKSKMEGAINDIKRELLELKRELDTMDFISSNLPSQCFNPIQLEQEGQKKRRLCVSFIKCEE >PPA12318 pep:known supercontig:P_pacificus-5.0:Ppa_Contig224:27144:28692:1 gene:PPA12318 transcript:PPA12318 gene_biotype:protein_coding transcript_biotype:protein_coding MESKTYSLLDPLLISMDGSRLINVPMTLTTDETLAYINEFLYRERQMMRYFDVQKSNPRPIPPHQIPSILTSDQMILNCRSIANQLVNHSDLSEEEIKERGKKRKHWSEGITHFSLNDLPPPPIPRRHSAHAYSGGDREDQPPYPLHRTSNFCATPITYHHQYDQYPIYSNPSPPPQPNADEKKKIILYCRQNDPVIGIIGLEMLGIPQERLRSTIGPLEYILQISYATENYSEVPFSVECEQWKYGLNFEKTNSDGLGFFKDNLFFFKHISQANRDNHEIVEETNGRAIDEKTQMIEIIVRDYIFNYESAPLLAVRIYPHFGRILILSVDVKIQV >PPA12321 pep:known supercontig:P_pacificus-5.0:Ppa_Contig224:45407:46071:-1 gene:PPA12321 transcript:PPA12321 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTTRLDGSQLTPESVHQFYASNPIRYSSIFFAKRQRSYDLDGSKLTFFTVFRLNSLLLLFVGSFSELVLSLRDGTRSFIIPVPDIFNDEVNVI >PPA12332 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig224:98888:99085:1 gene:PPA12332 transcript:PPA12332 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTECRKAQDIPNRSCKPAEGKPDVYFTLKREQASPNFVQYTVWKVCG >PPA12315 pep:known supercontig:P_pacificus-5.0:Ppa_Contig224:16993:19063:1 gene:PPA12315 transcript:PPA12315 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLAVALALFGAVSAYNLHDVIPNAATQITGEALRDYVNHAQKLFKAEVPKKSHRNHLMAIKHTRLPEDTKFKADVPISASLPTSFDSREQWPMCSGIGAIRDQSDCGSCWAFGAAEAMSDRVCIASKGTKTPTLSADDLLSCCGFFCGYGCEGGYPIRAWTYMTQKGICTGGGFYENVGCKPYPIEPCGTHTVDGTTHYHNCTGIPDPDTPTCTAECTNKDYTTKYADDKYYGKSAYAVKRSVDAIKQEIFDNGPVEVAFTVYEDFDQYTGGIYKHVAGAVLGGHAVKMIGWGVEDGTPYWLVANSWNVEWGEKGFFRIISGTNECGIEEAVVAGLPAV >PPA12327 pep:known supercontig:P_pacificus-5.0:Ppa_Contig224:65256:65640:1 gene:PPA12327 transcript:PPA12327 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLFSFVLLALASTMEIYSGTCRYDWAYVYETGYEPRPLTANEINQLKNYGTQWAQYGVQTAQYALGRNGMPYPPTLPCFCHNCY >PPA12310 pep:known supercontig:P_pacificus-5.0:Ppa_Contig224:103:4277:1 gene:PPA12310 transcript:PPA12310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hcp-4 MPILEEGEIQGGEMDDDEEEEDDDGMEVMRRDSVAATTPLNRQPRLSQRLQSSVDRLSQPRHRHDENKQCSRMMSPARVAPVQRGGRLPQPPSPSPARRLHTTLKHRRVTMSGYTPNQRIDTTLMEDDGENRKSGLAPRIKRNPLRDVGRKTRTAVLDVSVDHSDLEEVRRAKNPAVVGVAVADGIPSKNTSVSGMSHATDTPIVSPPRYRSPSQGDVSWDGRRWVGNGMDDKDEEDRREEEDNEREEDGDDSQADVFHQHNSMVSNVSDDIFVRPAPPLPSTPKSAHGRRNDSVGPATRRMQSDSDVTDDMERLAKRASEISMTSDDEGGEQEEEEEEEEEEEEEPVVRRPFAAPQRGEAQAASIAVEMNGVFISPGRATSPRTPFRATIARNADLFSTDTPGIDPRANMDTEEEEEWVANQDSRLWRGSQSTGEEENWADPTEDSQIDIVEDADAIAPTGTPGVRRSTRTRIKPVRGWLGETAQYQVSPTTGNRRLIGVNEVTIRDKLFVQTGTADIQAAVLAKKKTAKQRGIANKKRKEQKEKEVEEFDEENE >PPA12314 pep:known supercontig:P_pacificus-5.0:Ppa_Contig224:15218:15839:-1 gene:PPA12314 transcript:PPA12314 gene_biotype:protein_coding transcript_biotype:protein_coding MNTITSERWDINQCLKADTRRLNRVTHLLSKDTRLLNRDTHLLSRVDTRLLSRVDTRLLSRVDTPLHHLQEETPVRIVV >PPA12323 pep:known supercontig:P_pacificus-5.0:Ppa_Contig224:49468:51601:-1 gene:PPA12323 transcript:PPA12323 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQTVVSSSSSLDEFLTQHRISPRFAFLLEHPEERLPASFASWHEICDSLPDLIESGANVRQLIHNLPEIEIPSTFTYEQLRLAHLLLCTLVSAYLWADKSSKPPYSLPRCLSLPFNSVAQSLGMKPVVSHHSTCLANWRRISGNMDKSKVIADDLDLIAFRFLKTSGNVWFFTLNAQLEFDFAPAIVAAASICHKGASSTSSDIDEALDRIITAINVCAALFVRFRERLTPVEFYHGLRPYLWGYANIEGGVVFEGLDDSNPSLLPGASAAQSCTIQTMDAFLGVKHTGAEESFLREQRDFMPAPHRAFIEWIESTAQSSPLHSLIHSNPRFPETLRALDNFRSMHIRVVSLFISSQSTNSAESGTGGTPLMQFLKTVRADSNDVMPH >PPA12313 pep:known supercontig:P_pacificus-5.0:Ppa_Contig224:8344:12876:1 gene:PPA12313 transcript:PPA12313 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTEDVTAADGCHKGKEDGRSLKEEGSIFFIVLVAAVSGNHVLNELRDRVISAEAEALTGQALVDYVNANQQFFKTKLNHRYAGMTEERKRKMINGLAQSIEHLAAELDKEGRVTHNVLAADIPEFFDAREAWPKCPSIGFIRDQSTCGACWAFGTAEVISDRMCISSGGSQQFNISADDLLACCGFQCGMGCQGGYVLQAMKFWVSHGVVTGSGYEAAQGCKPYPFPPCEHHNNHTGYTQCDKTPDYHTSHILRRTCQSGYPLTYLQDKHFGKSAYGLSTKVLDIQTEIMLNGPVVAPFSVYEDFEQYSSGVYVHNGGKYIGGHAVKVIGWGTENGTPYWLAVNSWNSDWGENGLFRIIRGTNEVGFEEGIVAGLPK >PPA12312 pep:known supercontig:P_pacificus-5.0:Ppa_Contig224:6464:6851:-1 gene:PPA12312 transcript:PPA12312 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFPGKSAYGLSRKVVDIQKEIMTNGPIVAGFNVYEDFEQYSGGIYAHHAGKYLGGHAVKVIGWGQENGTPYWLVNNSWNTDWGENGLFRIIRGTNDCGFEASMVAGLPKL >PPA12333 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2244:57:1573:-1 gene:PPA12333 transcript:PPA12333 gene_biotype:protein_coding transcript_biotype:protein_coding MILDASMEEELKREKLTIQELKTSMEETMKKEKSKIQQELRMEFEEEMKKEKSRLEQELKTSMEDEITLRISEVQEEKRALGEMKQQLENEAARNDAERRRLDAVKSELNEAMTSLSGLKNSLDEEKAELEAAKKAMKGYKTGHRLAKEQKAFHVRMREEWDQLEREKARDEAERAALEEERRVIEEEWRKVEAARSESREPGHQQEGDVEVVEEIELPVNCQNKENKGDQEGSGW >PPA12334 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2248:501:1260:1 gene:PPA12334 transcript:PPA12334 gene_biotype:protein_coding transcript_biotype:protein_coding TVARKREAGVTELWDAGEELSDPSTALMQGRDRPPPKRIGPPAAVITEHVLPSTCNDVLKSVVAKFNASQLITPRQQ >PPA12346 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig225:93111:96633:1 gene:PPA12346 transcript:PPA12346 gene_biotype:protein_coding transcript_biotype:protein_coding MFHVNLLSRRDTDQFVPLYEIIYAIEIVFIILAYIAAGALIYSAVRTPRLHRLIRLRIISPMLVVSGHLTARLMVMHHQYYGPSERVITGPLIFGSIMREIFLGYMTSLVAILALDRWLATTAWAWYENSSNSTLFFFAFQELILFSIAFAVAFLVVNEHITDMQSIYYFAVIIAIGAICFALVFRHNLREMREMKRGAVVHRYSVAKKYQIRENILLLTAFSNVARPLVIVCLPPFIFYPIYTNVPPGIGYDGLRLFSASMYDLWLNIASFVVISCLPYYWPDLQQPLRRKATLRKFTMTINEAVVDLARKFSSCTFCCTAAQ >PPA12340 pep:known supercontig:P_pacificus-5.0:Ppa_Contig225:55539:63399:-1 gene:PPA12340 transcript:PPA12340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpb-9 description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:H3ERC9] MELQTSEDTFLVPPEESNDYDVFAEHFSISEHDGEINHLLSTNPPMRSIFSSLVPEKVDNVTFWMRYFYKLDLAEATESKKVIDKEIVPESVKREQPESPAHSQDDWSVCSPGEGEIQEIETDPSTPTPSETTETSPDETEKPTEEKKKEGEEKGDKKDGDWVEMAAGPGFVGIKFCPECNNMLYPREDKDRRQLLYSCRNCEHKQVADNQCIYVNKLMHEVDELTQIVADVVHDPTLPKTEDHPCPKCAHRNAVFFQAQTRRAEEEMRLYYVCMAPTSYRNLADFSSGVLLAYNGYGEGNAANVSAALISSIWEAFDKKGVAGGREDLKEVVIMCEDGVLGATKVANMLLALKATSAVQPGILRAKMHKLSEFLDEPLTQISKNI >PPA12341 pep:known supercontig:P_pacificus-5.0:Ppa_Contig225:63670:65405:-1 gene:PPA12341 transcript:PPA12341 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAAEEKVPDMAKETEEPTTPSTPLAALAADPLATASGWMSAGSSWGASWLNSAKQKTMDTYQMVKKDLDEFTEVVTSEAKALSRFGSEKDPTSAAASAAASPVAETAPAAAAAAAAGDEAEKEKQDPIEAQLEKITSAGLGFMKSLVDTVKGFGIEDTTQDEDDTTEIIRPHKTLRNSPLSKALLF >PPA12337 pep:known supercontig:P_pacificus-5.0:Ppa_Contig225:27004:28644:-1 gene:PPA12337 transcript:PPA12337 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRLTMTSVEQYKLLEPLYNDYRKLRWMNKMGNRRDRRDERGGDRRDDRDSRRDDRDGRRDDRDRRDDRDRRDDRGGRDRDDERRGGGDRDRDRKRRGNDGDSRSIEETNAMRAKLGMAPLDV >PPA12349 pep:known supercontig:P_pacificus-5.0:Ppa_Contig225:100536:102904:-1 gene:PPA12349 transcript:PPA12349 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDSAVVKLTNYEFLLWKAVDRLKKKRAAKLNEKRLIVPHQQRSHLIPPISSQIKENYWRDRHREQQPSPLEYQIPMQDNLEGLPQTFEEFHSLFDYNKYDCPSLRSRRNSESTTDSDISREGKTDEEETVESPVMSIPSHEEAVESSPPEVTSTEEVPSEESVKKNSIREESRPQDLQKNISNINHPVTSNIHRDLLVYNRHPSPSSSSLLDDTTSIHLAQSDNEGTKQDTHPIYEERDRCLVDDRETDTKSLSNDCEKENIDSNEVPAAQIRGIHTNNSQDCPSKLKKYSERADFTNESYEPPIKIPKSVDGMKIVPVLSRKPIKKSHAMRPPTADWSHNQKERQAQNIVNPSTVRKQSLNLPSRILELRAKQRGQQIGNTNSTETVSTKPRDQSDSPAKEEMRQREAQNMVNPSSTIRKQSLNLPARILEFLFTVSATCKTARTGNWKSGHD >PPA12338 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig225:48772:52330:-1 gene:PPA12338 transcript:PPA12338 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTTPFPEALKGKEEIAALPLTVTYADYVHQGTNIRDNRARVCTMRLKCAALALSPAAKEKLQRLAGDRYEEATDTITITTDRYQIHLQTGVSEAEMTERVQKFGQVWTEYVNKEETAESTREYAAAIKQLLARNAGEQKAMR >PPA12350 pep:known supercontig:P_pacificus-5.0:Ppa_Contig225:104532:105516:-1 gene:PPA12350 transcript:PPA12350 gene_biotype:protein_coding transcript_biotype:protein_coding MTIISPPVHFELTYKGDIRRFALSGTNEQILSAIRERVAATFGASEKQLNIGWKVIPGTSSLPLCTSDDLSRAIDQSNKSNDQCIKIVVDTAPITAKMLDLCCTLCDEPLEGEYVQCPSSSHHAFCFRCTTIFLWKHAKDQEIYCPSGEECCLDDQPWDFWDATIKEILGDDYEDFVEIRADARETIVEDEGSDESKSFVNPFECPTNSEMDELRERVRRLEENEKMINEREDKLRKRLYEIEMQLRAFGIKNENIEPLH >PPA12344 pep:known supercontig:P_pacificus-5.0:Ppa_Contig225:83436:85617:1 gene:PPA12344 transcript:PPA12344 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQNLIPNGDVLIHAGDITNIGDEENLVKFNEELGRLPHPHKIVIAGNHELGFDSEEDMSIRKQNYKGLGTDEGWKLFTNCTFLNNSTTVIDGVTFYGASWHPLEGYSFYRPREQLKEKWEAIPTGVNVLITHSPPIGHLDLFEPVERWGCRYLLEKVEQLRPQLHVFGHTHHCYGAIKNEHTIFVNAASQKATNDGFNRPLVAYIPKRMD >PPA12347 pep:known supercontig:P_pacificus-5.0:Ppa_Contig225:96841:98275:1 gene:PPA12347 transcript:PPA12347 gene_biotype:protein_coding transcript_biotype:protein_coding MRAECQSVLYLLFLAIFCTITGIICELWWYAGFVPVVLLVIPTWLSVNAVVNCKNDDFKPFETPQAAVVSCVVMIISIPLVAFCFTISWTATILPVWMFTYAHSVATDSLLQPLIDYPNFNFLFTSKIFLLLAATSSIGFAISYIGSAPFITFTAAATTIALFFFLADLMEKLGHPVEKYVNDNAHRAHQRRARGGIVLVSRHGRFGNGRALFCLRKRCAFSNMELFLDASDGPCQVMEEGGTEDK >PPA12339 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig225:52931:54827:-1 gene:PPA12339 transcript:PPA12339 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSRRHYASLASKLREAANTEAAGKAGAAASANVKDVVDARGESFHEMFVMPKRKLQAQLNLERISGRAQVEQRPRFDIHDRLAVRKPRADEMDPIQDWTSVWPAARSFASSVVPLPIRMGSRKNPDKRAPFKKEGNLELVKIPNFLHLTPAAIQKHCDAIRNVSDYAKFKDN >PPA12343 pep:known supercontig:P_pacificus-5.0:Ppa_Contig225:70472:72733:-1 gene:PPA12343 transcript:PPA12343 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLVAAMSLKQISEDDPTGSEVMNLKEFIKSMELVERQPGSRASSAESSKPQTPSTKGPPKITKRASAFELLGKKMTGAGSKEKGLDKEKDNFSSLDDMGKTLPQTAEEESTATMCEAKEKLQKVARSAAYVKNIRKMESVPAGLGNGIVNK >PPA12335 pep:known supercontig:P_pacificus-5.0:Ppa_Contig225:1986:5600:-1 gene:PPA12335 transcript:PPA12335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-otub-1 MAEDLQTPPAEADAPNASDTAPILQPSDIPVGESVTIPLAPPATSEELEATQRQLDEIRAEQTLNPLVGDKIPFESVACEYDKDASPEFYNKALELCQTYDTIRVIRKDGNCFYRAFLVAQTEIILSDPDEKSRFVSVCKGWKNRLVRLGFSEFTTIDFCDTFFEFLDSIVDGGKTWKSIYEETFLDDNNANYLIIFLRLVASGYVKEHEADYAPFIDGERTLAEYCVSEIEQMWVDADHLAITAFVQAMGSPIRIEYMDRGAAPDGGWHYEFPEKREQPFPPRINLLYRPGHYDIIYKK >PPA12352 pep:known supercontig:P_pacificus-5.0:Ppa_Contig225:111167:112420:1 gene:PPA12352 transcript:PPA12352 gene_biotype:protein_coding transcript_biotype:protein_coding MVRESDRVKEWQPTSIEDDGDYEISGGPMRKMVQAVEQRERRERKEVLTKSGRRMISEIKIANPIDNLKNNEEEELEEDIEVLSLQGNINEDDIDQDEDSLLSSFEFVDPLIDCTHQCDPSHAHHHSRIETHHHSSSSFNPSMDDDHSSSSLLLLSEVDYPSQPQSDISTLRMDCKTQAYWMAVTEQWAAERTRMK >PPA12351 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig225:106069:109505:-1 gene:PPA12351 transcript:PPA12351 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFRYLSIMMMTLIAPLVYSAATKMKMKPHCVDKEMACFIDMSSLGISGASGLINLQSDDRKSDIYIDIRPYSTKKALIRLRTKKGPIKLLYKDKTKEIFGCEDDKGIRRTPTESGKELIHGEKWDGGFACEFLYESSEQDDLFDESKEFVISYGDNKEVTGAAAKCDIDWLSTDHPDDDTFVYSEPNKYFSEVVCPTGTLVFLEDEDTLTEAASIKCETKTNKWQYTITSKESPETTVVANKDAKQTVACRSKVCAQCESVPVCPSSSNCKAAQIVKEKDGCKVLKCGDKKHPFIKINDGKPTNGQAVCTNHTVPSVEDDEDETKKTFEYTVDYRFLPYRIFFRWKFDGNSIEHAECVESAHCQDINPLQLEQNEASINLTKTTLQCAAGMISFEGGVPTTTIVCDGRTGSWKTMDGVVVPKGTKISCQAPPESTTETTAPNGANIGLLEYVATAIGFLILFIGEDEGYVIGIIIFCLVCKKRLDKQKNAKKIKTFTSSRLTKSEDNLKTAPPLSAAVPIGYAPPSSGSQKPSPEPLVEKTVIAATPDKQPSVVMKTAILDLADDDTTYAKDNVSKDIFGIERPMRKLKDVEKKEQSDGSEPRSIKIGKNGEILVRTKKNRSKGPKSEEKSEVKSQSDPGTTKKEEKPSKKEEKKEEKKKEEPPSGPMPQTFALNEKEEKIRKGATKKQAEYPTMEDIMSDWSDSEEGREYHKAYAAGPEALEGWKKKKAKKDRKKDRKMGSKNTVPTAVTPDQPHSVERTQATKTRNSVDKGEDANMENLLTQMDTTVEQKEADAKKK >PPA12345 pep:known supercontig:P_pacificus-5.0:Ppa_Contig225:87651:89528:1 gene:PPA12345 transcript:PPA12345 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGLLTLPRNIANKICLFLDHKSLQQLSLVCTITKAIVHENIENCEMGIRSFCVGDCLLVSFDDLLDLRIWRSHLVHVLTELNLPLKDSVKQHDQAMFMQITVNYDNMMRILDSLKPLLNRSRIRNIELSHLSPDIIRKCTDLLDGKFLKRVSFRHDDCDVPSQEQFAEEFSLLQKMQPPQIYYVVKIVNERGKWIYLVYSL >PPA12348 pep:known supercontig:P_pacificus-5.0:Ppa_Contig225:99102:99720:-1 gene:PPA12348 transcript:PPA12348 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGDPLINNFNNNDHQGPNHRQKRSTVMETPIVRKKVREFAPVVLRNAPPKQTRPIDQGYPEQQHRSTHKIILQIIITITHNITHKGIPQITIRNIYNILIRIISTMILTISLHFTIKITRILVNINNNPSMIATPSMRKPHVKRVNESQ >PPA12336 pep:known supercontig:P_pacificus-5.0:Ppa_Contig225:6737:10712:1 gene:PPA12336 transcript:PPA12336 gene_biotype:protein_coding transcript_biotype:protein_coding MIDNPQEDPISIGANSPPATASTMAINVNRGVLDPFYRYKMPKILAKVEGKGNGIKTVIANMSDIAKALERPPSYPTKYFGFELGAQTNIDLKNDRYIVNGEHDANKLQEILDGFIKKFVLCPSCDNPETALRVKKNQINSKCKACGHAFVIDSKLRLASFIVKNPPTADVVYEKAAKAADKVAATAEEVENGVEVETVDRHSSDGADDDDDWAEPTEEETRLAAGVGKLVISKDLEKSTEERLDMLHQYFTRAKAEGKVDGKEFLNEAERLDLKQKAPLLLVEAFLDGKILEEDELKTMRNVFLRFTVDDPKAQRYLLGGIEQLIMNHEATLLPKAAHVIKRLYDYDIVEEETLLAWGEKPSSKYVPKANAKKIIANCEAVLKWLKDAEEESEDEDEEDDEIDFQKDNKKSEMKEELEERARRAAATKVAGDDGEELDIDDI >PPA12342 pep:known supercontig:P_pacificus-5.0:Ppa_Contig225:65781:69325:1 gene:PPA12342 transcript:PPA12342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mut-2 MDEQIRKVHQGQAHMSKKHRVEFRLWQVLTSSWARHPMGFQKAHPFTLKHRKEFEALDKQIRDHWESVKQPEWEYRHKVAFKNKLERILADFLTRPLKLVITGSTVSGVGTANSDADIVLCAPEIIYPLPRDMEDDGRLFTISKDEMKRLFRSRIGVVLRRCKRVLDEADLGVKIDYVDAQIPLLKMRGETVDEVTGNTFNMEVDLSLSNELFISSLHNTHLIKGYTKVDERFAPLVTLVKMWSFISGVRDPQRRRFNSYTMTLLVIHFLQCGLPRPILPNLQAMFSEFYALDENCFPERVDLDADIPEPLPELIGYDPIGLSVAELFYLFIAYYSTLDLRHNVIRIKCGRISKRDFNNDRQPRYEPMRMVEDDDENDIKLTPWPHRFHEVYIEDPIDEHNPGRTVDDWEIVRNAFA >PPA12353 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2259:34:428:1 gene:PPA12353 transcript:PPA12353 gene_biotype:protein_coding transcript_biotype:protein_coding LRRGVQPNSYAKASGSVIRKALKSLETLKWSDKSEDGNGRVHSKQGRKDLDRIAAYLSRRFKGTAVEVNAEGVTAVRFTYGISEPDW >PPA12362 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig226:62065:62348:-1 gene:PPA12362 transcript:PPA12362 gene_biotype:protein_coding transcript_biotype:protein_coding MGARVRVRMSLRQIVTMLEKTADEGLELEEDVQKGITELYSPVQTMKTEKKR >PPA12358 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig226:42209:51290:-1 gene:PPA12358 transcript:PPA12358 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEAIGGPPPGTSSLAFVFDITGSMFDDLVQVRNGAKEIFRTVMAQREKLIYNYVLVPFHDPDLGEIINTTDSMYFQRQLNKVHVFGGGDCPEMTLTGIKTALEIALPSSFIYVFTDARSKDYHLEEQVLNLIQEKQSSVVFVMTGDCGNRTHAGFRTYEKIAAASFGQVFHLQKSDVSKVLEYVKHAVVQKKVHIMYEARDHGGQFSRYIPVDKEMTELTLSLSGDKADDEALDIVLKDPSGRIVDKNQYNKEGGTIDLKNVKLIRLKDPTPGNWQVITKSTLKHTLRVFGHGAIDFKYGFSTRPVNRVELASPRPTANQMTYLMVNMTGLNRTGTVDQIELVDYYGRPLYSQAASPSSSSEHMYFVGPFIPPKGLFFVKVTGQDDHKLEYQRIAPTAIGSVQIGGPRAYMAERVAAFAGQAVNLSCSVESPTKFQLYWRKKNGEVLTGPLFYGVSDTSVWTINSVSQEDRGEYECLVVSEHGNTTRKTFLETRETPPQIVMLRNESAVLGTDAFLHCKTSSNTKVDYRWLHHGQPVMSNPRAVSI >PPA12364 pep:known supercontig:P_pacificus-5.0:Ppa_Contig226:71088:79298:-1 gene:PPA12364 transcript:PPA12364 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAYLLLLLLCAGGVRTYTFTCEEMLYHFNRGTIDDEITHACVILPDGNRNVEQLKKFHLTPHMTFADIFNAPGHCVKRANGVAWQPRVDDGAVCTPEFILIEAKQQPAIITPGAEPDQHVLDGQSVVLVVPQTGMWIGKSRCVGQGNVTFSTGADIEEPGLDMQYRSWPCHSIPVRIDVFDSVVTVKVDANVQFTMQTSSYIGSYNSARSGNHFAVFSSGRSNDRQNMEGYYNHANFKMDKEDEVTVNMDLTFDSANTGSVILKKSAGGVLENFYNGQYSENFNTKYFEIQWTPKPLKPNEIYYNQDKIVVDIFIGPQATAPPPVASKNEYCNCAVDKFGLPDGWKYNDIWLDVVIVLDTSEAMGERSLVDASALIESLISDGVDDLLITDPAGAFYTRIGVIAVADTAEPSGTFAWYEQRMLQILYNLNMTKTDKVTASVKQGVKEMDFNAAYSSALSMFSDGLTSQPNRAGTRQVVYFLTNSDPNGDLGPITLFKITQGVVIVDNFVEEGASEIAGLKDLASAGYFFTNSNYMQGLQAFCKANCFCNLYDDSYPGSDPAIQASGGCYRASTTGVPFAKAKSSCATDGGIIAAIHDDEKGVFLHQLMTKSASKSDYYWIGYEKSNQGEWEWEDQSTNPYTNWGPHEPSLASVAKCAYVDATTKNLTWGAGNCQIGFPYVCDAHPVRCTRHSSTCSIDS >PPA12356 pep:known supercontig:P_pacificus-5.0:Ppa_Contig226:24305:27148:1 gene:PPA12356 transcript:PPA12356 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGAGLGGKADVEAPPLEKKHPLPDMEAEKREIRSESPRDPSISTRLYEGIDWHFIWRVSHCQFFLSMISSLCLLISLHFTGFNVFRFTSSAWATYLACVAVVGIRAGRYRNYSYLVAFTGMVTFQTVIYMSSLCWLAYSLYALDYHVNYAFRNHGYAVGIISHDLAMGLIIIEIVALLCTIFTGFFGLVTVCRGLGAMMQEMEAVIMVRFGGGARAAAADHGAPARLIEPEPHRPFPFPDP >PPA12359 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig226:44746:46671:1 gene:PPA12359 transcript:PPA12359 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWPKTRSVCLSVDLFSNKRHKKAKANHKRVSPFSFPGRILQHDVQCLIICLVPGEGEGQFGHLPGVQRSKIND >PPA12363 pep:known supercontig:P_pacificus-5.0:Ppa_Contig226:65309:71206:1 gene:PPA12363 transcript:PPA12363 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKWKCTSTGSAEVTTGLDTGEWAWAGHSSNAGDMMSSQWRWEAILQKTYEAWKPANEHVVMVVSAIVVPALCAAVTHKNFPLPRLIFTVFSAFLFGTTLGLILTGLYYTRSRRQSVAISGAKTGGRAKHRGVYGTVSDVVHMLSAYQCLSYAINSFARLHRHVPSRIGVAPNVTNTQSASATEKPPDQQRMSIVLERLDVDQLRASETGRNSSMSLAMGGMIHTPSTRRFERMLPTRCPEIYFPHFDHRSSRNAVAVSSRSSPVFRGDSYNRVDPAPCYRTQPYSTVPSTGPVSASNRLKINAHHHSATLMQELLGPDGGKRTLKSFAEEYLTEYNGHLLTNDHLWHIERINDNEIALKSRNGLYIKHGWFDWGKTAVAANEWEMLTPVKNDDGSWSFKSRWNKWMSAEYTERGVHFITFESEKKRSEYWWLEPWY >PPA12357 pep:known supercontig:P_pacificus-5.0:Ppa_Contig226:28120:41767:-1 gene:PPA12357 transcript:PPA12357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-him-4 MPNGTLWLSGVTRADAGGYECQAKNTGGVTTQGMLLRIFDLPKAIISPKEFFFVPRTSMNVSCQLSGDPFPEPQWFHNGNRIEPDRKYYITFKNDLIIRDPSETDDGQYECRATSAAGVASDFATAKVAKAPSVRIEQSKKMIGRGDNLTLECIVMQSSHEYVRIDGNRMHISGAQDADAGSYSCVAENMAGRDIEVVKVQVGSIPSIVPSPEISRIHIERQGSLSCRAIGHPPPKVSWKRDGVDIADLDDETRGRYQITVDGSLLINNATLEDQTRFTCVAKNDYGEQSRTTTVIITGLVSPVLGQVPPEEQLIEGEDLRGLGPKDLCYFLVTVAMAGERILLPWCPIELLTELHSQRSTSPDLRLSCIVVLGTPKPDIQWYKDGQPIEETSSLIIENGGTSLLLRDGNPADEGRYTCVAVSPAGNATINVAVQLISEYWHRNHLLNGTSPTSGSEPGTEPGTNSTKPGTARIPGSGTTPKARNVTTTSSPSGVLGTTPRPVLGNTWPKSITSPVLNPIRRAPSTLTRPTRDPNEIGSSSERFEILPVVVVSEAARAQIEARDTVDEEDTVSDDEWAQNFIRPVIVPPKAEDGTEKDKKIVSKEGNPVQLACQVEGNPPPTVTWTLDGRPISLNSGEFAVTEDNSLLIHKPSRITAGHYVCSAVNSAGEDKYDYTLSVIAAPLIQAAQTMYNLVQGGEVVIPCDVEGEPLPKITWYLNDEVFEGGEIDETGALHLEDVGEAHRGKFKCVAENDAGSDERVVDVRIHVAPDIPGPEEILITANLNDSLSLECPARAHPPPERIWTYEGVKIDPKDFFGQKLEIDEEGTLKIPKAVMNIAGNFICHVSNLAGEDYISYDLRVNEPPKIISDTPGTIDVVMDMALEVPCKAIGTPDPTVQWEKDGFQILSDEGVLIDSSGTLRIMNSRPNHAGTYTCKVFNQAGSDSKSTQVVVQEPPTILPTTLGNYTAVAEDKVELRCFVTANPTATIEWTKKGKVINNGDIDGVRIDEDGTLIIDSVTADDATFYTCRAQNPAGKTEKVIRLSVIVAPILRDADEIPREAVKIDHPFSLYCPVISTPLPQITWFLDDKPIAENDANVHLSDDRRKLAVLKARTIDAGVYKCTARNSAGESSKMFEIDVLQPPFLDESRWKRKISVKEGEELEFGCPVSGVPSPTIEWVIGGQLMNKGQETRGVRISDDGKTEQTASHY >PPA12360 pep:known supercontig:P_pacificus-5.0:Ppa_Contig226:58509:59385:-1 gene:PPA12360 transcript:PPA12360 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRVRLSEDGCPPVVDEDDESPTSSIFRLLPANSGPAAKMMVRAAVVVLVLAVAASWAAPKQACASCSALKWKTEATPGVHTEERATNEDGCATLSITCSGTTEAAQTQRENPG >PPA12355 pep:known supercontig:P_pacificus-5.0:Ppa_Contig226:3477:19735:-1 gene:PPA12355 transcript:PPA12355 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-him-4 MDANTDNAGKSTSVSTSVSCGTAPAIIQVDVLVPPEFTEAQFDQNVQVTAGQPLSLACRAAGSPVPTVEWKKDGEDVGGAAITEDGQLMNMESAESGRYTCIVKNKAGTISRDFFVQSIAKPVFNEDGDRTVVEVMEGQTATLTCPVAANSDVTIEWRRQGRSITSSDVNVALDKTRLVIVNAQKEHEDAYTCIAKNQAGSAVREFDVIVLQPPRIRGALVEDIQVVEGSEMTLSCEHDGSPAPNVAWTKDGAAMVEEAKLLNELKTASIADVGGLHAGVYKCALSSKVDAGAAEKTFNVRVVQKPDVGATDEVTTIEVLVTRPITFECPIKDPIGVDLSWTRHELPVTSGLENVQLLAGGRHLHIPAARVEDEGTFECLARNEAGEAKKTYKMVVLVPPTIINPGGEYTVIENNSLVLPCEVEGSPNPTITWSKDGSPADSLQSVTVLSNGQHFKISHADLGHKGSYSCHVSNKVGNAEISFDVDVITRPTVAQGIKEIIEVTQSETALFKCPVADRNFKEIDWLYDSKPLDMSSGRYSVSQEGRKLHVQNSTLRDEGAYSCRVKNDAGVTSVNYKLVVLVPPEIVMLDKDKNRSVKENSTVTLSCPATGKPEPVITWLKDGAALTEENIESLVSTASIRGNEIKIARISSKDAGRFTCEAKNKAGSAEQDVIITVSTFPRIERAGIPSEVAEVADRTVTLACPVYGKPQPSVTWLKSGRPLDGDERHVKTSANGQKLYLLKLSKEDAGSYTCVAKNAAGESKRDFSVKLLEPPSFSGPNLVRQQRVNAGKPTILTCPATGSPPPTITWLKDGQTITASPRHIFTDGSKQLTIADTQKADQARYTCIATNTVGSDDLETTLEVINIPTIVGPPHEKQEVVVNDGIDLVCEVTDTEAQTEVEWQRDGETITPETLRGDAYLQIPHSGRRLHILSARPEDAGRYSCIVRNPAGESRKTFDLRVLVPPTIDEAHSAASLITAIPGEEIPIDCDVSGNPPPTITWKLNNEPISESEDVSFSPGNRTLYVKGAREEDAGRYTCEATNEAGSVHKDFVVRLTGPPVIDQGMELLDMSVGETMTLTCLVTAGSGNLSVSWEVNGKPVGNGSFSPTVEVSDRRIKISDARLSDSGRYVCIAHNEAGEARKTFDLSVLEPPRFLDLSNLKPSIIVGRPLVLDCSVTGTPKPTVTWTKVPYP >PPA12361 pep:known supercontig:P_pacificus-5.0:Ppa_Contig226:60189:61446:1 gene:PPA12361 transcript:PPA12361 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLAPSRSNSSASAMSEEDAIPLFIYTLEMFLLWFSVLLSACILTFLMRLKKFPIMFRVIYCVWNLRNLAVAVARTSSLVLVIFKEQGATSDVSRQNGAQNEAVVLPVLRFA >PPA12365 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2263:117:446:-1 gene:PPA12365 transcript:PPA12365 gene_biotype:protein_coding transcript_biotype:protein_coding MPIISTHTTTTRSSRVSTTTVPIWLVKLITCSHRHSQILCIAILFMIYLIDSSVLHYYFKTFMFVCLT >PPA12367 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2266:221:520:-1 gene:PPA12367 transcript:PPA12367 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVKRINFSNYLLEEGLSWLADDIRRYREHLIDFIDYALQFAPEMRMSVDFALAHPLLIEVRDLSRE >PPA12368 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2268:28:527:-1 gene:PPA12368 transcript:PPA12368 gene_biotype:protein_coding transcript_biotype:protein_coding WSRWSGGLSPSHSSHCTRGTTTCCCISDWPSSLRPSSWHSSEWLRVSEIQARCGSNTRSRLFSSLSHDSHRSEKFTCRQQ >PPA12381 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig227:54731:58483:1 gene:PPA12381 transcript:PPA12381 gene_biotype:protein_coding transcript_biotype:protein_coding MLATACRKGLPSVAAISAEEAAGGSKKQAVAAPATGGKQVIKRGTVVDGECIYAPVAHVYRDDDGTLFTCSLTQTDLASNMNSYYKMQLLEHDTDSDLFYVFKSWGRVGTELGGLRTEVHSRQGALDRAKADFLGEFMDKTGNDWTDKKYFRKRPGKYAMVDIDYSEIEKSRDDEVKAGSITKLDKPVQSLVTRFFDKSVLMATLQSFDCENL >PPA12382 pep:known supercontig:P_pacificus-5.0:Ppa_Contig227:59858:66696:1 gene:PPA12382 transcript:PPA12382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pme-1 MDQMPLGKLSKQQLGLANRVLKELQALLSQPNNAARVVDATNRFYTLLPHNFGLGAPEMINTKAIIEKKAKLIENLTDIAIAVDLMQDKNDNGTKTMDPIDVNYLKLKSKITLLPRSHPDFAMIEKYAKNSHGSTHDTKIAITDVFCVARDGEGERFNAALGNRMLLWHGSRLSNFVGILSQGLRIAPPEAPATGYMFGKGIYFADMISKSANYCHPQLSQDDAFLLLCDVALGQIQEETNATGNNPLKNGCNAVKGMGYQFPDPSNVHVHDEGFVVPYGKATRGLHKICLDYNEFIVYDMSQFPIDLQCRDSSRDQSNTTIDIRQKILLEGKGFGVLEKLENSFILSSCVFNAHAK >PPA12372 pep:known supercontig:P_pacificus-5.0:Ppa_Contig227:7854:11922:1 gene:PPA12372 transcript:PPA12372 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEVHTTLSIECYNHETDTKKTDDITTTRDVIINDDCSSLDITYKGTLAPDAIFRSSEVIYLKIEANYRVTRYSGVKMIEELRSANPLRTNPVLKGSTKAPNTGATDPPKPSGPVTTRRTLPPTTPTTTHSTASPTTAFRLPSSTTPKAEVTTSGASRAIIGLLTNNQTSNNPIRTREEITAPDAPDVVTSAFPVVDDGSLDAVVGEAVEYMPLVKLTSKENEEFKVDLKVAKLSATIASLMEALNMTDDAEEDVFENNAIPLPNVAKEELERVITWCEHHKDDAPKVELEDDGKKGRKEHIVPDWDKQFLKYVDNDSMAMLVPLMMAANYLEIKGLFENISQTIANEIHGLKRDQIAAKFHIKCDLTEEEIAQIRKDNAWCEE >PPA12374 pep:known supercontig:P_pacificus-5.0:Ppa_Contig227:14287:18020:-1 gene:PPA12374 transcript:PPA12374 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLLASLVAGVSAYVYTCNEAKRMMEADENTLINGTKACVVLPTAVKFPYNDDPYTWYLYNTYIVDTDNDFEHDLTGIIESNGMCVEGVGPWRIRSDDVEQFKCSSNKYKYAEIVFLFTSDDPNIVQVGTAPSTVKLGKGTHVFVAPEGSLLIEKKSIDEGKETTLQWYSGAGENEGEERFALMPEKFIAGSSTIIIGPVTTLIIEDDATIELTLTSFQDKQFISANPGFTFTLMTTGRADDLQSSRPSILVSTAYGINDDSIAYDSLSITGTAR >PPA12375 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig227:20674:23190:-1 gene:PPA12375 transcript:PPA12375 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISSRFSKLNISKTGGSSREVACLSQLECLPHELLLKIVEYVPEAVLELRLTSRMLKSLVDESSRTKATLPIVEKMRIFVGTKVVKCFAPDIALYVPKCKSKLFKLRIKLSRTKLKKDLEKLLKTNSARHNVYWLRFKILVENEGALNCLRPTLGNRVEKEISEGRNYFFGVFDIDWAQIIIEMFNGKMDKLYIENYFYTGYLSNGSIDLLRERLPSLGKNIWFSASCSDNANQFDYTRKEHSVKDGRDAQGVRRPGTTPRPYSFSVKHQSRMDEPFETFYPYYSAFRAMP >PPA12384 pep:known supercontig:P_pacificus-5.0:Ppa_Contig227:73139:83054:1 gene:PPA12384 transcript:PPA12384 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHLSIVREWQANCSELMTHTNDEIVPVIRSALEVIHAASRAKYEPERADRANAALREHIQKTMGKENGRKPLRVIYFGLLESLLVAMEALAKKRTEEQRFLPVESPSISVVDLEEELLREPFIAVPETVRSTDDEEESQSASVDRAAAAAAPMLLTVKTEKKSPDELASINWGPSNPSAGKKEKAPEKTREGPAPPPVEVLMEQTKNNEKERERAAVEEEEEEEEMDVVVDAPEPKRRGRPPKSKKTGRPKSSGVAPILAPLLATTLPPSSTISPPPSSSSALTVSDARRPKRVCSQSINFAELNKGTAALGRLKSPDATRKISRQRKASKGGGIPKTTEAKVIMELRLAGSHDLPPSIGQGLDPSLPKGLGLRVDPCLELLHKCVSVLELLSSTEVREGAETVVVARGQIRGVRWVREPFHLQLVHFLLGDFRMMRARVVHEHEDFALAQEFGRDPDRHLFQLGSEEVSLDGDAGREDLPVDGTEDGEEETEEFLLSVKFRLWSLLGFLIDVHPLKFPLRIIVGDPLLIHGDDVADPIEIGSTVEPPKPSSKGSVAREAGGVAKRPEKITGSAAKDAKRARVKEEPEPEHEPEEREQSMGYAGSVYSDSGLMNCLLCALGMDSVRAYVDHLRTAHKTTATLEGIWFRCECGHMCRSNSHEANKQPQCGGRRSTIMLDGDEDDYEEVYVEDEVKHEPMDQPEREDASAGDMMEKEEQEEGTCTICKKGRLLYCACGWGIRSARSVRLHNAKRRWCDGRSFRVARLADEPPEPAMSEPEPETNEDREEESSTDQSDWNADSTDRDVVARMEAGEDPGAGIETGAGEKDGVPGEEEEMVEDDDESSGDALLDGDDDGHQPKCFLCEDRPSSIMDFILHLYKVHDSTLGKFGYALQCDCGHVVHSQYAGEEVPRHTKTGKCAGHKFRIKKVPEEAEAQEDEREEDDEAPGPSTGPPPGPPSFANFSLA >PPA12378 pep:known supercontig:P_pacificus-5.0:Ppa_Contig227:33991:35401:1 gene:PPA12378 transcript:PPA12378 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYCTVASSSSSSCTDESNHCKSTAAAAVQGKQTLNVLWEAEKRIKKLQEHNRKLIREMSEVEENVNKKYPDLPFARVIESDALRREYDESRWRVRIALKSLAKKAEQMAIQKAQRRFLGF >PPA12371 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig227:5391:7006:1 gene:PPA12371 transcript:PPA12371 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNPNQPFECSGGKYTYAEIFLIITSEEPNIVQIGTAPTTTTFGKGTHVFVAPEGSLLIDKKSIDEGKETTLQWYTGAGASEREERYALMPEQFIAGTSSVIIGPVTALILEDDVNVELTLTSFQDKQFLSANPGFSFTLMSSGRASDLQSSRPSILISTAYGYFIFMHGILEQPML >PPA12377 pep:known supercontig:P_pacificus-5.0:Ppa_Contig227:29317:33361:1 gene:PPA12377 transcript:PPA12377 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFTLPTLYHILTDLTSPSQYAALKIESTNMEGGSAIKLEKSALERIHREGRKTHVPLLYRSSRRKNICYMIVTLLGDNLRRIKERYYPKGYPLKCWVKVSIQCLYAIKTVHDAGYVHRDIKAPNFVFGHPGDVKKARVVHIIDFGLARQYVLEDPKRKGAFRPRPARPRTDFRGTWLYASPAMHDYVELGRKDDIWSLLYMLMDLLASLPWANIDSLEQIGYMKQKMRDEDLMLNMPPELISLPAHLRSLDVYAKPGYAAIYDMLDAIFRRSKANWRDAYDWENKDMAARNVRNRLKDQRSKIEYHVNSNIPNLNILSCIQIICAAMIADPDPGYRDPRPFFFEDPIAINVGPSKFGTDVKIGDERSKITHWQFSPEDLANRNSITRGSTQTQTFEQPSAEKIAEHVLAHQLEHGAQEAAACEEKVRGRRSHSIVAN >PPA12385 pep:known supercontig:P_pacificus-5.0:Ppa_Contig227:83616:92870:1 gene:PPA12385 transcript:PPA12385 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPTAVKWLNETSLRPELTDDRNFSNSIQCESSANQGEPLAPVIAKALDVLERTSDANYDHDRVVSSLDSFGGMLMEETREQTADKSYLFIINPETKTSSQRGPSPVPRELVVGLLTVTHAALTEVTERRKHDDDARMVNNLDPECKDETRVLFMDVVEWEDDGQQEDEQVVKKQKKAPSKRSSDGPKRAPRGYAYISESSEDEDDEEQGGKKLKVVETSADSSDDCNTSVRTRSQSSSSGRPQRSRRVDYARLNGERAARGDGDGKSARPKRTASRTIDYAEDRAGSRRSGEEMDTGMVGNKKDSRPASRACRVDYARLSGVTRTGPRVSRSASKRRANSAGSRGVAKRARTTSPMSSSGSQQGDGSSVKVKLEVGEEEEDGGETTMEGMEKGEERVKKTPQSAAKKKKKSTPKGYMYLTESDSSEEEEEEVGDTGASKKRKSKNPAKAYEKMQCTLCSFRTSTVFQMTAHLRVEHQTTVEKCGGKRTTILQEDPTESSESSESEEEEDGEKEEKKKKDEDEETGKDESEEEEEEMGEEEEKGKEEGGKNGERKAGGQEMKKADKKRIEDSSDEEESSLDNPLCFLCKTRSKSCDAFLQHLRLAHRTTPLAHGYTIVCACGIAVNPGTVKHLRRGCKGTFSLKKAVEVNEEEKEEEEEEEEVEYEEEEEEEEEDDDE >PPA12369 pep:known supercontig:P_pacificus-5.0:Ppa_Contig227:1708:2609:-1 gene:PPA12369 transcript:PPA12369 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAILAAAASENPVHQMDLNLLRSVTGVKDYARIAFHDLSDVTTVAGLLTSLPKLVDTAKLVLYGLIGSLRFDTLDILDGRG >PPA12383 pep:known supercontig:P_pacificus-5.0:Ppa_Contig227:69182:70591:1 gene:PPA12383 transcript:PPA12383 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISFRDASEAAEVSELEALRISDTTENEALSALECLPRELLLDPSSSQNASLLVELPRELLLKIIEFVPEAVFELRLIHRNSEALCSDTSHLLKSLVDESTRMRATAPIVERLKIHVSTRDRLQFFFYVPKQICNLFELRLKVHQLPLEARAQLKICESCNNTAAMLYGCDDLPAVQAVTRLLEDVHFVQLNVWLGTLTEDIK >PPA12376 pep:known supercontig:P_pacificus-5.0:Ppa_Contig227:23196:25543:1 gene:PPA12376 transcript:PPA12376 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSVADRDDATVSAEADLKYKEEMKKFENKKVLLKLEMAQVACIVSEKYPDLAIARAVKSDPYWRYEDEERMRVRIGLKMMRRKAKDMTFDALCLEQDLLSDIDRRTRLTVAGIAALEINRKLQSRKRTADSSEEGKDESKRLRLE >PPA12379 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig227:36325:37554:1 gene:PPA12379 transcript:PPA12379 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNVTVDGTYDRPAAAKATAFSLDALIAQCREKKASSSTATTSDAITSKKPAFSLDALIVECRKRKASDVTPPILSSTSDNEIEELTKKLSLLQKARQQVFLSTERVPEIMGAKYPDLAIARTVQKSNEKRTRAVRDTYGWRQYLGVWEMIEKANEMIKKEKADRLKMYDDLADELKTIAKAINEANLRLQNVSRKRSADPELEEGELLESPQKKKKKRSE >PPA12373 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig227:12729:13745:-1 gene:PPA12373 transcript:PPA12373 gene_biotype:protein_coding transcript_biotype:protein_coding MDESVSTVLTVQCDNKGVKKTNDITETGDVVINEDCSSLDITYKGPLAGEDVDRSSEVIYLTIASNSDPVHNVVTEAPGPVTSRKVTKF >PPA12370 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig227:3916:4798:1 gene:PPA12370 transcript:PPA12370 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLLLILSAVFAGVFSDVYTCNEIKKMLDTGGEFFGDRACVVIPASAQMTDEPYSAYIEDVYLFDSDSSFKYECFQRNRRERHVLYERARAVLASDPLD >PPA12380 pep:known supercontig:P_pacificus-5.0:Ppa_Contig227:38192:53909:1 gene:PPA12380 transcript:PPA12380 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRGCRVVAAALLFACVGLVAASRGDSSAPYQECTATCRREHACPLSFADPGWSRGRCFQCKYDCMWSTVAAFTSAGEPIPQFHGKWPFYSTLWAQEPASTIFSLLNLAAVNEMRKRVQRLGEGNEERAAYSVMRRVWIGYTAVGIVTWLCSAWFHAADHFWSERADYFSAFACVLYANYAAMMFAAPALRRGWRAVGISTACLALYLRHVSNMSAHFDYGWNMTLCIGCSLGTLLTYFVYLFRRWRQFGSLAALRRSDRMLLLVLAWTTAAVSLELHDFVPFHYTLDAHALFHAATVPLPLFTAKFLELHAQEKYEKDCNAKCTKCKKTIPVKRRGDVILGLRESNAAGQHTDRWYHVNCFFVAMQAKGVGVTESAIRGMSWLKWDDQESIRDQIDAFNGQTIERMALDQCKVEHAASHKGKCGKCKNNIKKDEAKYLCKKAFHHLPCLMKLDIKFTGDPADVGGYDKLLQPEKDALKKAIDEQRYGKIKTEATQGSASDCLMGGDEGADGAADAPVVAIVAMARRMARNKKDSTTIYLNALDTETALERSAPATLTASTKTAWGDDYDGEIQLLDVVKSGRPPVVTLGGGGAEGDDEKGEKKMEQKKMWDEVEVMEDDEPPKPKKSSLNRLFDRFQQEKRESLSSVLDLIPKKPRLSAEMSKDQLNDQLKKQTDALWTLREKFDALHEDEIVDLMKRNGMYVPEGKEMRLNSICDAALFGVPGQCPKCPDGRFIFNSDLNTYVCMGNMTEWTKCTHTEKNPKYRTPFGFPRDLPSALAKKLEPVDDTELMNTMSRRYYPIANGAPLT >PPA12387 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2276:325:928:1 gene:PPA12387 transcript:PPA12387 gene_biotype:protein_coding transcript_biotype:protein_coding MNREADNVCSSCKNCGSAVTGQQMAFPSPIRPSLKPTASSTPSTLVFKSEPSQGLAKELLREDYLKIVVDKIGAANKSITQDFVQVERLQVFKQKTLIFVASKTMTDTLGVFISYHPPS >PPA12388 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2279:38:518:-1 gene:PPA12388 transcript:PPA12388 gene_biotype:protein_coding transcript_biotype:protein_coding GSGRPQSRRRQELWGRVRPPKKLTPGTEGAGAPPKKTTPGTEGAGAPPKKTTPGTEGAGAPPKKTTPGTEGAGAPPKKTPPRTTPGTEGAGAPPKKTTPGTEGAGGPPKKTTPGTEGAGAPPKKTTPGTEGAGAPPKKTTPGTEGAGAPPKKTTPGTEGA >PPA12407 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig228:68564:70850:1 gene:PPA12407 transcript:PPA12407 gene_biotype:protein_coding transcript_biotype:protein_coding MASYSNGRDEDSHGILTQNTQISECVSNIREELSSSGFSGDIDMFAGEQSRETLVDLAVSLVYSIKKSMDELLNLRKGADGQVTPKRLLISICNLEYILGHSLKRIAHRLREASFKYTDVVYESRSKVVAYRGHLVEVYLSLRHSAVMPIVTSATYAMVPEEDVSDWAKELLMSVVLAQSELAVNAPQLSSECIRALVGTSMQGVLTHLAQSPPRGETACAQCVIDLSAIEGALNPFLSLDTKTLLNAYRAEMVPVLDQEKLVRCISTMRSNMRVAMESLEAAGVEMSGENTDEMHVNRIGAIREFY >PPA12393 pep:known supercontig:P_pacificus-5.0:Ppa_Contig228:6900:10204:1 gene:PPA12393 transcript:PPA12393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pat-10 MPNLYVAGNKLYHKTQSVPDVSLPGQNKHFSGNAQLNPFNHMLGVASSMDYGDSWGMGYALHGVNFLGLDVRRHYKQYANLPHLETDGLHQPFGNSFIVGAEFDDVKYASQAVALDIPLPGINEVKGKGQMFDFELETLEKRDAGLVDIYHSRMSLPIPTTNQRVPFKAHFFERLNDIDINFGHVLPNVNMMGVETDDVVDQLVTNKANPTLAEDIEEILAEIDGSQIEEYQKFFDAFDRGKQGYIMATQIGQIMHGMEQDFDEKTLRKLIRKFDADGSGKLEFDEFCALVYTVANTVDKETLEKELREAFRLFDKEGNGYISRPTLKALLKEIADDLTDAQLDEAVDEIDEDGSGKIEFEEFWELMAGESD >PPA12390 pep:known supercontig:P_pacificus-5.0:Ppa_Contig228:1702:2474:-1 gene:PPA12390 transcript:PPA12390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3ERH6] MMGEKSKVKSEMNSHKSVRDEQKGAAETPDVKADGGGGTQEVKKEDKRLSVDPEEGHFLETGGKQEFMLVNTMDTPVAVKIKCSNNAQYKVMPVYLSIDKSQISTLTVTRSPGPAKNDKLVAAYCPMVDGVKDPKEAITKYETTGKKCQIIRIMLKVVNAEDFAVPDPSREAVS >PPA12413 pep:known supercontig:P_pacificus-5.0:Ppa_Contig228:91938:95227:-1 gene:PPA12413 transcript:PPA12413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hgo-1 MNSQHPIHEFPMLFLWDRTHLRQHLVCAHGLYAEQLSGSAFTAPRHQNRRSWLYRIRPSVIHRPFSPIDLPHLTSDFSKGEITPNQYRWNPHPIPQGKNVDFVEGLYSVCGGGDVVSRVGLAIHNYACNVSMKNKAFYDSDGDLLIVPQQGTLEITTEFGRINVASQEIVVIPHGIRFSVGVNGPSRGYILEVYGTHFVLPDLGPIGANGLANPRDFLTPVAWFEEKDEPFQIVNKYQGKLFVAQQDHSPFDVVAWHGNYAPYKYDLRNFNVINTVSFDHCDPSIFTVLTAPSTKVGTAIADFVIFPPRWGVADHTFRLPYYHRNCMSEYMGLIVGCYEAKEGGFRPGGEETKENNYVGQ >PPA12392 pep:known supercontig:P_pacificus-5.0:Ppa_Contig228:4592:6375:1 gene:PPA12392 transcript:PPA12392 gene_biotype:protein_coding transcript_biotype:protein_coding MILECVFLAVISAVVSSQCNVNGILEAHNKLRSDISSGNYVAKGKKMPAAKSPIPNLAWDCSIEQSAQKVADTCVFEHSESDYGENIYASWYERDGDESIQNTFIGQGKAASQNWENEFQQNGWSDVNFTEELFKSGVGHATQMAWASSTKIGCGMKLCDGDKQVRVRGRTSCQTTENGLVSSKKVPGVKVELWERDNGENALVDADDLIDTQIVKEKGGFLVYGGQPETFNQQEFYVKIHFPCVANSTCNFVKYKKFCEKNPGGFYMAKKQDIPQEYRFVQANITFKIEYKMGYAHHEIDSNIPYL >PPA12398 pep:known supercontig:P_pacificus-5.0:Ppa_Contig228:28182:30041:1 gene:PPA12398 transcript:PPA12398 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVRSMYTMFRRFATAATASQAMRFMKISPRSFFVGAGGTSLAVSFFSAKEDKTKPPFPNYDIVIRETDTLYDNYLIDNAYNILRKYETSEYPELLWRLARVLCEKGKQSKAPADRKKYFLESFTVIQRALSCEPPEGSFGAHKWMAIILDYVGEIEGTKSRIAKSYEAIFGTPPSSTYQEALELFLKAEDIQPNFYSKNTYYIGEVYDRLGRHEESIKYYRLTPSFARRKWLQKIW >PPA12391 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig228:2877:4222:1 gene:PPA12391 transcript:PPA12391 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSGDDLKDTQLTDENGLFAVWGVENELRGCVDLPNPSSGITFCSSLKDKCTDASYKARMSVQCKKTCGLC >PPA12402 pep:known supercontig:P_pacificus-5.0:Ppa_Contig228:52705:55803:-1 gene:PPA12402 transcript:PPA12402 gene_biotype:protein_coding transcript_biotype:protein_coding MAWASSTKIGCGIKLCDGEKQNTATKRLVTKAEKRPYRSAASPLPFAKKAIRPKILYDVLVKNNAKEILESTIVCLTKLMEGSDEFKKIAKDTGTLKIFDDFLYKYNNDADLFVDSPDLPKEALLQRSVKELNNLVISLNCLWIATKLIIENESSRSELKSKRETVQKDVVEAFIECLQKWEIIGKTFTACRILDNDVLAQFSVGIGETIRSLTKNNRFDTDHKMRENVEEFITTSGMSEQSNRILEMNIEQNLSSFDASTINL >PPA12405 pep:known supercontig:P_pacificus-5.0:Ppa_Contig228:64943:67698:1 gene:PPA12405 transcript:PPA12405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sec-5 MSDERGGPPEITGLSPSEGVPGTLITIRGKNLGIDQNDVVMLQICGQDSLHTMKWISSSKILARVGNVSNRGEGDVRMMTRSGGRAGHIMKFRVFIKQVQPLEESAVWVDETKTVPGRETIRNVTLVTEEIDALGLRPTKKMDQGLLERQFGTATSGNLRMENFDPKFYLLENYFDATLDQLREGITNLEKAKVDQEKKSEEMHRAHLYSLINCVSTLATLHQQLEEHKGEMAVTKIIGDKVSQAREKAESVFKDVLARKDTADATRNALSVLTRFKFIFFLPKQIDENMAKGEYATILNDYQRAKALFKGTEVALFKEVMEAVDDKMLRLRDQLRRRLIDCPTSFEEQSKLIKYLKILDPSSDPAWDCITSYHCWLENCLFELQDDHCKRAVEESKHAERFELTDSSSRRLFVSQLSDFLLAKLQVFWKLANSYNIQDEHYRQRQEDINQMLTLTINLSSWLLLNALVPKTLTQEV >PPA12395 pep:known supercontig:P_pacificus-5.0:Ppa_Contig228:17663:22542:-1 gene:PPA12395 transcript:PPA12395 gene_biotype:protein_coding transcript_biotype:protein_coding MASWMATEGHAKTLKIFCDESKTEYNEIEEKNVVERKDLSKLVYEKRVGELCEELETKLKTHSDVRPLALDLRTQRFAEKVLERMTMPPPPTPVKNGFAKEGNGCAAHNIGDESSASSSSISSSTNGSVHPSTGNGTAAIAIPSSSKGLGVDMMMDQGASCSTSSSATSLRGGNGMGMTMSPSRPHKRRSSGAKVVDGDRRDSSGSRPPSSDFGPPPRRRSTRGKEDRRRELDDDMEIDMPIDVMRGIVASKGHMDLGKSITPKNGGPSSSNKLTEEELDLLMAYSRKNRSSRSKSRSGRKKKGWKGPEIVQEGRSVAPSLMEHEEDIKWRCGYTKTEEEEAVRLYHEMLKEGMDIQTEAKSLSNKHPRLFKYATDALLAVMHNSREDMETNGIFGETRARCLVHSMNKGLLKLEYGNSMCESKLARLERTVRTQKNGCAMAPFIDIEGLMGRSQESFYLRSKKSTHTFYDQNDMKMLERRKKQAEIDETMRVGKEKAAKAQLRKEKKMRKKERREARESEGMEGREDGEQIEKKKKKKRRDGNEHPPVLMEEMPMEIEDGPSGSGGMRRSRNY >PPA12408 pep:known supercontig:P_pacificus-5.0:Ppa_Contig228:71252:77946:1 gene:PPA12408 transcript:PPA12408 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVKSGFESERGMRGRVIAIVKLEAVTLLLNLFIWQQMMQYFDGQRKGYGVDKAFKLRCSQALLLVMSGAHSMYLFFYAPSWLHGWPFEISNILGGVWTNLLGFVCGFFLVNCVSWAMQQWEPSRKILLFLSRPKILNDLTFDRKFQIKNWTTVSIVLVMSLVMYYASDKISVRHLSLPIRNLSTPSGMPLKLAVISDIHAGASVHEEQVSRMVDEVLQMPVDAVLIVGDLVDGTVDDIAPRLKSIWTLASMKPTYFVTGNHDYYYYDVRAWLSLYEKKGIKVLQNNHVMLKGVCLAGTDDISAGKTGVPGHEINATLAIAGCPKDTTKILLCHNPAGILDFPKETLDQLDVVFSGHTHAGQFYTVAAAVYWMLPYFYGHYDIGTHGHLFVTAGTLYQGPPMKMVRISIDPQNVGWKNDEDKFGKRLMEKMGWESGKGLGRNLHGDQNNIKLNANHTGKGLGASANHDTNWIAAHDDFADILKTLNKNKQTTEETEEDAEERRKKTNMELTSRSLKRRIHYQKFTRARDVQQYSEKEKEAIFGAAGKKKMDDEKEKEKEIKEKKIKDEETGSGQLLTNSSLSVSDYFAAKMAAIKAKRDGGEIEVKKEEDESTEEEEKEVKKEIKEEPADDEDEESEKQRRKREKKERKRREREMEEKVLKKEIKEEEPDEEVEVKEEVMEEESEEERRKREKREKKEKRRKEREEAEEIEESEVKKEIKEEPADDEEETEKQRRKRERKERKRLEREQTAHEAAHKRHYEEELDEEKDENLLGVEKEMINFFSDSI >PPA12412 pep:known supercontig:P_pacificus-5.0:Ppa_Contig228:89435:90233:-1 gene:PPA12412 transcript:PPA12412 gene_biotype:protein_coding transcript_biotype:protein_coding MLEYIDNAEIGQSREMILNHSITSLHSMMTPHGPDVKGFEKGSTEPMTPQRVAEGTMVENIH >PPA12409 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig228:78603:78861:-1 gene:PPA12409 transcript:PPA12409 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKEAPKDEKNKEKDNKKSDKEKGKEISKEGSKEAKKESIDNIGDDIFKKVMYLDISLY >PPA12404 pep:known supercontig:P_pacificus-5.0:Ppa_Contig228:58275:64693:-1 gene:PPA12404 transcript:PPA12404 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIKARDGGVRSVYESFLWNVVDEGRAITVEIARLADIVPQYIIDPSKSRFKPILLDYNYFVNCEHHDNMLSMYQDLDDDIFTENKQLFANLVNLFDSLASFITTFKQYLEEASSDSRLEEWDTVRELELEAFYLIGLSCLSLERLFAAHTLERLVVLLFRLLDDRSSGLVFALDFLHAPQNAEDTLFSRVGIPLHFVDEILEVFGDRFHEIQVHSSSLTRIRPILPSLLFLSLRFRPQTLANTPRLSRILDLFFRDQWVISVGGHIVNLVDDWSNQKLLSSLLASRITPARARMLTDQQLAALQTVDLPQGSMRISMIPSLIPLISKYNAALQWLCLHSYDKSSTRKSSGLTTAVKTSLTDHFEPHLAMANVARVEHNIITVYERTLRTKKESLEEATASVCSLIDEIAEILKLTPNLPLKEDRKTKLIDWFVVLKTRLQEEAQGQKGAEIAMEVKQRVDHVISSVGLSLTLAAVSPRLQQSLDALYALSSLNKTHLRSLKATSAPGYAIEMLASWSSQLQYMLVNSPLPLRALCYKLFLTVGVEAVSVHSSSRRSPLARALSSRLSKRLRASLDSLPHQIFEVIDTCVIPQLEQRWPTALDKSEVRRLADMDERLKLAEGTRALANLSLGVASLSSRAMGGRPREVVSEGIRRELRVRLQKMISCEGGVHGEIQMEYSNNCAFVETRLVEREVASLFEKEAERIVDRAAKRKTWDDNWLDREGAERRERSMIRMMIDATNPKKTRYIGHEQAWRDTKSGKEVFTPAILSRLEISLPLCTSSMSRLLRARASISLRSILNQMRPLMPTTDVSPESLVRLSRSLPSSIGDELAGMTQSLLLAHYLDHARMRSARLMVPHVSRALEATTQFMNGEGDDSSLLSLLPLLRHLGLSPSGLSLSSLSSPSSPAPLSPIAAVCIALWLTEVGGVQTTLGTLPLPSETKTRLALLRDTVVAGRL >PPA12400 pep:known supercontig:P_pacificus-5.0:Ppa_Contig228:41389:43317:1 gene:PPA12400 transcript:PPA12400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gop-2 MAESTASSSKQVPSEMPSSPLAGSSDGPNPDLAPSVIVLGMAGSGKTSFVQRLTAHLHAKKTPPYVVNLDPAVPYPVNIDIRDTVKYKQVMTEYGLGPNGAILTSLNLFCTRFDKVIELVRARSSTVPLTIIDTPGQIEAFTWSASGTIITEALASSHPTVVIYVCDSARATSPATFMSNMLYACSILYRTKLPFFVVFNKADIVRPTFAQRWMTDFERFDEALEDSRGGYSNDLARSLSLVLDEFYCGLKTVICSALTGEGMDEVLTAIDECKQQYRDQYIPLYAEMRAKKVEETAKRLREDAKMGDLTSEVKALDVGRVRDSDDENE >PPA12396 pep:known supercontig:P_pacificus-5.0:Ppa_Contig228:22836:24088:1 gene:PPA12396 transcript:PPA12396 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYDWISCTRWILELSLLLTGNVFIFIAGIRIAFDSVLHYNFRVIFITLFTRTILLLFERAVVIFARAFIYGQASLPFQIFISVEQVFVISQMGFSLLAISIERVIAILDTNYEHRCRELHYRIIIPIVLTASSVLFISKSRIKKRHVRGLLLAQKFASVESIRIGLSLYSTYCTPYTLGEDPTKLSHAYDMLAAYKSAFIPCFLFYKYREISKKNEHENSVEEKRRSFEKQTQMYFRHLHATWKT >PPA12394 pep:known supercontig:P_pacificus-5.0:Ppa_Contig228:10584:13183:-1 gene:PPA12394 transcript:PPA12394 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIASDSSFYTGKICLAPMVRAGRTPLRVLSLDYGADLVYTEEIVDQKLLSATRKENHILGTIDYCIEDDVVLRIASERERGKVVLQIGTNNGESAAKVAAMVGTDVAAIDVNMGCPKPFSIQGGMGAALLTQTDRVKEILSSLVATSQVPVSCKIRVLDDPSSTIALAQFIERTGVAAMGVHGRRRSERPGDSNREEEIREVVRAVGIPVIANGGSTEMETHEELLAFRERTGASSVMVARKALSNPSIFRKEGIYSMDTEIKNFLQRACEWDENYTMTKYVVQRMLGGEQEHDPRGKATVQAGSVVEICRAWEMEDKYEECRAARQSKRGDRVEKDETTGVEYVDVTFPMKRLRTAHSNSPRCALFKLCEENKEEKPIYECRQRDSDRRYEAEVRVFKRTFLSRIGQTNKKMAEQVAALAALIALNERGRLDGEWEELCE >PPA12401 pep:known supercontig:P_pacificus-5.0:Ppa_Contig228:43527:48248:-1 gene:PPA12401 transcript:PPA12401 gene_biotype:protein_coding transcript_biotype:protein_coding MNGATCSSNADMTAYKCTCPSDRFGTQCQFSGDPCSNITCASTGKCTPIFDVRLTTWTDSTVAYCSDIPTNLDQKCEEIRKSKHKLNEMRCESHRRHGQFASVRTDIKERRTPLGTAGCLLVVSNLNTSSLFERHIDDLMTLDKCYEYMMANTKYQVYVVAGSICYVGENPILTTPANFPDCDDRCLGKWQQKCGTRDHAWQFLYTYTNETAQCAVAPTPCNVIKNQGYCVEQNNSFSCVCAPGWTGSDCNTANNPCSSLYCKNGGQCTPTADKSFAYCVCPKGYSSSDCSVKDQCFFTPCQNGGTCSSTSNSYTCQCDSAYAGTNCEIYLACSSSPCKHGTCTNSGTSGQYTCACIAGWTGGDCDEDINECTVAAAKTPVQTLCFNTGTCVNNPGSYSCDCVNGTYGADCSINPDDCNMTYVGLDGNNYTNLCHYYDPNAKCNDGYATYTCTCSPAWKGAMCDKDVDECADAAALTPPESLCENFGTCINTPGSYKCNCIKGAFGFNCSESKLNPRCPLSSFITPQIPYPDDCALSNEFVDGEYWPNKCISRDHYFREPNCTDGFAEYTCNCSIYWTGEFCMTDVDECKVNDPYPCENNGTCINTPGFYECDCLNGTEGFNCSINPNDCENITQCGLSDPLGNCTDGFAKWWCTCGPDYTGQFCDLEMIIYRVLQLIGGSSANEKDLIKMMRDLLTNPSMMKDLVPFVIGLQSKENRTKMSWSAEDLFEWITYEEKTLNLESDLEMWNDVVLGNCFTFNHFNNTRSYLMRQDGALGGLKAAMKLNTNEYVPWTETTAMMTFIHPNSETIFSESPRYNAEPGAHTTIQTTESRFVRLGGRYGKCVKSIDEVKSYYYDGSYTTDGCLRSCYQDEVLKACTCMDSRYPKDPDAKACELPDRDCVDSITKKGDVSKWAHCECPLPCTNSQFDASFTMAPFVIGFNKVIGNIGGLGGVVCGINLITFFEFGFFFFVQLPLTLMTNRQIQ >PPA12403 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig228:56452:56697:-1 gene:PPA12403 transcript:PPA12403 gene_biotype:protein_coding transcript_biotype:protein_coding MTQECPVTCGKCGCVDLPNPSSGITSCSSLKDKCNDASYKARMTVQCKKTCGLC >PPA12397 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig228:25598:27854:-1 gene:PPA12397 transcript:PPA12397 gene_biotype:protein_coding transcript_biotype:protein_coding MYFGEPVLTDTDLQSDLGWRDLISRLVNMTDHRLVAIDSIGTNDDQYMASTFEADLDLIDRRLKRLYPYVDFEATQVPTHWNKDDKCTFMRVSHLNLRFMYPEKPGTPANDRKNKMEPGAVRANHPCPLFAGVYYFEVHIKESDGYMGVGLCQKNVKMNRLPGWDALSYGYHGDDGNFFSASGQGVAYGPTFGKGDVIGCGLNLVRKNVFFTKNGENLGTAMDIIDAVDELYPTVGLQTTNAMVDVNFGQMPFLYDIYKDIQSVRETVKARVNEMHMPSAKKKNWLNGLR >PPA12389 pep:known supercontig:P_pacificus-5.0:Ppa_Contig228:99:1025:1 gene:PPA12389 transcript:PPA12389 gene_biotype:protein_coding transcript_biotype:protein_coding SRTGVPIYAPERGPKGDFPNPVGATAAAQAAAAAGAKAKAAARTPKKKNSQEGKGPPSAGGNGGTNGTNGGGTTDGTNGTNGGTTSGSDGSTVGGGGGGTANGGANGTNGTNGGATGGADGNTGGGTNGTGGTVNGGVGGVTGGTTGTDADGTTVGGTNGGGPTGGAAGTAPPSESSENAGGSEGSVNGGNQPPSDPPAEGGEGGEGGGGEEKEGGEEKEGATNGGGGGGTYKQEESCDKNDSNSKPKFFKDQGSSYFTYY >PPA12399 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig228:38414:40282:1 gene:PPA12399 transcript:PPA12399 gene_biotype:protein_coding transcript_biotype:protein_coding MNRWKRQVSQKKTPKAKTAAKPKSPKKAAAPKKAKTTKPKSPKKAKAPKAKAAPKAVKA >PPA12415 pep:known supercontig:P_pacificus-5.0:Ppa_Contig228:101540:110529:-1 gene:PPA12415 transcript:PPA12415 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nol-5 MGEKLTKAQLRQAYTEWAETQEPRIKEAFYRQRDEHDLKVNGMRKNMAEKSKNILSTEAKTLFDQIQSIHDNENLTIDENIGLVQEVMNSADESVRKELRAMLILYETPAGYAVFKMTDEKKLKDVDNIYEHFSTAEKAQGALELVSFKKFKTTAEAVEGATAIADGKLNKTLKKLLKSKVDASDKLAVGDAKLGALIKDQLQLSCVNNAGVNEVMRGIRLHIDSLLGEHKTELSAMNLALAHSLGRYKVKFNPEKIDTMIVQAVSLLDDLDKELNNYAMRLREWYGWHFPEIGKIVPDHQAFAKTVKLIGMRQKAINTDLSGVLPEELETRVKEEAEMSMGTDISPIDLIHIEELCDQVIELTQYRAQLSDYLKNRMSALAPNLTVLLGELVGARLISHAGSLVNLAKYPASTVQILGAEKALFRALKSKKDTPKYGLIYHAALVGQAPPKLKGKMARKLAAKVSLSTRIDALADDSQGATVGLESRALLEDQLRTEEERGPKRISGVGHKQDKYQFKSETFEYDESADGVKKGKKRILDDDAEEDLPKKAKKIKLEEDEEEEVVETPKSKKKKEKKVKKEESEDEDSSLSSVNKMSQAAVETPAAIALKEYFGLESDDSDESVVDSPERRSPDLDDKIIDDVQECLSTKSSSGVSESSFSSSDSNEIVTTKVITQGGPFLTEEELLAGDDFFDGSNDSEDEERLLNETESTHEIVEDLGFHEVSPLKRDRVEICDNSSGVSPKRPRLEEIDTFEEIEEEVIEDARDSTALSDKGSDGGLSPFIGLGEEPSKDTRNEDVEYSSSVLEEDEDLFDVGGVQWNFSSRCAEYLTSAVFVSDIYRVICARENGCEPETLEERPEDIDDGLRKDFIDTLLSRKDQLKLTLTSVHLSLRLLDIFIHEHSCDRKAFVGVCIVAVVLASKMEEYESCRFSQVARVIFPFEDEVDITVLKRLEKSFYSCFDYNIDTPTAFVVANIFHTMVMSSKQQVHLANLSMMDWAISQHRPTVLAHAAVSLSHAIAKEMMGNSMKFLSDVESLSSVERRLSLFSKITHSRFIGSKLLRLYERAPMDAPSIYDRYCTENELYVAFFEVTEPLKHAVANGRPVRDFAYPSDREDDEKRVVKTHKDRRFEELKELIKKTKNARVIKDLSLILQCLEHLHKHYTNSKTIFARQNISTPRFYVRYLVDLEDYVNELWEDKDAKKTMSKMNAKSLSGLRQKVRKYNKEVMEADITAYKANPDPAGYESPEDEVKQGSDDEAPSAAPVEKEKEKKLTKKKPARQLDSDDSGSDDDWSSDDDSSSSSDSDIDIENQKMEDLRKYFLKKEFRGDADDRDEKKKKRQQRQGKQKKVPF >PPA12411 pep:known supercontig:P_pacificus-5.0:Ppa_Contig228:87202:88552:1 gene:PPA12411 transcript:PPA12411 gene_biotype:protein_coding transcript_biotype:protein_coding MNTARDYRSVLLHYFLLGRTATESHRKLVQTHGENAPSLHTCFNWFTRFKRGDYNLEHQPHPGRPSSRVRGRVLRELKANPKSSVRDIEKTIHIPKTTVARILHDAGKTPKLPQELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVRVPPKLLSEGKILMLMDNARPHHAKITQKKMDELEMEWLPHPPYSPDLSPCDYHCFRSLSNFCRGKKFKNRDALVKEFEAWINSKPQAFWKRGIETLPDRSRQVVTTKGAYIDY >PPA12416 pep:known supercontig:P_pacificus-5.0:Ppa_Contig228:112710:113959:-1 gene:PPA12416 transcript:PPA12416 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDGVEMAASQATNFFCQNCLERQKALMTSFSSDGTRTMRRMVMHSMQPSTSDEGDKKKKNKGAMKSTTMPSLDRKREKREGKKTIRGRRKE >PPA12414 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig228:97337:97646:-1 gene:PPA12414 transcript:PPA12414 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRGGRGGYSGPGAWNYGRTDRGDKGQGRQGGNYNRQDGGARYGGEKRQGGGGQGGGGGGGGAWGTGGGRGDQNRRRDGVTQKNRY >PPA12406 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig228:67815:68240:-1 gene:PPA12406 transcript:PPA12406 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQGRILVKWKRRITTSRSNAFNSSLVSTTSSLNERMGKMATVINEQASSTLNHDKDGDSIEEEEEITEIEKETTIKFTGTNSRQTGSDV >PPA12410 pep:known supercontig:P_pacificus-5.0:Ppa_Contig228:84585:86169:1 gene:PPA12410 transcript:PPA12410 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVGDTRRVAQADGRGFSKLGMEESGSESRPPVASARMENGEWRIELAGFLHRDIKPGNFAIGKKDEDEGHVIFLLDFGLCRQFTAKDKDIRLPRDTAPFRGTTRYAPLVAMRSQEQSRKDDIEGWLYMILEWTSGELPWSHLGRECKDQVLKMKLEVREVGNSNRNKLFAGCPKKHYEKIMEYVDTLNYVDIPDYKFVHFVITSMYKAYKIEATAPVDWDMANPYTGPYEVPGDGCPANMKTIVLPGADESLKSSKCFNNSSKISKGKKKGPSSRLVPQSKRKKGSAALQQRQQNNQEQEAKQNDVEKDESFKKKRGDESSKRRKSRGGQAKSENGGGRSENGGAKSESPAPNEGEADGDNTGITGQTTGPGR >PPA12417 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2281:775:1414:1 gene:PPA12417 transcript:PPA12417 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDAIPPIGTIKDGKVSYENVPMSQEEFDEIYKKIVALRSTVANEITTATEPANGVNDETTATEATTVSPVDDAKDKTTATVNGVNDETTATEATTLSPVDDAKDKTTATVNGVNDETTATEATTVSPVDDANDKTTATVNGVNDETTATEATTVSPDDDAKDKTTATVNGVNDETTATEAATVSPVDDAKDKTTAT >PPA12418 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2289:19:494:1 gene:PPA12418 transcript:PPA12418 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLNRKRGMHPIEESQENENEEMEDAPERRKKKWKTKMRWRKRKKKLN >PPA12423 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig229:36653:37228:1 gene:PPA12423 transcript:PPA12423 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFLSRVVYWHVVKVIGWGQDADGSHYWLCVNSFGRNWGDNGEYLHFAHYLALCSSEWSLQDQH >PPA12430 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig229:68670:70863:-1 gene:PPA12430 transcript:PPA12430 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPHSMLDLLSSPVLDELFAMWSPCVPSDVKDIPKQPARARQLIPLPKDFSDLITIAAKFRCPSIPLDEKSTMPTMCLLCGQLLCSQSYCCQKFVNKDNVGACMQHLPSCSGGTGMFLRIRDCYIVLLTNRLRGCIRAAPYVDEFGEVDQGFRRGNPMHLNEEMYSKLRHLWLHQNISEEVVNRYEIDHRNMAYEWNHF >PPA12429 pep:known supercontig:P_pacificus-5.0:Ppa_Contig229:66690:67647:1 gene:PPA12429 transcript:PPA12429 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVAASRALSTSARRDADLVQQAFVKKIKEFGQKGGDLVNTNPEVKKALQDELNRLAQKFHSAKHHSSATDKTTKAALANADIVGRIPTNFETAKVECSVAALLEGKSVSALSESIKKEKSEYVAARAAKKAAEAARAAAIKGN >PPA12426 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig229:60833:63634:1 gene:PPA12426 transcript:PPA12426 gene_biotype:protein_coding transcript_biotype:protein_coding MFTESFDYERNGDFEAKLNVGIFNQNDESLWQKLGEVGGFLWNARAKYGEANGNKFVTHALLIAFDKKRMIEVMDSAEYKEMCNFIAAIYRLHKIHFKVKVSSTTSIDRFYLI >PPA12431 pep:known supercontig:P_pacificus-5.0:Ppa_Contig229:71002:91796:-1 gene:PPA12431 transcript:PPA12431 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPNPNAARKQKAAATAYPGLLVPTTIPDHLLPRLRSITTVILEYAARVLAWPKDKSFLLHLPGLKRISDPKRTFQTMLYNDETHTYDSVIRALELSIHCTKEQAMMMATFVDKEGCTSVATGDKEACVRVLTDIKRRTQRDQSRRTERTGPLEVHVLESELISHQHLAIALLQWLCDQTDSPLPSSSGLSPTPMQSSPEESTIDTTGINDDTWIGEAATLVEAVMGADSMMWKQARASWHQLMMKTVLMNMDQKLAFSRRFLHMYPVLQSGFIEDDQEHDVSVVALSVQMLTVPPIARRLISEESALARIFDVFLKQCEKWVCTDKDTQGAPLPVAHRRRFDFSKHTYPVTIKRALHMIRDQVYLLTQKPTAEEWNDQLRTSFLAGFDELIKLLDLMQGMDEVKRQEGEHQQWEMEWETAFNMQLRLQEMITLMVSWANSDPIVHLEVTHRVVNGMMTALDEMNPIELEAGATHGVITIGGFKHIVPIFDALRHPVSIHIPVFRLLAGLVTANLPEARRYLDPLGEPTTPKEIEVRNAIKKLDYNLFELQTRVLVLSAQMGANLWRRNGFSLVNQVHNYFSPLCRTEMYDQDLVALQYAAATIDDVNKFVIHFMFRYRISSWADPNFEGAIAAAADERKPEKEDMSKYTVLLAEHFFTTIINILSERYRPGVGECVRADMLEREIIHVLATGPQTFSYIQQKLHHDPLLHRVSVNETVTKVADFKRLTSNAAGKFELKDEYLEKYDTFFYHYTRQSSSAAEQYQKKHRANKNRAIRACPPPVPIPLEPLFLPLTRLLLAPSLISTLVLNLQRVVRRSRYQSESIFHRILYIIGIGLHEQSRRLVEFDFVGMANKDEYRVLKHLEDLTSKAEGAAHADLLWWTIQKFKEVTAARDAEIARREGTTNEMEEEEKEGEDKKVEEGVDELERKKKAKAALAAKMRANAMNQMQRLQKRFTAQVEKEEEELKHSREEGPDHAEHAEKEEKRLKEAELYDEDEDCPVLTEKLSSFPVCIGPAKLVIEKVHPRTVTCVLCQEREPLDTAHARFVCAAYVQRSQLFAQHGPSADLRLDSFLVNSTLVDEMETTTCSHTMHYDCYTNLHEANLLKERRGRQLIMTNKILDTDNGEYLCPLCKRLSNTAVPLLPPIHSIPIVGFSTNHPAGEDETFESWVTEMRRFVALTKPKHSRKRSHSERSLLDLGKVHGERGGERDPLAQGSLEGGMSNSVPSSSQLNLLFNLESLTERARLQQQQQQVVAAAAAVEAVEEREQAGADADGALGMDEFMGELAPAQRRGVREAVMDLNEFLALGEAREEVERDDELLLLLRGAAAAVMADARVPVDAAAAAAAANDVNNQAAVNLDLALGRPPAAAAVADGADDDGEIAMVIDDIDEEDEEDAPAAPLPARLMMNRRNERRGEIEQFGRRMQAIPGVLTGIIKGLPTTILAKLSKRVLPSAVSDSEDGVRHFTKFLMKTHITTDETRSFSSTITVWRTTTHVLRSVSAILEIEGKPLFGALNTRQRDCLTCLTRLSALLSCNLAPFGELIKVMLRILLHPSVANPYPATRPTFRSTVASTSNSSIGPSTSASGGEAGPSTSSSSAPLSPPPVYNCRPPPKEEKDVKRGRAAAAAIALFFQSEKKEITFNILNIDILALTMELMMCIGWTWHDGVRTAKNVCSQYAKELVADGSNDELHVMRLGLTAFLFQVIATHTESVDADMEVGEEGREGEDVEMRMEHIWKEIKGVELKDARGLIKKLRDSIIHFLRPLALLYHSLRLVPPPEALRDPSVDEFEPLCR >PPA12425 pep:known supercontig:P_pacificus-5.0:Ppa_Contig229:57454:60223:1 gene:PPA12425 transcript:PPA12425 gene_biotype:protein_coding transcript_biotype:protein_coding MQMASQQVMQMSMGIQQAQMEAAKHRHIAETSVQLLQKLIPVLPNEYAARVTKELERFNGERQMAAAAAAGFPGMGGQQMQQMQQMQQLQAAMANPLLAMNPAMAALMGQQQAAAAAAMMQQQHHHQQQAAAQQQAHAALAALQQQAAMGQAMGQMGQMGAAQQQQLKMMMAAMGMPQAMQPPTSSAAPSGLSQINPAGLASPAARSLALPSTPASPFAAPNGTMGSSAAASAAKSRKRSSPSTPRAGDTPEVGGGPVPPINPSLALSLATSASSSRSASPSVSIPTSAAAAATPAAAASTPTVDPAAMLLQMQQDKRVID >PPA12419 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig229:10065:10533:-1 gene:PPA12419 transcript:PPA12419 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSRTIYRCHSTARMDRTTSTCEMNRYEGGQRRNVKKDVDDAKRLTSMRFGRGSSSMSTISKNQYVFGC >PPA12420 pep:known supercontig:P_pacificus-5.0:Ppa_Contig229:12836:19388:1 gene:PPA12420 transcript:PPA12420 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-wdr-23 MEEELKKHDEDRELTYDELDRITSEEEEELESSDSECSCDWEEYTDDEDVDLPQSLPLTDEYKFIVNNERDYRDLASISRGDSGYRDGEKSGGDHTREVVEMRRRQEMGGGRGKGGRALTQGRPMSTAEKANLVNKFFPNRRRHIALQTSKVFGCNYLPRQQQLAVSSQERTIRFYGRTAGKFQLDRSIEAPNVGWTILDLSFTKDGETVAYATWNECIYLARLNERSSNLLRDESDVEWRLIPVCVGNRNSRLAVFSVRFSQDDRDILCGNSDSEVYVIDVERATKKIAIPAHADDTNCVMWGDNDPNIFLTAGDDGLCKVWDARTLDAASLAAEGEPIPVGTFAGHRDGITSIDTRGDGRYVLTNSKDQTIKIWDVRRFSSYDAINNTRAAVSRQDWDYRWQPCPAMRKKPLGALAGDSSIATLRGHSILHTLVRARWSPARTGNRYIYTGCARGDVVVYDTVEMRPMQRLSGHKAIVRDVIWAEDANEIFTTSVWFGTVESECGNGMRGRLERIKQRR >PPA12435 pep:known supercontig:P_pacificus-5.0:Ppa_Contig229:111489:112932:1 gene:PPA12435 transcript:PPA12435 gene_biotype:protein_coding transcript_biotype:protein_coding MQMVHHFSHSFPFPPHSLRPLSSMAAASETGVTGPELIFIDQTLICFDPEQTQNVQTVNLKRNVPQKVAFRWLTNAPTRYIVNPNRGVILDDKPVPVTIELLHQRFSPLHKMELQALVMRDNIAGDVFGDKRRTQEMQKIPLQLGTTLMNLDNAEFLSKQGSPNRSESLLSILDNEKNEDSKAQSLKDLHELLKSDIVTITKNIESTQQLEQVLTQQLAVRTEQMNDLKKSIGEAEATSAKIDEQLKEMEDEMAKLPPGDKSSSSGGAVPGICSLQ >PPA12432 pep:known supercontig:P_pacificus-5.0:Ppa_Contig229:92135:92685:1 gene:PPA12432 transcript:PPA12432 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRYRGDADHDFEGKEEVEKKRPDKILFDPALNEAVEIGEGVEIIEKSKHGDPKSAMSVSQGGDLLENVPLPEEKTAEDKKEIFYV >PPA12427 pep:known supercontig:P_pacificus-5.0:Ppa_Contig229:63631:64503:-1 gene:PPA12427 transcript:PPA12427 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIQRDKKDGDKKDDKKDVKKEEEKKEGEGDKEKSAKDKSGTKKEDKKSKKEEEEKKEEGDEKKDEKEEKKEDGEKKVEEKKDEEKKSKKEKSKKSEKEKKKDEKDEKKSKKEAEKSKKSKKESKKESKKSKKEEKSKKEEKMEDEKKSSKDKKSDKDNGGNTVLAQPTQIQDVKEADGDKAPA >PPA12424 pep:known supercontig:P_pacificus-5.0:Ppa_Contig229:55727:55936:1 gene:PPA12424 transcript:PPA12424 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSHNVRDLFDTIQREHASVQSKMMAQQSELEKTRQTDLVHLSIDSLKFAVAAGL >PPA12434 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig229:106318:111344:-1 gene:PPA12434 transcript:PPA12434 gene_biotype:protein_coding transcript_biotype:protein_coding MEHMPGVKKLQLNPGTHNERLEFLGDSVVEMIVTVHLFFLLPQHDEGALATFRSALVQNRNLAALAQEIFLDKLMLMSHGVELLHEPEYRHATANSFEAFMAAVYLDTGKNMDHCERIYGEAMFGREPEMLKLWTNLFDHPLKAEIPGTDRGEIPKAEVLKNLVEFEDSIGVKFAHIRVLYRALTRASGAVNNLNKGTHQSLELLGDTILQMATTHFLYKRFPLLHEGHLSLLRTCLVSNKTQSVICDDLEIGKYVVDAPRRNHAKRELKMKDKADLVEALLGAIYVDRGWEYCKAFTRVCFFPRMKFFIDSHAWADPKSALQQLCLALPRAEKGEKGHRLMPEYKVVAEHGPTNTREYRIACVFRGKKIAEVTASNVHTAQMKAAQEGMQNLPKFFPVEWARHEAKSEPKEDLCFMSNWYICKFCYL >PPA12428 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig229:65470:66162:1 gene:PPA12428 transcript:PPA12428 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCIDQIACSCNVDWDGRRNGIASVVAGALFFSAWWIVLDTAMVVDKKDWNNLYFILTISSSVGMVLLNSVSNSQVRGDSMNESVLGVTGARLWMLLSFGISFACVFAAVGLLFKGYIKPGDHVVWPGVTLLIHNLMIFAASLVYKFGRVEDLWMI >PPA12421 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig229:20115:22699:1 gene:PPA12421 transcript:PPA12421 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVFSKKSKTPPLPAVSEQDQAILQLKTQRDKMKQFIKRKEKCMERERQLAKQLIVDGRKDRALLLLKKKRLQENVIDQTLKQLDTIEKMVTDLEFADIQQRVVEGLKQGNEALKKMNSLFDIDEIEKIMEETREGAEYQEEISSLISGQLSSSDVESCEEELAALLAAEKGEAEEIRLPEAPTGEIQGPSRGTEKPVAEKERRKEKVSMMA >PPA12433 pep:known supercontig:P_pacificus-5.0:Ppa_Contig229:92806:94673:-1 gene:PPA12433 transcript:PPA12433 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKLVRAIEDENLEVARVVFYDYAKEAPKVFKSFDQPWEYKQDESAIKSTFLVPIAMAYCGTEDVEEMKQGEETVASMFKLNEQAGTTPGRGRAGQMCGYVFKEGEPTFSCRECATDPTCVLCQECFSQSAHRNHKYRMYTSNGSGYCDCGDADAWTAHYACSTHSKENVANCQRISIRVTIAARISHYEAKQV >PPA12422 pep:known supercontig:P_pacificus-5.0:Ppa_Contig229:29443:34353:1 gene:PPA12422 transcript:PPA12422 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDPIRGSAASSSYRTSARRRSNCLLTLLTIIAILGTVVRPGRAQFRGAASQSPTGYKSLNDGNWTLQPSFVGLNRGMRKEEEGEKSPIEEAKQSSVAILLAAVGLYLKSQQGGGLEGMWRGLSGEKRKEEGKEPIEIEETTENKEYLRKLVKAVNDDPSLGWKAKFNKFGVKNKSYGFKYTRNETEVRKYMEHLKRYFNSEKMKRHLNDITSLSPSSLPTHFDARLKWAHCPSISNVPNQGGCGSCYAVASIGVASDRACIASNGTFVSLLSPQDVLGCCSVCGNCFGGDPLKALTYWVEEGIVTASIAYSMYPRSMTMDAAGTLREQEELECGRDTVNWE >PPA12437 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2293:533:933:1 gene:PPA12437 transcript:PPA12437 gene_biotype:protein_coding transcript_biotype:protein_coding VNKGFGSITRLAEATLKNSNWIMYAFGSIATSETVTAAAASFNCESTSNNTPDPTGPTTGEIKCGCPYQPKSGAGYDPTKLFIVDGADKKCTLACENGYMLE >PPA12464 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:135703:137215:-1 gene:PPA12464 transcript:PPA12464 gene_biotype:protein_coding transcript_biotype:protein_coding MNILVELVGVIIGLPLIVWLTESMQYATGPSFFRKHGGFARAIPTIILVLILGSIGLSIGEMSSLDKSYVVLATVTFFLAVVASPIVTLVTQMILNVAPPKQKASAIALTRLVVSLLAGWSGELVGLLSDILRGGSTEEVEEFGALRKSMYILLSLLVVGALLFFALIKVYPEDVIRSKMLIETEDEEAKLHVAPIHHRDNYLSRQINPVSQLLAERRDAIMSDEVYDSERRPLIRRQRSREPVRQRADTALPYKIE >PPA12633 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:952017:954002:1 gene:PPA12633 transcript:PPA12633 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthase [Source:UniProtKB/TrEMBL;Acc:H3ES66] MAQQGDGGHLFHAEDGSAQRFLFTSESVSEGHPDKMCDIISDTVLDAHLAQDPNAKVACETVTKTGMVLLAGEITSKAVVDYQSLVRNAVKKIGFDDSSKGFDYKTCNVLVALEQQAPEIASGVHVGKNEEDVGAGDQGLMFGYATDETEHAMPLSLVLAHKLMERLHQLRRSGVLDWALPDSKSQVTVEYAFDGGACVPLRVHTVVISAQHKPSVSLEQLREDLLEHVIKSVIPPKFIDDATIYYLNPCGSFCIGGPQSDAGLTGRKIIVDTYGGWGAHGGGAFSGKDPTKVDRSAAYGARWVAKSLVKAGVCRRCLVQVSYAIGVAKPLSITVISYNTSPLSELELLSIVNDNFDLRPGMLMKDLGLKNPIYEQTARNGHFGHEAFPWEKPKELKIKPELLAKLKARDVNGMGGQEA >PPA12524 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:354596:355168:-1 gene:PPA12524 transcript:PPA12524 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSLVLLVALLGAAAAQHYYGAGYGSGYHNGGDHSGAYYGDYGHGDGYNKKYASGHDGEYGSYESGGYGSHTKGDEYGRAHDDGYNGGYDHSGHHDGAYYGGENYGNGGHYGQHGGPSHYYGGAPLGYYH >PPA12571 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:658010:659194:-1 gene:PPA12571 transcript:PPA12571 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLVKPSPVIDPPKTSIPHEIRSSIRLPPPTQRKKPAAKDDIVQCSSVKKLEMVPLLILGEDGKLNKKSKIDFKTLEWRVNSAVQHHEIFAVDCHTGEVYKQVLSPETLFVMMNDEKYKENCKRIEAVSIPEEMQAIQCQDGNIIIPGINPPFSLDRLEGEQFTAYMLAEMEKEKNEQQEIREAERQRWNEVTQECRKDMDSYINLLRRELTSMRAA >PPA12506 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:295818:296556:-1 gene:PPA12506 transcript:PPA12506 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALLGHAKNVGGVLKNRKITVQKNDSKIRILERCQFSNLRNWREVRSSRGGADRTAPTGASSGTEDQKGIGWKRRGTVARWVSHGARRPIAGAYRTLGALRREREWRKGTARIDLPKKNRTLEVNKDNEPMPFEVAVKKTADPDWYYLKATVLQKNGQILFVVETEANGDRLRNTRINGKPAVPDNE >PPA12470 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:151321:151731:1 gene:PPA12470 transcript:PPA12470 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDVKEYTAAQVEQHCTHDSLWIIYDGKVYDMTSFYPQHPGGTALLRKAGKASVSMFLTTFADSLLLEISTASQ >PPA12630 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:928675:929430:-1 gene:PPA12630 transcript:PPA12630 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRLYTTTCYCTGTTFDRSISSRYTLVSTSADVQDGSLIEIIIGYLTGSGEIFFKNTICKRELIDEQCGNGSEGASIVGDKANYDVAAAQTCRD >PPA12578 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:705719:707322:-1 gene:PPA12578 transcript:PPA12578 gene_biotype:protein_coding transcript_biotype:protein_coding MEIARGPFRKDLPTSPCTCPVVQQQHCPAAAAAAAAQSSSPQAAAAAATAAGGVRLTASPQPFLPPLTMAPSPPLGGGASRSEVASSSSSPAPSPAASLAPAASPSSALLQLDMVVPVQRPPMKQRTIFGAAD >PPA12552 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:539364:541081:1 gene:PPA12552 transcript:PPA12552 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVPAIVIVAAYAGIFLDAYVLLLPLVVAAIYEIVVAVVKMAMVMMYTYSPRTSFDPSDAHVSILQSIFKGYRAEMLRDPIGALWREAIIIIFCFHMFLLAVFQVSEIARKERERQREQYQQLIHQHRRIRIDFPDDDEGEMTDVIALSPPSYSMAIRNEGVRRFAPELCITEDTAPPSYSALERGRTPPSSPPIACTPSTSILPPALSTPHSAGLVAPPTYTTPLSRLEAPPPNECEWNTIE >PPA12495 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:224705:228836:-1 gene:PPA12495 transcript:PPA12495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lgc-35 MIRIRTLIVVGTLATLAHIADAGVRGALVLKKSRHSSMQEDEDYLDDEEEEEKGSSQDYHPGSLNKDSIFQQGETRDFLQFLRRIAYDHRQVPEHMDGGPVEVKVSIVVSNVRSVSEVTMDYSLELFYRESWRDPRLQYSPKLFKNKTELALHESYSNFLWHPDTFVPNAIASKNPRKQSITHRSLLRLDHEGNILYSRRLSLLASCSMDLTLFPFDVQLCKLGIESYGYTADKVVYKWSHGAREALKLHPISLPDFQITEAYVTSHMESYATGDYSRLYVCFVFSRAAGFCFLQLIIPSTAVVITSWVSLWMENETSFQDMISIILTITFLLFSYNEVMPRVSYLKAMDVYLGTCFCIVFCSLIKLALVKYMRQRLRIARDTSIVAGMAPLLKLATHSVGRPVSPLREYNTKQRSKSSLSNGSSQFTAIQIESGKNGGPMPVPMGIREKKRNVQFTPQFMHRFHWITQMMFFFGFVIFCLFYFLVYPNIHSQVRLQMWWIKTAIVSLPNGSRI >PPA12606 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:830157:832785:1 gene:PPA12606 transcript:PPA12606 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIVFYAHYVVGVVSILLNVLLIVVIAQRTPASFKNYSILIMEQCVLELLSAIANIVSMQRLIPIKSTTIFASLGVCNHVSASFCYYCKLLNVNTSIHQTPTYILEGLVNEFFPEFTAMNLAINGHDSLSIPVLIVNGFYLTCLPTVWVLIFVLRRNVLNLLNGHEVQMSQRSKLLQKAFVKSVTVHASLSLLALYPSLAYFIGQFIAIHEVNFLDGCFFFLQLQCAITPLVTIYYVPNYRRAIKRIAGLSSTSTVGQTVSIVWVQPFSNNYPRRDNGILIPKESVVGEIGLKGYSGEYAIPSRSSKPCSLYSLSIRLQPTSQLKSSRLLKKTSSILVSSAVGSMVRMLRSSSV >PPA12650 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:1028445:1029419:1 gene:PPA12650 transcript:PPA12650 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIGAKVHVVAGVFFSISISASVLACALWNFQTHDPNDSLIYGAAVFSGLLLNIGILSCLIYGATRHIPGLMTPYVVCGSLHLAISAFLVGYFAVCTIYGIMLGNDLVEVYGFLIFSLLTYFWSWSVDVVRTERDKVSKLAGKHVPFINDDYI >PPA12667 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:1097460:1097916:-1 gene:PPA12667 transcript:PPA12667 gene_biotype:protein_coding transcript_biotype:protein_coding MCNFDEVRSFLQKSTYFECIHFYKISEQLYWNQLMMLDRYLVDCPDSDYTTRIRDYFVKTCNMRKVVLLNRKLAAISETALNYREEEISNVKLSLKYN >PPA12467 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:144288:144699:1 gene:PPA12467 transcript:PPA12467 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKCTDHKPPPVIFLPLVRTYVNGRNRLKCSEWLAISNSRIQAGKLPRLWRVYCMHRDHEEKLPAALR >PPA12490 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:216106:217397:-1 gene:PPA12490 transcript:PPA12490 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPSSGGVKKPHRYRPGTVALREIRRYQKSTELLIRKLPFQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >PPA12689 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:1146846:1148462:1 gene:PPA12689 transcript:PPA12689 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKTFHVEDAGKMPVEWTETFDLITIFDACHDQMRPDLSLKEIHRMLKPGGTFAMLEVKGSSNIYTDKATRGPFAACPYAISLFHCLPVGSNREDALCLGMKWGEKRARKLIEEAGFVKDNVQVLEPEFFPANLVYLCKKQ >PPA12580 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:715500:717605:1 gene:PPA12580 transcript:PPA12580 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLSILPLLPSFSPTMLTLLGRSALSSALRLSPIYRTPLVYPVDRDHRTPGYRTPTFARAYGTSDGGDDYEDFGPIPPIILYTDGIRGSPEQGSHMGIGIFVDDDHELNLCQPIMGKKGNSGISSKTPELQVGKGGDRMDTAEWITAIRVALFRLYKWPSFLMEDVIIRTQYDSVADEFEKWRSGDLDGSRSMQDVWRMMDRFPNVRIEQADMAEDGNMHMAMAMAILALEEAESDAKNSKSTVARAHKLRPLCVDTISCNSEKVKSQGGCVQASARSSSTFAEVPSEPHNDEERRQER >PPA12651 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1030468:1037374:1 gene:PPA12651 transcript:PPA12651 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLGDECVTFEKGSSLAETFYDNLNERICTVRGNGAMGISGKKVRAKDPVISLRIRDLGRIHIIKFSKNDKYLAVQRHENSVDIVVVDVHSPISSHEVTQTCKMKTAKIKGIEWITNNQFLLITTQGLELYQIDERKHSIKLLKTLNSMQEWSVYNPATSLLILAAGPAHSQLQPIVIKGGQFVRLTRFDVDFGVSNSNTHLQEQNVSVEILYGRLYLRTLRFSRRDSSVSDVALYEIPTDPNVAPRLRYVLGLGLPGMFGVHVIDSLVIVHLQQQRRSLVFDIAIRPEETNQWAVMEMEMYPAPALLTLTGGLPLSPLYSHQWVIFSPDIVIDPEAGLFSRVTLQPEKAVQRIKNTMTLLHFLLNRSTGKKAALNQLCEAVNRRALRQRELCAIFDLVNGRLAAATAPVDAVPVSREKALEARSPLSIPAHSWQLVTQEELQSHVLIPASEREDGESKRYLANAQLAYIRSLRKNAVDIDPYLNDSLVETLVAAGETSRLYQLVNYRTISDSKPLAFLLLSYETRYPPLFQIVSLLEAGVMLYGQWI >PPA12615 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:856675:857450:1 gene:PPA12615 transcript:PPA12615 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNRCRRLSLFAIFFIACASELPKRGCGGCHAEVVEAPKPKGISERFNTVAVGDKVYGTIPPHVKPILKPIPANPIGGKVENDFVRPLHPEVKPTVVVGHTDSSIHPIPTRVVHPTRLPHTQKPIVA >PPA12622 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:890452:893286:1 gene:PPA12622 transcript:PPA12622 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSDLPPEILDMITKCLPVTDHIAFSRKTAALKKNMSLVIHCQDEIMDGTDAGSRPLPKGSYRSDDDEELGRTVEINYYSDSVTIVYLSTQPKAEL >PPA12479 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:170872:173612:1 gene:PPA12479 transcript:PPA12479 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGDGDSYADRSSFAAVADLYRGGSYGIADTNGQVWREQRRFALHTLRDFGLGKDEMQERILHEADDLLTQLEDDCKSGGSTKPMKYLEKTVASVINLTLFGFRFDKEHESEFYRLNKLLKDQVQVFANPLLLVFFSIPKLVPYIPIIRGQFEKVFKVRDALYGYFNEHIDTHKAAIDYSNDEVNDFCDAYLKEMERRKNDPDTSFHGKQFINVCVDLWFAGMDTTATTMGWGTLMLIHHPEVLTKLHEEYDRVIGSDRLITTNDKPSLPYTNAFINEVQRWSNIVPQNLLRRLNKDVAIAGVNIPEGASVTPQISMLLTDETIFPDPDSFNPDRFLEDGKLKTFKQFLPFSIGKRQCPGEGLARMELFLFFANLAHRFNIESVDPSHPPSLVKRMKNGGKPEEFECVLKRRNVRS >PPA12560 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:578289:579386:-1 gene:PPA12560 transcript:PPA12560 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSAFEGIFNKTKDLASAAADATKGLASQATQAVGKIIPGHNNVDPNAVPAEGAPANGSADPNAAPSMQ >PPA12536 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:432963:435991:-1 gene:PPA12536 transcript:PPA12536 gene_biotype:protein_coding transcript_biotype:protein_coding MNELTLYLKSEFIKQLHVLDWMDDETRERAISKANAIEYKSGYPEVLFDDEWMSKQWGIPFRETEPLLHLTIRIKLVRYAEELLRLSEPLDRSTWYQSPAQVDAYYAPNSNEMIFPAGIMQFPFLTIGVPNYITYGMVGAVIGHEVSHAFDDQGGRYDELGNLKEWWDAETDRKFQEKNKCFVHQYESVRVEEAGVHLNGQLSLGENIADNGGVKTAFNAYRAWKSNATENEPSLPGFQNMTSDQMFFLAYANNWCSVVRSKHYVQLIMTDVHAPSKYRAIIPLRNRPEFSKAFNCPKNSPMNPDKKCQFEKADLLLQLRGLFGQNLIVNLQVALQ >PPA12619 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:876349:879016:-1 gene:PPA12619 transcript:PPA12619 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPPLFLALFILQWAPSHSWSPSPALLNALVDVSQLDSGMPQQVHIAVTKKNTEMSATWVTFDEVPQQVQYRKVFTSKLKTIQAKTTKFVNPTGWTRYVHRATMTDLTPGESYAYQVGRPGFLSKEFVFKQLPLQPPYNILVFGDLGVYNGESIPALLQDAAKKAYDLIVNVGDMAYDLFSNNGQTGDQYFATLEPLFATVPYMVVAGNHEAEENWNANYTTYVNSFTMPDEGYGDNQFYSFDVGPIHFVALSSEYYGFYYLYGMEPVFNQYDWLVKDLEAANKNRKDRPWIVSYFHRPFYCSNDNSEECNAFENRLTDIYQQQDLIWGFDLN >PPA12454 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:106579:108806:1 gene:PPA12454 transcript:PPA12454 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFSFLSKFRRQPKPSRQSAFIPDRTILDTDPLEGYVNHAADITELRTASAQKVRAAAPASPEDGYARAQAPMVSHHPSGRRMLSSTMNPSNLSTFARRSTRRSKRYSAFRRPLSRPRPIVLSPVVESSIVETDLSLLPSLLNDSNADKSSTVTLHGSITSKVLASLLKSVSKLNKLVFDEAIIHSSPSSFSSLISSLGVESLSFLGCKFDDAQILSDKFFVENGQITELTVSTKDGSLQSFPLLTDRTLERWISLAIQPPCLLLHNVSTSITITGVENLVKSFLTTASPYDRLCCMFGRLNTTMDKVVDRLKQIPALKIHCVHDSFLVAISHTPRIELNLQTAGPLPSRPSADSTLDELPALRRAPALRRKFAPGFSTAAGITIPAPVPPPRYVRSSSMRAPESVMISKTGPLSRTRRTNKSKRRSTRGPKGKKTEKTSTSIIAFL >PPA12517 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:340054:341199:1 gene:PPA12517 transcript:PPA12517 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSIVALLALVGLAAATPLKDSPVLQRVLFCHQLGLTFVFERSSLTHEDLVALGHCKTEAEKYFNKKFGINYTDLLEIKNRFEKDRPELMAALADAAGAFYLKLKGTTTLKDLGDLNIYLKNHHLPTYEDMRHVIGL >PPA12496 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:233141:234172:1 gene:PPA12496 transcript:PPA12496 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNHGIAIVLAITLFLEVLHRSFLVILSFNGNFGQCVSDSSSVSGLLTPFLDYILSRAVSAVTIIALGVALIISLRAHEYATPARILLGTSITCSLSLNALQAAGGYFDNCTGKLVLSLAMNVLLVFCALMFSRLEEEEATPIADSIEKWVSAYEEKCPYTALPE >PPA12665 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:1089309:1090519:1 gene:PPA12665 transcript:PPA12665 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFPTMAVASEGVEKMRAKEEKEQKMFPPSVDQEKAAECLCQHCPPRSTQETADYCCSSLFTFTPLQKGILLRDGLASKMKEFGSHPCIILDPLFVNFIMTEVRSISLVVIMYSE >PPA12645 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1002919:1004623:1 gene:PPA12645 transcript:PPA12645 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGRPGSAVVVCQNANRKVTSPTPRLQIHPTKGPQATVLTERALIVVACLICVTLQIVQLEHHDHRSYLTFNLDGTRDRTKLENRVRYLHWTAHSLNRGYGDPKYPLLEYKLIEFYYNPNVHVEKVSGILSNVSALFCSRFPGNVVFEDFYLEHDDDDDQGNFDLDQYKGSIKNVLNDFAMTVNTKNKDDGFRALKARTFGSSQPYFNSSMEAAKLNKMLECPLAAAANVDICEKL >PPA12511 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:316984:321223:-1 gene:PPA12511 transcript:PPA12511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hex-2 MLLLIFAIFCLLQMCVPAPPRIVSDPIKQQQQLEQLIAAKPEIKNRRPGQFYQNLIVHFDLKGAPPKVPYFLDLLDLVAKSGATGILLEWEDMFPYTGQLEAVRSSDAYSMEDVRTILEKAKSLHLDVIPLVQTFGHLEWILKLEPFRKYRDQDIFPQVLCLGDAEAVALIKDALSQVIAAHAEFGIPYFHIGCDEAFAFGNCDASRAWMDQTRSSREELALSHMREIALHVMVKSHHTVVLAWNDMLKSFPTPLIKKLQLGKIIEPVVWDYSEDIRTLNEMTWEALAENFPTVWASSAYKGANFPSAQYIDIRHYEANNRNWIDHKMMWESKFDSWRGIIITGWQRYDHMSALCETLPMGTASLVLQVQTALGVDTKVGILASNFFLRAFEELFSFEFDQ >PPA12475 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:164804:166277:1 gene:PPA12475 transcript:PPA12475 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDELTKEHKDLIFKVLETFNISPANKETIEFTRIGLKRGYNSAIHRISLEDGRTFAIKITGTRCDNEPGILIFNDLSNRVGIQPNFTIGYQPYVIFQLVKQIAAYQSTYLCSDKEVSIGRQLIIYDSTPKKSIPKLDGVAWITEEEKRCFREWTQPEKLFALHTEIPEGVEGISPVLIHTDLWNGNMIFENEKDRSHLLAILDWATFKIGNPLIDIATIIGENMNTEDRRSFTPDILELYNDEIEKRKGGFKKRFDMTLKKAEILLSHALRWGCIQTMYAVVLVPRDDMKEEGQEMGRLSIRLRELMNDVLGK >PPA12481 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:178508:179198:1 gene:PPA12481 transcript:PPA12481 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRSKFHWELYNLIKECDVHELALRFNCSIINEIVVDSFLFDLKNTCCKLKLDRPAQITTAGIHSIYTTYDFEDFFKAEEEGEEDVTIIHKHVFVGNLQMKFGKRSHEWLSLKYHQSDEDLKIAKATMGGFGAA >PPA12642 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:981768:986497:-1 gene:PPA12642 transcript:PPA12642 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGRTIDYVAPLAAEALHHLLENASVPTIEIAVLTITGHIHEFHRPIIHGHFVKDKGVHARVTIPRAKIEGAARVALGFLYYNERIKLTIENATVTIEMHFSRDPKANLMKKEHCSINEPRLTAEFDGRGINWFRTFIENKDANPVIVEAVRDVVCQIALDAIVFLGETNIMPDGSIGPPPTTEAGPDEVLDTIVDFALDLCTPENLEEGGTTEATTTTEDPSLPTTPWTPSPWSVDLTLRYLPKFTDEHVTFGLDGGVTYDWNLPNVERPPPMSPYLLGKKMLGVIISDYVPNTFFAHIYEQRIGEVEFDVATEDVPMALRTVAKMICADCHVVGRCKLARQPQVEIYKEGGVSVVMAGSISIVLLNNKRNRTYEILDATAHMHITLQPVVQNNKIFGDVKLTAVKVEIKKLGVATVLAKPLEKFVGFVVQKIVWPALRRKLRFALHSRGGIH >PPA12541 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:454842:458612:-1 gene:PPA12541 transcript:PPA12541 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cdc-25.1 MHHTRGSSSSLAVVDERQKDDNDSNGDSGLGDCSSSSCSNGSNYSSSKGPIDFSSMANGMSGGTQKLSREMASMHIDRIREKEERTKMDLMDIFNEDENARDCDSSFSMMPPPALPTTRRVLGDIKNSRINSPVSSKSFARNDTMPTMSAHLTGGLRNRKRMNDSCVAESPFGARMGGGKRWRIAESIDEHSETASTSYAPREHSVDCQGGEEKGALMTSSSTSARHSFHRVQSTSVLEMGIYSHQHNEDNLPAPLEVNYALARDPALDKADSDVYRRIGAGQLSELMRTMGADFAKSYVLIDCRYPYEFDGGHIKGATNVYDPLAIDAHFFSSSTSLLRKEEGEEGRRIPIFYCEFSQKRGPSMALAVRAFDRLRNCWPVVDHAEMYVLNGGYKGFHKNAEDNGLGELCDPFSYIQMEDPKYKAQMDKFRQHKSRNLLSYQSTVSRLPMSTMEARRLAQSQQASPIGVTRRASRRALCFDSSSSPLRPSSLISRLPNPQFS >PPA12661 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1078741:1082160:1 gene:PPA12661 transcript:PPA12661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mlt-4 MHWAAHGGALKVIKHLLSDASLNHDHMHRRMFLVKDKEGVTPMHIAAKRSSAKILKMFVDAVNNDDIHKLASDHKARSPLHYAATYGSIECVQLILDEDKLGLPVDQRDVYGLTPLMIAAGENFPQSVEIVRLLVARKEISISGSNKNGQTALHLAVAANNLRVIDTLLELNPKIVENVDSEFRTPLHYAADAGHVEAVDKLLRAGSRNTMKDHFQVTPAHYAAQHSKKALQMLLMHAKQNSVQPRAPTRNDEEETVQDIADKNKRTCLMWAVAAGYFSGNLESIQYLLSASRPDRADQDAYGYNALHLAVHMNNEAACRELIRQGWNQNVDFFF >PPA12488 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:205262:207130:-1 gene:PPA12488 transcript:PPA12488 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDYKGQIITILQQSGESVTDEDSFSFSPLGEGMGHSSLLWTVFLGQKKYAVKVPDVKMTNQITDPTRRVVEMSKQRGMTDEDCVFFTQLFTDFHNRELQIYRWFQNCNSDKTDSIDIPIVEYFGGSQCEDQQPGIIIMADLSSESAPVTRGGLSLPTIYSLIDGVAAYQTKYLTVKEKIDLIPKDLLFKLVSSSVIRCIDGISGKRWLTDDWKISLTTWADPDQLRSMQYDRDDGDLLHTLCHMDLWTNNILFSQGENGLGLLAVVDWQAATVGNPLIDVVSVIGINMIPSERRAHEKTILQYYIDAIQKKSHTFKKEFPVGTVEELLPSYRRALRFAALQLVMQLGYRPAEDVVEEASDDEEGSEKLGIYSARLRAVLEDIVV >PPA12610 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:844029:844716:-1 gene:PPA12610 transcript:PPA12610 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSGQSLLSFSLLNSPHPSTMNKIVLLSFLVAAFVAVSSARPEPEIVAWHELEEGIAESPRFARAVYSFRKPFCMCIIAENCPCRNGGNQRGSIEGVFKTQN >PPA12459 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:120557:122565:-1 gene:PPA12459 transcript:PPA12459 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVAYRLGVFGVLALGNENVLPANIAIHDVLESIRFIRKEIHNFGGDKDQISVMGHSTGSTVIFILAFSPGIENTKESPLFARAIAMSGTVNFNSEEKQIERSHAVTTRLGIIQAAKDEEGDMKFMSPTQLADITLAGELMQFRSGKELSENKKPIKLMVGTIMNEFDPPRDFYRNASIETKQKLMCSKKNKVCEILGLRNYEECSDKYYNDCISGKFEPGMTVASQSTFMTNWLFANAHAMSGGEAYLYQFDYIAHAQHTDDAYYVMGFHEHPKDVNEEWLSRVYPAYFANFIKGVPLAPDWKPVDPELMNYYSVNKSFTDGVSPEMKLGYHRILSDYYLGLVEFDEKISKLKQTVFNAPVQYKDLSILSDEPFEFRDLINGVHFYKLDKDEDN >PPA12567 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:635914:639865:1 gene:PPA12567 transcript:PPA12567 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLCRFKKNMGNESSSPLDSPLSRNNSGTTYDRAKATRKFSSSPPRRNGSLSSSQQAPHSAQAIRRAKTCRIKDRSSSRRMVCSVTGLTIHQKALLTRKWNRMDKSTIHELGRRVFEGVFEESPNALIYIGLKDEPNWKGSITFRMHVQRFVCALTETMRRMKEPNSACDILRDFGAGYVQEREKKRVPATFFEKLANALNDAARQLQESDHLTIERARSVSADDPSESSSEGGGKSDTMTSSTTVLSDQFRPSICSPVGMSNTYSSPSFLQRSDGSDRHPSSSSTDAICPITSEAWLVFAVFVANQIKFGYELERVLQGEMSKLGLSNGPKKTFIESQHSTEIALFN >PPA12655 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1048418:1048610:1 gene:PPA12655 transcript:PPA12655 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFVVLVLLAIAIAWAIFGRPARFISRQSIDIGNMDNDYKQFDNVARF >PPA12563 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:607825:615242:1 gene:PPA12563 transcript:PPA12563 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mog-5 MLDEAHERTIHTDILFGLLKNAIKRRPELKLIVTSATLDAVKFSEYFYEAPIFTIPGRTFPVEILYTREPETDYLDAALITVMQIHLTEPPGDILVFLTGQEEIDTSCEVLYERMKSLGSDIPELIILPVYGALPSEMQTRIFDPAPPGKRKVVIATNIAETSLTIDGIFYVVDPGFVKQKVYNPKSGMDSLVVTPCSQAAAKQRAGRAGRTGPGKCYRLYTERAYRDEMLPTPVPEIQRTNLAATLLQLKAMGINNLLEFDFMDAPPLEAMISALNTLHQLSALDNDGLLTRLGRRMAEFPLEPSLSKLLIMSVDLGCSEEVLTIVSMLSVQNVFYRPKEKAEQADQKKAKFHQPEGDHLTLLAVYNSWKAHHFSQPWCFENFIQVRTLKRSQDIRKQDIRKQLLSIMDRHKLDIVSCGREVQRVQKAICSGFFRNAAKRDPQEGYRTLVDGQTVYIHPSSAVFQNQPEWVIYHELIMTTKEYMREVTSIDPKWLVEYAPSFFKMGDSTKLSTFKKNQKIDPLFDKYNDANAWRITRVKKRIYNPNR >PPA12460 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:123995:126497:-1 gene:PPA12460 transcript:PPA12460 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSEKYSRKFLTPLLRLVREDGRSRFGPACAQHPTYFEGYVTGFSEDCLLLNIYTSRSYRESNASCPVVLFIHGGNALTGGTMSFPDETLVTNFASQAYRLGAFGAMSLGDENVLPSNLFLHDVLEAVHFTRREIHNFGGDKDQITIMGHSTGATMVMMLSLSPGINKDADETLFARSIAMSASPILKKKEKQVERSNAVASVLGCNGTAQEIVDCLLPFSTDQIVQAAFEAGEDNAEKMLLDITMTGELVPIRKQFQRSKLLLGTTQNELGFIDVTKETDYVNVIIGVQNEEECSQKYMEDRKSGKFDPGYNLISQAAFMLTWTIANGQAMNGGEDCLFRKIKNNEPH >PPA12443 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:13827:14316:-1 gene:PPA12443 transcript:PPA12443 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTLVLLVLCLIAVNRVDAEKMKKLANSRFGNKHNDNKYKNENLKDFNKQAVQITAFERHPWIREPFHLRKA >PPA12587 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:759394:759725:-1 gene:PPA12587 transcript:PPA12587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gei-1 MGTNEDGEMAAEITEMKALMASMAQLLMKQCEKKPESLQELSNASMNAIESRIQEFVYSPEDGNTFERWWNRYVDIFEIDLKEMDDLKKIRRLRQ >PPA12609 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:843309:843939:1 gene:PPA12609 transcript:PPA12609 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQWKLAEQLRGQRLIEEEDDEEDSEERREEERRRLMGAEDERSVSNEAVGSSSPTESRTDAPSCYTCKCMCNSCDPSSNQ >PPA12647 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1008978:1009563:-1 gene:PPA12647 transcript:PPA12647 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGGSPSRESSKKKKKEEISKATDDLERSALLRVKTEELEKKISQFENQKDSLSNRILELENMVEQVFELEARFATTFAPYKGELSEEAFDGRRIV >PPA12547 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:511853:513712:-1 gene:PPA12547 transcript:PPA12547 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRADDVFICTYPKCGTTWIQHIVHQLLGKTEYETAVDDDENDNVACQPSSSSHEKSEEDEKKAMCFVSPMIERMGAAYSDTIKTPRVLKSHFTYKNIPKGGGAKYIFAVRNPKDCLTSYFHHNRNFKIYDYEHGEFDVFFKLFMDGKVGFGDYFDHLTSWLEGIEKAEERILFLKYEDMVADLHSAVVQIASFLGGKAAEIIENDQKLAQEIDGH >PPA12542 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:477883:479296:-1 gene:PPA12542 transcript:PPA12542 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAEVLAVLNIIYSDIIEPLFCVLYAYILVRIIKAKSVNFRSEFYVFSVATGVAAISNVLLNWVLRLIDYRFQYFPNRGVFLNIDSMLSHICALSISIGKTLSVTTRFTAICLIHKKNFWDGRVIPVCVVVMFGLPTLIYCYFPLSGSVFLPTANGYGYFIGITNPGQTIAKAFAATGYFFFFITTVPMCFFSVLRLRTERLRDRLDSDRPEKMFAFYAVVLTTSHMFKSILQSIWFIALLLNKSDLQATVVGLYLFPNTLSTFAEPVLLLITSPKLRDETVLSAENGSNFGDTKFAHEKKL >PPA12449 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:84957:86798:1 gene:PPA12449 transcript:PPA12449 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGIRDVDITYRRLLALKKPHKKDGFTICEDGVAVVVDAVKALEALIPAVAERNRLSVVSLISQSLTRLLYASRADEWRALSPQARKLHETALARLTALAPAYPLEFKQVLAAHPDAKRRLEAQLLFQSTRATHQAQAIAIKAAAVEHAKAASSSAQPSIKLTMDFNAFGKA >PPA12510 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:315787:316934:-1 gene:PPA12510 transcript:PPA12510 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVIYLQDSQSVTRRKAASLLNCSDFHVGNYDLVSPRCAFPGWSAYMEFQQSSRHSIQYVESEMANNHHILGWLSPYSRQHGMAQAWYLQQIEPVLNGFKSQLESNIRQLRKSMDPLFFSNTIDEMIYQTVGKKLAEIEKMIDDLNELKKIRVWPRRHFPIKEPLVIS >PPA12531 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:411702:413271:1 gene:PPA12531 transcript:PPA12531 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEEEKDEAHPEEVLREEDIKMMNKSIDTGPSARVVKQATNRVLMGRSTSEKETNRVEVKEEPLDDNEKSPEKELHYTCSVDACRKSVPHNEVEYLPQDNVLEVNILTALGLH >PPA12696 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1168794:1169818:-1 gene:PPA12696 transcript:PPA12696 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPDDKAKRKRREKNNESARRHRERTKQALEELRILKAKEDEWRREYDTILNERNNLLHFLYTHKCVSSPEHLQQQYGAFSNATVYVPLNQSSFYRGNSQPFRSNHDYAVEQAQMLPRPIGFCLDDYKEWEKPATSQNIEKPTSQDQSTRPKYTTFLEFLDAKTGFTPSSGRYTPLGKMHFWNLAISPLSSARGQPITPVFELAIPEVKKISPLSEVGSVDENK >PPA12690 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:1149338:1151442:1 gene:PPA12690 transcript:PPA12690 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEKRRDTILKKLFLDPSDYHDLYSTDAMSVLCAYDAKYSCPEGDMTLPGKCLIRSDEPITWAEASTFCYGKGAGSVLIHNELENSVIAERQTPEDLKCSDDQHVIITSNAKGKCAAGGQWKVDDSANTNIALCEKVAQCPFCPAPEEPPEPETTTTTTTTTTTTAPLLKGPPKDSHLWAFSYFYNYGRILHGFAANYAGYQIMQNQGVWYERWSGSGVLGGTISGDGYAKIKEWCNDPEDLKYIKLMWMTRYGAAWIHMWDDDTSRTKYYIVGWVATKAGACGATIAMRIYGCLVSSDLMYATSLEWNTWYAGRAWNNYEPYFWLFPTNKGVLAD >PPA12671 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1105870:1106354:-1 gene:PPA12671 transcript:PPA12671 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVLAFLAIVALVTIDVEAHCGCGGYGRRGGYGGYGGYGGGYPQQQYYPQQQYYPQQQYYPQQSGSSAQAAASAQSSGGQSSAQAAASAQSWGKKK >PPA12527 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:364105:366772:1 gene:PPA12527 transcript:PPA12527 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPKKRGNTEEETGWSTILAKALRPGSEWSDKDDLLDVLYWGRQVFALFLGVVFGVIPLSGVIAIVIYAAISTLAGQYFVCNYQGADEETMGGFWELAKEGFDN >PPA12581 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:717740:721080:-1 gene:PPA12581 transcript:PPA12581 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETEPSLAREEVKHVDEQQVKRMKIEDPVEDNEEDNEEEEEEEEESEEESDYDYEDESMMFDDTDFLDKNRNQDATEHEYLKEDVAAAAKAWPKLEKDLGIPSVQIEPSLAAFDGECSVVLTFNISDLPGAVLMPNMEDAEGDAHCKLAWHLWDLGPANTVAVIVDGIHKGQFRTFQRRPEVLARINNDKPCKFPIGECLKNIVKPMITNTFSLTENLSPDMTGSFFSMLYEHMMERLGTMTQFCLVCGSWLHEGAILPSICEGGLCQYQYKELGLLEGLTNPRCSAPVLSLLLTAFGAASNSNRWRDILTPAPSPSDIDQLMDEAKALYKKIGMKWNFHEHRGYDVHSMLVRAMPCAHHILKTPAHYREFKKEMPSIAKFVEWLVISNESFVEIVPPNLKVDYLNTNRQFLFIADTPARQAEFDALKMQNGGKTRYLFHGSKKENWHSIIRSGLKNMSGTKYMTSGAAYGSGIYLSNLISTSFGYSSPFDLENEPQQCQKKKCSMSGMLLLAVVEVVDTPAAYTHNNTDGGGWAGSVVVVKEEKWCSIRMLVAQKRFLQSDDSNRDIVDIP >PPA12664 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:1086634:1088617:-1 gene:PPA12664 transcript:PPA12664 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAKYCKEAIDRSLEAGTPGMIDRDLSECRLQPIGKGAQGTVYSLHLDGLKVVAKRFRSEKDRKEIANLCRVSNHPNILKMICRGYCGDEWFLVVEYCPLSLADELRRRRSSDSRALSKEEFAKWISQLAKGMKYLHGHIVELGADIYHGDLKPENILIAGDGTFKIADFGASQLMDYSAWFDEKKSMSGTPRYMAPELHRREIDIKNLKKADVWSWAVVVWEMMVNHSPYSGMDQRLLPVLIGRE >PPA12618 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:874629:875911:-1 gene:PPA12618 transcript:PPA12618 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLESIMIEQGVDIAFWGHEHSYERFFPRTPTAKQSDSPYTNPGGPIYIISGSAGCHSGYAYFGDPVEYSAARINDYGYSKMWVPNATHIRFDQYSVEKDAVVDSVWIVKDKQTKFEKKLKEDDVIVCHPKDMHCHKKKEIAEQYDIKH >PPA12549 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:524721:528399:-1 gene:PPA12549 transcript:PPA12549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-70 MGRSGNPVLSEEQKKKMAMRGGVKGGPRGGGGGGRGGGRGGRGGGGRGGRGGGGGPPPGHGGFGGPPGGPPPAFAQARYGFFTNDMPSGPIGHVNKLDTSRLSISINDAWKWKKWGNSHRCQSPGFGGIPSMNPINTHFSDHFSMNTPSASTVGGGSGPIRPPNGGPLIFPPSHRGAGDESTWVPVGPPRPLMGTSLGGGMGGSGAGGMTSSATNGAASRAQGAIHELFGKMVWKKMESLEDPTEVDTLQNEIMDLIAKAVKKQQERKMKEEEQQGQSEAIPYGKPHPANNGDAPAYGNVADFGGYKPYQGPGFF >PPA12556 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:556637:556980:-1 gene:PPA12556 transcript:PPA12556 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSIDIDSDPRNANGRPRSRVFDLLTILFLLTIITLIALTILPKRDESAGITIDFEVTPPPAVTPEGDLYPPVLPIGGEHMIHP >PPA12538 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:446580:447635:-1 gene:PPA12538 transcript:PPA12538 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRRWPPPPYPPNVRPPIGSYTDIYATTGDSITSGLHYSCNPIDDLDFPCYAAPIFQFESTTALSTIPASSHAPAPMKHPPPIPSLSRDSSFRPSSNLYLPKRKKNR >PPA12595 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:791674:792093:-1 gene:PPA12595 transcript:PPA12595 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSLILCLLLACVSAQWGGSPYGGYYGGGPYGGGPYGGGPYGGGPYGGGPYGGYGGHGPYGGYGRRMGGMGRQNPLGGALQGAMMGAMMGAMMG >PPA12683 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1128481:1129367:1 gene:PPA12683 transcript:PPA12683 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVLLFAIFLVTSSSTDLGRLIGTDRGQCPPCMCNGYLVQAAGQGLGEGPGGFPSGGYPQGGTGGSGRSGVWSGGGGTA >PPA12588 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:760427:765518:-1 gene:PPA12588 transcript:PPA12588 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKRQTIGQRYSAPSDWREERALGDLFDGLSVPRVSPEVLSLLIFAFSNANLSSRRSDILTPAPPIRSIKDLLEEAKEKRLAKRGEIGDAKDATSEQMTGSTYWNYTVTNAQIQNSKYAYSSIETSTFSVLLENMPCPKEFLASVSSYAQFRKEWTQMSDIIDWLVISNRSYLEFVPRPMNVDFLHTDNQYCFVSDTPAKQAQFDKLVADNGGKTRFFYHGSPLDNWHSIIRSGLKNMSGTKYQLVGAAYGKGIYLSPFLDFALGYASRGITGSSFTSRRLKDGSIMTQDRPIRSDCKNSCCATERTEDLSCVALVEVVDCPAAYSEKTDEIFVVEKEQWCSIRMLLLYRQSDINKLHEAVSKACTKDYVPGSRTMASMGRGPKTNRKSKII >PPA12544 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:482272:486012:-1 gene:PPA12544 transcript:PPA12544 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-260 MLSSSGPAAGLAAAAAATSTAQGTANKLQGRQYCYLCDLPRWPWAMCSDYGEPVCRGCVNYEGADRIECAIETARQQKRVHGFPGHETANGRPKDAPLAPVGRTSPPRPQQQVQPVQQQQQPPHLPPGMNLPGLNDFLAFQQRAQLLQGLGGLRPGQAPGAAAPGQLPLEQLAMLQQLQQQAAAARGAAPPSGPLALFMPPTSAAEGAALVAALAARKREHSEEDVKPVEPFSKVARGDATTTSVSPTSTHSPDNGARRRFPPSQNGTDRPLRCTLCHERLEDTHFVQCPSQSAHKFCFPCTRKSIKHQVTNQEMYCPSGDKCPLGSGGGGIVQPWAFMANEIQTILGDDFEEFKKQREAAGMPAGGLSAATLAAREQAAAQAAAAAVAARETTAAVSGGSGTSQNSPASTTTTGSTASSTTNQVTAATSSVL >PPA12453 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:102158:103580:1 gene:PPA12453 transcript:PPA12453 gene_biotype:protein_coding transcript_biotype:protein_coding MCIGDYCYMKRDRPEAEIRTGCLTPREDAWKDSILPLCEKNSANATLCLCNNCNTEATFASIHANESYVQPDQIDCIKKSDILYPNGTIVGPSAARRFSTNLAIQLSLTALFILTIFFV >PPA12625 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:905636:906134:-1 gene:PPA12625 transcript:PPA12625 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRNLKVVVLGSGGVGKSALTVQFVSNQFIEKYDPTIEDFYRKEIELV >PPA12654 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1045427:1046253:1 gene:PPA12654 transcript:PPA12654 gene_biotype:protein_coding transcript_biotype:protein_coding MKSREELHNVTDRRRFFAAAVHSVYSWEDYLKRGDTTRSLYTIPFPEDKRVLELVLVSETTWKTIWNGWKLAKLAG >PPA12483 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:191692:192506:1 gene:PPA12483 transcript:PPA12483 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSGGAHILQCANDLVSENNSKVKGLTNQIDIADHQVAAPEPPKRERFSLNCPHVADADNKDVHIEVTWTLDDTVLLKIRDGHPIVLFNKTSFYKKTFKDKHVVHVELSGRYMFNYDELTGDFMMEINEVQPFDDFGSWQCHITRKRGHESISESTRKTIVAPPGAVERHGMQL >PPA12691 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:1152172:1153779:1 gene:PPA12691 transcript:PPA12691 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRSFVDGNICYFAITNKVSANRGDLMCSSVLSGSRMFVEKRRDSILKKLFLDVSEYHDLWSTDGKNVLCATLAHGDMTLPGRCLIRSDEPMTWAQASTYCYDNGASSVVIHNELENSVIAERQTPADLKCSDDPQHAIIANNARSKCAASGQWKVDDAKNNNFDINYHNNNHDNNYADFGMSHKGPRGAPNDSHLWPLSFFYNWGRILHGFAATYGGYEVIQRQGVWYERWNGAG >PPA12637 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:963347:969432:1 gene:PPA12637 transcript:PPA12637 gene_biotype:protein_coding transcript_biotype:protein_coding MVDADGITIDGDHIGDEEFIELVTHIHNMYENAPEEYWINIENMTVEETEIARRLIEFFMNFNLEFSESCPPHVIETVRSGSIISSGGNAVDAAVAAMFCLGATNPQSSGLGGGFLMTLYNASTGRCTAIDAREEAPALSSEKMFVNNSDASKYGFLAAGVPGELAGYWEIFRRFGSGRVEWKQLVQPTIELLSDGVPVSAYLDDVMKVKERHFRLFPSMKLWINPATNATYAAGEKMPRAKLLKTLKRIAAAQDPVQLFYHGEFAEIIDREMRANGGIIRKEDLAAYKVRVHETPLVAHLKNGLAICGGPPPSGFAATQLIINIMSNLYPNSSVYQLRHDAKVYHHHIEAQKFAYAQRTLMGDTAFVPSADQLSKRMLTPAFLASVMEKMTDHAHETAYYGGDNKAARADFGTSHVSVFDGEGYIVGHTRCYLRLTTSINSPFFSWFGAAVESEELGIVWNDEMDDFSTPGMANGFGFAPSETNFIAPGKRPMSSMSPLVVYDDNSKKIRMVAGASGGSKIISALAKAVIRTLVFGETVKEAIDAPMLHNQFTPDISQTDQYFPMELKSMLESDFGQKFRNTTGFEGIVQAIHAKPGGKIEVCGDFRRKTDQKPAGL >PPA12555 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:551994:555392:-1 gene:PPA12555 transcript:PPA12555 gene_biotype:protein_coding transcript_biotype:protein_coding MANDPISLQGKVAGTRQWMPAFDEPALKATLGLRITHPADLNARSNAPVESKSEVESTRTTVFSKTARISPYIYTWSLTDYPEKSTEVNGIKVSVISNQPEEKLSKPPLDHAKQAVQSYFDALNISESHIFEKMGSAYIDNQINVYEHEMMHQYFGNLITLSWWDEVWINEGLTTMYEIDAAFGIGTQTAIDHLRERRDRHMRFDSLRKTIPLKNEATTEIESWGNFDKSYSKGSVVLFMLRHVVGENEWKEGVETFLNKFAYQSVTGDDFLKTIKEVCKKYHSKETINFAVGMAKDFFEMRGFPLLKIKRNGRKIELIQVRFVRGFYDDPKKENIPFATHHWRLPVYLVKLDGTPYKTIFMTSKEITVEVESDDELIIDHKKIVYYRVIYDSNSYKKFIESSMREEDIKYILHDLLHSSLSGYSDPSFAFDVIFDDFRLKGYSQRAENPPEPLGGLGGPTFEPCEQLRKIFENFSRAARENREFITRIFNQHHAAITEARQLGDKIEAALRKHLSKAEYPSSRVITPGAAQIFNLKPEADPSFGLHFY >PPA12596 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:798368:799775:1 gene:PPA12596 transcript:PPA12596 gene_biotype:protein_coding transcript_biotype:protein_coding MRNDIRSTTANEGDLLPSDPAIVPLLDNRIPSQSSQSSPSSSSSSAHIKETSQYDTSTHQLPNSIQKRIDQSVPPRPPSEERIEIEIDEDDNDEERSRRTRSKKVDKYPGAEKGAAFGRNDYLLPENFKTLRRQDIPSLATST >PPA12503 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:277585:280385:-1 gene:PPA12503 transcript:PPA12503 gene_biotype:protein_coding transcript_biotype:protein_coding MCVHEAFGERFSDIIDVQWYYDERWRMCFATRVDCVLRGGIAMTGRTLYASEETCTLTHMQFRWISYSLQCPSDKRMVVYSRSNGDLVPYIFDSRQCRGGQDESDMCDSDEVCYSHSYFGWCCKRIPFEGEGPRGVEHHIEHAVATEAPTPQPVNCNRDGPRGMVESPIHDAFIQWYRAPSFGCFARRSVCSRPAFPRSNPEMTFPSHQDCIDHHYPNYSFTYRFNCGEGDTVVTDWETDGPLVFSPQLCEGQHDSDFCNTDEYCAASSTVASCCRIGGGGPSHSRAVISGKSYRKMPMGIFWNPLRLRTGN >PPA12657 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:1053254:1054808:-1 gene:PPA12657 transcript:PPA12657 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLKYVRERGIAYRENGEQNAYEKSANSPRGEYLMSNECSSQKKISGKAINGN >PPA12682 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1127531:1127975:1 gene:PPA12682 transcript:PPA12682 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAFLVVLLISIGLASAQLGQLLGFNQQQCPPCVCNNVVSQSQSQAQSQAQNQGGGGGGGGPPPGPPPGRGPGGYPGSGCYRCGYG >PPA12444 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:43639:44880:1 gene:PPA12444 transcript:PPA12444 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVRADLEAYRQRKREEDAAAAVVTSKSIEEEPKRRRSESARPWIAHAYQLLDAIDPLDAWPLRKWRSSFDQSPTATLAATALLYLVGQIYFVWIEFGAVFFVFACLTGICLGLGSRRQGEVSAYSVFNSNCERLLGSMTAEHFERDMLHRKN >PPA12492 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:220007:221690:-1 gene:PPA12492 transcript:PPA12492 gene_biotype:protein_coding transcript_biotype:protein_coding MATQKNGAIRVPKEEYFDGKQWPPNFTVERVRSAKAMVPRPDDIFICTYPKSGTTWLQHIVHQLMGKSKYDLNNGEESNSMFYASPVIERFGGAYADEMESPRILKSHLTFSEIPKGGGAKYIYACRNPKDALTSYYNHFINFKHYNFENGDFSVYFDLYMEGKVGLGDYFDHFTSWQEAIQNGEENILVFKYEDIMDDLPSTIQTIATFLGGSAAKLIKDKDKFERIVRGSSLDSMKKDQKRWFPDEMTYRKDVFVRKGGSRDWKNFFSHEQSQRMDRRFRERCAGTVAVNWWKKEMAWEEEEGFDRT >PPA12487 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:202885:204908:-1 gene:PPA12487 transcript:PPA12487 gene_biotype:protein_coding transcript_biotype:protein_coding MAESIVLTPEREEIVALLRKHGEEIKDEDSFTFERLGEGRGFCSLLYKVSIGAKSFAVKITNPSGNITGTAHAVQICSILRFCHFLIEHTAEGSVGLHQNVHNRECDLYEWVAGYLADGGEKTDVEKLARTYGGRKCEGKEGVLIMEDLSGRMTSDVDFTKGYSVDVVKGIIRCIAGYQSAYLSAEKKFPTSDKSVCHSAVVNMGIHCVGALAVKEWLPKEGDKRSALISFVKDVEKLQDEYPDFAKSLPRTLTHCDLWPNNMLFEKTKDHPDGELLAVVDWQCASVGNALLDVASAIGVCLTPENRRLHDVELVEYYVEEIEKRKDRFREKAVFDKETVTKMYRESLKWAALQLVFTAVFNPTADQPEEGQEDGPLSRRLKAIMEEI >PPA12484 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:194712:195571:1 gene:PPA12484 transcript:PPA12484 gene_biotype:protein_coding transcript_biotype:protein_coding MIDADTTPGFRIAEGSGSHHAYPKARIDYGSDGEEYQTRTTQKFSAFTRPDQNSVQISRDSTDRRRQTVVFDRSVTKDYRQHSIDYELSMADDENDDFRYEGYSKRARAAAIDFLSFLAQIGFLSLSLLPHLPFSSHASRLYCFSYIYLH >PPA12502 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:275810:276555:1 gene:PPA12502 transcript:PPA12502 gene_biotype:protein_coding transcript_biotype:protein_coding MATETSEETGFYYSNKYEDDEYEYRHVHVPWLLRKSIPERRQLRESEWRALGIQQSPGWEHYLTNKRVLCFRRPLPNQSIDASEGIAEQQEMPNKRRDGRRG >PPA12666 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1094551:1097338:-1 gene:PPA12666 transcript:PPA12666 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVTRPLPILCGVILREHYSQLGRYRIKERSEALERHYEKRHDLELSQFKLEPIGMGAQGTVYSFELSGRKVVAKKFHNQKDRLEIANLCRIGSHPNILRMICRGHAGDELFLIVEYCPLSLADVLKTRRENGDKPLDKKDFIKWMPQLTAGMVSLQKDGGNMGPDLYHGDLKPENILITQNGRLKIADFGVSISVKDSAGMHGSTALRGTPCYMAPELLRGEVEPKLLQKADVWSWGMVVWQMVANRRPHDTLDDVAMSGLHPPLPSGTIESLENLLRRCWNSIPEYRPTFKQITKEIDDVIEEIIEMDESKWEAHAAKWREVRKLGKNSATSETTVAYKLFQNTWAKNTYYFELCMFKRFKESLIKSYQRMSGPLIFIAFNIIWSRDGD >PPA12603 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:819319:823127:-1 gene:PPA12603 transcript:PPA12603 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGRAGEGRERGGDGRKTGAILIYTGGMLAGLLALLLSACSSSAWQHRPSGIQCARQDIREPILVVVSMDGFASRYLDLGVTPNIEAMGRTGARAEHIYSAYPSRTFVNHYTMATGLWAESHGIVDNNILDRSISDDVEDVARTKKDGFFRGEPIWSAYKRQRGGITACLNWFGCDVNSTGVKLTAFQPLPDYNPPYNGRATTEERIDQVLSWLSLAPHARPNLIMAYLGEPDHTGHFRKSEDEIPSILRANDAVVDRLMRGIEGMGLIDCVNVLLVSDHGMTDVTTRIYWDELIPLHGLTSVYGVVGRIYRNGTTRSDHSVTGPFECSRGDKYRVYDRKTLPVKTLADDWMMTGDHGYDPVHPTMRTIFFARGPSIRPGTVLPPFQNVEYFNIFAELLEMRAEATPNNGTLGIVDELFSRPPPRVTTALVIPYVF >PPA12447 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:71456:78651:1 gene:PPA12447 transcript:PPA12447 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFAPRHVHLGTLVIDIASLLTSRFISLRRASVSCLRQLVQREAREVLATSIADSAAAAGPAAGAAMGEKGGEKDDEEDGGDDDMQLHASSGRPGVEEKQKLAARWPTRVFACQIVRRLMAVCESERAHLDLALAKELQLSSGGRADYLVLHLSDLVRMSFMGATSDNTQLRLAGLHCLQDVIARFSAVPEPEFPGHVLLEQFQAQVGAALRPAFTEDTPSDVTAAACQVCSTWISSKVARDLNDLRRVNQLLVSSLSKLKHGSINTQLYSESAATLEKLAILKAWAEVYITAVEQKQEAEEESKRRGSGGRMNGNDDPYSQSGGGACLLSLVEPELADLVGYWLSALRDSALLALPSSFSDQLPPEGGAFYKAERAEACREYYRSSWPPILLAASIWLRDHNFVLPGTSNESLPPHCSSSLSSLPSRLFLMIGVALDSLCSRTSTADDTTTHCALR >PPA12572 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:659700:662483:-1 gene:PPA12572 transcript:PPA12572 gene_biotype:protein_coding transcript_biotype:protein_coding MATLEGVQKAHAHIKTVLAPTPILTSSTIDGMVGCKVLFKSEHLQKTGSFKARGAVHNMRIVTERGTKGVITHSSGNHGQAVAWAARAAGVGCTVVVPDGAPEAKLAAIEGYGARIVRCENTPAARNSTCESLSKSEGLDSIHPCYTIDTIEGQGSLAMELMEQLPSVPPLFIAVGGGGLASGIALVLPDTPLYLVEPEGKNLRQQLDASEAIEQCSLPTIADGIRTRLIGEENLKVLRTHKHIHVVTVTETEIRSAMVLLWKRLKQHIEPTAAVPLAGLIKMSAQSPLPFNQAVVILCGGNVDASFTP >PPA12677 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:1113462:1114167:-1 gene:PPA12677 transcript:PPA12677 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKCLLVVLGLLLILSHTDACLWKCNYGGGYGGYGGYGGYGGYPRYGGYGGYPSYGGYGGYPMYGGGGYGGSSAQASASASNNFQPTII >PPA12509 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:311892:313318:-1 gene:PPA12509 transcript:PPA12509 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGSIESTSFKRSSLFRRVDCPAGPNGRSSLTCAFECCKSLEGQTSDYYCCGLEEHRLVKEGVTGENGRAERFVAYGNTFQVDYTMLLLGLIISIVVSILLSFFCCLLCNGCWLHRRRNPQEYESVHDNGFYPICCGFGLPLGTVVFSSHPPQYRGDESDYQMSTSSTSSKNRVRFNPDGTPRGVLKNNGDGNGYDRRPY >PPA12513 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:331514:331872:1 gene:PPA12513 transcript:PPA12513 gene_biotype:protein_coding transcript_biotype:protein_coding MELFLIFVTLVQRYKFSVPRGGTLPSLARRMGMTSAPVEYDCKWEKVEEEEEESEEADEIET >PPA12640 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:978385:979859:1 gene:PPA12640 transcript:PPA12640 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFSEWTTVYEDDDEEVMMEPETHDSLLMAALLSTKEQLPSPSVGRFLSCPDLLMDSLSVLCHCLDEITRRPTVAIPLPWTMEMDPPNSLVSTVSLPSNWDAVSARVSSSSIFNLFSDLPSSIHQAGTGILSTSSTVSVPTSFWDTSSTVSVAAPQPISRPSSTVSIPPSFWDAPEPRQLTSSTSSLFVDPSEASSSISTLFMDPSSAHSDGTSSSPFLTSTTFPSSSALCSHCLQALDPDWPRFGYADYQDSSSFANFVSRPENYQDASTSSSSSSSGYETDVDSVPMDMASTLSAVTAVSDTVQHQADCQTSTERLIAECDEMLEKLMEMKKKLREMM >PPA12660 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:1077442:1077880:1 gene:PPA12660 transcript:PPA12660 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDEEEFKLSPAHLLFLHIAAEATTSELEKAMKVESGWQSIVDARGKGALFYAAQTDNLKNCQLLVK >PPA12632 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:948554:951407:1 gene:PPA12632 transcript:PPA12632 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSVQLTFREEWIDARLAYGIVGAPDYNTLPDFVILPAGEQIWMPDTFFMNEKTAQRHTIDKPNVMIRVHKNGTILYSVRISLTLSCPMHLQDYPMDMQRCSIEMGSYAYTTDDIEYVWKRDADMPVQLKAGLAKSLPSFQLVWFNTSDCKSKTSTGVYGCLKATLELKRQFSYYLLQLYIPSTMLVIVSWVSFWLDRSAVPARVTLGVTTLLTMTTQASGINAKLPPVSYTKAIDIWIGACLMFIFGALLEFAFVTYVSSRNFYRTSRMDAKATLVPEATEQPLIISNNHVDARMSEVWIRQQGLDGPVYILANRRQSTCAMIKSFIQRLVIVKYIRNKLYVSDKSKRADLISRLAFPTLFFLFNIAYWWRYYEVEKVRRVGYYVNENGTGAPVNNLLQAQRLA >PPA12695 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1167359:1168308:-1 gene:PPA12695 transcript:PPA12695 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIIVARRKKKREAARRFRQSQLFHHNAYSIEQNINQWASNDRPRREEGEWKKEFERKKILNEVGWSLKIPSETPFSTLCSRTIVLPHWNISNNAPHVLMHRPPFHTTKPRPAEEMRSHSVITMTMPLNKDLEP >PPA12621 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:882893:886363:1 gene:PPA12621 transcript:PPA12621 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSLLLLLPILILANEPPPPDHTTVTLDPKTVLVLFGTRHGNRNPEKFVNVTGRTWGSEGPLELTSIGKRQAFGLGKEVRSFIGKFIDTDYKADQAKFYSSSANRCKMTLQSALAGLFDTSKWPGWTKEKLGLETPIPYTIDDPMLRMYSVKGCVNSDSAWAPISKDTLPDLKELVEKSKPLLDYMKEKDGRDPTISNAADIADNIINMDFFNATYPEWLSHPTLAKYTAATIKKAFLSFAENHMNRCASHKPCREMMGGLWGNHIISSLESSVAGKASTKLIGYASHTEVTLSVMIVLGIHKTELTTSAGFVIELRNSSPPVVRILQHDPNPIDEHVIYPAHLVPELASKTSKGGWLPLSALKEYVRRASFADWECECGIKKECGVNKKN >PPA12480 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:175670:177300:1 gene:PPA12480 transcript:PPA12480 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSQFHWELYNLIKECNVDELSLRFEIIRERSSKMHSVDFGTTRTVMLQEFLFMTGIRFEDGNLFSARDIEAYEFTEIFECQHLDDDDNMDLVFVHKHVFDGNLEMTFGQDNYNNTEGWLSLKYHPSKEGLQKAKTTKGFIRIAIEKLKKELDLKNQEIDRLNSENYALKEQLEWSMKEIESVAFPLLSLPDELIGHIMSFLPIKDRMRARVCKRLDDIEFKYKYYVPRLLIAETATNDPHRFNNHYITKYK >PPA12562 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:584756:591190:1 gene:PPA12562 transcript:PPA12562 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPKEPKTGFNIHSAEPIEKIFILIRLECAVAIVSNIAPIGWCPYKSIDGQNILITGAANGLGRLLALRFATHQDVTLILWDRDEAGLKKVKDECESIGAKVQIFTVDMQKSAEIKKTALKVLKEIKHVDILINNAGVGIGGKILEISEENVRKTFEINTLSHFWMMKEFLPDMYDRNLGHVVSIASLGGIAVAAQDLTTYCCSKFASMAFMEGLENESVCLGKTGVRTKTNTNVMTPEYVADHAIDAILRELRVAVVPKIHYVLYALKGEVACAHWPVSSEEIRFGLDKRDRYRTLPTVVMIDLVLCLLSIAVATVVFACAADRSKKRRATPPPSKESSTSQDDEKVDWTLFKKDTCSGCKRKLEDGPVVACHQTVFHFTCPCKCNKYKRVKDAQGADIAMYSRKAPDGEKFRSLNMNEEADRKVHDIVLKAYNDSVETTRNNNQDQDTGTRREDAEAGTYIPTSVAAFANSTRTVKLLVHRTMEELERLSLVSKICSELENHFGVGEKEVAEFIIALATEAKTFDKFKKALADNGLGGEFDDSLTASLLRLVHHMMPSLSKKAKRNANADIPDQKKGKITLLSDAKEELKALCPALAMPDEIKTEQASAVDMMMQLEELMPKMKEVESTKRRDRSTSRDRERDRGGDRDRKRRRSRSRDRDRGDRRDRDRDRERRRSRSRSHDRRDDRRSGRGGRDDRGGGRGDRGRELLDAPELGGIYDGKVNSIQNFGAFIQLEGFRSKVEGLCHISQLRNERVNAVADVLSRSQKVKVKVG >PPA12557 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:557860:563353:1 gene:PPA12557 transcript:PPA12557 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLFLLFPIVSTRPVFIEQNGTTFSLNAGLCESYSCGLDESRGICVNHIFAGRPAHYCKCNLPFTGAFCHSTLRLNASLPGSRYSECPFPLYCASVYGNGECNQECNTVECLYDGYECQMQPKCPQEEYCRDRFSNSVCDEVCMREECEFDGGDCFSEMDTLPGQLLIRLSLTAENFMRLSHLFLFQLSRFLRATVVLSQDARGPLLWRWSPSEGRGPRILKGENSSTGIEVAFSVDLSRCSVECLDTIERVVGFIDQSLAAKSSKNLFERSSGAGNKLYQIAVISHQFCNNSIGLFIITPSLVASSHTTHMGRPRRTIRHGHRCVVVSLRQSRKRVLDTPVWFPPSLGEDPVVLQPTKKPRLAPVRSLLHQQAEGIDPIDTTEDSDIDERDGQGRTALLLAIRSERKEQPLREAVSELIKRGADVTVVDENGWGALHHAFHKLRSPNFNRWLISLGANPQVVDGAGRSLIHLSTEYNDLLNLQMILETAAIRLIKPLRSQIDLPDHSNRIAISIAVADFTLPITRCLIDNGADVNSNGAEQFDQSVPRRYPLHIAVQCNNKDHVRMLIQEGARMQVRDNRERTALHYAVIYADLAMCRLIVDAGTQVDAEDDEGRTAEEMAAEWHMIEIEQFLISVRGRNEPYRKRKRAPKRYSSDQGYGSEESHRQEKDGERDSSPTGRSDTVATTPPLPPLVLSPRDSFGIPKWGTMAQLPIFHTRPLMPLTMMHTPLQTGYPAVLTPSHALLGGSFTSLAPPSPFPTNFQTPM >PPA12540 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:451935:453452:1 gene:PPA12540 transcript:PPA12540 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPIKFFPNHNLIILPILPIMHLQPLIHFITTGIRIMELLTSSIMIISTTPEMVQQSYIVKSTRSTIKYRQSNPLQFLNPQSTPAVYPSGSVEKAQYKQVKKGQSCQRGRFFPTILPIDNTQSTKRNGGGSTYWFFLLQLLMDASNKSIIIWTGHQRFFRVIDKDRICKLWARHSGKVSDSNWKTAERNIRTCGAKGILMPVPSKTHKGRNEDGLFGFMIEPSHYVGMTREEMDKFIVENCETAPLQQPQGIAPSNASAPAMHNQFPQLHQQVPLQPQYPPFQPQTAHHTNAFPYYPNSTIVT >PPA12499 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:250197:252074:1 gene:PPA12499 transcript:PPA12499 gene_biotype:protein_coding transcript_biotype:protein_coding MLALFKYQFVTFSKLFKQGGYYYYDYKGARILVLNTNLYYNVNNAYGEFANPSDPAGQFEFMEYTLEQATKCADDKCFKTVHIATHIAPGVFERTPNFTWYRPEYNKRFLDITKKYASNIGWMIFGHHHTDTFHIVRDDAGLPVQTLLMAPSVTPWFSSLPGAGSNNPAFRIFDTYDTQGHAYKEITTYAVDLSRLNAGKQPEFAPLYSHTLEYQMPSLTPKDFDDLINRMLNDDNLFYKYIANNAVLVDLNMPVEPYRSAQFCSLQYADFDPYYDCMKGKSSFALYTALPTLVILFARLFL >PPA12518 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:341983:343194:1 gene:PPA12518 transcript:PPA12518 gene_biotype:protein_coding transcript_biotype:protein_coding MELRGTGDTIQLIIEIQNILFEDKVDFQDGGKTSKYFNDAFMDVWNGGLADDFDVLRKKYPDFTVWITGHSLGGALASLAAAHIAANGLVEKEKVVLYTFGQPRTGDEQYADIHDNLVTSFRVTHAEDMVAHVPPLFMKYEHHTSEVWYNNDMSPGSPFIVCSEQEDKECSDQK >PPA12458 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:117514:119822:-1 gene:PPA12458 transcript:PPA12458 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYGSNDGSKKISTTESPNLFGRIVSTVALSLTLTMMIYDTTALDVVVPRLQTYFSITDSTAALLQTLTTVTASVALIVVGAIGDRVQKRRVHSGCHHLSSTLGVQEEKKSTELFPILGNRLDQYRELAVRRGTLLWSKLGALVTVLNILTLTISYYINLPCHFLMSFFGTPMGSILPQLVLVRKDVHPKTIAPRNLRATAYAILSLIPGLISSPSAQIAGMISDAYRGDAEDALTRFNALAFAFFILLTFFFAATIMYLMIVKYYPMDVDRREVSGDYCEMSNVRRSPRVSPPFVNR >PPA12600 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:808560:812208:1 gene:PPA12600 transcript:PPA12600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lgc-31 MSSHNFNDAVKLLSNNDVRVNYTGHIRYYIPFSTESLCKLDVKFFPFDIQQCTLLFGSWAHSNDSIKYSLYTQNLSLIDFYDNQEWELDTIHSNIKADGFLYDYLDPPLFWEMIIMDLVVVRQSFYYVFNLVIPSTIITLVAVIGFHTPSTSGRVRDAKFRLGIMTLMSMSVILLAIVEDMPKFSMWSSRRGRGSFSGIPLIGLYYFILLAIIGLSTVTTSMFVFLERDYRVKRRIPWYLRWLAFDLRGADPGLPRSTSYNRAPNGHGDDQTTERLLSNGHVGDGLKERARGLAANLLGLISVPGRASQRRDEYQLHTQNEGTPSPRQALRRRMSVSQYDNLLIYQYFDQASLFIIRAIEDLIQYIDKIERCVEIIRKDLRALVPQDDMNSRWQTVIRRLEVRNASF >PPA12577 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:698209:702074:-1 gene:PPA12577 transcript:PPA12577 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGSSPGSIRIQCQQMMSSLSSDSADDLPWEDSTLKMLSPPPSSTHHPVVYPRTLTKEVGAAGKRPSIVHKHSFHGGAAGGGRNPPAFHYQNHAAIRKAMSFRAPLAASPGTVNEYHVQNIVDKIPAASPSVASSHCDLHSSSFDPLLSPSSLPPMTLLEQIVRSHPIWYLQDIDRVTAEHLLRQMPAGVVLNPLADVHSSLVFSPLVDGPLDQTADQLSSIGVLQGIIYLS >PPA12476 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:166587:168015:1 gene:PPA12476 transcript:PPA12476 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDVLTEELKDLIIKARDVIMNRCLREWAETESLFAIQTEIPEAVEGISPVPVHCDLWSGNMIFEDNEGRFDLLAILDWATFKIDRREYTPEILRLYVDEMLKRKDKFKKRFEITVVKMIGKKKDRKWEDYQFG >PPA12535 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:429726:430453:-1 gene:PPA12535 transcript:PPA12535 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNKGKSQGGSPSANTGNQQSPKSSEKPKQSEPVAAPAAVPDAPAAANVEQITEQVNNLHLQNGEEANRDDVMAARKAKAAAKAEKAAKAAAKKEADAAAAAAKAGGEASTAAEPKQNNEENKDAVSSP >PPA12598 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:804747:805111:-1 gene:PPA12598 transcript:PPA12598 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRETKNAVIKHSFSVSAVSLDGTELFKELGFMTSKPFNEDTGFVEFDKPSVGDDKVGIEMVSTTGKLIDL >PPA12614 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:853409:855917:-1 gene:PPA12614 transcript:PPA12614 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFLFILLLISTECLNHESSTPGVPSFFRRGKVDTNLNNLSSLISRTTPRRVESAEKTEVKVGLVYQSAVHSKKYEKALQRLNEHANGISFNMREFKHLYNFTSVDCHLPKGVFFVNDVIDCICGVMVKEQVAVIIFATTSEDFEESSSSEEFFLQIAASTGIPIIAWNADNSAYSLEKDLSPFRIIQLVPPIEHQIRVMLALLERYNWTRFGVVCAKMGGDEQFGYALRDEMDRMKKRIQFEIIFETIIDATNVTDIDEELAKLKRSQAKVILFCSNNQYANVIMKRGRTIGVVTDNHLWIGTQSVKSTRTGMPSGNLAQGMLAITFNTISDAIISTEEDVIINILLNLPRMIAKAIMTANGTMSFQSTATCEYSIDREPSWVEGGILYQELLKTKARGNPFHSKATIFFTRL >PPA12468 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:145368:146857:-1 gene:PPA12468 transcript:PPA12468 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSFRYIESTYDLFDEAVKHRLLDYPGTKAMFAASSLFFLNTDPLFDFPRPTVHKMIEIGGISVECKPRELNQTTFIWKYERPSDFNNVDRPSNLVCVEWAPQADLLHDPRLSLFITHAGMGSVNEALRAGIRMIAIPVKGDQFRNAKLLKRTGAAVIYNKFDLAHTSQFERVVKEVLKSEDMREAATRNSLMLRNRPFSMKELFVRNMEFMARFGPLRMLDHHGRNLNTLQYYNLDLFIYPALFVIAILSVICTFMHS >PPA12673 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1108879:1109254:-1 gene:PPA12673 transcript:PPA12673 gene_biotype:protein_coding transcript_biotype:protein_coding MIWIFLVFTVALLVNSGVEAGCGCGGYGYPSYGGGYGGGYPQYGGGYGGYPGGGYGGGYPMYGGGGYGGSSAQASASASSWGK >PPA12627 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:909941:914513:-1 gene:PPA12627 transcript:PPA12627 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDIFRHHHHHTTASVDVTPTTSSSSTTSSTSTSSSGESSTSHSVPDQLQEFARIHKSYWRRRKEQRTNAKGGDPEKDERKGAEVAGTWANAIKSALMPVTPQSSQPLKDQLRVPGGDSAKGTHLGVNIQTEKEFSTLYQIYSEEILGSGQFGTVYGGIHRMSGRQVAVKLIDKLKFPPNKEDLLRTEVHILQKVHHPGVVAFEQMLETADRIFVVMEKMQGDMLEMILSSEKGRLSERTTQFLVDQILVALSYLHSQNIVHCDLKPENILLTSTSDFPQVKLCDFGFARIIGERFLSDPDLTHARLSCYQGKKGVKLCDFGFARIIGERSFRRSVVGTPAYLAPEVLRNKGFNRSLDMWSVGVIVYVSLSGTFPFNEDEDIHDQIQNAEFMYPPSPWKEIGDSAIDFINGLLQVKMSKRFSVSKALSHEWMQGYPLWSDLRLLENQVGERFLTHESDDKRWKEYEENNGFVPVYSVHQEKQATQRNAAVEATTRNRLTVRLLVASAGSSSTELLGLATARVGNEEVSVVRQKLVLQLLLALLIDVLLVVSDDGLGDSLTASVDLKMDRQIKNFNYLSDVSSSADTDSDVDISETLLSEDEHGLLELDAEDLRGHVLEGTSVDLDESASLLAEGDGGGRLLTSVSLDRLDEFLSHLQPCKGD >PPA12548 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:515534:516270:-1 gene:PPA12548 transcript:PPA12548 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLRARRAPRTPSYTETVGQSPSLVEESYAEGERQKETVIKTALRFPLGTVIDGEHWPPIFTPENVR >PPA12684 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1130017:1133819:1 gene:PPA12684 transcript:PPA12684 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLVASLLAPLASAFFFATIPNNAYARPPEAGPAPPCNCDPPTFPPLPTFAPIELPTLGTLPTLPPFEWPTLPPAPAQAAAAQGYVQPPKPLELPTLAPLEPIPTMAPLVLPTLPPFELPKAPDTYVQPKPLELPTLPPLEPLPTLAPFVLPTFAPLPPAPEYVLPTLPPFEFPKLPEGYVQPPKPVEVPTLAPLVLPTFAPLEPLPTLAPLVLPTLPPLETPKAPDTYVQPKPLELPTIAPIEFPTLPPYKAPEPVYVQPFEFPTFAPLAPLPTLAPFEWPTFAPLPSGGYATAPPTYVVPPAPKVTNDIVTETGAVGGVEEAAPLEASLPVEPVEVKSSVAVDNADASSTDEEVVAPPPSPPQLPSLFQADEVDEGVRRSQRAPDCTDFMGYLTSVDCWLDYRIPEGVVRPEIPTGDEPKDASFVQTNVISDADLVESEHPKTFEDAVVTANKLRLRRGSPRHLEGIRRISI >PPA12638 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:970089:974580:-1 gene:PPA12638 transcript:PPA12638 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDSIEKASTTQEVSEFTHENKSSSLKKKVPSSDARAYREIGKRLRPIFDDEGLDYGEDPADEDTLVSKFELATKLRKKRIEEVFQCIRRARNVQLCFLVDVTGSMQPRIDDVRKSIDTLIKRLTDNGPYSKTQSIAQSMEVAFVGYRDIGYNNEVLPFTNAEQLKGFLSGIVTGGGGGDVTEDVFGGLDTALNLAWSDECGTKVIFHICDFPGHGDDINSGVRDNYPAGDPKGRTCKELFSRLRTKEIQYHFGKIHSYTDIMIKKFSEVYGDYIVEFDVMNVDKILEAVFNAVSISVAANVAASQRTGGIIRKPRDFTLDKAMPDWASLKELNGRFVSYEFPKSIQDIKGNVKLEWNKPKNATVKIATNPFGRGAERLAYYGKDVTTYVVMDELKNKKVLTKNEDIVLKENLHTGKGMNSVVRYQLSNQMQTIASFLAQKFMKNLKEVGINQTIKFLKIRTLSLINEDASKRYMSCERLFAADAKFVRFTNNAGYRILEEQALAKGVSLEYVQLVISFSHWTYKASNRFLMVVDLEGIIAKIDGEGKTGVLLTDPAIHCTDLTRYLPMNHGPRGMQNFFENHDCNQFCKALGLEDFKGQAYGDPITEFDVKKVNNIRDSVISAVSKSVSDSVATSHKTTSVARKEREFTLDKTEPDWRSLAEMKGTFSSYEFPKSIEHIELDVPLERKKPKDAIQESDGSEQKMDEDIVFKENLFLGKGMNSANRYQLSNHMNTIVSYLAQLYTEDLKKKAGVDRTIKFIKIRTLALKIDDTNYRYMTSERRFSGNDKFVRFTNNALYFIPESEAVAKGVDVEWVRLVIAFSHWTYKASKRFLMVVDLEGIVSRIEKTGQIGRSTVEMKPATDV >PPA12624 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:901938:903873:-1 gene:PPA12624 transcript:PPA12624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rap-2 MVNADSGKVDDQPCVLEILDTAGTEQFASMRDLYIKNGQGFVVVYSITSQQTFHDIRTMRDQIIRVKGTEQVPILLVGNKCDLGHQRQVRTEEGIALAEYWQCPFTECSAKNSHNVNVVFAEINKAKNDKGCCSIM >PPA12644 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1001210:1001800:-1 gene:PPA12644 transcript:PPA12644 gene_biotype:protein_coding transcript_biotype:protein_coding MRNYCSLYCQYCKLLRLAPSSNLARPSSWQFCRGSRLLPKTMATTAAAGAAEEGMEPNEKVGRVQFMAIAH >PPA12641 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:980051:980368:-1 gene:PPA12641 transcript:PPA12641 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVQLHNLSVVYAHRAIILQTDARYDWQLFVNKFKKYVNMKVASVQLGKVYAT >PPA12636 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:962311:962973:1 gene:PPA12636 transcript:PPA12636 gene_biotype:protein_coding transcript_biotype:protein_coding MRMCSTPTGEIHHLRSSIFSLLHIWTRFYLEDEDKVELFMYINEFYEKAPVDQWIKAENMSEDEKETARGIITTFFEYFDELGDCPSESVEKVFQGVVKMRFFSIFGYNKN >PPA12543 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:480193:480581:-1 gene:PPA12543 transcript:PPA12543 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKVLSKMGVSSLFNDELANLSKISPIPLFISKIKHKAVIEVNEQGTEAAAATEEDHGMQISDDGDESPSHPIIFIDRPFLFGILRNDDIFFLGQYV >PPA12672 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1107196:1107725:-1 gene:PPA12672 transcript:PPA12672 gene_biotype:protein_coding transcript_biotype:protein_coding MIWVLALATASLLLSNAGVDAGCGCGGYGGGYPQQQYYPQQQYYPQYYPQQNYGGSSAQAAASAQSQGGGGGYPGGGGGYPGGGYGGYPGGGGGYPGGGGGYPGGGMPTDRYSEIKDQSFRR >PPA12461 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:128558:129908:1 gene:PPA12461 transcript:PPA12461 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRTVFERSIGRGAGLWTDLAEARSGIDRFNTSTWVANQVVLPSGEMCNDIFIMLKMSNRTAFRKLSFGLNNGMLHSFHGTMMRDNGSLVTVEQSAELIHVSRRWFGLIENNNNKVVHSAYGDWIDQEKRKNAVYDQIIIREREESDGDVVYYPNRVFSDASTVRKLYRLLKENGFIIVYISRRDKSERENIFSTYKASFGEHCKVLEPSKTQNSYLFCQKTNNSTTFEKIHRGAFS >PPA12568 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:640783:648247:1 gene:PPA12568 transcript:PPA12568 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRRTSDDEHAALLQPGSGSDSSSGRPAAAQLQQLQPPQLQQQLSSGSVRLPQENTAKSSRNDDEESHRPRRPPPPGRCSKSATVSMLLISAILGGMLVFLLLTPPKVHPRWQHMDARAINYSRESSAHSAPKQQAQDGEDRVAPVDPVNRKMTRMDRIEGWFMKRPKRSVADYILSGNEPLNYRLLIKAKIRGWNGADETSLGGEVEITIRHKGGDSRRITLNSLHNMISSVHLFDDSQSGAEIDAKFDVDVKRERLIIKLNQSMERGSERLREIKDQRDSPLEIFYRTTPDKRMRGAFESWLWDEKEQRKVYSLHVHNQPMDVRRWLPCFDEPAYKVPLSLVVSHPSGLNIIANSGVESSYVPIKGRNRTTTSFYPTPPLPSYLYCLSINNYKQYSVVKNGVKLSTYLARRHEDYGNWSLELLVKAVDSISILYPGRLMFSPANGTGYTRKLDMIYAPDHPGAMENQGIIIADDRRLLQMPWPMILHETAHHIFGNRLTLRWWSDTWMNEGLCSKVEFEVECMLELGNKTGESRRVGEDNCYLTRMKTVMRVDVYETSAALSDPSVLSRDESKYMFSNAYQKGAMVLRMFEAWDRVAYNKTLEYLFDNHSLGTFDQSLFCDLFARFSTSTASIDICNDFAVKKNYPILVVSKNERGYEVRQTRFHRGYVDETYRDETTRWTIPLSIRRKIAHPDVWGPQEKRVMKRDVDVLFIESDSPIVINGEGQFYYRVIYDDYTPFYAKIESRANRSALWGLIQDKLLDDATAALLTGYLDPYIGFRFAVNCGLPAAHNYFVKQFTKLLAGHFLIQEMGEWPVMQVVEELFDRTSTYPVYWGRGGDHGKERTRCKKNGVNTIGDIIDSYTKGSIDEVLRAVNLKAYNIKISDEDFAYFIRQLFTFMILPDLVTVNHFVKLRPASMAIQWDNVARSVEEDRMQDLEYKKLGAKVLEALITVLHHDKGFELDQLRRKTDPEESVRMRGLKYQSVEDMEALDALAVYPSGEEGAFMSQEDMITPRLKREIEMNIQEELAHALKESDAPI >PPA12592 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:778367:782162:1 gene:PPA12592 transcript:PPA12592 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGSWIVLAACCLAAAAAPAEKNVEDLPNAVNTDAEKVKARMYESECSDEAYEQFKRDHHKKPDADDAARQHRLCAAIADNAKHNSRRNVTFKRGVNALSDYSSDEYRQLLGYRPHHHYGPHPYNPYNPYNPYNPYNPYNPYYPTPAPVTTAPQWTTTSEAPSTTKHPHTTTASPHPNGEIPDSLNWRDAGVVGPIKNQGTCGACWAFSTTGAIESQYAMKYAENISLSEQNLMDCSTQNYGCQGGNMAIAMQYIAQNGGIDTESGYPYLGYQTNCRYSPSIIGGRDTGYMPVTSGDENALKIAVATIGPISVAFDASHSSFQYYQSGVYYEPSCSSTVLDHAVLVIGYGSDPEAGDYWLIKNSWGTSWGEEGFGRVSRNQGNNCGIATEAVYPIV >PPA12520 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:346304:348153:1 gene:PPA12520 transcript:PPA12520 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLLASLAISALALASDDFNDSLARNNFLPLASAAYGNLQQKCLDKHMPGAKLSFHAEIACDNVTTMDTCSGYTVVDSHRGFIALVFRGTNTDEQLDLEIYTTLNDEKVPFRDGGEVVPYFRQAFDLIWDDAGLGDDFKKLASIYPDYKLYITGHSLGGALAALGAVHVAKNNLFNTEKIVYYTFGEPRTGDKTFANLLDSLIPQKHFRVVHDHDMIPHCPFLSMHYQHHATEVWYANDMSPGSPYKVCVGQEDSTCSSSNQFNLNFDPDHPHYYGIHVPTYGRSGCTDNSG >PPA12584 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:734486:738623:-1 gene:PPA12584 transcript:PPA12584 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEWFEDSDNDMGEEQEEEMEDAGASQIKQEVHEVHSIGSRISTITLSSDAHPEERGEDQMLSEEESEEDDAFDAAMIYEKEASEHPFLKEDVKMAAKDFPELEKELCIPSVQIEPPVASQDGTCSVALTLNVSSLPERYRHLWELGTAMTVSVIVDGIHKREFRKCDRRPTVLARMDSDKPSKFPVGECLSNVIKPLITDTYTLEENASPALTYSYFSMLYEQLMERLRTLTDYCMVCGAELYVRGVLPSICEGTLCQYQYHELGLLDGLTTPRVSADVLSLLMMTFNAAANSPRWNDILTPAPSARDRDRLIVEAKKLYKRLDGKKFSHNEGLDIHHSLAPVMPCAKSIMKSPTTYSEFKKEFPSMAEFIEWLVISNQSYLEVVPPSYNVHYLQTNNQFLFVADTPAKQAQFDALVQQHGGRTRYLFHGSRMENWHSIIRSGLKNMSGTKYQLVGAAYGNGIYLSNHLATSYQYCTRYDPTSVADHCVYNKCCMPSISEGGMTLLAVVEVVDTPTAYVYNKDKIVVINEEKWCSIRMLVAYSGRTPEIDLNRMDAAAIKQIRDYAEVQFNSICIPFVYGISNN >PPA12593 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:784390:785139:1 gene:PPA12593 transcript:PPA12593 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEFVRWAEKTAEKDKTEVCDIILQVLSIALDSPLNLQILLGCDLLSIASHLSHLPISISYPYLYASSQADEHATIFRRILRIHPEFNQKFRNWTSGGPRGNPIAEIGRSIPPKFELFGEGHEPKLVGPTTTCLHPAVTTVISTAVDSVLSLN >PPA12529 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:384737:389746:1 gene:PPA12529 transcript:PPA12529 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIIVGERYGYGYSIGGEEGFDYPTGGSGMLFSLPAARLLAQECECPSNDSPDDMIIGMCARRLAIPIIHSPAFHQARPSDYSRSLLSRLPPISFHKHDGVDPYEVYTRYLKEEEDEGERGKGTLQEGSLLPKPRCPWLKMLKKGIPGTSAPARMYVAFNNPQQGMSGPSTSTMNTGGPQLTHQQQQQLQSPQWVQQQANDLQQALRINRAEQHTNSLRFDLTVPQQQQAVHQLKQREMELAAQLQRVQQHLHYLTTQQAQQQPQNQQVMLQRQALYQQQAQLQQLQQAQQQQQQQPQAELTPVQHRANYLEKQKKTSPIHSAIFDGSPLQTSSPMQSFSGNGAYPSGSAQPQQPLMPTNPGVPFPPMSAPPSPHHSQRVQSVGGMPRNAQPLGGIPLQMLAPQSPSPHANTSNDIQFLGGQWNPGAPMMIPNGTVLPHQQPIRRIQPQHDPDIYEVPVVPSPNEGFKAPIPPAGMKRRGRPPNQEPNNGQIIPPNPPLPLQGPPMQTPLQQKKPGRKHRVQQPHPQAPSPILLSPQQAHADQRLMEPLPAQRPHPQLQPPPPMLSPVATPPPPETADQKIARLRGTLASNQRAPAQVDQTVSPPVLTPSPSPPVAQSQPPSAPTTQQQQPSSPPELVPVEPRSLPPRTLSAHQQALGATLRRTSLPAVERRDETTSSSTEKEQAARGRPEKKVERKITPVPVISPLAAPVAPVAPAAPIVRAASTSRLHEYFSEPIRRAEEQARITAALQQSHPRRHPSVPAVPHQPSADILSPYAGTTAAVSPVRSEQTGRAALNL >PPA12519 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:344026:345760:1 gene:PPA12519 transcript:PPA12519 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLLLALLPLIRTAVPDFDEDLARNVMMPLCSAAYAKNPQPCMDYKMPGAKLSIRVEVPCDDIATDTCSAFTMVDSTRERIALTDFRDGGMTSPYFDTAFEDLWFNSGIGADFLYLANKYPTYKLLITGHSLGGTFASLATVHILQNKLFPAAQTTFYSLGEPRTGDPAFADLMDSLTTSYRIVHDKDIIPHLPPMHVLGYQHHKFEVFYQNDMTPGSKFDICKTQEGPCSEKNGKDLKFKPDHLMYYNENLLNFGTNECKFSVPPPTDPPTTEE >PPA12663 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1085230:1085719:-1 gene:PPA12663 transcript:PPA12663 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRAAVLCLLLVAAEGFLFGSGCGCPPPPPPCGCAPSFSLPRFSLPSPCSCPPPPPCPCQPFQPSCVCPPPPPCGCGK >PPA12649 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1017543:1020253:1 gene:PPA12649 transcript:PPA12649 gene_biotype:protein_coding transcript_biotype:protein_coding MALRIHEGVTCYLLGSLTAAFVFNAVASVVLGVIFALICSLLARLSVRDHSAVPVFIYIIPYVSFITAHALNLSPIITIAVCGMSMCRYVEANLSKKSAETIRQFTKIWWLLLSPSSSSLFILSTLLLTFLCRWVALFPLCAILNAVGASERKLTMKDQLILSYSALRGAIAFALAASLPNQMPEKPLFLTATLVIVYFNVFVQGCTIRPLISRLEVECKKPMDCVETTVDVRNPIRSSHPGRLYACFERFSSSFLDRVLISEGMKGKWTGKYERTARAEIVIETKRGGEELNSIAEEASKMIQKRLNALNDEIEEEDCVVCPSIA >PPA12514 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:333002:334902:1 gene:PPA12514 transcript:PPA12514 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLISSFLLILSVSADFDDSLARNFFLPLSSAAYSDSPQQCMDKRMEGAKLSKQVTVKCDYFKDKCSGFSFVDEERKIIGLSFRGSNNPAQMIIEIQESGFERKVDFKDGGQVSKYFNDAFMDIWDGGLGADLSYLIMTYNGYDLWITGHSLGGALASLASAHIVSLDWYDQDKIFLYTFGQPRVGDQKYADVHDSFVKSAYRVVHDRDLVAHSPPEFEKYVHHKYEV >PPA12612 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:848325:850284:1 gene:PPA12612 transcript:PPA12612 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLYEYVLRCVVLASSTHRAMFFDPFIDMHWDNFSSMSSDVSNAVVTANRSIFMQASIICGLDVIASLIYVYMNFFDSPPELRQIGQVAWQLSHGAPPVIYLTLNRTIRNGVKKLFTLPNKVKTSGGPIP >PPA12678 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1118273:1118903:1 gene:PPA12678 transcript:PPA12678 gene_biotype:protein_coding transcript_biotype:protein_coding MARVALVFLSIAVVSNAFLSSLFGGGGGCGCAPPPPPPCGGGCGGGLSLPQFPPLSFPSLGGGGCGCGAPPPPPCGGGCGAPPPPPCGGGCGGGAPIGGGYAAPPPQYAPVAPAGGASYALGGK >PPA12681 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1126539:1126985:1 gene:PPA12681 transcript:PPA12681 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLILLLVSVSLASAHLAQLLGMGGWGQPQCPPCICNNIATQSQSQAQAQNQQGGGGWGGPRWG >PPA12601 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:814716:816284:-1 gene:PPA12601 transcript:PPA12601 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIESGSLKRRRPRSLSPDQSAAVVASPSKIYVNCEFEIRVKFADQQEWYNFADLNPRGFFEYYLSTPSPSISLGNRCPPPLLTPTVKGEFDQYFPSDIIRAEQLLEEGAALQSVLWRRMESGERLTDSERERILNTPCFATMLKQHKRRMAEEICELREPQTTLPSSCRPPIAPEARQPCVPNVADVPRMPIRSPLPSNSSLHPLKPRQSPVEFQKPPTDPQQIENLRSYTGTRARFHQMPAEWPCYHTSYVNGVDLIGQQFRMKNGNYAIMPQKERERRKRQHVEATRKEGRRETKPELSYMPLRPVTHPMQKPMQNLENGMRPKGTEKRTDESLGSVLSQAFRTLDDDHGGYSMPVTVDMRRRVES >PPA12569 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:649084:654974:-1 gene:PPA12569 transcript:PPA12569 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRGFNPDAPAFVPNFNAPAFVPGQAWGAPPPVAPPAAEVPQPESWDEEMPVDEAPAPIETPAAPEPVREAAAPAAAAAPAVPAEKREDAIQALGKKFERAVYVDVIRMHVLFVSPVAIPTRSSRFAIMGRGYNDVVPIFVEDGTHKEHVNIVFIGHVDAGKSTIGGQLMFLTGMVDKRTLEKYEKEAKEKGRETWYLSWALDTNEEEREKGKTTEKKHFTILDAPGHKSYVPNMINGANQADLAVLVISARKGEFETGFDRGGQTREHAMLVKTAGVKHLVILVNKMDDPSVAWDVERWNEIQERLTPYLKKCGFNPKTDITYIPCSGLTGAFIKDRPENSEQGSWYTGPCFIEYIDNMLPSISRDFDGPVRCIIHDKFSEMGTVVIGKMESGCVVKGQSLVIMPNRTVVQVMQIWSDEVETDRVVCGDNIRFKLKGVEEAEVQNGFIICSPDALCSVARVFDAEVLIMDHKSIIAPGYSCVMHIQSAIEEVVVKNVICTMDKKTNEKKKARFVKQDEKAILRFESSELFCLEPFKVLPQLGRFTLRDEGKTIAIGKVVKVVSGTTEAN >PPA12545 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:502142:502911:1 gene:PPA12545 transcript:PPA12545 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIEGNEQRMESNREGNRARRRRVSETLSIRFRARVSTGRHEKILGFYAVVLTTSHMIKSIHQSIWFIALIIAYKELQTAYLIPNTLSAFAEPVLLLVTSKKLRDEVFSCMSQGRSTTVSMTAQSSAVGRSRRDTSLAVSIQIGA >PPA12653 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:1040759:1041908:1 gene:PPA12653 transcript:PPA12653 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRSGTAADEMAEVLLAKGQLVEALRYLDTSALSDRPSTAVRILESAPKTDRPIWHALHNFMQYQRKSKNGLGDHLSLFEEKYKRLFGAEEMEEAERETALADASLEYFAIYCICIRICTK >PPA12485 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:195635:196702:-1 gene:PPA12485 transcript:PPA12485 gene_biotype:protein_coding transcript_biotype:protein_coding MVEERDRNLMNNAISSRSDVYSHFLSGWDMKEMAEREKREKASREKSKQEDTVVDGLDAKTSDIAKCSSKSRPGDLNSPFSSSIDADKSDASGDESEGEDSVSDGLDAKTRDQYIVKEARKRLAAEGAAPVSMKAFDSLERHLLLRSGVKGSMAPLSVSLFNFHSQHFAQRILDKSMGNLVLVESALEYSMKAKVRFF >PPA12597 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:802213:802759:-1 gene:PPA12597 transcript:PPA12597 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEEGIVKGKSMKLETVYKKSMKGAWHEYKESKRLLRLIGPDLLEERVIYTDGRGSTKKWLKRYTRVHDYLQDFIPTPSPDSQPRPIGFGV >PPA12583 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:727301:733025:-1 gene:PPA12583 transcript:PPA12583 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDETSGVREEVQVDESQWAPPEASSSGYQIEESEEEISEDNEENEEESEEENEDGSDEENMYDKDASTHDHLKEDVREAAKKWNELEQELGISSVQIEPSVCGQHDMCSVVLTLNVSKLTDEIRNLWEIGTAITVSVIVEGVHKEEFRKFEKLPTVLSRIDADKPSKFPVGECLLNLAKSVISIYYKLDDNLAPSVAGSFFRLLYGQITDRLKTLTEYCMVCGNKLYAGGLLLSICDGALCQYQYQELGLMQGLTTPRVSAPVLALLMMAFHAAVSSDRRQDILTPAPQARSIEALVVEAKKLCDKCNIEYIAYDDTEYAVYMMLTRVMPCPHEFLKSSANYRKFKNNWPNIAELIEWLVISNQSYLELVPPRLNVDFLYTSEQFLFVADSPAKQAEFDSLVAQYGGRTRYLFHGSRMENWHSIIRSGLKSMSGTKFQVTGAIHGNGIYLSNYLPTSTQYCSLYGKDDIPEGCSMTGCCFPKLGVEGMIMCAVVEVVDTPEAISYEEKKIVVVPDERWCSIRILLAYGDETLPDVDLNDIREEDRQKINDVVHMFKTASVAERVEHAPKIPEAAVANSGFDFRFPDPPSPDDMDKGALTPEDREKVDRLYGRQPHPRMPSEQGGNGGEAVSPNEKGSEDNEHRKEEKVKVHEFLERDVKLAADAWCELKKEFNIDSVTIEESILGFNRDFCCVILTINLAGIPQMNRELWAIDNMFTFAILIDGVHKMKFRNYHTLPTVVARIDIDPPSYFVVGECLVNLVKSYMKRTYTRNYISVKDAKSDYSMSPFQFYVQYARPKTIVSVSLNRD >PPA12493 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:222071:222492:-1 gene:PPA12493 transcript:PPA12493 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQEDYNGLLLKNAAHTTLILNISMWKISLFLAIMLIGTTLQAPMMSTNKRLVWCKKSVDAQADNNGVIDANHARSRRGLEKWLKKNMGIKNLKNFLGLANIAIPFTALGK >PPA12589 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:766371:768760:-1 gene:PPA12589 transcript:PPA12589 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGIENHDDSMEESDGFMESTEHSECDLSDVGDMEFYDDANEYCSVHQHLKDDIRKALAAYPQPEDELGIPSVQIDETRTGLKYISSVVLTFTLKEISDHLLELWDLEDTPAIIMEMDNIHRGEFRSYGQLPTITVKKHSSEGHFIVGEQLKNFALRLCRESFSLRTNESPEVAGSFFRLVYGKVKERLLRLSEILTYREILAASGLDKLVKRKSAELKF >PPA12685 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1134556:1135629:-1 gene:PPA12685 transcript:PPA12685 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLVFSSLLTVATTHFLGLPDPLNLFGPKCPKPEPCVCVCDKPVDHYVPPPPPPPKYEMPPPPPPVAYQEAPPPSYMPAPSYAPPPPPPDYQTGPTYRGVPPAPSMPVYNSGMDSMGPPGSSPAYIPEFKHRRKAAARH >PPA12462 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:130721:132210:-1 gene:PPA12462 transcript:PPA12462 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRLGIFGVLALGDENVVPANLAIHESSLFSRAIAMSPSAVFNKEEAQVAMSHFAANALGCSGSAQEIIDCLTLMSTDEIIDEMTEKVESEAEPTTLLIGSTLDELGYAPRSPKDHACFMIGARNIDQCLEKYERDVALGTLEAA >PPA12465 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:138223:139319:-1 gene:PPA12465 transcript:PPA12465 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPAYKRCVSFENDPKKERDQNRRIASVIFLTITQLTMSFDAVAQSGLLSLFEQYFNIADSTAVTFSTFNDVLDSPVLFLLTRTISSACWSIFVVLSPVLISDMFKDEILGKALMLNSLANYLGGAISSSITAWFKTTGLPWQAGLIPGPILVMVLLLLLGKRHHAKLREGEYIFDTKNLLKM >PPA12451 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:95836:98570:-1 gene:PPA12451 transcript:PPA12451 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKYTKKRKSGRDDDTSAEGMAARSRRDERAAREDSDSPPPTKPKKSKKDDGGDAESMSIEETNKLRISLGMAPLETDDGPKAVEDDDDEPVPEGVNVILEDGIKIHHKTADNLGEKKKERELKEKLETAKQKRKVQEKVLKAKKLADDDDDDGRAASWVEKMRKAEEEKKRAEERAKMLDAMDEEFGVSNLVDEQKDKEKRKKAREAMKQRRAQEDAITAGLIVGHSKESFMGGKDQILVLEDKVRRWLTPVSCLSRLKMEEATERMAEVLVAMQQMMAAQQAELKALRDQQAQSATSSGDDSSKSRGPSVDWRSNSDCSIAMLMRDGPMRRGGPATRACSIL >PPA12530 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:391230:409858:1 gene:PPA12530 transcript:PPA12530 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAALSSHSSLRSHWNDPPDLHESPSVAIVPPQASVPLPSLTTWFHLRNGPQDWINPVAIVPPQTPVPLSSSVPLPAHSIVDLTDSAPGPSNAVSITSTFAHGPSTSTAVSSSAGPLPSDSRPVKSGSPINLSLSALTITSSPVATPSDFSVGSTNAVPVLIDSDSTPGPSNSVPGPSDVYPISGDCEERISDEKARAAEDNEEEGDEGRPMDDPVGGMEEKMKEEEKEGAKEDSMEEKMDDDTSEPIDQMELNDDVDDLQDVSLGDWADACHDAILYRESDDDEVPEPADPDLEALEDQERKDIDERVRTAPISDEELLPSTSSASGVPQIADNNDAATHDSDRNTDNDDNEVRASNDPAPIFEGMDDDQQAPPQIGDEEPSPSDSILQEETNPVEGESVVIITPTDTIGIEEVIKDLIDEVEMNEKSDDDQKVPPPQIGDEEQASFASVADEIKDTIEGEHEQAIIPSPGNTISVEAVIKDLIDGEEKIEKIEEDPTTAGSISKEDPIEEKQEPAAITDPSDEVIVEEVIKIDGVEKIEQIEKNDSNLILLQITIPPVEIPSEETIPIDTEEVVKEEPIEPEDTEEENETQPLDELRSQSLSYAVIVEDRKEDEQKSYHAPSRSGASPTPSGSSVSSPPSPHEPRLDISEHTRNLMMTPPADNQIRAHKDEPTEDKKPHERPSHILVNKHDDDGKAFADSSLSDTPGTGQFDGRQAPSFFGANTVAKLDVMNEETVKNIAGESVAHKKDDEEVGNSGEDQVKEAGERNGRRFSSSSLYSHGVSFEDSESERERTPDNVKASERVRDDNASDDKEERIQVPRCTSPIVRSTSFLGEDKAEKKDDEKNNDNNDANTETRSDHSPSHDGIKSDEEVESTSSLSLSDSTELPTEKINNEIKNEDETEVNIEEDQNDVGTSSSEAAPADSIISFSSSTSLPDDTSQKIPKKDECESDNEDDNGNDGSAQKIPAVEGLEHPIVITDSMINLDFLYSQYTSIAPHSIPSTVNDDNEEKEEPLVSPSPSTPMDDDENENEKDAEMATAIAPSLSVAHGSDDSSSTEPKEVASGERVSLESTTSTAPRETSTPPVDPSVIEETEEAREPSIEEEDAKEDEAFVPVPMPSLPISTPQPIVIVNHDRPGTPHLTLEVVNDEEIVLPLFGPFFTIELQEIEVKKNDSSMREARIQLPVFGPQPLLAIEWKKVNDDEAASSSTMQEEEGHPADDNDVMEIEDPVLEETDEWTADDEQEETEEKAVGDDEKEKEEIPEESPESPEWRDFNLFVEDEAEENTTSDGVEEDAASTSMLIPSTSSSIPPFNPCPIVNDQSDGEQKEEQRGENPMDHIPSTPSAQSSIEKVEESSPHDDADEAVKPSDEQPPSLSKPSENPRKVFTALCSITEYGSDDDDEEEEHNENVNPLASSSSIERVDARTPLEGDNAADDAVIEKSTEGSEEEETFNSAPDPPIPPEPPTEPVDEIEMGEGELIEEDVVDENDEEAIDPMEANENGKDGFRDEERDEKDEEKIEDEGSAPPPAIDAIDEQQENAVKNDTEKEQKEASSFPGSLISPDEEKELLKGEGEDGAIHITPEYEDLLLRDDDMSFIPPPTGASVSQTTTSSSIYCDESKIIEKKKEDEEEEVTIEEEDISPLEDKNEEIKDDARLTPADLTDSSPRINETPSSWLIPTDANASKIPEESTSSLTPSNDQDRKITEESVEGDEKSAIKEVEEVIEKDDDPLFCTPFLLERASPPQTPESPDGEDESLEKTGDEESRRPPEDHLLRSSTPEECESPEKQGDNEHLEEDDPEEKADEDPPQPPVQHIPANSTSKESSSSSTDAQKVKIPEIPEERYVPVEDEVPEEKNEGDEHLAPPITRSTKSTPEESLSSSTDHQKVESSEKSEEGDVPLEDAVPEEKNEGDESVQPPVILPIAPTPIIEDSSSTANPNGHGNKAPEGAAEGYEPLEEDALEEKAERDPPQHIPTDSSPEESLYSSTDDQKVNIPEKSEERDVPLEDEVPEEKNEGDEPLQPPVTLPTTPIPIVEASENSTLEEEKEDTEEWLEVVIPLEVEDAEVEENDAMDFPGTSQPSNQLSSPASPVSSTETAESEITSDDDDDEDYEEEDEEEEDDDDVDIDTEGLSDEEIQQEEEKDLSETPQNSPCYDGQITPYAETPSPPLPAERDGKEKKEDQKDVIEEQIEDSAIINDEEIEQIQEEANENPHAHVDNVARSAQASSSSPQSMSTEEEKKDDVEEKVEESDLIKDKEEEGSSKEDPPIPVDDSAQASSSSPQSDPAESAQPVAMEKREEQQEKIVKAVEGSVPIKDEEETKIDEVERVEKKEEESIEEEVSLIEQRQGTSRTRFYCRDMCNRHFETSDEAERHSCGQMMRSDGTVPFGIASTRRNSYESACSSISRGPIKQKRPEIRAPSSSSPVARRQSFERQLAAHYFGPIHVPWVSMEDSSLEEDQSDDSRCHSVRERRVVTVDSPLVDEKKALMEAKLAADRDVPQDIMLRWIQEAISRKENKLNDGEQSIVDEENDDDDSPLPSDLPIKADSEKKEDEMEESVEESVGSPSLSDAMPSLINDHTYVTLDSDEIQSNASSDYEPDETEEEDEEVEEQETEEKLEEPIITPTRPKRQCVRKIVSVKEPELSSDDEDHGEDDMPPVLSPQMPPEVSTRLSTRYRVEIADLPQPSLRSPVSFPCPSNRLPSQQSPSYSDLNLCPLCKQSFSSEGGLQKHLGTCAKTFCMSFAKQKEEKEEKKEEKEENEEGKEENEEKMETREETEQNKEEENIDEKGEKIVEENEEKEEGKEEKEQEKVKKKEKGGKKKVEKKGRRMSDVSHSPLKASSSVAEKGAQDQAKKGNICPACGKAFSEGEMRLHKWNCTGEKKTEDQSSNRPHPIPCSLPPPQPVDSLPQRSTTGGVIAAQALPDGIFRCPICGVFETLNRKSVATHISRGHSEADKERYRRAHQVESTFLALPGQTQTSVAAAPSPPVSSTTDGPSTNSTRPWHSSPRQPVNNESSASSESVEPASNRLRSSMRPPVNPPNSSARPSRKRSANEDHERQSTSASSRLAKEQKKEDEQRERSSSPSPEPIDLRAVCAELTRVPPADFALPPAWRREEELTSKRNMAEERRIAREMKKDHEERRKKEEAEEEEERKRRLEEERRKKEEEEGMRLEEERRKKEEAEQEAKCQEDRRPEEENKKLEEEKKKKRGRGRPPVKKDDVIDDDDVVATLSRTPSCKGRSKKAASAINSTSTSETVHVSSETTVAVASRTPSSARGGAAKAKKNEETTTIESTSIVRDELPSASTRGGRSKTSSKNEDTTSTPVTGLPAEPTDLATPSSSRVGRSLKRKREQDEENEADKILEGSHPTTSAQAASTGGMKKETEKESASPPIKRGRKPGPKGEKKIVDKPSTSSEDGQTAHQTARASRQRVQPPADTEGKKMEQAASGTSAMHSSTAPTTTQGSASMRRLTRSGMPISKNEEEEETSPETSEDPSIASLDLPSGSGENRSSRRLSATDQELLNKQYTLRLPNKTGERRRKEEKNVKLRIEEDLRTVAPLGISATCCTISLVELRAAAAGGASTSGVSTTPEAPPSLLPRRRSDAQPPRHLPSISSPPPSVRGRKAKRNDDSHPDTPSTRHLPPPLIDEVTDQPATSTPPSRGRKQRSITPPPPISSYNYFSISSSIIVTERKKSSQEGQGKGRRAIDVDAEEGTEGEGRRAEGREASGKHSASSSNERTTNHGGERAFPRKEEEAAD >PPA12565 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:618176:619974:-1 gene:PPA12565 transcript:PPA12565 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIFLPGLAGTQVAYKERIAELVVDNDTLQAKLTDVNFNTNDVIGYLKRSVEDKDSEIARLEDSVRRVRLEGENALKTEHDRHRSIEEGLRNEIAMVKAENHIMNTQLGNQHRMQLEMGEMAEKMTAMSI >PPA12591 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:772186:775842:-1 gene:PPA12591 transcript:PPA12591 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRNNAMNEGEPPIRLRSPIKPMRNIYYYGDNQQLSRASGRFHNKVHAFPTHSIPQAMQMQDDAVLPPPPTYDPKRHHRDKLIIDDRVMSEAADMARGLERTTMRVRTFADIDVKKMPTTTTPLPAVSVTKMTKKEHQPVSSIDRTASVGSPSSSALGPPAPPLRSFAREQQRLLLQQQQQAPPLLAAPQQLQPIRILPTVTPPPLHPQIGPLIRHPAPVAPPILTLHSPPIPPQFLPQPPPSPQGLAPPAPGAPIFAQPPPPPPGGGLQPPVGVLGAASNLQQGGPPQQPPSQLFTPQPAPLNSQAPNATLEQLGCGWDWVSNSCKIRLIVKI >PPA12687 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1138497:1140663:-1 gene:PPA12687 transcript:PPA12687 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQPSVKPPVPPKPSHLTKEYSPPPSPVQAAPAKSDGGSIISSLIPIVFSIGYIIFSIFAWMLGFDEWSGIEGTHRNPKVVEPVPHHPIVDAAVEARIADEKRRLLEAKRAEEALRLLEAKREEDRLRLIETKKAEEALRLLEAKRLEEEKLRLLEAKKEEERLRLIEAEKLRLIEVKRLEEEKQRLIEAEKEKERMRLEAEREAERLRLIEEEKEKERLRILEAKRLEEERLRLIEEEKERERLRLIEAKRLEEERLRLIEEEKEKERLRILEAKRLEEERLRLIEEEKERERLRLIEAKRLEEERLRLIEEEKEKERLRILEAEKEKERLRLLEAKRLEEERLRLIEEEKEIERLRILEAEIEKGRLKLLEAEKRLQLGKTKVAIEHHAEAPLHLHPQPAPEPSPRNVPVAEEKKIDQNANLQQQMAQTVKEAAQLYEKKLAAEEAPKPAEQPHSEPEKKDEEKKDKWADWAITDEEKAFADCVGAEMWEPKKEEVHEKLVE >PPA12526 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:361661:362964:1 gene:PPA12526 transcript:PPA12526 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLGSSATSSERGRAERTVSPNSHPPVLFSLHSIDREDMVDSALPRHSAPRAASIVVLRRASNRKSCELFDTWSRAWTGWRATPRRLESRRDCPPPLDYFIPLRARGLSVSLRPSSIFEQLHRLDSIDVMPCRDNLMYDQVH >PPA12550 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:532811:533070:1 gene:PPA12550 transcript:PPA12550 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVFQSYKECAQSEERAATEGDGSTDPESLVEVKPRRRRPSIQKRDVLNAAKSFMPILDWLPKYVSGREE >PPA12635 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:959961:960633:1 gene:PPA12635 transcript:PPA12635 gene_biotype:protein_coding transcript_biotype:protein_coding MILLEICVAGPRPIRLCNPYLPHSSCLLTAATAVLTMTILVLLVVYFVAAEKSTGPQAPPTLRCPRNAQLH >PPA12472 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:155151:158975:1 gene:PPA12472 transcript:PPA12472 gene_biotype:protein_coding transcript_biotype:protein_coding MQCAEGSCVCMNGYSGTWCEIDLNITVCASNPCTGNTVCEEAGSTLYRCKCAPGFNGPNCATAVSDPCTTYTPCGAGTCTNDATEARAYHYCNTDSDCFYGAACQDDNTCKCTNTKVYWGKSCSTYTVCALLSGNLDYGCLNGGRSTDECASTPCLNGGTCIDAHLAYSCQCPSNFKGTNCEILHEKSHSAITPCDSYTKPDGTIVASPCQEYDATAVCTNVDETTYKCTCSALYTNTKCDLTIKAETTLIRMYSPMNSTYVLPFVENICKTPVALTTTFTYQVGSMPEQERMDLSWNVEDLFQWIAYEDEIIDMTADLFKWNDVFLGNCFTFNHRNLSFSYQARRHGEHGGLRASLKLEQDEYLPYVETAGINVYIHDKNDDVYYESIGYAPKPMGEALLAIERSSYTNLPFRGACVQTAADVESYYYQRDIRYSQEACYRSCYQSALVATCECADPLYARPEGVSNCNRHHCAGQANQTACDLQYRNQLRVSVFLSTLLTRSYEENFAMTV >PPA12692 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1156702:1160802:-1 gene:PPA12692 transcript:PPA12692 gene_biotype:protein_coding transcript_biotype:protein_coding MWLFLLLPFLAAPATGEKITHQRYAAADAFKLQCESMGYRSYVEGSICFFAVVKEISQSRGDLLCETVFIGAKMYLERARDRVLKQLMLESSSFHDLTSSGEKNVLCAYDAEYKCDQGALTLPGRCVIRSDKPVTWPEASKFCRSKGATGVVIHNEVENLAVSGLLWKDEAGWLLPYRENLWSANDQKIDYWKWFFAGRETPADMKCSDDEQHAVIANNAKRTCAANGQWKVDYATNQNIALCMKYAGCPFCPPQKPSSDAGGEEDPLTLPPIEVPEETTTPLVTTTPAPCYPTGDPPRQNDLWDLRYYYNSGRILHAFASTPAGFVELRGNGSMGAALSDDGYKRLHTVCSDENQRKQITRMWFTKQGNSYHHIFEDSGAPRHVYVGYVARQGGMCGSKWPVKRWSCLVSADMMYAGDLNWNTWYRGRQQNGGYIHFWLWEFTSARESQRKGPPASCNKPETLPVTSPKPAAAVAVAKKNGAPRDPNLWALSYWYNWGRILHGFAANNGGYHIMQGHGIGYDSWNGAGVLGGTLSDSGFKKLDEVCDDPDSRQHIKQMWFIRSGQAYTHVFQASGGAIYVGYVSVKQGACGASKPIRRYTCLVSADIMYAENLEGNTWYRGRAQEGGKVYFWLWPTGKTGIGKD >PPA12629 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:921882:925006:-1 gene:PPA12629 transcript:PPA12629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dkf-2 MVHSKMKLLKVLGTVGFHACAHHERLVVHPHTLFVHSYKSPTFCDFCGELLFGLVKQGLKCQGCGLNYHKRCASKIPNNCNGSRQRRPSAIPLSPQNSRSLRGRNSKVGTISSAASQLSALQVTSQTLGASTSQLAPDIFVTPENDCGDAIGGNYLQMPRKDRSCSWSGRPLWMEVAEATRVKVPHTFQVHSYKRPTVCQHCKKLLKGLIRQGMQCRGQRFRISLPLKL >PPA12489 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:207938:211654:1 gene:PPA12489 transcript:PPA12489 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPNDNDKAPQEFASDSAQKRKKGGVLRSLSFQFSNIAVRSAPSHKKEVDPTYDITPEKDYEELGFIGVQHFGTMMKKYNKKSRPVKWTKRFFILKECFLLYYPTSFKKTFEKTKRIDLHPKVGAIPLIGCSICAGGDAGRRHCILIAHPQFPSPVIVSAPDAATQDTWLAGLRRATKISFKNTMVGETMIRELECKGNMLNEEKRNYEERLAEEANARQIEHERALELGRLKEELEEEREKLIRTTKKLKDDLQNVKNELKLTNETKRTLEQEKIALNTKTEHLALNMASLNIEKEKIEDQLSTMLKEREQYIIEKQNLSTAACQLKNRLMEIETKTNCMSTEKEKISKLLEMNESKMVDLEKERHYYTTQTNHLMETLRHISEEKDIQESELREQLQARQGAERQLQAAEKALEHLENALRLTGAQMSELQEHIMPDVHKLREFFEKVAEEAKAEANSVGILRSAIGARKSMRRSMRRVVNRSSMRKTRLASEVDDGNNNNMSSVC >PPA12676 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1112415:1112819:-1 gene:PPA12676 transcript:PPA12676 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKALMLSLALLLVLSQTEAHWGGGYGGYGGYGGYGGYPSYGGGYGGYPSYGGYGGYPSYGGGYGGSSAQASASASSWGK >PPA12620 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:880569:882156:-1 gene:PPA12620 transcript:PPA12620 gene_biotype:protein_coding transcript_biotype:protein_coding MADKKENENNSLRRSSASRRPFPIYTIDDPMLRMYSVKGCVNSDSAWAPISKDTLPDLKELVEKSKPLLDYMKEKDGRDPTISNAADIADNIINMIGKRQAFGLGKEVRSFIGKFIDTDYKADQAKFYSSSANRCKMTLQSALAGLFDTSKWPGWTKEKLGLETPIPYTIDDPMLRMYSVKGCVNSDSAWAPISKDTLPDLKELVEKSKPLLDYMKEKDGREK >PPA12697 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:1171417:1172306:1 gene:PPA12697 transcript:PPA12697 gene_biotype:protein_coding transcript_biotype:protein_coding MKASFVSTMTNSHMINEKATRAVLIGFAEKQTPEETDLADLKTNNKDRDHFIAVARQKQACRLSGSAHAFVRRDLTIEELDVDRSLRREAGKRNAEGRVVRLSNPRPLPPRSQQALDRAIAAQSAASTSSSLPPALSSLTTLSHPAPSHPRSQSSSSFGRGRGRGGGNGGGRASSRQSRPLVSSPSNQRSNHSKSVSRKRGRAFEDPSTMSPSVSPPKANKVMTISALSPVHCESEDHLEVQY >PPA12643 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:987395:998596:-1 gene:PPA12643 transcript:PPA12643 gene_biotype:protein_coding transcript_biotype:protein_coding MVYHAYSPPSGTLCRSAMISRLSTAYNHGAVDVPDESIPRRGWIQGKFTQVYLLLCIVSLFCIATFTIVLVLTLRLSEMGHKYDDMSKNANQGLRSITNLFSYPGGSEATEDAAQQQHQQGMGDQSLDYQSHPRAGAAKTLQDGEHQWIAANQPEPATTLRPYNAPYPPAPPAEIPAPSPQEIQQEVKLPPGNNVRCIDPALFRPENLPNLLKLAAALHIDLTKLPKEYQALLPQPINATVVKKSDAESASSTTEERVIQKAPAHPITPAPEDYEAEEEDTMTSLVSQEAPVKVEKKLEKEVSTSTTTVGPSESGVETTTAAAAAAPVVGREPVNAEAMNSAKMEEIPVDKNEALRTNVTSTAADTRQFHTVSGSDGKEKKKGMWRDASCGTHGKCENEDYSSPPLVVISIDGFAHDYLKRRLTPVLDRMADCGATAEFVYPSYPSKTFPNHFTMVTGVWPAHHGIVDNSIYDPTLSTDLESMKRNLQNHSAYFKAEPIWSSYKRVTGKKAACLFWVGCQHNITEYNPDYNLPYEKDMSFDERVDNIISWLSLPASDRPGLITAYFHQVDTAAHWEKSPEETDNALIAVNDAIVSLFDQLEEKGILECVNVVIVSDHGMQKLTNRVYFDDHFSSEGLIVATGVVGRLYWNGSKQQPSDIMKDFRCSGGEEYRVYSPSSLPPRCHYASRLVGDVIFEGQLGTTFYSNQWVDPMLTADHGYDYLLPPMRAIFFARGPSIRPKTRLPPFQNVEYYHFFEDLLGMKDTKETNGTRGMLDAAMLKAPEREAEKIAMLSECPRIRTDATAIPCRRCTNVERTALDTLFKSLGDVTTVNTQIGVEDGVKTDSELCLSPFHDIVMAAPRHSKNGTRIFVEALSLTGIRSYPGISGNCSVIRSSSDHRTFEDACSGERQPVGFEMTSVLAGNGSGFGGLSKSEIPLHSSFVKGFLSPLQTLTHEYGRLLSFTGTIFDYDTDGRADATVNSSIPSHIFRILLSCRHWDSDSSCSDPSESAVISWMIPHIELDFNCLPSDKLLFSYTARVRDIELATGVRFFADRRIIPENIAKSISTFIPDRLCL >PPA12457 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:114407:116567:-1 gene:PPA12457 transcript:PPA12457 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYGSNDGTEARIISREHSNLLRRILSTLAISTTLAMMSYDRAAIDGVIPLMQKYFTITDSRTALLQTLGTVTSSIALVVVGVIGDRVEKRSFVLLALSLWMLLNGLSIFVPSNMYWLFLALRTVADIGHAVVGALTPVIYSDFYKDRALGRALVVNTLANFIGMSDLINIDLLHILDQWTAVAALLPSLAVILPLFVLLLFAMPKTPVSHKHKSRGYIGDVKHLMSIKSYVFLVAGAAMSSMYGKAVTFWMPTYVFYAWSAAGEKVFGPVPYAGVMTINSMLSLAGSFTGLPLFMFVAESWQYGGALCRGRKFNRAIPLIVALLQMGATVMSALNLITLTKNYIVNMILHFFLTFLGSPMASLMPQLVLTISPRGQRATAYALLNLVTGLVSSPAAQIIGLLSDFYRGEAEDAGTRFNALAFVRKFLFDKQKK >PPA12607 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:836081:842186:1 gene:PPA12607 transcript:PPA12607 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPRSDPNLDPDCRLEAVTLESEISMSVVTDASRAANERNRRRRFFDRITGRRHRNDTGITDLTEIEETKKGCTSFNVEPALLLLSFGLGISMNSNPLFTYWARCIELAEQYHGPGANATAICSTLSDKNSSDFNDIVERDIASTRIYIQLAGTILSVLIAPIIGNWSDHHGRKKPLLFALCGMITCFMMQLIATITYESISIYDFAFGAEVVFALCGGPGAVFSSILAMITDDCRLTGLKPGSSEVPMRIAVASGVQSMGGMFGALIMGWFSVPAIESVEDHVGAYVKSAILSLFMVVAAFVYTVAFVRETHKPGKDLFEDESAGEERDYLVDSSLQHTEKTCGKVGKKAKELIEVLTERRPGYTRFCLNLSLMFVFVEFLVIDANLLFLYVKRQPFAWSDRMFSLLAFFRGFCMFLGMIGLPLLMKKTNFLGKDSILIGLGVFSGAISYFIISFASTNEEIVGSTILAFFGGAISPGYRSFLPRMVPKEQTARLLTWCSIIMAFCPIISSVVFNNMFNWSMDFWPGLAFFVGGAFQAFVTLGQMWSRPASIDRAGSRSLSSKRLGA >PPA12534 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:422772:426660:-1 gene:PPA12534 transcript:PPA12534 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEILAARKAKAVQQRAEKAAKAEGKHKQDQTQQLGQKEDLQSKSNLKQASSSPNGNEKGVRFGVTRSQSEENLAQAEINEKMNIRIAHDQKETRDSIHNAFKPLFDRCESGDCEGPDELCLHFMECARQFISQFTWDNEEQLGTMMDDSLSMTLKAITQTDRYPLPYAMGNVVRQLRKEIMQVGRNAMTKRHLLEWLDDSEQQYFSLANSAISQHLQGKIAAATKIITYDWCPVVNHVLIDSKTKGSLKKLTVLDAAKNGPGVRHLTSLADHMGYVEYGDLTAVGSIFERGSLVLLGCSAVLSSGRVCLPKGAFQLSLVARAANVPVLILAPTYKFVDRAQAASRFALLGKTPLDILPPDLITAIVTDIRLLPPSSAPAVLKAKALDDAN >PPA12477 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:168429:169893:1 gene:PPA12477 transcript:PPA12477 gene_biotype:protein_coding transcript_biotype:protein_coding MLCDLIDDLSQEHKDLVFNVFADYEISVPDKDLVKLAQIGVNRGFNSAIHSVNLDEDRAFAVKITMNEIVNMLHNRELEFYEWLENCRSEGASDPSDFVHLLKFYGGTRCVKEPGIIILNDLSSRVGIQPNYTIGFEPDLVFQLVKHIAAYQSAYLCSEMEVSVGRELIKFDLPVQHSKPKVAKLTWMTPEEKRYVDEMTKRKGGFKKPFEITTEKIRFLETRNQAHILPSHAFKWACLELMFAIVFAPQDDQKEEGQELGPLSSRLRELMNEVLEK >PPA12628 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:919019:920890:-1 gene:PPA12628 transcript:PPA12628 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRSIELRTLSYRNPLSYSNPPITVSGEESSESTSRASSTHRKASSTPSAPLQSERGTTNYEGGERSPEDEDGTTESQNIPLMRVVMSKKQTKRRSAKTLKEGWLLHSTDQTAMRKKHYWRLDTKGITLFHDETSNRYYKEIPLSEVLDLKLLPPDEQKTHVFEIKTSVIIYFVER >PPA12486 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:198469:202007:-1 gene:PPA12486 transcript:PPA12486 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVDAILISNWNSLLALPFYTEGTGFTGRVFASEPTLQYGTLMMEELMEYFERVTNDKSDDVWKDPGVYADFLNPPMRSPLEWREMYSEETMKKALDRVKVVAFTQSVTIDGNVKATSYCSGYAIGSCNWIITKDTEKFGYISDSSNRSLHTRAVDWKPFKDLDTLAVTSLCTLKDNNPEKNAMSLIGAVVETIKLQGSVILPINPCGLMFDLLDLLAKALDSAMDIPIYVISPVAKRALAFANVYPEWLSDTHQERVYMPEEPFRHHQMMDSRKIKVYDNIYGDFSRELRTPCVIITGHPSLRLGDAPHLIEMWGADPRNAVIISDPEYPPTEVYGPFEELKIRCLYYPVDCRVDFWQLNNNILPELKPVVLVVPDPYIRGQQDQPNTCIDYNPITPLRQEETVTIPSKTRKRKIRIHPEIAAQLKPRGFAANTERGVCSLKGVLNCYDNEYQLVPAPSSLTSARPAFTGRHTVETLTKNLSVSSVFIL >PPA12440 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:91:3606:1 gene:PPA12440 transcript:PPA12440 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cfz-2 MHIGYNFTSFPNSYGHEKQEEAGLEVHQFYPLVEYGCYKHLKFFLCTLYTPICQANYDKPILPCKEMCVQARDKCSPIMQQYGFRWPETLACEKLPSKDSQAVTGEICAAPPDTPSTKGPNKSVSVKTTRRPVIFDDPSDRQVVGITTDCSCRCASPFVPSSLSSVGRVANCAYPCAAANIDEDDRAFMDTWMTVWAVACTVASTFTLLTFLIEPDRFQYPERPIFVLAFCQLMVAAGIGLRVYFGHEATACEQNALKNTSESSTSVCFAVFLLTYFFGMAASVWWVLLSLTWVLAAGWKWSSEAIANCSLRFHAVGWLLPSAQTVIVIIFNAIDGDPVTGLCYVGNTNVDHLRYFVLGPLVVYFIVGVFFLLIGFFNLWRIRSEMRSAHLGIEGAGRITRLISKIGVFSVLYTLPALFAILTHFYEQERRPLWEEAALCPCNRTAEAPIPSVQLWLSLLKTASMLLLGCSTGFWVMNPKTFHSWKARLCCANPARSSSTAKYQPAEMIYAKSDCSAPTPHYYSTAPRHYGGYNGDKL >PPA12523 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:351977:354047:-1 gene:PPA12523 transcript:PPA12523 gene_biotype:protein_coding transcript_biotype:protein_coding MTVCFTPEAPKRARFAARRNGSAKKRKLDSSPCTPPVRHAAFSPSPSRRISFSPSSLSYFSRTFITLTALGEGDFGQVKRVQRKNSSEEFALKISKVDATKVKTGLHYTKEHLKEIRTHSSLPSHSNLVSFHQAWKEDGHAYLLMELCSGSLYGYWQEEKKMTEMEVKSALNDMLRALTVLSSLNIVHLDIKPHNVLRSDSGVYKLADFGTAVDLSKVSVPADAPLCADEWKGMKEDGKLPERVAKAHSTVAEKLQSMLLPLSSRPSVEEIFDDDFSSFEECKFENDLLRHSMPFCSRDRLSLPLSCERTMRERTSPLVKKSSVVFHTDDSFYEMEKPKTRKRASMPMRRKAIKFDLDD >PPA12652 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:1038400:1039833:-1 gene:PPA12652 transcript:PPA12652 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRTAYCRSRTAISHTIRSSTSNTSTIYENHDSHRERDAAKSTSDKKKHSSSKRSGREEKSEGVGSSGKTMGSRGGVAVKVYIGIKTSDDAERIVTRATDFKIYHRIPGNRDIASLHTTLPLYIVYRSTKNAARHIPITSVVENKRRFLSAGACGRDKKKLLFETIDALVKYYKTYVQLQPQGKSGMVDVFPA >PPA12561 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:581078:584426:1 gene:PPA12561 transcript:PPA12561 gene_biotype:protein_coding transcript_biotype:protein_coding MPGISLILASFTWIIFSFYFVAMLKDYCHRVKELRRLATVRKPVKGDVVENKHDSLKNSLRNPLLPVDKEEKKEVKLSKENLSKEGLNSPEKLSAEKTDKTDKNANPSAEPTTPSKEVLREKGDETGGDIKPSAEMTNKPDKTTPSAENAGGAKASSEVISPSAEALNQELGSAVGASSEVLKEPKSDGAALTFSEMMKKEKKSAETFKDTPEGRAKQAAAKKTWQQVQHKQMTASAEAM >PPA12658 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1056393:1063042:1 gene:PPA12658 transcript:PPA12658 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLTLFIFCVLLLSSFSSALSSVVRLDLGARTAKPSYNAMTAEMMQESSRISSPFVSPLLAMWARPRRLAYQKYNGAQWWYVFTFKFSTTLFLDINDIFDDIHPITRAFLSHASSFIPLAKRVQRSGNHSVHLQMTVYEKEIDLGLPVEYVELFGQPNPFVSKEFIEAMWEVDFDVLMQRMALGFGAQGCRLAIENARDRVEAIAEAGWDLTMVDSLFAVCGYGIAARSGAPVVYMHSSDMEPAPGTMKAFGRNYGILPMNHMTGGRDDFDPSNFFDRVHSSVEWAISYYFYAIEWGATMKEVFFHGAYCPVRKELPEEWREFVEDPASKVRVFSRRSDRREENTLTEKSRKTYVDTCTIIVAFGTYVHWSLAPEEKQEAFRLALNRLSSYRIIWAYKGVDDWRKPPIEDTVKMQIRQGGISNILNRFFAEQARNAYLVRSAGFAEMLDRHTIEERVVYDKIESVISSSAHARAAKRFVKQMLDRPMDSLDEAAFHVNRLLKKRGPEGKPGLPSYFYPRGRLQGFIEYLNLDIILTLPLALVAILTV >PPA12576 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:693550:695051:-1 gene:PPA12576 transcript:PPA12576 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVCACRLLVVPSLHGFHSTGFISVIIDFLLILITTSISKEQSEERCRGEERWLVPPREAVVVVRHSECEVVHREEWRLNLCGIHGGKKIAKLLSQGKEVMTTQLANCALFLPLRKKVSSGSC >PPA12498 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:243590:245437:1 gene:PPA12498 transcript:PPA12498 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKVLSNLQLSIVSVLLLAVTVSTLRVLQVSDFHLDVDYSRQGDPQKMCHVSSPTRAARLDATQDLGSYGDYMCDSPENLVKFAIDETVRVSNGDGQLDLVLWTGDNTPHIEGYDENYVVNGITKMTSIIKTAFPNTPVLPTFGNHDYSPANAFEKSDMETKQHY >PPA12573 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:662973:663480:1 gene:PPA12573 transcript:PPA12573 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVLLTSLILLISTTYCDDGLKFKLRKELNRKFDSMSETAKTVGVKINAVLDKNISRAEMEKEFDDLTEVVPEKIKLELEKARPTMNGKEITVDQFFEILEIH >PPA12613 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:849970:853027:-1 gene:PPA12613 transcript:PPA12613 gene_biotype:protein_coding transcript_biotype:protein_coding MTADKYHLRVVTLHEPPFIVVSDVDPDTGTCPGNRGVALCDWGEGPGGNGSISKCCSGYCVDLLDKLANDMGFEYTLYKVRDEKWGIKTETGWNGLPQDLITGKADMCVTALKLNSERAKDIDFSIPFLDTGIAIIVKIRSGVLSPTAFLEPFEYSMWATILFVCIQSVIICASFSSSFRIVDFNHSQSHGFSGHGAALFIFVFEWVSPYSFNMQKYPPPDHKFSLCRSYWLVWATLFQASVSTDVPRSFSSRFMALAWAAFGLTFLALYTANLAAFMITRVQFYDLSGINDTRLPCNLSDLSKFGWRIEEVHVDVAAKISVHEDAKIHGNTKIRKVKLSH >PPA12585 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:739532:740793:-1 gene:PPA12585 transcript:PPA12585 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTLVGAIFGPGIYLSNHLATSYHYSSHFDAGCVADRCVNQKCCMPSISDGGMTLLAVVEVVDHPAAFGPVPTQYKDNVVVVKDEKWCSIRMLIAYSGATPTVDLNQMDAVAIKQIRDVVHMFKTADVVTRAKHA >PPA12445 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:48057:49280:1 gene:PPA12445 transcript:PPA12445 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLYERWEDANGVFLEVESRPRHRNRFNIWTDPWCDLIFLRGIFKNLIVPSYAELPYNPSDDEDDENRIDRKMEREMREWRAERRAERIWMMKTYPELKWWRQKKKGRTARWRSEHARRRRQQEKDDQWRISSLYGMNT >PPA12469 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:148456:149583:-1 gene:PPA12469 transcript:PPA12469 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPLKFFAYSPMFGNSHTVLMGALCDALIDKGHEVVLFAPLFTPSNGSHGTTRARIIEYPTCRAAKKRETTNKKEGGIIADFWKDDNGSSVGCWDSQRPFYTALIDQLNELLDDSQLIERLRAEKFDAAFTEVVDSGAMVWRTPAQRRLQHTPGFVFDLQWDSIYVQLRQQSYREPREQDSFSSHCDCDKERRPIHWPETTQYDYLERRTAF >PPA12599 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:805775:806678:-1 gene:PPA12599 transcript:PPA12599 gene_biotype:protein_coding transcript_biotype:protein_coding MRATRTLAGPENALTGNRCRCPKGWMGVRCSRPCQDMFRNCPIWKQQDRCSWTRPITPFFGDNCALSCGQCENVGHQLVNPLPPMLENIAWIVGRWESKTLSGERFPEPMRGGYREVLDIAPSEMPAFDRPPVNIT >PPA12604 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:824035:824959:1 gene:PPA12604 transcript:PPA12604 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLVFLLLAATAVADVCPGSKLKPEDRDLLLNFHNEFRSKVALGKHTGGGVPVAAAADMMKMEWDCELEKSAQEWTESLDQCQSKHSRAGDTRPENTGENNAWNPTVTIENMTDAIVTDLTGLSSEYDTCNFHATIYPGSAAHPCKIGHTTQMAWGSSYRLGCGITVCEKPEDFWAFPKILVCRYADQGNIVGQPIYTDGPAASKCPEGWVGESATGLCVKEGSR >PPA12521 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:348548:350016:1 gene:PPA12521 transcript:PPA12521 gene_biotype:protein_coding transcript_biotype:protein_coding MIISLLLFATSSAVAADYDDSLARNVIYPLASAAYGNKEAKKQCLDKHLPGATLSLHVEIPCDSIATDTCSGYTFIDDGRKSIGLVFRGTDSDEQLSLEVYSLIQDPVVPFKDGGSVGPYFNTAFEDLWGNGGLGADLQRLSAAHPDYTLYITGHSLGASLSALAAIRVAKNKIHPAKNIVFYNFGEPRTGDKQFANLLDSLVNGYRVIHDKDLIPHTPFISMGYQHHATEVFYENDMTPGSSFVVCQGQEDPTCSAKYQFDLNFDPDHFHYFNVHVMNYGRSGCTKTT >PPA12494 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:222673:222911:-1 gene:PPA12494 transcript:PPA12494 gene_biotype:protein_coding transcript_biotype:protein_coding MIDNEANCTTAVVSNSIHNVDNPNESNHIRIRRGLGKWLKKKLKKINIPIIPIISIPFGK >PPA12575 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:687330:689805:-1 gene:PPA12575 transcript:PPA12575 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFGRDHSIDDRLNSLAAKRMAEHGGVVGLHAPSPGPAALLPPHLQQVWAAEAAGMTASQIAALRPPQDALDALNTKQCVEELRRKRYLR >PPA12694 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:1165815:1167144:1 gene:PPA12694 transcript:PPA12694 gene_biotype:protein_coding transcript_biotype:protein_coding MTINIIRLPKNAFLNILKFSDIQSQLRLRQVSKSVQEFVDFSVLQLKKSIVKSFVCEILHSNHKKWRIEADTETGAMDIKVGMYAVKTKEDLGRNKRMITIKKIQH >PPA12558 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:563744:564656:-1 gene:PPA12558 transcript:PPA12558 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGTEENKNGCVDDMDWHYQRVLRSFVAFFYCFYVVSSLTLTTLTIRRLRATQKLKKRGESFQLALVRYSIYCSFAQMSKGVLQLMSGIALFTQNDAIKSVHLVLYYPINFIAINSTLFLLLFFSRSVRRRVQKIYCCKSTASVNVTSIASKTEEISVRQPRGMVPVQ >PPA12515 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:335096:335626:1 gene:PPA12515 transcript:PPA12515 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVEIFYENKMKEGDPYTVCTEQEDKKCSDKYVFETGLEDHTHYYGQDVSAWGKKGCK >PPA12455 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:108964:110060:-1 gene:PPA12455 transcript:PPA12455 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVVYYAWSAMGENVFGLIPYAGVMTINSMLSLAGSFIGIPLFMYLAESWQYGSLCGGRKFNRAMPFIIALLKTICQFLIPFLSTPISSLVQQIILSVSPRGQRATACALLTLIEGIVNSPSAQIVGFLSDFYRGDSDEARIRFDAMAFAFYIVMSVLIAASALYFATIKYYPEDVKNRAAEEDNPLLSVFDTHTEDRFLEKFDSYLDEEFV >PPA12623 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:897034:901134:-1 gene:PPA12623 transcript:PPA12623 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAELRLDVADLQQVLSHATRPSVKALLQKEIARVETQLAKAEKAAAPAAIPAAAKPAMTSSMAPVGLPTVKISNYGWDESDKFVKLYITLKGAEKAAKEDIGCEFTSNSFSLWAIVDGKKHEMTMRDLTREINVDASKCNQKTDMLVVMCRKAEDGKKWEYLTKTEKISKEKSKPKLDAPNDSTDPQESLMGLMKQMYDDGDDEMKRTIRKSWHESQSKKGMAGMPGMDGFEM >PPA12680 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1124436:1125354:1 gene:PPA12680 transcript:PPA12680 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTLIVFTTVAVAAQAFLLGGGGSGCGCSAPPPSPCGCGGGFQLPPLQLPQLSLPSLGGGGCGCQGASPCATPCEYPTRSSDTSTPDPHHRGPPPPPPCGGIPAGPIGCGAGLPPPPPPPAFGGYAAPQAAPVFQAPVAQQSYAAPAAPILAPAGGNQYAGRK >PPA12474 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:161957:163475:1 gene:PPA12474 transcript:PPA12474 gene_biotype:protein_coding transcript_biotype:protein_coding MQFDELTQELKDLIFKVLANFYISPPNKEAVKFKRIGLNRGYNSAIHKMSLEDGRTFAIKITALDHDEDDIVNMLHNREVEFYEWLDQGVIILNDLSDRVFQLVKQIAAYQSVYLCTNKEVSMGKDLIKYDLPVQKSLPLLDTVKWMTEEEKQYIREWTLPENLFAIHTEIPEGVEGISPVLAHCDLWNGNMIFEDNDGSTDLLAILDWQIFKIGNPLIDIATIIGENMNTEDRRQYTPEILRLYNDEIEIRKDGFKKAFEMTVEKAEMLLSRALRWPCIETMFAVVLNPIDDPKDEGQEMGRLSIRLRELMNDVLGK >PPA12605 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:825907:827167:1 gene:PPA12605 transcript:PPA12605 gene_biotype:protein_coding transcript_biotype:protein_coding MESLADIDSNSSWLLHSSSYFCLLQELQVLQTGARKEPAIILNAHNSLRSRIALGRMTANGKSVPQAANMEKMVEWDCDLEQESQKWSESTGCAMTHSPTSASYGENIYRNSADFRMAWAASNKLGCGVMTGVECGKDQFGRYPTFIVCRYSPRGNWKHSEIYKAGSACSQCPEETNCESETGLCVKEGAAPLITTTTVVHSETTTAKASAGENTTEDPELGHNICPEI >PPA12579 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:711452:713580:-1 gene:PPA12579 transcript:PPA12579 gene_biotype:protein_coding transcript_biotype:protein_coding MVRITSDGEGWQPWYSRRVPPVFCMPCLPAYVGLWTARKPVVIIGGVLFMIGVMILLALLLMCIAVECSNILGGLLPLAIILIIVGILLFHCGWAAHLLDDRGQLPIKRTVTTTTTTVHEPPVDEGERRLLQTAYREDRPPLQTGHWQFDEEASWQAVANPYPIQSTLKPCLDRMPY >PPA12659 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1064521:1066594:-1 gene:PPA12659 transcript:PPA12659 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMNELLAIVAIVVICCVMFLAWLLSMAMCPDTMVNILSGKGKGSGSGSNNSYRESRELIRKSDESVDVEAGTRPTEGAFEPGKSIIDYRNPPLIPSGSESGGHHVVEDAELVRSVSAVLAVQLQNQQRQLTDKKLSVVDEVDEQLTLQSTIHSGGRPIP >PPA12679 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1120719:1122749:1 gene:PPA12679 transcript:PPA12679 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPIVLLSLVGASSAFLLGGGGGCGGCAPPPPPCGCGGGGLQLPSFQLPQLSFPNLFGGGGGCGGCPPAPPPCVAPPSGCGGGGFGGPIGGGGYAQPAPSYGAPSGGYAVAPAAPFGGFSAPAPIFAPAPAPFLGGGQSGGYAQAAAPQILAPAPVANFGASQSYAAPVQQQQVFAPAPVANYGAAQSYAAPAGGNSYAGRK >PPA12528 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:370168:370358:1 gene:PPA12528 transcript:PPA12528 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGASTQSVVVLILTYGETRYDERRAEETRQHVEKELEGEVINEQWPNRYYNP >PPA12525 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:355590:360172:-1 gene:PPA12525 transcript:PPA12525 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKNRDPMNLKSLTVRGMRDRGGRGEPRGGGRGGPLDAKMLTTASRLSTFLSLLDFSHCFPPPPPPPPPPPPPCICPEPQPQLIPVITRYIQAPPSPSTTPRPLPPPPPPPQPTLPPLPPPPPPQTPRPSITYSFNQPATIHITGEDADQFLKNFQANSLNEHPVPSSINVGRNAYSSQPTIIRHGIIREERPAVARTTIHEPEVFTDANHLIRTTEIREPTIVRTNLEHVFSPHPVLVREDDFRMSNIDSAVHTRPSSMVDQPVRNIMIKDRMPEYVSTESPRPIQVKERIPDYASNMQYQSHSDSPLLRGSPFEETLVGTRVEQNRRPKYEDDPIYDPPPRSLNSLISSASFLDGESPVNRILDERSVAFQVRRDAILNEKEEEPPASEFLPGK >PPA12553 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:546051:547407:1 gene:PPA12553 transcript:PPA12553 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPYSDEFLRNLLNRDNLSNPAPFSVPTHTAQTSSSYDHSTTSAPVNNDCTFNNQNRDHIGPRIGRVIPVLKRKRPRKNVEKLVDNAEEEVRETKKSKNSNTKRLQRNYCHYDRPLPYDSTLATKKFNGSKRQFWYFLMQFLVDPSKRNALSWTGNGREFIITKEGEEVFTACWSKEQNKLELIKWDSVTHKLRDLCREGILTKIDTNKQYTFLTEPSFHVGMTPDELTEYITQYNINSPAVHLHSKESIYAEIDCYRISM >PPA12594 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:788540:791566:1 gene:PPA12594 transcript:PPA12594 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSAAAFLLLSVTVASAVRSRRVYDEDCSEESFKQFQADHGKKATEKDYERRQRLCEIIEENKIHNQGNVSFSRNINELADLTVAEYKKRLGFIPIFNSTRRKRQFYSSSSSSSNVPASVDWREKGIVTAIKNQGQCGACWAFATTGSIEGQLAKSGKNLTSLSEQNLVDCSVQNLGCDGGNTAWAMSYVKSNGGIDKEVSYPYKARQGSCRYSFSKSGGEDKGYVSLARGDEGALQEAVATIGPIAVAIDASHNSFAYYASGVYYEPSCSSTALDHAILIVGYGSDPAYGDYWLIKNSWGTSWGDNGYGMMARNRGNNCGIATEAVYPKV >PPA12508 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:309801:311166:1 gene:PPA12508 transcript:PPA12508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hot-1 MSRLYGAIWENHLALLYKKPKNFTDACDNIAANPDGVPAVHCTSICLSMSEQTNIAGVRVNTHIRGCMSDVLINGFNTTIVQCSNEEIGDCPNRINPGVLSQVAVKFGGNMYRWLHRDSCRMYRKKELFKLPGDFNDESMIESNCELNMLHRVVKLREDA >PPA12590 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:769313:771443:1 gene:PPA12590 transcript:PPA12590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-crls-1 MIVGWRGTLRCAQSMRLTPLKLVPVEPRLFLASSPTAKSPLRVPPNGSNLNKFALLSSQADDPGRHRVFTVPNALCVFRIGLTPVIGSLVVTGSYTPALSLFVVAAITDMLDGLIARNFRGQKSLLGSVLDPVADKLLVGTMFITCTYAALIPVPLTALVLTRDISLIVGGFYKRYQTMARPITLSRFFDSKVSSMQIVPTIVSKVNTVLQLSVITFALIVPIVEAGPMMDHFFNALCVSTGITTLWSGLQYASGKAIKKI >PPA12471 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:152894:154519:1 gene:PPA12471 transcript:PPA12471 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAVVRFASHTMLGCVLLVGFILMQVSLLRLLIFDGKKDENRSRETFDLRGCKKIGEGWTKVVYDCDGMAVKIPNVVGKNIRDCLEREVSSLKFRSEVCKRHLIEDLITDTITMTTFDGDPMVPELIGYHFAADSFTAERFQVTTPLGTPIDTIRMLTLDWVDRMRLVQNITHFLQRYPDRHFADFRRQQFVIVNNIPTLIDFGDINFTADRNATSIHHAKRIYDDFIDHFIAVGAPGGIDRETIALAKLANRGMLTLERIDEFIERVVK >PPA12639 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:975973:977042:-1 gene:PPA12639 transcript:PPA12639 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQVRLVSNDKKEFLVDVAIARMSDTIAGMLDIIPEDEIGKHPIPLNNFDAEVLERVLEWCEQHRHDPVDTKEEIHDGREIDLSVPEWDKQFLQLTEEVQKPFMELMKAANFLQIPGLLHNCSKNKSAEEIREYFHVENDYTEAEIKKIKEDQAWH >PPA12505 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:291784:295672:1 gene:PPA12505 transcript:PPA12505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cutl-4 MQIDSEKPFTGNVYTKGFFEDEKCTVQGTRRSSTEIRVPLTSECGTRRRRMVSPRGLLLDVTIIVMFHRLFLTKIDRSYHVECFYVQYDETVSQSYDVSILPSVDLKSSNLNEDLQARTSAAVPSCKYEVLHGGPTGSALKYGKIGDVVYHKWTCTQSEGMCMTVHSCVVDDGRGRGQQLIDERGCTRDSFILDNLLYPSHLEAGQSSTVFRFADRTTVFFSCQIRLERVADTADNCAVGLIFHDFSCGDSSEGASRSIDAPVRFKGAIEKNFPRPIPLPIQDGHSDEYFEDTAVEVERNEKGEDARSIGEIHVGTTRGFDNLPDIPLHAGIATELNQSDFPAPGSLDSSDSSIESPGYFVRRETTGRKRKRTYGLGRDPLHKPLKFFDLIDTDEENRSRFRRSPSADSMEIDVSTESIEVSDEPLFENVRPLPDVARGDIYVDDRGEVCMSTLAFSMFILTTVLIIAIISMIYWIYAFRRTGTSGRITRFVENYWIETEASNQNQYRYSKP >PPA12674 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:1109703:1110074:-1 gene:PPA12674 transcript:PPA12674 gene_biotype:protein_coding transcript_biotype:protein_coding MIWIFLAVTVALLANSGVEANCGCGGYGYPSYGGGYGGYPQYGGGYGGYPMYGGGGSMAQASASASSWGK >PPA12570 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:656103:657312:-1 gene:PPA12570 transcript:PPA12570 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLRASSLRLALRRIYSYCLKSFIRPSTVFPIADKMAPNISSIDAISTKKELNIIPVLKATTQSIAEPEKAVEATPHIESTPVRSAPPRPRPIQKQPSAEVTEDTIFFRQEMMNLTIFDEEGNVLRYRKIDLKITERKHHEYIAVDCHSGEVFKQTLSARSYDFLVNKKEAKAAKSMAEYRKTMKDVEKMAFSATQINERLITISAHFGRTEPRIQLARLEGAKFTKYMLTELEKEENEQQHVRAAWERQRWNELAQDIVAACRKKESYMSLVRRELTNMRAA >PPA12648 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1010413:1010734:-1 gene:PPA12648 transcript:PPA12648 gene_biotype:protein_coding transcript_biotype:protein_coding MAELERKVAQFEYEKGTVSNKIQKLKSEEVRSRQNFSSYRGERKRAALFAVQDISDDALIDRERVCDN >PPA12586 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:740941:756122:-1 gene:PPA12586 transcript:PPA12586 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTTTIPSATASDLETKREERKKRREAREAKYAALMASAEGGSSADAAPPPPSNSPVDPTPAAPAAASDTAAAADDPAALVDLTKEEEEFAPTDDVADVASRQDPGPSGLNKRDGDSDDELLVMPRGDGDKKKTAKGDYAMYKKMRDKQLADQKEKKDMIERKKGKNLFKRKRSLSDSMAEVPKKTSSSPSMDRGDDTNLTDEDLKMVEMFAESDLGESGDDDFKFDDEDIQVTKVVPRKEKKKGKQEKEETKAMEDDDTDDEIEIIAMKEGNKKMKHIRALKAIRERQQAIMNDIMSKESVTNEEKNEGKDMEDGDRKDSPAGPSTSQTSSFIRASASLFPRSRSFRLLPSTLSSSKASGLPPQPLLLPNNAPRRPVTSRTMTTQTAAQLQQQQQYLAASQMLHQQLQQQQQSSLQQTHAQTMALLQQQQMTFMQQFGPPPLPTTTATGNTSASSLSINVSAPPPPLPGSVIMSGMMPPGFPGSSVFQMMGSMLSRRRRRGGDGGGIPGDLTSLTDEQREQIARVVRMFRNSGFEERVNRHMNREEKKEEDEEEEAEGDKIDEEEEEDDGPPMSFGERFYGHGVGSSETVQSDGPRRLQREAGDPSSSLNSSSDAIEQEPKLFELYQSKSELRGLVHPLWACFYIGPPKPISLATDHLICVSFVSVIMVQTPSSTVTPSSGDNSDHEEDSYEYEEEEDSDVELSDAEDDYEAALIYEKEALPHAFLVEDVKMAAKENLRLEKELCIASVQIETPETGNEGFCRVAMTLNVSSLPEMLRHLWELGSAMTVAVIIEGIHKEQYREFERRPIVLARIDTNKASKFPVGECLINVIKTFVTENYSQTENLSPAVTGSFFSKLYRMLEERMKTLTEFCMVCGSKLYAGGLMEGLTTPRVSAPVLSLLLIAFSSAAISPRHKDILTPSPSARNRDRLMKDVRELYKESDKKWFFMEEKGLDIHCTLSPVMPCATKILKSTEHYRDFKKATPSIAEFVEWLVISNQSYLEVVPPALNVEFLQTSKQFLFVSDTPAKQAEFDKLVADHGGKTRFLFHGSKCENWHSIIRTGLKNMSGTKYQLVGAVHGNGIYLSNHLNTSFHYCSRFEERMVSDQCTTNKCCMSSAMHGGMVLLAIVEVVDTPEAFGYDKDTIVVVKEEKWCSIRMLVAYNGLAHTAPAVDLKNITNENRDKINEVVQMFKTADLIERARHAEVDETQEVTENDANTSQVQDEVLSVGSQISTITVSSGEEREEDQMLSDEESEEDDAFDAAIIYEKEASEHPFLKEDVKMAAKNFPKLEKELCIPSVQIEPPVAGHDGTCSVALTLKDKIRHLWELGTAMTVSVIVDGIHKREYRKCDRRPKVLARMDSEKPSTFPVGECLSNVIKQLISDTYTLAENESPAHTGSYFSMLYEQLIERLRTLTDYCMVCGAQLYRGGLLPSICEGALCQYQYQELGLLDGLATPRVSADVLSLLMMAFNAAANSPRWNDILTPAPSARDNETLIAEAKKLYKRLDGKKLSHKEGYDIHHSLAPVMPCAKSIMKSPTTYSEFKKEFPSMAEFIEWLVISNQSYLEVVPPTLNVQYLQTDNQFLFVADTPSKQTEFDTLVQQWGGKTRYLFHGSRMENWHSIIRSGLKNMSGTNLMVSMDIESLDAVSPNPLVSANDSTRSESPSKPDWSPCDGYDRLRCLVPQNPGSYLSLKDGISSLEDSSTEKTPAHARLRIRPTVGLNLRATPSTVLSGTKGAGFFLQPLRL >PPA12466 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:141385:142106:-1 gene:PPA12466 transcript:PPA12466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3ERP9] MAQSVSPGDIATQPGTKIVFNAPYDDKHTYHIKVTNSSARRIGWAFKTTNMKRLGVDPAAGVLDPKENALIAVSCDAFAYGQEDTNNDRVTIEWTNTPDGAAKQLRREWFQGDGMVRRKNLPIEYNP >PPA12616 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:857584:859393:-1 gene:PPA12616 transcript:PPA12616 gene_biotype:protein_coding transcript_biotype:protein_coding MASVMYLIFGMLQRESGKIFLRLHKLNDNPPELEADYEPLMTMSLPFEKKDCERIGQSSEQFDHGWYARCRATPLFQPLVVWVTGIKRFYVKFITKTTDDRSSEITNIRIWVVGHATTVFRALRVLSPKPFDPSPFDLQHMGVNDQQRVGRIPYCDGILFRRHSKCWELVPDALPPVVYRFVSAISTRRFTSNACTSEKSDNVNKITNTN >PPA12491 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:217708:219411:-1 gene:PPA12491 transcript:PPA12491 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNGEILTLAGTICNCLSYVSHMLVFTQTTLKAMQVTNRFTALFFPLKHDDPSLYQDAGGRLKYAGIERSAQELAKLISAADQVVFVIVTLPMNVMLLVKMRRLRKENLTQFQKERMYLYYVLVITVAHMIKGAHQYAYPNTITTFTPPITLILMSQKVRNGIQLFLCNRNSGANVVDSAYSHRVTPM >PPA12634 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:954848:956443:-1 gene:PPA12634 transcript:PPA12634 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGAIGRCSSLLQQLVHLPSTSTAAAALHTSALAARNNFLQFIHERNGPPERRARSKDKSPITGYNHFKGIVLKTVIRHPKKPNSGNRKCAIVRLSTGAEVCAYIPNVGHNLQEHSQMVTDY >PPA12446 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:49912:56993:1 gene:PPA12446 transcript:PPA12446 gene_biotype:protein_coding transcript_biotype:protein_coding MFWLISFLDNNWDYKLFIVDKTLEVFWPAENIREYAKRTLGYELLDWMKPDPVRRSASLWGLDRERTSKVVVSREDGLGMRRCKSESDIYEERNQWNVMIMQEEKTIDRDREMYLQLFECERQSDLRSVQKALMGQLESRLGAGPGPSTRVLLARCIARVYSVGDTSSLFATLNLCNDRLKAKDDSPQALSAKFNDELVYRAALACLGALYETVGRLVGRSYEDTFHIITKWLKTAESQGRSEMMHTLAKMVSGLGSAASTVHREIYKTARACAVDRNLAVRAAAIEVRCLISLVPEYAPLYTTDIEGICTQCVKALDGSNHEIRLCVARLLSALLCAAHQPHTLIGAGRRAPASSSSKSGSSSPAPLSVEDCFQLLSSAFLRGGVGGFLKGGSSNQASAGGLKEVRVGIAMAYVEFIRSCGARWLERSSGVLSKHVLALAAKCGTLAYTNSSAQQSEAVLMRSCLSFILRSTLGAMLGEAAQLAAAKQLGVLLAEHINSFVIFLATA >PPA12611 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:844984:847388:-1 gene:PPA12611 transcript:PPA12611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nmr-2 MNLWYPEDVKPPFRYGTVEGGNTHETMRKNWGRMHHYIHKNRFFKTNISAGVDAVINEELDAFIYDAVVLDYWAGKEANCQLMTVGKWAAMTGYGIGLPKNSPLTPQVNRWMLQYQHNGDLERLQNFWLTGSCTPDGHGQTHSVPLGIENFMSAFFLLAAGIHDHFQIVSIIVLGCEFFYVKHLREPLKRIDPDGACGLISMALGKSLTLQEAVGRVSEWRKRAESLLPSSPKKLLMRAVSSPARFSPKVSPKRDKSRLYVESAF >PPA12473 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:159732:161227:1 gene:PPA12473 transcript:PPA12473 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKHREAVTQLISEAGFDLAIPDKLEFEKIGAGSISCVFRVKLANDASIVVKLTEKDTSLICSELHNREIEFYQWINKRNEGDESKNQLKCPKYYGGYACDGEMGIILMEDFSTRLSNELSFLKGFNVELVLKIVRELATIQCAHLSSNSELKNSVRKCLPKIDTIEGITDEMRSKLHEWIEPVTLFKIQAEVPEEVQGNPLLDIASLLGLCMTTEDRRGYTSTAIWLYFDEMEKRKAEFKRTFDLTIEKVRKQGYQRSKINFRRTQ >PPA12522 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:350266:352061:1 gene:PPA12522 transcript:PPA12522 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTIYISLLQGGNQDDSENLRKCALDCFAKKRLPLDPQSKNKTFFEDVHREYHLIDGEHLQTLLSYRGPRVTDYMQVFYNLRRNSSIVIDREGIEIDVIVDPSKGEFCDEKESMLAAYRRRQSTCIWNCNPILNKLKGQWRKGKENSILDRFGSYDRWTAESIDIDVWIAVVSSTSNLMAFLLIGIDALFLVFGFSIS >PPA12564 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:615833:617195:-1 gene:PPA12564 transcript:PPA12564 gene_biotype:protein_coding transcript_biotype:protein_coding MADALEQRVKQLRHEYALQKDGEMMMNQRMIERLEHTIEMKEAELFRMQKESVDLLSRIDEVAKQRESMDAENQKLRRLLEKSNILTEKALKDTKRRLEESEKKRTAHLTQYNDVVSALKKENSDLVERAQRADERVGQVEEILVKEQVISFCLIGFVMAIMIMDPSIL >PPA12482 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:180971:183340:-1 gene:PPA12482 transcript:PPA12482 gene_biotype:protein_coding transcript_biotype:protein_coding MPRREKWLVSSLSTHIAKEFSRSARRKGHRVEAFHAGATALFGLERKKKEETGGAFRRKKKVPLFSFPDQLVARPKDDNEDGTVVPLKLRTKGSRPLTTVLSPIIICPLGAHTQQMAVLDAMLRSVLQHHLNIDERGLFALGDVELLTYLHADLKFIFLRQASHLLSSALPSLSFVSHAARRKSQLMHALFEIGHLKTTLSSSSFSPHNLQPTKKMAHAKKMKEVGFSSSRWFGCWLRPRSTVSIGGREIESRVIEDEEENDSLASLATDRIAPTLPPSVLLPYAVWCETLGKMPATKKAADVLSTLIPASAAAVRSIIPDGAKIGEVSLEDTEAVFVEALAELSESPSVLQYYEKHLMKSEDKVNFAD >PPA12668 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:1101032:1102010:-1 gene:PPA12668 transcript:PPA12668 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQYASCRVEAAIGKAVTVERLDTCKPKMTGTAPDVQDCGDLSHRKIAPGQPNAVGSEKKSYGLERLNTRNPKMTGTIPDPDGGKGFESNVPTVPPGKHK >PPA12512 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:326913:331128:1 gene:PPA12512 transcript:PPA12512 gene_biotype:protein_coding transcript_biotype:protein_coding MDLANPHRTILKWKEIYGPVFTIWLPKPIVVLASREVLEETLVKQAETFASRPSSFLYRMFTGSHHEGDGIILSSGERWQTQRQFALKTLKDFGFGRGEMEGRINFHLDRLLEQIEAKFYRRKVYDFGDHEFKHFKHLIDTVLAQVQSVQLLVADSFPFLARFLPGYHEYYKNGMALQNYFLREIDRHERVLSSDGPPRDFIEAYLREWKRHPTNRNFSKLTLALNSGDLWTGGMETTVLGSSSRVQLSDRSSLPYCTAVIAELQRLANVLPWNIPHCTSAPSTVAGFDLPKGTDIMPHIGAVHHDPALFPNPEKFDPERHKEQ >PPA12500 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:253209:263544:-1 gene:PPA12500 transcript:PPA12500 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMEKVPATRVLVWVLELERCTICELATHDTHNWATVSAIDTGHCWPGASEYLDFFNPKVTREYWIAQFAFDRYEGSTEHLFTWNDMNEPSVFSGPEVSMDRDSIHYGGMEHREVHNIYGMQYHTATFEGLLARTGGVDRPFLLSRSGFIGTQRSAAIWTGDNAAQWSHLEIAAPMTLSLSIAGIPFVGADVGGFFGNPSEEMLVRWYHVGAWQPFFRAHAHIDTRRREPWLFSEKAMESIRRTIRERYALLPYWYAIFREHAEHGQPPMRPLFYEFPKEDKYFDTQDAWMVGSALLVHPVVAEGATSVKVTLPENDGDKAFDSLPIHTMFSPKSVSAALATHFGNALVSPAPSPLTDEERRMFSRLKILLTEKEQGLIESEEEDEEALVQESGDSDSDYDAEEDEKPEQSSRKPWTKELCDKMLEFYRSKDLEDGRRGASQSFKRMQNRFRAHMKTEYDLTLLRKYEKTGVIPSERYSAMRQLASDVRAKLGEKMKKGVPIHDTDIRKIALDLNKLNAASGNFKIDELRIKFLAVVRKNPGIVIINADQTGQVKEMHSTRTLAEEGSKDVVVEIESKSATTHSVTVLPTIYLDGRQHPIVYVHLGEPTGSLPAKKAVYGNKNLVIGASKSHIMNREAAARYFKEGLVPKGHKVTILNIPKGGTSLAQPLDLCYNQQWKCVMRRLNDAILVHDIDFVLHTRDNLLRCISQVYWAFGAPMFKEYRKYGWYRGGFLTTHPAPFVTPPKRKLTAPMVHFDAEWVWFDNFTESSYHSLPSRWFDYHTGAPRAAGEHTIDVPLYDAHTPVWQRGGTIVPTWQRIRRSAWLMRADPITLLVALDANGGAKGVVYMDDGKTHAYREGQQYVEAAMEYRTTSSASATLTSKPVGKFAAKNWIEKIEIRGVHSAPTAVTLKVDGESEQSLGGWKYDKKMQLRSLH >PPA12688 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:1142346:1146068:1 gene:PPA12688 transcript:PPA12688 gene_biotype:protein_coding transcript_biotype:protein_coding MSELQEKLGSMMMGALVVPTITLGRKLGLFDALGEVASAAAPADAKTIADKAGCKERYVREWLAVLSCAGFIEVTQDEKFWLTDEAKHEFTGLNNLLVAEMGYLPTIMKNYYGLADAFKKDGRYGLEYSQFTEFYEVMDVLTRAMTDAHLVKDYFPLIGTSEKFEAGGLKVLDVGCGSGYDAIKMGENENCSLSSLTLPPLGRRPEALAPKVGPLGLSPKKVGDDIAKATGDWKGLKVTCKLTIQNRQAKIDVVPSAASLIIKELKRGQEWYVMPQLCEAWTRAVALSLTLGQFSNFITRLKHNGNITFDALLKIARIMTPRSMAHKLERTVLEILRTAQSIGCTVDDMHPHDLVDKIKGGELKIPVE >PPA12448 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:79872:84408:1 gene:PPA12448 transcript:PPA12448 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDEARLELMRDVSVPVEIVNVLHRLVLTRDNLATQQLCVDCAAAVMDAAMCCKAIGGGTENSDAINGNIDSVEAEKMKGLYQCDDGGESGELKPGMLSYAFMEFILAVVVRQLPQVNSAAMRSRSSAPLHTRRVSRLPPEAAALCKGAVQLMVQISSLCSPSARLTLLPVSLHLITSFIRESARLDDSSIVPDLPPGHLTLVATAALQGLRSLASSAPPQSEERNYARWALLMRSALLTILNIPTANSAHTYDECVVLLAAAILSSASPREVAVGHRESVEKLCVLAKHQLRRNEPQVVCKCLQALTSLFSRKDLAAVYARRLAPAVVEVLRPYVLEDDAETAVKEGPQAPPTFI >PPA12497 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:234897:242187:1 gene:PPA12497 transcript:PPA12497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-plc-2 MFAPVQIPPKIPHVHASKGPENNEEMERIHLPTRAETKEGIPWSAIPSRAIARAYCACATSGLCPYQEKIPRGSFHADFYFSLYMLVIERNDIKDLFDKLASPSNITKSLGMSVLTRKQFQYFLTDRQHDCRLNEQLHPPPNDYKISALMTRFSTAKSKGLRFKEFARFLLSDESVDLDHRRFVIDEDTLHDPLPHYFINSSHNTYATGAQINTVKYFMSVQHDVTTCDVEIYRQDVCQAIADSAFKTSDLPVVLSIENHCCLQQQRVIASTFEFVTFRSYSEDQIHPFRKIFGDTLLKEPLPDYPLEPNVQLPSPWKLRRKILIKAKRQSASKVNQRLAFQQLGSLVSQDSIDYSEYNQDTQRALAHYLKNKKEQRAWLGEDDDALHMCDEAPEERRISKFVPCADPDERPRAERAFIITNTPISLISSKVHHSDLVQTSPVTSPLTQKPLSSPALSFDGSLDMSQRKMSEVTSRQIKSQVKPEKEVEKIAKELSDLVNYIQAERSSQMKIDGSDKPKNFYIMHSLSEEGMSKLVRNESRLSALVKHTTRQIVRVYPSGIRVSSSNFLPLFCWMAGAQMAALNFQTNGLPMQFNQTLFMENGACGHPQPLRDISLDYNPQDVNVPATIPETLTVTVISGQFLSLFAPSPSTLITVDLYDLPRDTVRNKYKTRIVHKNGLNPVYEQDQAFVFERILKPEGAFLVLRVFNGEGGELAHRILPVHKMNRGYRHVVLHSSTGHPIGPASLFCHFALDFYVPPQHRDIQLSLMDPVLAMQRREEMNNQFLDPVGYRMHSSSTASAYIYTRSSMRQREEELPPPKKASASEVAKEKIVSFLFGGKM >PPA12533 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:416155:422269:1 gene:PPA12533 transcript:PPA12533 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESRPPLEAKKKGIKMIIRCKTSIYDQNYLIQRYLEGHHLNNRPDYINVSWTRSIPFRACPRHFDRSKKLIYYLPLQVGQSWRNYHELILREGLFYMYGVDLKVDYFFDTKVAAKQFDFGCVIPGCLSNPLKLNPSTGLPLVKLFLVPADTGLRNEWLRTVREGHNKLLTFTPRLPVGSVVCEKHFVNGGKIVNGIMELPTRFHERIRHARTIQPPEGTKQKVYRIVDRSVKKTVAANHCLRLSDQFEFDENRDQREVFVDKEYGYQGGKWIVALRAADPDLQIKYGVTLFVCERHFRLNPYVESDIPRYFLTPPQAAMSKAQTVQETLRKDDPCPCCNDVEICHSILRRFNAKMNTLMQHVNMLCVLEGVKEVIPRQFHDAEDDKELYGDPAKVAQLADIRAASMFVREAKGELAAVKGALNNMSAMPPRRSCALLSCCYSRNPRRTYRLVDTDTFEDDLLSGELLITQDRDTASVRRVLAKDKQVALCERHWKSHISNMEVEFDEDSDDVRKLKEALALPVDFQPKFKKRRTEELEDPTQGSSAVVKVEVHDDEEEDERLLSNNELLQRLLGGAIAGQVTGEIGDNGEVYEGGEEEEEMDNLDNVEPHEEEEDDGEEQEGPPEFQ >PPA12442 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:7308:12203:1 gene:PPA12442 transcript:PPA12442 gene_biotype:protein_coding transcript_biotype:protein_coding MCPAETLISPLNISCNGRSSSFYSLASSSTALTSRNSTRKIVRSKSRKSGKNVKTEATDSKTTETSVACNTEAKAIHQKESTGVANLFAQETTIAASFGRKSEKDQRSRKSGRGAKQKSASERATRDKVRVENPSLHSAWSLVILTGERARGMKKTILHPDSPSEERKRKRDEGQRSERTRSKEKLRSVSDPPSLKTKSAPLQVWSVMEKRMADALKQLESQGELASFTAGPELSLVHFFAEWAPQCKQLNTVIEDLASELGSSFTASYIDAEKVAEASLKAKIKAAPTVVFYRNGSEVGRLDGFKPAELRTLIVKYSSGVTGAAVETAPSGQSLTDRLKALINKESLMLFMKGDPSAPKCGFSRTIVGMLNEHSIAFGSFDILSDEDVRQGLKVEINR >PPA12662 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1082899:1084747:1 gene:PPA12662 transcript:PPA12662 gene_biotype:protein_coding transcript_biotype:protein_coding MTALHLAAGRADSPDIIQTLVTMGASPTEKDSHGRTPIFMACFGGKAHNLNVMIRELGFAWKIEEENRMPLIHPLVHWKSIEGETALHVACAEGKTDCVLTLLSIKLDPQRRADKNALSGNYMLRTNHPNQSMIAQPMKTPLQRAIEEKEKRPEKKSSYDAIISYLEHNGGRRNAYLVRWDVVMRLQRNLRTCFEYRQWVHNPEKGPKEPLPVYWRFDYDAFRVALARIRPEKPIVQTKKL >PPA12507 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:301016:301874:1 gene:PPA12507 transcript:PPA12507 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNIRTNCTIRFKKRLICHKGCPDGPYDSVGLPLTRSSSSFFSYRPLSPHSTYRSSDPPSHAFTEGNNGAFIKLNKATLTMLRIVDP >PPA12693 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1161263:1164634:-1 gene:PPA12693 transcript:PPA12693 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLKTRLDTMLMGGMVTPTIALGKKLGLFDALGAVASAAAPADAKTIADKAGCKERYVREWLAVLSCAGFIEVTQDEKFWLTDEAKHEFAGLNNLAVAEMAFLPTVVKTFNELADSFKKDGRYGLDYSQFGDFYDTMDTVTTAMHDAHLISDYFPLIGMKDKLEAGGLKVLDVGCGSGYHALKMASTYPKCEIIGADISEKAIGMAKESHKKQGQTNLEFHVADAGKMPAEWTDKFDFITIFDACHDQMRPDLCLNEIYRMLKPGGVFAMLEVRGCSNILADKKKHGLMASTFYAVSMFHCLPVGSNRPDALCMGAMWGEKRARKLIEDAGFKKENVQVFEPDYFPINIVYLCKKE >PPA12566 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:620765:622363:1 gene:PPA12566 transcript:PPA12566 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSQLGDEWAVNEAVDFAPAFELESDLPEVKLFGKWNLQKVNVADISLVDYITVKEKYAKTPCRCSSTPLCRPREDSTRTGRAGTVRRQSVDVAPFRRVNQAMWLLGTGAHETAFRNIKTIAECLADELINAAKEYPNNYAIKKKDEPERVAQFNV >PPA12546 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:509503:510950:-1 gene:PPA12546 transcript:PPA12546 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNQQRWFPNKQLHRGEFIRKGGSRDWKNQFGYEQSFEMDKKFRERCAGTAAAEWWHSEMAWNVSRPVVAVEPISEVSSYSSSGFCSASPLSFTSSSLDLSSSLSSHLRLPSVNPESDLCYSPAVEGPLDRDRVDSLQFPFDSLKIDDATEEQD >PPA12686 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1136523:1137399:-1 gene:PPA12686 transcript:PPA12686 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFAVFLAVLLVSSAVAQDKVESTTGGVDGLLGACVDAKNAFSGVSNCPLRVSLCKLADYEQEMKRDCPKTCGFC >PPA12646 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1005104:1008530:-1 gene:PPA12646 transcript:PPA12646 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAQSSPENNSKRAKNGKVASTKHLVKVEPGSLNKVTVFETQAELKRQFTVTLESGLNEVTLERISNSLIDDSVRVTGTGDAVIEEVKIADRRVWIGAGDSERAAKLRTEKEELEKKKRIFDIENSSLSKRIQALDAMIGQVGAGIAAPKSTKFSADEATLESVTTFFDFYDRQATEVREKLSLNEEASAKNKNEIIVKEGELKSIQHGHEIKIVTIMLDSAKGGKVDLELSYQVGSPVSDASWDALYDVRVGSRESKTEMQLSYFANVYQRTGEDWEGAQLILSTAHPSLGGKIPDLNTLEAVIVDNFAPQRRQRRWRSRSRSRERCRKDSSSGDDELGENALTNREASVNKHTLSTEFVIARPCSIPSDDSDHKVTIGIITLEPQLVHVTVPSKNACAFLTASAVNSSSLPLFHGDASIYLDGAFVAKTKIQAVFPGEPFTISLGIDPSVKIDYSPAHKYGEQSALVNKWSTTVIEQKIAVRNTRDDAIMLTVRQQIPRSTDERIKVKLIFPSVVEKVNDEQGLNDDEIPKERVRLLPSNNLEWTVNMDKGKTQTLLIKYSVEHLARRLREKVEFVERRE >PPA12478 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:170230:170680:1 gene:PPA12478 transcript:PPA12478 gene_biotype:protein_coding transcript_biotype:protein_coding MFWTLALIGLALFLFNELHWKRRKYPPGPTPIPLVGNVISILWDFPGMSKYKEWRAKFDPVRSHLHVLARSMADRHCERLQSNAGKLL >PPA12532 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:413498:415820:-1 gene:PPA12532 transcript:PPA12532 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPNQVTKKRTSKKKSPDNKFHKPVTQTAEDVRNKQQVSLNLEELHWHSGGVFSNVYRGKLVSPEKMEIAIKKTWPKDSVRSDEMIILAAMRRANPRNIIKLLYIFRNTAIDGTECEAFIFGYMPETLDKVIKRGVNIIDMKIYIWQLFNGLDFLAANRIAHRDIKPINILVNRDSGELQIGDFGSAKVISSKERSSSYQVTRYYRPPEMLLGSSHYSHLIDVWSAGCVLGEMIKGRVLFRGTDSDHQMQLIQAAFGPPTENEIRLMKLDPKKVKLKNITQHGILTTLRLQGENSDPSKFTPVIKSAVKLLERVLVFIPENRLSGKEFLEDPFFEDVLSANCLRENGRKPDLQRQSDDTMCHQCFERSQRSSRDNRVSQMAAPPEKENEQPPSTKQVEPSSNEHKGEDGDSSTADKEAAKEAAAPQLLEPPSRISGGRKG >PPA12501 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:269072:274768:-1 gene:PPA12501 transcript:PPA12501 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRATCLLLLVSSSSLAVKREDFKTCDQSAFCKRHRAISSSTGYQVDWSSIQKDANQFKAGLYNDETRLQLTVTGLTEGRLRVQIDEPKETAIRHRYIPTQALSGEPEQLAFEAINVDADHAEIVNGDKLAKAVIHRSPFVVDLFNGNGDLVTQINAGGKLKALMNSDSGFSKMDVFTTRDDGVQYPDGFWEEKFKSWTDSKPYGSSSVGVDITMVGFRSAYGLPEHADSFALKSTVGSTDPYRLFNLDVFEYEIGNPMALYVAVPYLMAHKKEATAGVLWLNAAETWIDTQSTENSKGLFRKMWNTVVPDESVPNFTSHFMSESGLVDVFFFSGPTPQKVQSQLAMTTGVTPLPPLFSLGYHQCRWNYKDQDDVATVNSKFDEHDIPMDVIWLDIEHTDGKKYFTWHPIHFKNPKQMLDGVAASGRKMVTIIDPHIKKDTGYRVYKDAKDMELYVKRADNVTDFEVCELATHDTHNWATVSAIDTTTMEGYWDPNGMTRSEAGSKDG >PPA12675 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1110479:1111291:-1 gene:PPA12675 transcript:PPA12675 gene_biotype:protein_coding transcript_biotype:protein_coding MADTAVAIRVTEEADTVDIPAMVEDTRATVVEDPQRKLLRQLPMRWILAIIAVLLIINNSVDPQHRPQLQPAVGGSDIINFPIQ >PPA12631 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:938538:941511:1 gene:PPA12631 transcript:PPA12631 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRFPLLLLIFIPSSFSFLQSLLCPCNRNQCQQSSLPLCQSHCASSCGGGGGQPVYPTQPSYGGGYGSAFGASYLPSAYQPPTVYRPQPLPQPQPLYANYALPRPLPPPQAPPLDSQPQINVEAASAPSAAAYVSHHPTLLQPAPGYPTSLPAHPPDFDSSASLIQYSTLKRGEGRSLRGALRPRTTPLAPDGEEPFETFERNHMKREETDEELQFDIKAIVCSFRTLSGMRDVEMRERVRNEAEAVSPSDREEELYDDDAMTAPPRDNDIAFHAPREKEDEHDGPSAAEGDDDETLARILKEEKESGQGSFLGRGYKFIKWAAQGNRKKKDNQEEELGPPLKHKCNNPELRRIMEEKMVGSPSASKQLVYSAVRAAFGKSPDVICSRSSFSYIVVSSPVYCEHKKAPSTCFVYFQP >PPA12626 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:907937:909662:1 gene:PPA12626 transcript:PPA12626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fib-1 description:Fibrillarin-like protein [Source:UniProtKB/TrEMBL;Acc:A1BQ81] MGRPDFSPRGGGGGFRGGRGGDRGGGGFRGGRGGGDRGGGGGGFRGGRGGFGGDRGGRGGFGDRGGRGGFRGSPRGGDRGGRGSPRGGRGGRGGARGGMKGGKQVVVEPHRHEGVFIVKGKEDALATKNMVVGESVYGEKRVSVEDGGSSVEYRVWNPFRSKLAASMMGGLDSIHIKPGTKLLYLGAASGTTVSHCSDLVGPEGLVYAVEFSHRSGRDLLNVAKKRPNIIPIVEDARHPHKYRMLVGMVDTIFADVAQPDQARIVALNAHQFLKNGGFAVISIKANCIDSTAEPEAVFAGEVNKLKEEKFKPREQVTLEPYERDHAVVVAEYRANVGKK >PPA12554 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:548320:551882:1 gene:PPA12554 transcript:PPA12554 gene_biotype:protein_coding transcript_biotype:protein_coding MILLFFSLAAAIYYVPWILKTIGDWIHQCPLVKKLPCPKGLPVIGSLLDVAVPLRFFLAEAEKARARGEKTMTVVIMGRTITFPLNGEMFKIKDQFPILRIGEPWRTRRKYLTPMFHFSMLEDTAMGNEFGFLRDPSHPYVHAVDVFTHLCQRHIMEPQIWIGLLFYLFSRLHALTDKVLKARVKAVESGDVDLEAKRKPVIDYFLMLHQQGKMNMRDVHYEINSVIFGGDDQRRNLDDWKPRHNLRLSRLGLLVTGDPSSLPAKMLRRNIQRKRQGLLSYDHEDLRRMEFTERFIKESMRMFAPVPLTERELQNDSDMGGSIIPKGTEVYINAHPYDYIPFSVGVRNCLGQKFAMQEMKVIVSSALRAFRFSTDRQLLDQGFATEVVLKPTLGYSIALISFITRLEITSSNCFQTVL >PPA12656 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1050048:1052345:1 gene:PPA12656 transcript:PPA12656 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEPPVDVDELQRQLAKKERLFKRACRAAREAEIRAEQAEKLLDAKHGVLAGLKQPKIEKETIVKSEPIDVVEVEPSSTPLEQEPPSRKRNKRRRRLQKERKAQREEDIVMEETRPADVQPGDANQGVPAGLKQPKAEKETIVKSEPMQKICSEIVEVALNSTVVVHPPEENAAPIPVGRNREKNLKRRMRAKEKEAERMKLSGEKAETWVNIGEEEEGPQVILPTQRATEEMWAAREKVPSSLDHLTAFVRLKFVVDEDSMKVINFFAPLRITTIKMGMNHSRRYSHTALVTFATIEDARAAKDYQKK >PPA12452 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:101233:101843:1 gene:PPA12452 transcript:PPA12452 gene_biotype:protein_coding transcript_biotype:protein_coding METHNVDNYMRLQHSKNRTETMTCWGDYCFWQGEGNRGSVDVAGADGNFKLRRYPLSEWNHRWTFGYSQIQSKFLEIYLTGSTLHSFICKIACVTCM >PPA12669 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:1102100:1102375:-1 gene:PPA12669 transcript:PPA12669 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFDGDASCRVEAAIGKAFVPFRKEEFLKGTAQLFLILRQHFSFQSTSV >PPA12551 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:533629:539184:1 gene:PPA12551 transcript:PPA12551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sulp-8 MAYASLAAVPPIVGLYSSFFSSSFYTFFGTSRHISIGCFAVASMMVGAVQMQLMPATDVNGTTAAPGPLGDVTSLELTSALTLAVGIVQFVMALSRLGFITAYLSDPLVSGFTTGAAVHVFMSQANKVFGVKMPRHSGMGMIIYMVRDIIKEIPNTNLMALAISVFGIVFLSIGRDYVNPYVKKYSKIPVPLELILVILGTVFSVVMNLKEDYHIKIVDVIPRGFPVPSPPRITLILHLLSDAVPIAIVCYIFIISMAKLFAKKHKYRIDSSQEMYACSFFSLLSSFFPVYPVGASLSRSAVCELSGAKTLLYTVFSSALLLTVILFLGPLLEPLPMCILACIVIVSLKSLFMQVKELPRLWKISKHDFAVWSIACLATVLCNVTQGLVIAVAFAIITVVLREQWPSFTDVPLSTIASSQKVPDFAKVLKFDAPLHFANVTRFIDVLQGTFADERIPHTMNHFLNLVVIVIIHLPSPFQTLPSEKVVVVDCAAISYIDSMGVDALKETYEDAKRAGVSLYFAGFNDSIIQMLNTIDFFNTVPLSAFQSTNFLMLLVLVYWMYKILTNLFILQRNPFISYGTYRAQID >PPA12537 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:436284:440008:-1 gene:PPA12537 transcript:PPA12537 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVPSRTLSDSPRFPPALLPRSKPLYPSQISFGGGEEAADPSANNHGGRVCTSRECTVLAAFLAENLNDKVDPCDDFYEFACGNYGLNRNLAANKPLRHTISDVQARLNKQVRSLLADDPSPKDKMWDSLAKGYYRKCQDEDTLEHHGKEAMLSVLSWVGGWPTVDGDKWKEWPHGWEKQLAVVMNKTGVNAVLLELAVTHDPSNSSRSIIELDQPKWGVGSRWPYLMGPDDPMIQNYTSLMVATAVSMGAPQERAEKDMKEAMELELKLVNFSAEEMVRRDPERGNNRFQLWELKNHFPLIKIDEYVHSVFDGLVKLSDNETIIIRETEYFKGIQHILKDTSKRVLANYISWRIVQGFSPFLPPTAREPFYQFKANQTGMFNSPPPDRWEDCVTLSVIMMDMPVGKLFVEHFFDRVRAMTKVN >PPA12670 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:1102549:1105375:-1 gene:PPA12670 transcript:PPA12670 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLLLIFLLVLSSHAFLFGFGQQSCGCRPPPPPPSQCAPPPPPPPCGYSSGYASAGGCGGPPPYPMAPGQPRTGYDIVRDGMKAATVANTNEAKLWECLSRLKQLRDMQGLAPLIPEQYCKPKLSEGKELYKVTFVDVIGNRKTYHLERLDPRKSEYLINMGPI >PPA12504 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:282407:288805:-1 gene:PPA12504 transcript:PPA12504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ztf-7 MNKNNADKQAAGAAAAAAPAATPAAGNAAAAAIKPPKTSENGGAGKERRKSENKAPRQQRQSTKEYGYSSSYVPSSEHMGVKGENGVSRPSNGDGKTTTAPPANGNNRQRHRTNTRGDESSPSSLDRKEGGGNKSNRNSTSGYRKKEWSERKSYSQGKDRNGHNGEKKENGEKDDKQRTRSTNSNSGQKGRLAKASTTSVNSNGEGLDYDEDLEEPFSDSDDESPRHREPQKMQLPIPRGRIRTLSGTVPVVGFSPKWGGPTMCLSCLHFFDLPEDLDKFTDHLLSEHHIVVSEMGLIVDPKRYIEHWRQRFAKESIDNIFPRVEVDENNPHHGKTEYYFEMSAALQEDFQVRQRLAMRRLEEALLCQQRERDDANFTQQCIFCRYTARGNRSKIIHHLYMIHHLNLGSPDNLVFVTEYIDHLKKKLDKNECIYCEKIFGDRNMLMDHMRKRNHREVNPRNHYYDKFYIINYLELGKRWLDVLAEDFEDTMPTFQDSDEEEEDQEWCEWQEDNVEDETRVLCLCCEETTDCAGALLEHMKEKHGLDLLKEIADHKLGTYERAKLINFVRKQNYNSTCWVCNKAELGNWMAVYRHIEENHKPVSLPDRATWDTEEELVPLFGNDHFIWMLESMIDEETDKDRASPTDVDVIAKLVAQSKINTVEGVLAEDMPDIPALEEIDQIF >PPA12539 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:450460:451029:1 gene:PPA12539 transcript:PPA12539 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNYGTAERGEHKFLMEAPPPDVKKIRVDELIGTTQIILKAKYNGQEFLTLEWPLNLTRKIRMDQCSVKAQEIDWEDEKDVEMENSQS >PPA12602 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:817182:818934:-1 gene:PPA12602 transcript:PPA12602 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPISAIPPSFTPFLPFCSLRLCDLEVLTGPSTVVSEWLTREKIGGARSRCSFETDEPYCLSPPRNQSVTLTNLLMTTIDAFLSPLNALTARYVQQYRRVAVITGTIHDANSDGRVDDIGIRESSPSHVFRILFTCPQWRADGLGCLDPSETRPLTFILPHIEKDINCLTFENYLFAHTARVRDVEEMTGLRFFSDSNVVPHEIALKIRSYLPQKLW >PPA12516 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:337411:339605:1 gene:PPA12516 transcript:PPA12516 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLVLAACSTALATLLQQSAAARFNEDTARSIMLPISSAAYSDKPQACLSSQLKTAKLSKQVSLKCDYFKKDTCSGFTFFDETRKVIGIAFRGTSDTSQLIAEITDTIFDSKVDFQDGGKTSKYFNDAFMDTWNGGLGDDFLALAAKYPSYTVWVTGHSLGGALASMAAAHISANKIASKDKIVLYTFGQPRTGDQKYADIHDDLVTAFRVTHSKDIVAHIPPQFMNYEHHTSEVWYDNDMAAGASYVVCGKQEDKRCSDKHVFNTSPDDHTHYYGKEVSEWGHDGCP >PPA12463 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:133443:134547:-1 gene:PPA12463 transcript:PPA12463 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTIASAIMGSILAVLSIGGIFLNVLVIIAIVHSKMMKLHSPVYIFAFATIINDMLMIFLHLLYFAPSCFFQDFLFPDIFVEEGRKVLDLILMICWYHGTLSHIVIAINRFVTVIFYQYPIFTRNRVVIIAFIQIVASIGLAVMTQFIFPCCRLSLTYTVYTYTYLEIPGVPNYTNMFDLPLNSVASITPLFAYSAVLR >PPA12450 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:87071:93446:-1 gene:PPA12450 transcript:PPA12450 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRHKRNVELKKRKKGKGFDEAYDEYGNAKQSQLLAKYDEELEGEKKSTFRLNEQGGVDLAVEEEEARARAIMEMAGKTLVSLEMDKFKVASEFYTQEEMISFRKPKKNKKERTGRKKLGKALKADDLMPLEEENGGGRDIGSRKTRGRHGSDEEDEQMEDSKVKKEDGEVEGEDDGEGGRQKKGWKKATKGAVDLDALRRLAAMDEEEEESDDDMAPDANLAGVVIDDDAIDELGSELDRARRLKQEQARPEAVDSEANARKLFDLMQQHGHGKVKKEDPDGEEMEVEEYTGPQDGVVCIDSTMEYCRNIGELTSYGITGNRADKDTIDTSKISREEERIRVKREEGLDQFESVQRWKRAQAEKERRKRERKEAGKAGTSRKEDRGGWRGADGEESRVDSDDERDMMEAAERIKEEEDSEDEEEDGEYRNVLGDEADLQKGVGAMLKLASQKGYLTDPNAKKSSGQSLEHLKNTRATKMENERFDIEDKYVKKLERMGTTGSGPARSFIEKKDYNPELVIEYVDEKGREMEPKDAFRVMSWKFHGKRPGKKQIEKRSNRLEKKELMKKMDSWDTPLGTLNKQLKKQEQMQTPYLVLSNTGKHLLTREERKRILKLA >PPA12617 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:860125:865762:-1 gene:PPA12617 transcript:PPA12617 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSAGAALEMSVRTSTGGGTGTDECDGGGASSLFSRRTKSAGRLLGIAPQGGELTEAINQMTLVTKLHLRAAEAQRKAAAAFEAHVRNSNNAAVADVGQHQADLLKMDANHATRSAGDRRHYIEELRKIHEQDKLVREAEMRVTSLYEKKAKITESLRKGGAGFLRRRSEDDTLRKRQQLEQVKMEIEAAEIKLDETRRESEVIKMLRYRYGMQGIADSVISYASATTAIFACFREITEMVPAISTQDVMTMNYDGASITQNCVSRLRSCRSRPPVIPYPFSRDISSSSAVSPPSQLLGAASRRRSDNHRRGGGGYPAPPPLPPPATSAHGASTPPPPYTPTAPTMSELPQPGGCCGASATVTEPRPSPPRFNALYPRLPANPYRRGENMCMMVGCTIECENVGDSQQI >PPA12441 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:5789:6452:-1 gene:PPA12441 transcript:PPA12441 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLTALLSTSLFVFIAADYSQEDCQPNEVFIQCGQCEGSCNNPRPVCDKICRGVRCECIAAKGYVRSKHGHCIR >PPA12574 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:665370:669467:-1 gene:PPA12574 transcript:PPA12574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-333 MEEDDDFDDEDEAHNGSFGDQNEHNVDEVRKQGAVASTQFACDLSSFSAVDGREWIIEKTGQNRLGHLATAGGTFSNSLDRFIECVKESNEKMFQDSRLLAKNVRQFMNGMKNYLMKAGEGDLHAIIARESARLDRNSILNMDTILEDSLNRLVLKPLCEHIYHALRSTQRASPSLVTLFTNIEKARAMAPHQLGLGPKAITPSADAIEKMRLLCRKMQSHYSPHRKLEILLKASSLIFHSQRDGEERGEKEERAVMHPSAEDLVSWLVWLISRTLNVACEVEALYMNELLPVQLRQSGDGALYLSALDSAIRILSSPGGLQKLASKERGDRGGKSVNTAGGCCLIRVAVPDELLGAVDYESFPLVGDTTAVRLCRLIAHQHSITNPQDYGLYLVTDSFEQCLSPSDVIEDLKQTLDARPFLLAYKRHEARLAWPSLSGLITN >PPA12456 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:110210:110689:-1 gene:PPA12456 transcript:PPA12456 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTVVAALTPVIYSDFYTESSLGRSLVFNSLVTLVAAPISSSISSIFLTSGLPWQTTPISHHYKSRGYIGDIKHLLTM >PPA12582 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:723302:727246:-1 gene:PPA12582 transcript:PPA12582 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYSIEPAVKSAPKVILYSDTIRREPSPDFHGHLKEDVDSASRTWFKLMEEKGIPSVQIERKPARWMANKPSDHCSPPLQLSLSGEERFCSVAMTIALPDLPESIRHLWGVGDASTVAVIVEGIHKRKFRTFEILPLVYARIETEKPSKFRLGECLAAAESLFSTFYEQLMDRMRMLTECCMLCGANLFTGDFIPSICDGEVCYYQAMDLEDGHISPRVAAPVLSMLLMTYHAALGSDQKQDILMPFCSADDYHGLMRDISILYENANMKCNMDNMDLHKIFKGLVCVMPCAYAVLKSSDTYWEFKKEFPYASEFAEWLVMSNSSYLQLLPDKLNVSFLQTGVQFLFSADTPAKQAEFDALVRGNWNKTRLFFYGTKMENWHSVIRSGLQMGTRFQSPIETHHTGIRLYSQLPKVYGYSTLFEKTHISKECLNKNYCFPKQAQEAVVLLAVVEVVDTPEAFEYADDKSVVVEDTKWCSIRMLVGYDYRIPTIESIDFNNIDADSKQMINDIANMFKAASLI >PPA12608 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig23:842797:843110:1 gene:PPA12608 transcript:PPA12608 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAAYGGIPSPFDPGENNEAKRSGSLEQCS >PPA12559 pep:known supercontig:P_pacificus-5.0:Ppa_Contig23:566873:568616:-1 gene:PPA12559 transcript:PPA12559 gene_biotype:protein_coding transcript_biotype:protein_coding MTMERGRKRRRDASSTPPPRTLKQYSVEEKLDIIDYAKNTGNRAAGREFNVAESSIREWRKHEVKLRETLASGGGIKVINVSASLNSNIRLPTSLSLLPSLTTLPTTSVASSSSPSASESSTTSSSNSPSVDSEKQSMEFGDHEHFFKSAAGVADTLGEDQIW >PPA12711 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig230:86106:87494:-1 gene:PPA12711 transcript:PPA12711 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFDNVATIQQLAVERHFQSKFNDILEKRDVPLAKSLVADIGFATYMMSFSFKDMVSASSAAKLLFGLINPMREGKEEKEIKLNTKGNLKSEKITFAYPSQPNRNVLSEVSFGVGQGIADNIRLGTEGISDEDVRRACEFANANEFITDFPEGYSTLVGEKGRSLSGGQKQRIAIARALVRNPKIVRVALESSTKGRTSVMIAHRLDTIKHCG >PPA12700 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig230:6939:7167:-1 gene:PPA12700 transcript:PPA12700 gene_biotype:protein_coding transcript_biotype:protein_coding MWTEPYEFDLMTEEDLYPLIYGIEQIPPSVIMQCQPCCIPPNGLNDREKKRKEKIAEDKWK >PPA12705 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig230:35837:37101:-1 gene:PPA12705 transcript:PPA12705 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAFTTLHPDLAPHTINYKFIAAGDAKLPIHFKINSIKNGTVVSAMAYQNGKLVGIGHVRALGRFPIEARPVLDNKKIERSVLWQRIRPECHASLRPSDGFHVLMFMSDCNMFLGASAVYQRAGIKITSLATLHHTVWVHDPNVDPLGWFLSITDCEIANVRRVSQVRAHCCTGRIPAAK >PPA12703 pep:known supercontig:P_pacificus-5.0:Ppa_Contig230:20040:21254:1 gene:PPA12703 transcript:PPA12703 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSEPSTTFENGLLTFEYSSVIIPLGTGLVLVTIIMLIEIVSEVRRGMHWASMATQRYQRLAVRSLLLQV >PPA12698 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig230:3250:3953:-1 gene:PPA12698 transcript:PPA12698 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKRPLHGLELMEIDDVNGFDNSIIGPFLERVKEEGMNENDVDIVSISHLPINIHPFGQANIYGYIYLFDALKLYAMGARRVLNETNNPAAVLDGMRVWNAIRRMTFPGIVGSSGVASGMITMDDRAERAPLYRGFFISPSQDQVMAMAHMEPTMIEPSKCDGLANRSGCYDIVS >PPA12708 pep:known supercontig:P_pacificus-5.0:Ppa_Contig230:62539:63069:-1 gene:PPA12708 transcript:PPA12708 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVVFFFVIPLLTMIYLMTIDTSEWPGEILAATRAIIIISLSLKPLTHSLIFLGKNPILRKQALNQTAKN >PPA12714 pep:known supercontig:P_pacificus-5.0:Ppa_Contig230:112041:115822:-1 gene:PPA12714 transcript:PPA12714 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSASAYSHSLAVSSTLSTSFHGESSDKSDPCIVIDKKKPLTHRSRRSSTSSLPQPRGGLRMAVRAKIYNDKMIAAHKACLDSLVDLIASQREDIVMLNENTRRAECGIPPLPKQDREKLRRDIMKLQTEAVNANFHELTVLQKKNRDRKMPEEAIRLRLVGLLLQIGICSLARTVIMYHQELGPVEHEPATVNNCCLYPVGVRFVAAVMDNVVTVNNRGSLVFITSLLKSEPKFRQNASEFTVVKQIMMMSGRIANDDSMFKVAPQDPNIYFAMLSRDLG >PPA12716 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig230:121502:121758:-1 gene:PPA12716 transcript:PPA12716 gene_biotype:protein_coding transcript_biotype:protein_coding MRESNMEISEADAEQILMHGEIMVHGCYDNALKLSVKLPEVLFEGSLFEMIDLLPISPRISSSQRRTALEF >PPA12713 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig230:105385:106922:1 gene:PPA12713 transcript:PPA12713 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLFALTNVKVKNSMEIQNRKDMKGETQTYFDFTQENGNTEHGNTGMPCSSSSYNTPKTRRTSQRAITERNDFIELASIVHDAGFDADAMEFYKPPSSKPPLNLDDITTL >PPA12710 pep:known supercontig:P_pacificus-5.0:Ppa_Contig230:67550:69468:1 gene:PPA12710 transcript:PPA12710 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTFDETVTTLKHLFGKKESNFELRVRFFNQRISTMGCTDVMKFAGEVNRLYQKGNLKEITEDQMKITIFLAGLDLQNQKSMRAQLFNAVSSKAAGTFQELLEKYSMLKALERDVSVVQKNATMLVKSNEKGKKWNNRNKNSERSKHTVKCQYCDKRGHTEVDCWTKHPEKMPKSFKKRNYSVQVKDCNHIERNRVYVNILVQNTEFEFQFDTGSDLSLISESDWKALGCPKLDSSSSVVTCANGQGMTILGSFRSSVEYCGKRSDEWIHVTPRPIRLVGNDLLFKLDLRRAFFDEFEDTVVAHIESIPEDYTFWKLRLVPLEMIEGEEDNCRLGVALHEVMAQFGWEDFVFIYSTVGDDDKCTVTKEDVEKAVSDFNDDVQISFIYEFPNYEISLTEQTRLLSLLKSRARIFAVCLSEELGLKRDFVLSLTDAGMLNDEYVFIFVDTRTRGFVTVRDGITMDVWVDRDERGDGRDEEAKAAFQRVFLLSDVNPFFLLSIHVIPFGENK >PPA12699 pep:known supercontig:P_pacificus-5.0:Ppa_Contig230:4658:5719:-1 gene:PPA12699 transcript:PPA12699 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLGFFTSFTLRRWNAISSTSSQDKFLSIVAPSKSTLDDITQNGKIVIKLGHIGAINALRNDERLLGISRKSLHKEGILGDDLDIEIISQNGCGDSYEGVAVAADMYHLQKVKAFIGPYCNAEIDAVARMAAFWNLPIIGYMAASSALADKRAYKTLARISTRSTNSIAEATCALLKHYRWIKYIYVAIVTNIGAVSYDRTVAFEEVFHLRGVQVIKKVE >PPA12715 pep:known supercontig:P_pacificus-5.0:Ppa_Contig230:116086:119032:-1 gene:PPA12715 transcript:PPA12715 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDVSTPNRLTKGGPRQYDALRKWGNKRDKKKMKAKPSTTVADGYFQFSANFVQVKPALKQRATKFHDDYLACKIEGAAALKELNAIVKENYVIWLKALETERKCK >PPA12709 pep:known supercontig:P_pacificus-5.0:Ppa_Contig230:65631:67208:-1 gene:PPA12709 transcript:PPA12709 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIAIRNCFMNSGMPRYRPDVDWPVGSPMDDPVAVELAEKYGKTPAQILLRHLIQRGIAVIPKSTNPERIRQNIDVFDFELNLRLDDEDQQRLLNVQTRVRLFELRFCAGSKEFPFDDVDWTMKGVDY >PPA12704 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig230:23051:24455:-1 gene:PPA12704 transcript:PPA12704 gene_biotype:protein_coding transcript_biotype:protein_coding MIEYNGLRALVLIVYALPVCTQIGRNQDVSAQAVKESVLFTTACCVYFVTSTFYRISTQTSFSDRTKMLQYRAMKLLIVQNMND >PPA12706 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig230:57738:61130:1 gene:PPA12706 transcript:PPA12706 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLCLTIMFLPQMGIAIGCSTLLVIGLDRMISVKLPTQYKTMDRQVTNVFVLMLGIHSMVHNSKLLLRIAIA >PPA12702 pep:known supercontig:P_pacificus-5.0:Ppa_Contig230:11955:12561:-1 gene:PPA12702 transcript:PPA12702 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMYFIGCIIDMLTFQAAVPLVQLYAAVIFSLEFMDIIRNPALELSEHLCCIQSAPIETERKCYNSQRSINKI >PPA12717 pep:known supercontig:P_pacificus-5.0:Ppa_Contig230:124120:126516:-1 gene:PPA12717 transcript:PPA12717 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGCIDTHNVWAQSIASIHCAIVSDLDTGHINQCFPQAIVQEIVTLSDLWEILRARGKHMVQGLIRGSATSASLVTVRVEAACTIEREQIETASMDETPICESEKFAISNKEIGKEGVAGVVSLCWVDWGERGKPLRDTNNPPRGLLYHPTGTVADRRRVAARADVQIELTSVVGRLFIKEEEPSQEAAEETGNAAEPEPAHAPSQEYDTLLRFLISQPQNGILPEDPGLDDSSTVPDACPSFEMVRPEDLPTWDPRSEYANDPGFRTTDRVVWLTPNAVYYQNMPQHNPNNQ >PPA12707 pep:known supercontig:P_pacificus-5.0:Ppa_Contig230:61191:61594:-1 gene:PPA12707 transcript:PPA12707 gene_biotype:protein_coding transcript_biotype:protein_coding MDIARYILKTAWIGAILQEEYESLSQTANDNFSDSPQRRISRRFIRSLNSVLGRRNGNVYEALFRWAKASELNYTDKMMEENRAKM >PPA12712 pep:known supercontig:P_pacificus-5.0:Ppa_Contig230:89278:92882:1 gene:PPA12712 transcript:PPA12712 gene_biotype:protein_coding transcript_biotype:protein_coding MTILKIIYGDIKDEGGNADVVVNASNEAMVIGDGVAGAIGVACGEPALTCDCKEFIRELKEVHNMAKVPTGSMGVTGAHAMTRAKAIYHAVAPICASRNSSTLDQARQLRMAYTLVFEAAAAHEYKVVTLPFLGAGIFNYPREESVDFALICALNACSSITSVEEIRFVSLNKELVQRMHNMLGGYSAIHGEDIPRKIKLDTVKKADKAEVDYFIVFGDYCIDPNGLQIDLGPFGAFKDQIVHALSQSSQSDSDWASATQLKGAVMLWSGTDLYYLQQRLVILIALHDSYRLLHLFETSIFAITTVKLHPLLMERAKDEDDSCVLSEDGFKMGYRFSNVPENGFKINCFANAAVNAILCIESLMQQLINAPGRNRVVNLLLRAYLEDRSDGHVPIHTSSAIRQLLYPANAQDDAEDMVSKIIENSFNIRSSVGRSILGQFEVSVNLKVHCKGSCRSANWNSGMINSHMLQIGYNDDQAGTIEDQMKKMAVRSVFGTARGCDNHMPRYLVVSIEVVQYAGRTIVPRRIPISGGNAKKMKIFGKEYSMRGAVEYINGGYGDISSGHYKFWRKTSSWMIVNDDMYESIQRQLPNNWLGMRVLMFEMI >PPA12701 pep:known supercontig:P_pacificus-5.0:Ppa_Contig230:8560:11439:-1 gene:PPA12701 transcript:PPA12701 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNTSFRGKTVIITGASRGIGKAIGLRLAKDGANIVIAAKTATPHPKLPGTIYTAAEEMEQAGGRALACIVDVRDQASIQKCVDETIAKFGGIDILVNNASAIALTNTQDTDMKRYDLLHSINTRGTYLLTSDLSLGPVTIREEVKRINGYRLLFSQHQTVPAAPEEVGATRSQSARAQYFAAARDGEPLLCWTRRVHDCQVSDLFHTFDDSRRAERAEGNEVGCASSGQSSTLEHPHLDQTSVSDI >PPA12718 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2301:143:1761:1 gene:PPA12718 transcript:PPA12718 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTRHLHIRATYLDIITDMSLKTLLSRIRRFIATYGSPSTIVCDNASSFVALNKLQEAFRESERNDISDYCARKGIQFHFITPFTPWSGGVYERIVGLTKTALKSVLGTRTLLLEELSTILKEAEAVLNSRPLTSIGSDLDHLPLRPMDFLRPHASLSLPRLQTERGEDDTDKDWRPRQNLRDSVVDSWTHSMTILNQFWKRWKREYLTSLRRLVNYDSPQYGDVVIIADPALERGQWKLGKIIQIKSDRSAIVKTATGTLHRPLNLLYKLEIEPQSKPITDQNLPIIIDQNSTKDDNPKPIPLRRSARLNPTLTLLATIALLSTLGSASDAQCPQETSAQFHVIYVTPCTQHGFGVATTKDISSDTQSVCWLRMQCPNGHLRLPNPNETNSNYCGPQCKCPEWTRTCSFYNGIHVNFSTIAHLPSELRNFVPSHVCSFKKENHCDNNALFGLFSQIELMDGTSLIVPKLDVATTDVYSPDDHRCYDMNGKELLHFVLSTHNPVIGSSSFCRVHSCTTKDKATAFCFYGEK >PPA12722 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig231:58322:59056:-1 gene:PPA12722 transcript:PPA12722 gene_biotype:protein_coding transcript_biotype:protein_coding MKERGWDEEKERREWVKKHDELTKRIPIVWKDINDGMCACTGSHRLFGYEDIVDAKEVLAHLKIV >PPA12720 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig231:18828:22391:-1 gene:PPA12720 transcript:PPA12720 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLDANEDREKWLERKIRDQSDLVKAFVDCNASAAKVEEERKVLRKMENARLKYFMAKIRSGDSDDKGKTNKEMESRTVISNSLEKVKMNISAELNIASGNVSANGVYHSGRERERGSLHLAISLQSIPAPQILTPHMADFVEMMLEPLPSSWNRSDDGHSLSSLPSHSSSPSPPSHYSIIDTNTLPFDFLILLNVDASEIKFLGQQPRSSAADCRLQLPSLSLAASSRLLSDRRISLDVSASLSKFSLSVYSPHQL >PPA12719 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig231:10361:10627:-1 gene:PPA12719 transcript:PPA12719 gene_biotype:protein_coding transcript_biotype:protein_coding MMWETAIAETSRDIGKEGKKEKEAEEENYGVGIGYWQSLLDMMINIQHGGLLPFPVGDGATFLRTSIRMFA >PPA12721 pep:known supercontig:P_pacificus-5.0:Ppa_Contig231:54739:55200:-1 gene:PPA12721 transcript:PPA12721 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDFTNYRQWRLVKLLLVSNAVKDNLLKDKGTVKGEMARVRAQATLPSCPSCFLSLLLLKHISSEESIDMEDIPFNYMENPVRKQALMVALSNWQSPIAPFIPVI >PPA12723 pep:known supercontig:P_pacificus-5.0:Ppa_Contig231:79615:82144:-1 gene:PPA12723 transcript:PPA12723 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpl-17 MTRIHYTAQPENSTKSCKAKGSDLRVHFKNTSEVASAIRGMSLKRAQRYLANVMEKKEIIPFRKFNGGVGRKAQCKAFKTTQGRWPVKSCDYILQLLRNAESNAEYKGLDVDHLVIDHIQVQRAAKMRRRTYRAHGRINPYMSSPCHMEVILAEKDDVVSKPTEAPIHVKKESKKKARRQIASGEN >PPA12724 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2310:526:732:1 gene:PPA12724 transcript:PPA12724 gene_biotype:protein_coding transcript_biotype:protein_coding TPRGYSAGSRQASVVSGGGASSSATPTSGSAHSFPATSASSQSINSVIAVVPGGGGGGMAGGGADRRPL >PPA12725 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2315:315:739:1 gene:PPA12725 transcript:PPA12725 gene_biotype:protein_coding transcript_biotype:protein_coding PAASLRSRFLVRLLPRIVNCRNYGRRHPPPSCDLVKKTEELPLWTTSQTIPIPI >PPA12726 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2318:57:884:1 gene:PPA12726 transcript:PPA12726 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSIPGTPKSAAVATPGVTTATPKGANEFNFEEIPATPKSAKITFEDEPAPATPKSAKTPRTPKTPKTPKTPKTPKAEEVVEEKEPAAEESEPVVEDVEEVIEKVEVAPLTEEAPAEDEPVAEEPVAEEPQEEAQEDEAPKTPVSRREPSASPPPRARRQASPSPPRREAREARGEPSARDHVCYDEDSYRT >PPA12733 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig232:18633:19540:1 gene:PPA12733 transcript:PPA12733 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRKLPKTVEALEKTMGSVILNDGTPQAFLPILTGKTERELPNTRKWSQGAKFVSEVYPFIWNNFSSSGYATAFIEDSSNAVGKAS >PPA12730 pep:known supercontig:P_pacificus-5.0:Ppa_Contig232:6045:9041:-1 gene:PPA12730 transcript:PPA12730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-spdl-1 MDELTVRNEALVRILREREEQIQQLQLNLRRCESKNAMLEEMAQELEDDSKRIREELAEYKEKVGLRSEGAMRGLRDDMTRLEGELADSNSARRQLQDNVNRLENLLEEERQSRPIEESFHPDTSICTSHEEEIKSLREEIEEAVQWRLEVDTKVKMLERELMESNDRAEDAIHDGNILRKNLEEARDQLKNLECDLNAVKHNTHFASKGNSMFSEFVDERKKLEKELKQLYEENLSLSCRISMVTSTDELRKAGIDEAKRYYVENDRLRRSVESLKMESMNSKVDKNTWKEKFEAQTREVDRLKDLLNTLRRKHSELSRPSNRVPDEEVVKMCAKPTEVPHTPATASGASVSSRSAAIPSSALKRPLFPPLTPATSSVKRPAHEMNAEKRLEEMNALENSQRAAELSINSSVRRKAPVKKPRFQTNMVKSDDVTEVMKVDGGKKEEIEKIERKSPVRGLRNATPRDESDEKSNCSSNGDKTIEEVKKKEDEIEEKDDEEKMDEGRENHDPSFIMSKERMAADSNLEETVLDETMMIN >PPA12731 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig232:12019:12821:1 gene:PPA12731 transcript:PPA12731 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVKTASERHYRPPWLWGRSGHLQTAAYGLLGHATLKRTADRRIVVKQPDGASLTFDVFEPLAKHPSGLDITLCLTPGIANSSESNYIRTCVHYAQLCFDPFHLLPNIVDPLDTLCKLKRNEEFAWDHK >PPA12736 pep:known supercontig:P_pacificus-5.0:Ppa_Contig232:32838:34687:1 gene:PPA12736 transcript:PPA12736 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-car-1 MSNQTPYIGSKISLISKLDIRYEGILYSVNTAESTIALVKVRSLGTEDRPVSNPVPARDDVYEHIIFKASDIKDLMVCETPKPQTLGGLPYDPAIVSVSNRPEPAQSATSSRPNTPNRSSPASIPSNKAPGAGRMVNQPRGGAPGQPVRGSYQPQRPGYNNQGYNRGYHGPQPLMGRGRGMFQRNQPQNPREKLRFDSDYDFEKANEQFQETLSDLLKDVTISEKEGENEEIKPDETTNASEEEGEPFYDKTSSFFDRISCEALEKAEGKKSRPDWRKERETNQETFGHQAVRSLNYRRGFGRGMRGGPQNGGGRYNNGFNSYNNNGGYNRGYNGGNRGGFRRNNQQQAQA >PPA12746 pep:known supercontig:P_pacificus-5.0:Ppa_Contig232:77546:78175:1 gene:PPA12746 transcript:PPA12746 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERCPLWRKNVSLVEEEVEFEEGPISSLAEYYGETHIFKVWVCPNFGDASYSFDKTPVEIGIRGAVIFPRVDVKGDVKGCEGV >PPA12740 pep:known supercontig:P_pacificus-5.0:Ppa_Contig232:45342:47289:1 gene:PPA12740 transcript:PPA12740 gene_biotype:protein_coding transcript_biotype:protein_coding MYERNEEEAKFTIAAREKEIEELRSSIANLQSRLEWAEAKAEIGTEKEDHLEKLRVQLQRATKEAKSLLGYTPSGGMEGGEKRSDEGGGERQEEIRLKRSLMDSELALSLVHSQLNECREELKELERQTEEKERRVEEALREAASLREVRDDHISRLTQQCSLLHVELGKYAQLEEEGRLIAIQSPSSTHKEEEKEDDHRSVKPKTVPRKSRVKSGEIRDQEGADIEEIPPSPPPKRGPVRIPGTGEEQALLISNLYYDSIALIDELETNQRRLLESDRVINDGSKRLEECRAQLRLAYEYIATREREIMGGGEKEGDQKEEEMERIKIENEQMKVRKEDYPSVTERIIEVFVESIRQSGSELERRAEEMNRKLIGENLSRLRLSRRLVRIEKRLELEETTNRLLREKTLEMKSERAMEMALLQRQLTNRGQKRHDYKEMILQCVPKGEYINFDPSTYEY >PPA12747 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig232:78757:78980:-1 gene:PPA12747 transcript:PPA12747 gene_biotype:protein_coding transcript_biotype:protein_coding MIVQVQKGDSIDIWQEVCEDNAELAYAIRVEVKDYEVTSAGYDIHVHSWKKFLVDNWR >PPA12752 pep:known supercontig:P_pacificus-5.0:Ppa_Contig232:91534:92575:-1 gene:PPA12752 transcript:PPA12752 gene_biotype:protein_coding transcript_biotype:protein_coding MFKVPRASELEKTNKTEGRLGNVKADSLKTTITMFWETEEAVVDTLGVEAFRVSIGQNALVGSYCSLSSQGCLVAAKTPPEVQRELAALLQVPVVAGTLNRGSELVGAGMVVNDWLAFVGLDTTSTELSVIESIFKLGEQAPSAISNNLRDTLIESML >PPA12741 pep:known supercontig:P_pacificus-5.0:Ppa_Contig232:49220:52005:1 gene:PPA12741 transcript:PPA12741 gene_biotype:protein_coding transcript_biotype:protein_coding MRETHEVVARAYQDQLSEKERALVNLRRKLEKEMGEEKIVKEIVRERDESSEKEMEELRKERARLGRLVEELESSNRRLFEKSKREEIHPIKEISIQTEPVSMIREDTFGDEIDHTNRTRGDMEESGVSFDDSRRSGGIMKGGGDRTKDDEMMHMSELLMKAEDDLRRTNTRFEYEKRTNKETIAKLTKANKVEDWKELSRACEEIKRVAMEEVRERMSGRDTGRSVDDRLSLAREESDGLRKTNERLKKTIETMKREYDEEKRQQSGGKAQIEQWHERKRFEETIDKQRKEIKRLSTRDKAMESELMKRDKRISDLEGIESTRIRTITHMESQLRTLRREKSAASIENAESSDLRSKIRLLEEQLMSVRMELNDMRIRNGRLLAERKEERKEAKKKVEEKEIKVPSDTESEKVKEKERENSLKSMERKEVNELRVKLSEAQKQYKETREKLTNIEKEYKETVERHKLIVIRLEKDSVPVSGIALLNDKLQAKELEIRNLKSRIAELERGKERINESDKA >PPA12734 pep:known supercontig:P_pacificus-5.0:Ppa_Contig232:20277:24614:1 gene:PPA12734 transcript:PPA12734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ztf-23 MLEFLCTGARVSHGKKLAHFRLFIPQEVLKYSEAKDAHGAKLNGKAEEAFSFYQIKFSTKPGRAIYEATVKHASAMDYPVVFKCCECHIEHESLSQLESHVWNRHLGTWPYKCAICNYPALSDKPLSAHFADEHPNMPVEFKRNINVECQLRSFITHSICVRLDELYDAAENEVIYEDDADQEGLGDLDALQPADQEQYHLEQGPYGHNLRRRRSGDHKELDDNDQCDDDRPPNLKRIEDDPEIIYLDRIGRQMAGQHNPDELQGGEEVITEVEVEDEMELADGVGVDEMDDGMMDSVMEDRRLHQQRFVYDEDGNLFDQEEMEGYLEEDEQGNLYYDHTTGGRSDIHDRMMKNRHIDMTVRRIAENPGDLRKKPAVEFHCDICGKLLKYPSRIEAHRRMHAQEKAFPCPHCDKAFSQKSSLNVHIRTHTGERPYACTWDCGKSFASSSALKLHEKSHSGERKHACSICGQLFSKKSHANRHEKTRHAVTMINDEEAEPLDPLTLEEKVLVDEVIESVRTERYNKQYIRQHRLMVKREDDEELHE >PPA12749 pep:known supercontig:P_pacificus-5.0:Ppa_Contig232:82264:84974:-1 gene:PPA12749 transcript:PPA12749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-selb-1 MNIALLGHVDAGKTTLARALTQIASTAAFNHAEGRENTVDLGYSSLTVADQRIVLIDCPGHAALIRAVIAASSVINGAIVVVSGVKGVESQTAEHLLLASLCCPSRMVVVMTKDDIANGEQMKAAEKSVKRAFKTLGVKEIPKMIRVNLASEETKEEVVNRVKKLIEEIISRDEEGPSTSSSTLPSPLIAIDHCFSVKGKGSVMTGSVLNGEIKVGAEIEIGVTKERRKVKELQSWKEGRDKMHVSCGYETEMATIRFGREEEDGSFELLPTLSSPCTAFISLARPIFSPLGSFYVASRLELQSKIGVVSSVVALPFTVFFHQWRVDLPVSSLVKGEKESNRSKVERVHDSRTLIVKNLLKKETKQSIFTAMEVRLSSGSIGKIEGPFGASGKTRVILSESLKEEEKKKMDEGGVKVNWNRDGIV >PPA12744 pep:known supercontig:P_pacificus-5.0:Ppa_Contig232:60845:66926:-1 gene:PPA12744 transcript:PPA12744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-spg-7 MDNEAPVLTASEAARFLSKDKKSEERIVEALTRFASNPFGKFGLGGDAKKTDSEEASSSRSDSSKNSDKKGDGKKNAAADEKEPNNYVNIAIAGAALVVVYLVVDYNSYKEISWKEFYSDFLEAGLVERLEVVDKRWVRVVGNSSKHPGLTCYFNIGSVDTFERSLAAAQHHLGTETEQQIPVLYKTEFDLKREIPTLLSAAFPLLFGYYIYRMLKGGGAPGGAGGRGGGGGLGGMFGGFGNSTARVINKEDIKVAFKDVAGCEEAKIEIMEFVNFLKNPQQYKDLGAKIPKGAILTGPPGTGKTLLAKATAGEANVPFITVSGSEFLEMFVGVGPARVRDMFAMARKNSPCILFIDEIDAVGRKRGGKGGMGGHSEQENTLNQLLVEMDGFSTDESSVIVMAATNRVDILDSALLRPGRFDRQIYVPVPDIKGRASIFRVHLGPLRTSLDKLELSRKLSAHTPGFSGADIANVCNEAALVAARDANQEISLKNFEQAIERVVAGMEKKSQVLQPEEKKTVAYHEAGHAIAGWYLEYADPLLKVSIIPRGKGLGYAQYLPKEQYLYSKEQILDRMCMTLGGRVAEEIFFSRITTGAQDDLQKITQMAYSQVVKYGMSAKVGPLSFETAQPGEMAFDKPYSESTAQLIDQEVRDIVNLALQRTRELLLSKRDEIEKVALRLLEKEVLARGDMIELLGPRPFLEKHTYEEMVAGTGGMDEDTSLPEGLKSWNEAKEKPKEADTKA >PPA12743 pep:known supercontig:P_pacificus-5.0:Ppa_Contig232:55118:58808:-1 gene:PPA12743 transcript:PPA12743 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dnj-22 MPPSSTMDPYAVLELQRGCSEAEIAKAYKKQCLKWHPDKNRNNEEEASKRFILAKEAFELLFDKTARADYDRKKEGERVRDEKQRARMEKADGDRKRFMEDLERREKEFEEQRKRKSQDGRPKTAADKKREEERAKESFDEIRRRLEREVNEEIKEQQETFRRVRDEHAAAAAAAVKPRPSLRVEWRGGDYDDKQLRNMFRRYGKIAAVTGILLKKNGKGKMCVVEFESGENAWGAELENGREGERFKASWMVEPEKEEETKEVVKEEGTIDGGRGGGESTGPDLNGLSFEELQAMCFADLAPPTKKYPGWVEAAEEEEDPSPLRGTQIGRSLIPLEMTTDRMYMADVKAELRARFMESSFNLDEDVEKVDIKRYTDKYKRTVKERFVPDADRVPDELMDKKDLKAARKIGKKSKKLEEGDEEMIKKKLMRLEENEKSGDEEGGESDASDEESEKEEGAAAGAVSEDDMEEDNDYVHGYFDNGEGYNDGSDDNLGDDDY >PPA12755 pep:known supercontig:P_pacificus-5.0:Ppa_Contig232:96489:99269:-1 gene:PPA12755 transcript:PPA12755 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pnk-1 MDELLLSSSLRRENTLDGKGNDFVTKRTTSISGSRGAPFIVLPKEERFEILREKGRFAVDIGGTLVKLVYSSVGPPDGDGTTDLLLNFRKFQSIEYCIDFLKDVWQDRNSECYLNGTGGGSFKYAELLQKELGVKVKRTDEMLSLITGCDFLLRNNEDESFTYHHEAEGLERYQYKPIEEKAIYPFLLVNIGTGISVLKVDSPTSFSRVGGSTMGGGAFIGLGNLLTSARSFDELLLLAEKGDHRQVDSLVSDIYGGDYDHLGLAAEVIAGSFGKCSTLNHRRAQGLCEDAKEEDIAKSLLLMISNTIGQMAFLYSNRFEMRRIYFGGFFIRKHPISMRTLSYAINYWSKQSDHSSI >PPA12750 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig232:85453:87123:-1 gene:PPA12750 transcript:PPA12750 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLVTGAAGFIGSHTVLELLNAGYDVICIDNFSNSIADEKGEAVSLTRVSQLTGKQIPFKKCDVCDEKELEEIFKTEKIDAVIHFAALKAVGESVQLPLAYYRNNIVASLNLIAMCKKYEVRNFIFSSSATVYGTPEKLPIDESCRVGVGITNPYGQTKYMMEQILRDVGAAPGENWNMILLRYFNPVGAHPSGRIGEDPKGVPNNLMPYVSQVAIGKLPVLTIYGDQWETKDGTGVRDFIHVVDLAKGHVKALERMDKKGSIGTEVFNLGTGTGYSVKEMVTAMEKASGRTIPSKVGPPRVGDIASVYCDPSLALEKLEWKAELGLEEMCRDLWKWQIDNPNGFLAA >PPA12754 pep:known supercontig:P_pacificus-5.0:Ppa_Contig232:94164:95561:-1 gene:PPA12754 transcript:PPA12754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-24 MGAIALLVWGMLSIQMASSLTCYNGMKLIRGQSVGQQTEECAAGASCYNMTSSAAFVVDVVKAGCSQWRCMMAKDRCIGININFVPVSLCCCSHDRCNVMGNPTYSSGGMGGGSNYGGGGYGGGGGSGYGGGGNAGETAGGWGAPGYPGVKAGGEQGGSPYGGGGGGYGGGGYGDQNNNNNNGGGSQMGGSAYGGYGGYGGDSAGKVNIGMDNAARPQNNNKKPSLTKEQIETAFRNFDVDNKEHGTGGGGDVEEESFSMVDVRKTTPRPRGPAAPGGKINL >PPA12728 pep:known supercontig:P_pacificus-5.0:Ppa_Contig232:3498:4558:1 gene:PPA12728 transcript:PPA12728 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQTKPTQAASQRSQKEVAAPAVAPSTPGAGPIDGAKSANDDFVRFLYAPHGPQADAIISAVETNTKLKREQIGYAVGGAIGLLLIFGSIAQLICNLIGFGYPAYVSVKAIRSPSKDDDTKWLTYWTVFGFLCVIDFFADNIMIIFPVNTWTLP >PPA12751 pep:known supercontig:P_pacificus-5.0:Ppa_Contig232:89762:90622:-1 gene:PPA12751 transcript:PPA12751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-prx-11 MSLSPPSLDALCKSMNSYAGRDKIVRSLAFMSALQAFSSQPSKEWAAFAKQLSSARLVFRQFNHPGMIKGCLGLMQKAPEDEVERYCAYTVTGVYTVYGIVECVAWLADAKLINGDSVKLFRYCLYLWLTALFAGIVKEDLLLLISFCCDFTSGVNSLPAGMLWAGKLQPKTTAKFSLIASLIGFYRTF >PPA12739 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig232:39680:40698:-1 gene:PPA12739 transcript:PPA12739 gene_biotype:protein_coding transcript_biotype:protein_coding MIHDYKYDCDDPKYIEKRKNDYVLNNNFLIDPSLKLLVEWENYPNQKDWTWEPMDHLYHAYESRMKVMQYMKRRFYEGEEEDADDKKTEDEHHTDWVNFAKKYSHKISFISSVNDIYPLAVQFTNEHNDEFFKIKKADVKKNSDDIVMLSE >PPA12732 pep:known supercontig:P_pacificus-5.0:Ppa_Contig232:13933:16559:1 gene:PPA12732 transcript:PPA12732 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHLSSAYPNTKFISIGFSMGGNITTKFIIESDEKLRSRIIFGLSVCQGYSATNSVHMYHDWENSRRAYNYVITENMKRLLRRNYDQAVAPYVENGVVDEQRLWSSTSIVALDELYNRRVNGFDSLESFYQWCSCLPLLDNLKVPMIFFNAQDDPIIPRSLWEPVKVVNRFHLLPTVILSQKLASHKEDVAFITTKHGGHLGFLEGSSFAPKSVTWIDRFIVQMCDSAQALTSFLYDSHGPTIDNFLIETEKKTGMKREQLFLISASIVSLYLVFGDLAHTVCNLIGVVYPSYVSVKAIRTDEKTDDTKWLIYWTVFGFFSLIDAFAKGIMHAFPIYFLFKALFLMYLFMPQTEGAEILYNNYVDPAVTLFDNWRNGKAKSEVKTEVAQKKK >PPA12738 pep:known supercontig:P_pacificus-5.0:Ppa_Contig232:37123:39519:1 gene:PPA12738 transcript:PPA12738 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGAKKDDKTVQVRKAPSAKKKGAATTPLPKKKGAGGKANTPDVDVSKDSTTMTGGMEEGYRLEDRTSADASMKKKSPKKKSSGRMDKLKNIFAKKTGGRTTPRTSKKELGKTTGPESTFRHETAETVPSENSQKRNTGKLVVDEKTSNMLRSRGRGKGDNQEKASNVRRRESAKGGAKTPKKEDGTKDESKEGTMDVDDEGESKLIPIPKKKQSANTPQAEVMKKEREGKTKGNTMVTARKKTSVGAPGVTVYDAGIDKTLAKLSVLRELEARPWTECEEDFKCNLKINPEVNRDTRYVISFNVPPDGDFYDANKVEISGLENKFIIAAAPTPEISSRENFWRMVYDATVANIFYVEDYKAAGAHFVPWKAGEAKDYGKMFVSNKKSTNTSDGVQSVLEVLPEGCSNSIIVRFVQCHKWPETMHRRSSNFTTLHGPLQFIRLLKDDKGLTLVVCATGCGKSAMFIMLHAAITTLNGNGVPLKVKLIFDLFSFLLSVKVNDLLKKIRNDRWGAIQSMEQYLIIYQLYIYYIACKSKPNTEPKKLCQELIKSLIVFPVKK >PPA12729 pep:known supercontig:P_pacificus-5.0:Ppa_Contig232:4847:5676:-1 gene:PPA12729 transcript:PPA12729 gene_biotype:protein_coding transcript_biotype:protein_coding MFDEESDVEDQFAPPHSIAVSEYVEHESVIEGRGREPYSPPQSVIEEVYEEEEEYDEGEGGVRNMGNLSTVQEDDEEREETRRTEQNSASFVSGAQAINRINEDEMTRRKKHEFIENDPRFNNTFNPKEHSWEPSPYHSRIEERGDQENHPLRGDSRSILPSSFDTSKSNRSANKSVKFSEKT >PPA12745 pep:known supercontig:P_pacificus-5.0:Ppa_Contig232:74068:75439:1 gene:PPA12745 transcript:PPA12745 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGEYFTPQQLGRHCKDSIDHTSLAKVGIVFFCVQCKKWIADDNLITHHSLSCDLLSIVLKIRERAQKENPGTYIGKMEKKEEKEEGTVSITGNTHEEKEEKSKKKEVKKDQDKKEKTDEKKSTEEKKEKSSEKEVKKEEKGKE >PPA12737 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig232:35747:36461:-1 gene:PPA12737 transcript:PPA12737 gene_biotype:protein_coding transcript_biotype:protein_coding MWLMHNGDGDIPDSDMEGMEDIIPMVDIDTADITPIGMASNGLGYGNQFGYGTQLGYGQPYYSSAY >PPA12727 pep:known supercontig:P_pacificus-5.0:Ppa_Contig232:719:1607:-1 gene:PPA12727 transcript:PPA12727 gene_biotype:protein_coding transcript_biotype:protein_coding MRELDFGFVNVGDSRIITFKARSALDIPVTCHYSLKSDTKQQKERKREPLFTILDGTEVIIQPRGDICVKVEFTPQKIKTIGSGGRAHLELVKRPGLLVHRSGDHVLTTDSDGPITLGMENVGKRSMYVRAVVLDGYGKKMEGDIFSPSHSFVIGANVKQEARQCEIPCQPV >PPA12742 pep:known supercontig:P_pacificus-5.0:Ppa_Contig232:51823:54411:-1 gene:PPA12742 transcript:PPA12742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-crn-1 MKSFFGRKVAVDASMCLYQFLVAVRSDGSLLQTADGETTSHLMGIFYRTIRMIDNGIKPVYVFDGKPPDMKSGELEKRAQRREDTEKQLKEAEEEGNAEDIQKFERRLVKVTKSHNDEVKQLLRLMGIPVVEAPCEAEAQCAELVSSGKKYPPPADWPFKRARELFITPDVKKGSEVELVWKEPDVEGVVAFMCGEKAFEYVNWCRETRIRNSLAKMSKGRQAASQGRIDSFFTTQKTVKVETLSTAAARKRKADEKDGKKRGSAKKIKIND >PPA12735 pep:known supercontig:P_pacificus-5.0:Ppa_Contig232:28330:30234:1 gene:PPA12735 transcript:PPA12735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mod-5 description:Transporter [Source:UniProtKB/TrEMBL;Acc:H3ESG8] MHKSNGFDQLGGIKPSLAFCLFIVFVMVYFALWKGPKSSGKMVWVTATAPYVVLTILLIRGITLPGASVGIKYYLTPNFSVLKDPQVWSAAASQIFFSLGPGFGVLLALSSYNDFNNNCYRDALVTSFINCATSFFSGFVIFSTLGYMSVLTNKPVDKVVEGADDSLIFIVYPQAIATMSYSPVWAVIFFVMLITLGIDSTFSGIEALITGFCDEYPRILLKRREIFVGVVITIYYFGSLPGVTYGGSYIIPFLDEYGVTLSVLFIVISMLGFYPGIYWRFTWTFSPVMIAMLFGITVYNVNFSPLEVGEYVYPTWSVYFGWFLRLLSIMAIPSYMLYLFANTKGSCTERPVIMFPVLQSILNGAKYFFSFLT >PPA12753 pep:known supercontig:P_pacificus-5.0:Ppa_Contig232:92914:93505:-1 gene:PPA12753 transcript:PPA12753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-eif-6 MALRVDYEGSNDVGVFCNLTNSYCLVGVGATQNFYSLVEAELADVIPVVHTSVAATRIVGRVSVGNRKGLLVPNATTDQELQHIRNALPDEVKIRRVDERLSALGNVIVANDHVALVHADISKVRFTS >PPA12748 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig232:81503:81900:-1 gene:PPA12748 transcript:PPA12748 gene_biotype:protein_coding transcript_biotype:protein_coding MFNIRRVIPTICRFETSLSRLSSFSTVLPSRTTVPFQFVSRPISTSTTVWKKKEVIDKEEENDEDDDGLPKDYNKKTIKIGSRRLDTFVAKSAGVPSSCLSI >PPA12756 pep:known supercontig:P_pacificus-5.0:Ppa_Contig232:101481:105595:-1 gene:PPA12756 transcript:PPA12756 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEAGEVLDEVEDNESPEKKKDLFPKTISLLDEPQPKKKKNNILAKYASLGWGDMVTTRVATHPERDTRAQPEEDHRRRYEDRPAYRDMPPPAPSKPAISAMTPKELHTESCRLMSMQGPKLLQLSNSELQALVVEAVELETAYQKHFDRTNTLIEQVNEMARLEEGKLESLLQDVTSYPPTDLPPMADILVANVRTLLDNRRKAREPAAMQQPMMQLPDEPLPHVSHPYAAPILAPSFNPLVPPPGVGGAAPPGMMMVNGPPPSFNPSAPPPLISSSSASGVSNPSVPPPLPTDFSMPPPDFSRPPPFLSGGLPPSMALPPPEFSTLPPTMNPSIPPPNTSIPPPMDLSKPPPRMPMVRLVFHDERSPGQGDATPPHGQSGALSAPPPAPHLIGSSSVDESWRSGGVASPAYEALNTNAGIQAVVRVLSSINSPQTPMRVKSMGTPSGGGGGDQPQSLMSLRLQPPPGTSPLVGSSPRVERSRHDSSHGRHVSPSPQKKGNIMSTPVKRERSIPPPKTPPIHETEGVELSIAAPLLAPPPQPLIQDDTSIEEMPPIQEEIQSITETPSIEEAPPTEMSLPVEDTFPLVTPPLETPPTESLPSEEVAVIVKKEEEGVEGEGMIVKEEPH >PPA12764 pep:known supercontig:P_pacificus-5.0:Ppa_Contig233:31585:39846:-1 gene:PPA12764 transcript:PPA12764 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFAPIEWLKDGKRVEQNENVRVLKNNSLYIIDIEESDEGKYACRAESSLGSTYDEVDLVIEEENGSDVRVMEGYESGDERSQDMRMRPFDEERHEIDIKVDKLDPHADLTKYLVTTPIEQAGLEDGVDRYDRQANYRFDTGETVSVGQKVIRDKNGYIRVETKIDGKLPKQARPDKARMHDASVDLTETEPGVIQGNGRSNMKFDNYSIGVRWNEKTRYAPMNDSSKPLIETGKSLNVKASPLGGAKPQIRSRCPEGFRKNKKGRCEDINECLIDDSPCDHDSTCENLPGSYDCVRTCEDGWRVRLDGTCGDIDECEVGSHECGEGEQCLNTEGSYECKEACAVGFELNDEGFCDDVNECANSSTCGRGLNCHNTLGSFTCLCPNGRPPTNGVCKRTPKVKSVPRPGRRPIKKRCPEGFYVKNGQCLDIDECSLDAPCQYECENTKGSYECICPEGYEEVDGECKDIDECAESPCDEEDLCFNQLGGYKCLEQPCPDEYRLDEDGIHCVPTCEDCDLPKVQLSLLSLPSDVETAFQSGHAIARLTAYDHDGKVLNDTDFKLAKETRPDDYRYTRDGAFALKADKGRALVLLSSPVSPGSDATLRVRAKSAVSHAPDTHFLLYVQSRNR >PPA12766 pep:known supercontig:P_pacificus-5.0:Ppa_Contig233:47134:53893:-1 gene:PPA12766 transcript:PPA12766 gene_biotype:protein_coding transcript_biotype:protein_coding MHNTLQCCCVPPRVADQPQAVIVKKGETAELWCEATGVPQPHITWYKDDIKITETAVDKSSETRKATAIFPDVGLEHAAVYTCKAENWAGTSYKEIGLVVLTAPVIIPEKANVTAELRETIYLKCNASGIPEPVISWVRPPNVEIVNNEKFELLGTTLAIRNAVEEDAGFYHCIAKSQAGQALGTRNVVIAGAVPRDPEFIWVECDDSGKPVKTNMVQSRGDVPGGELEHWGHDTAEPSQIPTKCLPGSPRAGRTPVGGLPRFLHTPVSQNVKLGSVFELFCR >PPA12759 pep:known supercontig:P_pacificus-5.0:Ppa_Contig233:8635:10676:-1 gene:PPA12759 transcript:PPA12759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sre-21 MSIIRCYVAYAVAFVLLAFVIERLFATILLRDYERKKRSWIASMEIVVVSTSGMLLSLDTTFKAYLPPGANLVLVVLISLIAGVLAIYLYYHNRKCREAMINHQMHYTLPERYQLDENIKAFKFLLTIAVPGAFLIGGLFMLLIARLLLRFNRPVYFISGAAYDTGVAVSLSIWGLIVFFLQPTWREMFLHEAFRLVNRKRDRAPKVSQRSSTIDSDIYFESLRNAWDSPIPK >PPA12760 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig233:19174:21525:-1 gene:PPA12760 transcript:PPA12760 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTVRKKFSACNAWLSVILYKQPVWREEFIAVVFAILKLDRTKAPRRSKIAASKVLGMEGDIYFRDLSGSWDSHSCSKSSLAAFMSVNIVLMIVRHLNRTNQVAYVLCGAMFDAATAITTAIPEQESIRTKKIPLFDSRSGKSLLPLGLHRVLPATCLEGGVSG >PPA12767 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig233:54616:55903:1 gene:PPA12767 transcript:PPA12767 gene_biotype:protein_coding transcript_biotype:protein_coding MTCCSLKLLLLLLIGLAAAAVAAPRPHPKPSHPAQPRPRFMNPHARVSRFDPDQIMLDIGKMTKGFNELHRVPAFNDKEQQIYDQTLQVMDDMASKFDFGSLYDDDE >PPA12761 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig233:22630:23853:1 gene:PPA12761 transcript:PPA12761 gene_biotype:protein_coding transcript_biotype:protein_coding MYTRTAWQRRLLGGETGKQKLSYAGSRNPADNVVTNRRIGAVTPAKLKRAK >PPA12768 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig233:56130:60775:-1 gene:PPA12768 transcript:PPA12768 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGPYQRPFTMTDGLYMVLNVVEARSTDSGLYVCQATNEAGSSQQAYTLEILVKPTIHSTSPNETSVPAKTNFSLKCGSRGYPEPVTKWFIDETPIEANDVYSIDEEGTLTVNGAPNKQQMFRCTATNEAGEASMEYLVRSISPPTVTKDGQMSQNATEGTAVLLACGIDGDSAEIVWTKDGARLNPSAGITFTEDRSAGTYVCKAKNTAGEAEMKIQMFVGSKFKIITTHVVRSVVHRPADLSHSSNRNM >PPA12769 pep:known supercontig:P_pacificus-5.0:Ppa_Contig233:64452:81173:-1 gene:PPA12769 transcript:PPA12769 gene_biotype:protein_coding transcript_biotype:protein_coding MAQISQQLEVKEGDTKTLVCELEDAEDAEIQWTKNGIPITTSAKLQLSLDRTQLHLLHADPTDSSTYSCTAKNDAGADSSTMELAVLVAPKLLSPDYRTMDVVADQTAELTCDASGVPPPTVEWYFDGKPVFGNDNVEILENGRILRLLNVSLQREGRYSCKAENKVGTAEGSTFLKVAAPPRASIPTKDMKVISGQQATIRCEVSGDPAPEIEWKRNGMVLAQHANQKYLHLREATTNDAATYTCLVRNSAGEHFDSVDLQVLVAPTIDDGDRVITVKENGTLEIVCPASGTPPPQITWMRNGKEIDGSNSTRLLLSSSASSADAGRVTCTARNEAGTASVDFVIDVHSKPRFKARQFTFHLTLAASLTAKITIPSITDHKAEVRVVEGARAKLECKVEGHPTPTIEWLRGGRPITDKSNFLLSPRGESLMILKTQRSDAGQYSCVAKNAAGKSEAGYTVTVLTPPHIIDTIDQNPRVVQGRTFHFSCPVLGNPDPTVAWRRNGADLPIEGRFSVLEGKHLQIEHVMESDGGRYTCVATNEAGTLETEFLPEIIAAPKFDQEGESVYEVIEGDSQTMTCAVSAESKASIQWFRGDEGVILTADMSLSSDNTQLTVRNAKLSDAGKYRCEATNEAGKGIGHLMLKVLVPPKIDESNIIGNPLSILGTRFALECPVSGIPQPSIKWLKEGADVDYSDARISLAQNNQTLVVDESQLADQARYTCVATNKGGRVEQDFQLEVLTPPEMDQTEPANHTLKEGQSLMLNCPVKSNSDAISQIEWIKDYRPVDLSSGNVKILSDGRRLSLSSVSIADAGAYSCVAQNRAGETTADFVLDVLCTSSPCTSPSASIVLGARKAPRAIGRLSAYIGTTPQARLEARKELETPKVRRKGPPYFIVRAFQREVVSSDPVATPQNSIVDAPITFSTLSSSSSHSDDYATRLAAWERREVARRRDEQLRAHHEEFRRRRAYEMWLDRERRVREAHRQEMERREREWAERHRQQLMRRYNCQTKRISCEDRRRMRMARTVPTIDSGKVDLFPRANLGHPITIECPVGGHPFPQIKWLLNGVEVAESDTIKLSPDGQAIEIISVGQKDAGRWTCLVENDAGTAEQDFTLDVWLPPTVTVTAVNSSVKAIGESIVLLCNATGNPVPVLTWNKGGLPIVSSPEGARISQKGARLDIPHLSKDDVGDYTCAARNEAGSAESSVHVDVLVPPSIDRNGVDQSPKLPSGQKLTLTCDVQGKPTPAVKWFINDTLPITVSTGSITLGPEGKFIQISNISLADRGHYSCVTENSAGNDTLVYNVQIVQAPIIANGGTSQVIEGEVGRMECHADGVPTPVITWLRNGLRIEPGISLRYAAEGKVIRDTSSLLLHLFHLVRVHNVLEGLAFRRDIRGFQPVERDQDLKLETLRITMNLGNKLGNPSFFKEETLKKRERG >PPA12758 pep:known supercontig:P_pacificus-5.0:Ppa_Contig233:2956:7998:1 gene:PPA12758 transcript:PPA12758 gene_biotype:protein_coding transcript_biotype:protein_coding MSELEVTHILNEAGGNFSGYDQPDPETSGHAPNGSNLSRYECYEDPNFTIFQYTTDDLLLAASMASTVFNVLVIFCAIKLFKRSGDTMHLFILNMTVGDLILTVFCHPNELLIRKHTFLQKVELCFVVHFFNWVGLAISGLSLTMLNVDKLIYFRWPLSYDRVMSKKKAIGLCGLIWSISLGFILYCWLDQVMYIHHYDCSLQMTQGKKFFYEIFIVLFCVLPVTSSLIVSIYLFKNALDCAVECFQ >PPA12770 pep:known supercontig:P_pacificus-5.0:Ppa_Contig233:92855:93167:1 gene:PPA12770 transcript:PPA12770 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKEYRRRRRRRPLWPIVCPTWTTVILMVTVMAYLPAAAASCVDGEVCSESEAKVCRNGT >PPA12763 pep:known supercontig:P_pacificus-5.0:Ppa_Contig233:28385:30851:-1 gene:PPA12763 transcript:PPA12763 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLPILLSLLAVAVMAAPASNKKTNNKARDVEATLSPAAKKAYAKLKELAKMPYDLPMYRAKRKEYLDSLPKSVRDEVANLAYKS >PPA12765 pep:known supercontig:P_pacificus-5.0:Ppa_Contig233:42023:44295:-1 gene:PPA12765 transcript:PPA12765 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGELWASDDRCKEQPMPWCGARAIGQPEPVIYWTKDGQFVNETGFKEYNSIIRINMTNSEEPLGEYVCHAKNAVGEIHSSATVQAISQEGDEDTTTTTEEPSTSRRIAVLRCASGANADPRSIQWLMDGTPISRASSNVETFNIMNNGSLVMYGVTEQNEADLALYTCKVRNKRRPNDVALMDVDDAAPTVYTLDFRFVQ >PPA12762 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig233:25924:28355:1 gene:PPA12762 transcript:PPA12762 gene_biotype:protein_coding transcript_biotype:protein_coding MLECEQRLRMTWIAWVLVYLLTINPIVNPLITSLIYAPYRMTIKRFLVNIPVGNRPLYQYGGASESAASLRRIRQRRGSSGGEHEMTSLRNTESSRSDLPSTPTTPIKRNPDDRRCSSEEHARPKSSIYPSHGSVGSLNGNFCKFKISHYVSI >PPA12771 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2332:163:1497:1 gene:PPA12771 transcript:PPA12771 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMEIEARKESIHQAMSIQSQPVHDSTTTQFTPILTQNEHMSTVISTLIERLNAPPLPPITLIPFDGESTHWESLYSQYSSEIGAMSHLSDHAKLVYLRNALTGAALRSVEGIPIEGKNLKSTIARLKSVYGRSKRSNTILINQLFSIRPKSFTLEDQLECTQQLINKIHQLEDQSMVDNFALINQIAGTIHSKHLKKMYQLEPSTMKEALFHIESDLREQLEIAKLESTFRSTTRSGFHQPRERPHNPINDKFIKPSITKPYKGPSCVYCGKHEFSHCTTITSISERKAILRDKKLCSKCLSSMHPSIQCDRKCQQCTKPHHKSICDSPSNNHKQNQSTIAASITSNHSFSGIARLFTAKASLQNPINNTSATKHVFLDAGAMVSVITRSLANELNLKPHSSLPMIISGVGGESTSGDVHDVVTVNIMTTKGLYSINALIMDT >PPA12772 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2334:283:1108:-1 gene:PPA12772 transcript:PPA12772 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALTKLEASIKAKKCLPTDIRYKKTRAMRRAHQARGLHQVGQAASHHGYKRETKLLPTLIFIKSVVWKNLFNKEADKLERSNDDDKT >PPA12773 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2337:1066:1304:1 gene:PPA12773 transcript:PPA12773 gene_biotype:protein_coding transcript_biotype:protein_coding MTCAAPANNHTDFAKKEHLFPCLQEDSGIPTEPFLSAFQGLADFVGFMGTAFAPVKSDIAGNVT >PPA12779 pep:known supercontig:P_pacificus-5.0:Ppa_Contig234:50360:50873:1 gene:PPA12779 transcript:PPA12779 gene_biotype:protein_coding transcript_biotype:protein_coding MIWGGISWEGPTPLAILRPRTKVDGGVYQSILHNVYLDWARKTYGGKVVLVQDNAPSHVSESTRGYMQMEGIQWPAESPDLNPVEMAWAHMKQWLQANNKTGSLQLLRDGINEWWTTKLTKDHCRRLILRMQKQMQKVVETKGAPVYD >PPA12775 pep:known supercontig:P_pacificus-5.0:Ppa_Contig234:27335:31416:-1 gene:PPA12775 transcript:PPA12775 gene_biotype:protein_coding transcript_biotype:protein_coding MILLFLALSLLSLLRASDVVQCPGDKACLYFTLCGSNDPGTIEKVEFLNSIRVNAFTPYRCADGTVNKTFQMQFRPTSARDLSIHIESPMTTLRYVEFAMNNVSFKFQHIGDVVAMTEGGKAIDSKLSVTSAADAPMIAQLTFTLAEGSDVADTLAGSLPSYEAFADLKVTTRKGRAWQLPGFGERLKAIVSPEPEKSSGLVVGAVCGSIGAVLLVGGLIAGTCFYLKRHKPKVIKGHSIKGTSPSSKAREVDLGVVRSQRMPVPIGNHSWVEQAGESNKAVADLPDPSLSKEPTVASKEKMSRENASAENVGHIKDSAEPMKQSAEKVVLFIILISFRCESDSFCRPQRRSALDPIMKSPEARTSEVHLF >PPA12783 pep:known supercontig:P_pacificus-5.0:Ppa_Contig234:63430:67479:-1 gene:PPA12783 transcript:PPA12783 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPRVGINGFGRIGRLVLRAAVEKDSVEVVAVNDPFITVEYMVYLFNYDSTHGRFKGTVSHEGDTLVVSKEGKSTHRIKVYNSKDPAEIGWGAAGAEYVVESTGVFTTIDKASAHLKGGAKKVIISAPSADAPMYVMGVNHELYNSANDHIISNASCTTNCLAPLAKVIHDNFGIIEGLMTTVHATTATQKTVDGPSGKLWRDGRGAAQNIIPASTGAAKAVGKVIPALNGKLTGMAFRVPTPDVSVVDLTCRLEKPADMATIKKVVKEAAEGPLKGILAYTEDQVVSTDFVSDPHSSIFDADACIGLNPHFVKLISWYDNEYGYSHRVVDLIHYISGKVCRRL >PPA12776 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig234:37230:40320:-1 gene:PPA12776 transcript:PPA12776 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEGVLDDADSHELLSVVASAHHQRASKMLDLVPLSEELDLGKIALELKGVSNVHGLVNSINCPFVGLIAATCFYLKRHKPKVIKGHSINGTSSSSEALFISSWKSEIESEFHQAFHISAGRETFVAYFQGNTRIRALLLSDRPPLNNIMGLAIECVEKHMIWRFVRSALERAIGSNEVEQIFLLSSGSFSSLQLNGPVQLALTLILGKYYPSASIYFQDPVVNDHEKDWLKDYDNIESGRTIMICNAIRHDTEEEMRQCARKRI >PPA12782 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig234:61089:61562:1 gene:PPA12782 transcript:PPA12782 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRDQYNLNCTFSMKDEQWDTLDGLTCAGGLCSSGRCGLSRLRRNEE >PPA12781 pep:known supercontig:P_pacificus-5.0:Ppa_Contig234:56812:60079:-1 gene:PPA12781 transcript:PPA12781 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTLTKEGGRFHLDATEEEEMLQVWKADPIRFFLRVDVQCSNPQNGPSRFLTLCADKQIKERCAAAVADEHGYKYATFTHAFEKNPGGEENVKDAYNLNCTLGFKNYQESHDGLSCTGGLCKGGRCGIEIKLKKKRSRSAMRVPPLLLLALLVTTTAGKCPWYVCFWNGFGAIEEVYRKPSPRPRGGSLLFGGLEASVAELGRCVDELEETLAGLARKLLGVPSGSHTLESLSAGNTDGVDHLVLGEDLVDEDLQTLLSPLDLVGDGPSVELDLHNVGLLVAVLEKLLLKFE >PPA12778 pep:known supercontig:P_pacificus-5.0:Ppa_Contig234:49276:52169:-1 gene:PPA12778 transcript:PPA12778 gene_biotype:protein_coding transcript_biotype:protein_coding MFENSAEAKKARLQARAEARAAGKKEEVTKRPNMVRFGIQNVTRAIETREAQLVLIAHDVDPLEVVIFLPALCRKWSPNGRTSSSSTSPMTMEIIKADVSTLVYRQDRLEDKVRDIYNDTVGIRHESKSLVQCTKQTAAKTDGLSTAVDKLRDQLPPPPIAPQYGMYEGLTEQAVAALDCREDSILVFAGKLDRALFNRTSRPHQERDQVRLQWLIEVVLYRRRSSVGDQIKYWKSAILQRINLNASREDPISTSGTLYTPPVLLSSSRLIDHSTSRSFHPHPTSSQFSATPSHSTPSHFRATPSPSHGHFMANSSHNQPRIPMRQQGVNFRNRYDPDNFVGSRPN >PPA12780 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig234:53992:54831:-1 gene:PPA12780 transcript:PPA12780 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDDVVKETLTHEGRFRFEETDWERKYRREPDYLQFRFYLKVDVLCWHPQNGPSRFLTFCKDKKIKERCAAATADEYGYKYATFTHAFEKNPGGEDAYNLNCTLGFKNDQEEHDGLTCTGGLCKSGQRCGIELKKKRSVAN >PPA12784 pep:known supercontig:P_pacificus-5.0:Ppa_Contig234:70516:72590:-1 gene:PPA12784 transcript:PPA12784 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRITSEGRFVSFNPISINADIKAATAPADVAVQQQQPQLQLQQEELLQQPDPTAQVHADWLRRRQLQRGPPLCCDGAICVPTHTQEYEDPFRRYSNRWRERQQHCKEVTELKAQVATLLAENASLRQSITNYQIIDRALQRLFPLIFPMLQRTTGDKDGSRDSIDESTGEEETLRVRPASV >PPA12774 pep:known supercontig:P_pacificus-5.0:Ppa_Contig234:23967:26345:1 gene:PPA12774 transcript:PPA12774 gene_biotype:protein_coding transcript_biotype:protein_coding MHEYLEEFIHFAVTLIKVLLVSLFGGIKALLPMGVLPRKSVNGQVCLITGAGSGLGRLMAIEFAKQGCTLVLWDVNEKGNEETKSLLGKSGVKVFTYTLDLSKVSEINSTAEKVKKEVGDVDILVNNAGIVTGKKIFDCPDEMMEKIMAVNCNACLFTAKNFLKPMIERNNGHIVTVASIAGKAGTAGLVDYCASKHGAVGFHESLSAELRKLNASGVKTTLVCPYYINTGMFDGVETKSPTVLPILEPEYVVECITEAVLTNKEEVQIPRFLYLTTAFSAILPTEAKMILADYFGVLETMDHFVGRKGIKA >PPA12777 pep:known supercontig:P_pacificus-5.0:Ppa_Contig234:47372:49143:1 gene:PPA12777 transcript:PPA12777 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTRISLWEEDSCTVAGGSGRRKKSHTVEGGRFHFEEETSELTHALTPNRWLIRFYLKVDVLCWRPDSGAGRFVTACADKQLKERYTSAEAKKARLQARAEARAAGKKEEVTKRPNMVRFGIQNVTRAIETREAQLVLIAHDVDPLEIPYAIVKGKAALGTVVRRKVNGPRMVGPRQARRHQ >PPA12785 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2345:32:521:1 gene:PPA12785 transcript:PPA12785 gene_biotype:protein_coding transcript_biotype:protein_coding KAMSRLAAPYGDCVPDGKTESYIYEDYVYSTEMVVKGCYRTCFQDMVIDQCGCGDPRFPVIGNASHCFVFDPEQRKCLEDRTKDLGNIHSSFKCRCQQPCKQKVFTVSYSEAIWPSQSLNITLGS >PPA12786 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2346:131:592:1 gene:PPA12786 transcript:PPA12786 gene_biotype:protein_coding transcript_biotype:protein_coding VRLLEERDRNITNNLEKVAANLEKVVDALPETSNDFDYKYVAKDIVDLHDANENLLIFATRIDEIIFPNERHLRLEMRDPRKVKWLYEKKNLPRSRQNPKDETSEE >PPA12806 pep:known supercontig:P_pacificus-5.0:Ppa_Contig235:60454:62509:-1 gene:PPA12806 transcript:PPA12806 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKLLACLRSGAEIPPPLVPIVYEYGAFLILRDFPVGSEFGIDYKSWKTGDQFMGLKMIPPGVHFVYVSVKGMPRIGFFHNFQPKEVLVKKWSKETEDLDESIVSAEEIERFRSNLKNMDRMLGPYPYEEYRKWYSLTDFITQFSLQSDVDRLNPEKGRISAQAELESLETKMERESDVGCSSKVTRENPKRMRFADEEGLPVMEVKPGFEIQFTLIPQLPGDRGRRADHSVYLQHILSNLSVNEVLAELQYAFVCFLIGQVFEGLEQWKKMIHLVCLSPSSIGSHNELFVSLMRVLFYQTKECPKDFFIDILSKDNFLTTTLSVMFANIADSRANDELKKKSANFKLLLERNFNMLSEKGRRRSSKWTEKTRMRWIEDGRRMSEQNMLS >PPA12794 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig235:26350:27717:1 gene:PPA12794 transcript:PPA12794 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLLLIGDSEVGKTSILKRFSNDTYYQSTLHTIGIDFKFKTTVMNGKKIKLQIWDTAGQERFHAITSSYYRKADGIALVYDITNTKSFERISLRLRDIHEKAPDNVSLILLGNKCDLENKRTVSRDAAEQVASDLAIPFRETSAMENINIDRAFLDLVESILKKKAHEGFTNVASDKLIKSSGDGEASNLPANSKYLESVL >PPA12796 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig235:34050:35870:1 gene:PPA12796 transcript:PPA12796 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDFKLPPYVEEQHHWIESAAFSNDSMNQVIVSYKEGTNLHVGLHASNASAGNLFFLHNSSHIDSFNGSIIFDAQSRHYLNIFVHGASGILHGRIHAGDSEITTEVNVEKNSIHKVEGDCPDCNKVKRASFWDNINPLKLLDLLKFESANSGDFVTKVRDVIMLVIGAIILYLIVANFIVPVCQCCICPLLVAKMTRPQEEPRYRTKRKNRVLDEEYFVVETVYKAKKRGCDVAVKTFRQGNLRYNEFFKLGSRDN >PPA12795 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig235:28112:29704:1 gene:PPA12795 transcript:PPA12795 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLILIGDSGVGKTCILKRYVGDTFQNSTLHTFGVDFKFKRIVMNGEKIDLQIWDTAGQERFNSITASYYRNADGIALVYDITKYDSFEIITQRMRDIRENAPENVSMILLGNKSDIDEERTVSREAAEQVASDLSIPFMEISAKENINIDQAFRDLVDIILNKKTHDDATKLDSATVDLQASKTKGCCKYRSNADLLVP >PPA12793 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig235:22125:23506:-1 gene:PPA12793 transcript:PPA12793 gene_biotype:protein_coding transcript_biotype:protein_coding MARVESSVAAVMVDAITRALPKIALTTTQSPVRYASANPDGSIVIVDKIDNGWNLYEMRNEISV >PPA12810 pep:known supercontig:P_pacificus-5.0:Ppa_Contig235:72650:74897:-1 gene:PPA12810 transcript:PPA12810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-die-1 MSRMEMDEVSASSTVSKCDECPFEADNFLSLIQHKASSHIRTESEQVNTVTPPSSFSCSECDQSFESAALLANHTTFVHGQLSNLLNMFGGDANSFPAFLLNSQLPNPFSSLTNTPPMVKPPPPKRNYSSAGKNYCDVCNKEVCNKYFLRTHMLKMHGIVIDENKPVIANIDTRERERTGELTFRCDICSTTLTSRQQLRDHKHTVHGVSYPVGTPSTPSAPSTLSTLSTTVVQSPSMSTPSLTNASISTMDLSSLPPESSSSSSIDHTITSIPSIPSLNIIPDLMSGLPSASVVRETKELNGIPLQNLTKCQHCVYTTRFARNMEYHVERHERLNDVDGVRSDIKMEMVDREEEKMMDRSCDDVIMRMTTNAAIQMAKTNEPSSFQCTKCDRSFTTQSFLHSHTQLYHSQKMMNNLAAWIAHKRKTSSTKLRVKNPKRLKNVLKKNCVVEKENDLHGVERHSVTVSN >PPA12801 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig235:46335:46770:1 gene:PPA12801 transcript:PPA12801 gene_biotype:protein_coding transcript_biotype:protein_coding MCHDRGYLVTQDELDQTLTQFKETFAQTGRPARAELTVLVAHNDDPTATSKFLKEIGAQLWLSADFREEL >PPA12802 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig235:47016:47932:1 gene:PPA12802 transcript:PPA12802 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFFPDDAKIGIKTIKAICQQMQEQNITRAIICVQTGMTPSAKQAIADMAPKYTLEQFLEAELMVNITEHELVPEHVVMTSDEKAELLARYKLKDTQLPRIQISDPVARYFGLKRVLRTLLCNHLESARRRGSLRLRADLFTVP >PPA12790 pep:known supercontig:P_pacificus-5.0:Ppa_Contig235:7601:9299:1 gene:PPA12790 transcript:PPA12790 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHASELVALALESLTKEIYRDNAEFAASIIPPLLDIYKSVYDEAGASKLWAEYFAALKERKKKREYFGHEFLESIYKSLLIIGKLTEEEKILIKQIMQGTKEVLHEDREEDFDCPCDLGARQSAHDKKTEDSIKTLEQALTKFK >PPA12808 pep:known supercontig:P_pacificus-5.0:Ppa_Contig235:66701:70981:1 gene:PPA12808 transcript:PPA12808 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNKNVTGVEYVFGVVGFPIIEVGMAAQAQGLKFVACRNEQSACYAAQAMGYLTRKPAVCLVVSGPGVLHTIGGLANATVNCWPVICIGGSSDKDQDGRGGFQEWPQVESARTSCKFVAQISSLQSIPYLVQKAIRSSLYGRPGAVYLDLPGNIVLSTCEESTIPVVDKIPLWSPVSVPPLPFINDALTLLKQAKRPLVIIGKGAAWSERGSLMSQQFLTRTQLPWLPTPGGKGIVSDTHPNNVNAARSFALRNADLVFLIGARLNWMLHFGLPPRFNKECKIIQVDLVAEEFHQNIKTDVGLLGDIGETLALMTRECGDWAWNKSNEWTKNLSENRDKNVRTVEDMANQSETPLNYFAAYQPISKWMEDKDVLVVNEGANTMDIGRTMLKSVLPRRRLDAGTFGTMGVGQGYALAAALYCRDYSPKTRVLVVQGDSAFGFSAMEIETIARYRLPVTTVILNNNGIYRGLKHEDQQSLEGDLTQLLPVLSLSTECRYDKMAESLGGKGWLVRTKDEITNALKEADNEKGRPTLINILIATDAERKPQKDHWLTRSNQ >PPA12799 pep:known supercontig:P_pacificus-5.0:Ppa_Contig235:41789:44519:-1 gene:PPA12799 transcript:PPA12799 gene_biotype:protein_coding transcript_biotype:protein_coding MQATCVVCLKKNLRTSMRRFASNIAKREQWVNALCSTPEEKKALYERVNARNPPMLCEEHFKDSDFSCPSPDSRFLNASAIPFNTTPTVTTVTSPTTVSLSTVMSPSMVPFTPPSTPHFSFPPIGSTPHRRPRSTTRSAMTEDVDDDPTWTPPAPTTDNEPDCEYLLVSKESLMGLLRHCTVCKKGKNNLSFRMEGLGFTCTRECNLCGMRSPWENSKPLYTANRSSRERLPKINVDIVAGTVLTAMGGTKLRQIMMMSGIHSLSTTTFHRIKRLYVAPAIEKEFVTVQGGIIDALRARIEQGEKVHLSGDGCFDTRGYSAAWCRYFILDAETSEVLHHIIIHKSETGNSSGKMEVAALEKGLHELSEMLGGVDGIGSIVTDRHGAIMKMMHEKFKGIDHFFDPWHYFRNITLALLEISSAQYMAPVRGWLKTIIRRCYHAVLSSNGNGDMASEKFRAILLCMQNQHDFSQDASFKHIKGCEHGPPSVNYIFIPRDGKIVNRLVQKVFTERNIEDIKRVSHRLNTSPCESINALACRYAPKDSYFSRSGHEMRTQETMVHWNHLKHTAADGTRPVVGRKSYVNPTHKNVVWRNVRKAATHTWRDNVKQLAYEVRKNMTETPYATEKKERHAIAERKREIDRLTRPLVPSNADPNLEEEYSSEDEEEVDGRVDCPLDPLDKHLSYVDDFNLEQTDIEDEDEDEEEE >PPA12792 pep:known supercontig:P_pacificus-5.0:Ppa_Contig235:14315:21932:1 gene:PPA12792 transcript:PPA12792 gene_biotype:protein_coding transcript_biotype:protein_coding MILLDIAATLLIFWSLDPNSRFTIGLFDEYWITPNPRILELLDRLQPNPTKFEARNGKICITKGAFPEPHCRISFDKEDERTNSTNQGPTNAPSTPEKEHPADEATPSQTHSVQVASESGKDIATTTTSTLSTFSMDIACIADCDHCPGANGVACWDNAKKRDDRCRSQVRVGHDPRFQFSTKHGFTCYCCPWNVFAFDLKNEPHGEPEENWGSTWGDSSRKTDWNKAAERMINKLSDFEGLYLVDGIDWGLDLSGAGEYPIKTSCSSLNNRVLYTAHCYGPEIHDEPELTAKAKYGPLNELDFLNTLDSLYMERFGFLAEKGLPVMIGEWAGATDPSSDDAKWIGWIIEWLRKRCITNFTSQIKIYWSLDPNAPFTEGLFDEHWITPNPRTLEILDRLQPNPTKFEARNGKICITKGAFPEPHCGIPTERKNESKERTEMRNRNNPATHRQDTNSEWERLALTLPRRMHPVREFTRELTDRHMEMKNAATFERLKRETDEARTPGDWDRIALQYKDMAASINILGKEAPEYGPIFDAIHKKSVEGFNSGDLNMAAEMYDDKVILFNMEHNQHYYGVHQIKQAVAAFIYTGNIEYKVISNNSTANMKTYGSVCMRELEGGNFINEIRHQLRIG >PPA12807 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig235:63082:66372:-1 gene:PPA12807 transcript:PPA12807 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDKPVFPVKAKFETGRPQGVAPPPPPPPPSTATILKRKRESIDERGVEEEVASHSCIRPTEEISSPSTLNATLNLSQILQTPPISLDLNRFDSTEIPSNLITPDVSSYESEECTNSNDDPLPKWAENKSQSWLQLSVGEHLKAKHLGLTLSLRNEVKEEKGIIGVTPSVTSTVSSTTPSPTTIINPIVVKEEIIQTTPSIIRDEKEIKIDPRASYECTVCLKTFSCRKNVRRHMVAVHKVIPPHGASLCATPRPINEHSNRLTVSRSSEESIDGDEEGNREIKTEEIGEELNNTFPKQQEGTNSVANVKVKTENGDGMEKDRIIGEKRKIMKTDVKVEKEIPLDFTLQSANKKMRLDAIREEDGAIINARSVKMIVSRIGAMRASPLLASLLSNTREITLDVSSSALQSMLMVYSDNSKLSHENVSEVAEIALKLKVNQVKNLCEKFIVAEVPKLDLMKAIRLADMYKLSKLKDDLFSSLNIEVLRSMAMNDDYKVMRPELKAELLDKG >PPA12788 pep:known supercontig:P_pacificus-5.0:Ppa_Contig235:1542:3423:-1 gene:PPA12788 transcript:PPA12788 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEQSDLPGPSNVDTDKLEVTYNPSRPESSFSPLSNGSNSSQAPLILSGTDNQTDNLYTDSPRLSKSSPGYGDVYEARLLSMWCASLRVSEDAYKKYSIEYALALARDTNVTYEELDGYWGKEYNKVCSLSRQRSRREMLLYPKSVHCLVPLSIAIKPFTLIRPLMLIERSSLQKGSFTTLSKCRVLLFALVFGEFKSVILEVANHIAGRENRVTPECEGTLRDSGTQRKEPKRAWWSPKKKSSPKVDSSPMKVDASPLRVDSYPAK >PPA12797 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig235:36248:38281:1 gene:PPA12797 transcript:PPA12797 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLERKKIVHRDLGARNIMVGDSINTIKLSNFSLAERLGDSDHIMTSNTVFPYKWTAPEAFVTTGAYVNEEHQLGRISVASDVWSFAVLLWELYSAGDDPYDGIDCQQLYRKMKNEYRLECPSMCPEQIFEKMLKCWSLRYTVWKWSIRLVIVNQAEEFPFMPAYSVEHIEIFKKGAVKHNTV >PPA12804 pep:known supercontig:P_pacificus-5.0:Ppa_Contig235:55845:56819:1 gene:PPA12804 transcript:PPA12804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tub-1 MPAEEGVTYKCIINRDKNGMDKGMFPTYYLHLESNNKKKIFLLAARKRKKCKTANYLISTDPTRLLRTGEGFIGKVRSNAIGTSFTVYDEGKNPKHNGNSELIRAELAAVIYDQNFLGFRGPRKMTILTPGLSEGKEVLKIRPVAEKDTLLERYKSRRLDNVVKMTNKAPLWSEESQSFVLNFHGRVTQASVKNFQIVHEQDALPVVIT >PPA12809 pep:known supercontig:P_pacificus-5.0:Ppa_Contig235:71204:71440:-1 gene:PPA12809 transcript:PPA12809 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMFLTVPVEKRVRMEEIVDIRVTKEEIVKTRAEMEEIVNVIVRRKMIAIVHATARLMSW >PPA12805 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig235:58788:59667:1 gene:PPA12805 transcript:PPA12805 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEKLLSNETVSVTADEDEDSKDLIKPIPISNLTLASTLYESLLSLYSNPALLSQLNSAILSQSLLNPLLFPTLDNNEDDTKESPKTIETRSKSSEARVDQMIPNTDKEGWCRNKKFIQKTDTGFMCTLCKKAWYRSPGIH >PPA12798 pep:known supercontig:P_pacificus-5.0:Ppa_Contig235:39525:41687:1 gene:PPA12798 transcript:PPA12798 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLVLIVIVCYIVRGQQKNRNKSKTSFSQHSLPMAEQGKEAFQKALKNAKGPEQELDCSLGPEHSRMELPKPCSLVSSLVDAGHRAIMSNRIGGLSEEVYKEGLHFRVPWCQYPIVYDIRARPNQIRSPTGSKDLQMVNIGLTGRSACFDFNLILYDVSLTELTFSPQYSAAVEAKQVAAQEAQRASFVVIPLYGRGGNETDPRDKVPPRPRAHRQEASQGFPGFHFGDGTGQGGMHFSLGIGVFPFSIFASIFNSSMDRRHSMNAFDIVG >PPA12787 pep:known supercontig:P_pacificus-5.0:Ppa_Contig235:180:674:1 gene:PPA12787 transcript:PPA12787 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTDSNSMVDKRLRENPKLKDIEAQRDFWHVQKPLRKKWWKEGELRRC >PPA12791 pep:known supercontig:P_pacificus-5.0:Ppa_Contig235:10564:10994:-1 gene:PPA12791 transcript:PPA12791 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDDLKKALQAISAHLTNAKSVYLWDNVSTIVNLITSCGNNENSVDGETCGEFVVVKTPRKDIENRYMDTSLSLHYRNCWIYIDNCKWHGGTQHCSMRFGTGEE >PPA12789 pep:known supercontig:P_pacificus-5.0:Ppa_Contig235:3909:6826:1 gene:PPA12789 transcript:PPA12789 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQIKLNVLSLPGPFKCVLVQLLADVNVDCRTRELAIWWITGLAKIPEMSVSSGLLAMSQQNDEDWTNRDEFLEGTSALSEGNIYADDTRITKFDQLVAGYEGLGVFQNLLQITESLRKDEDWKQRYAGVFGLSALSCCITTTETATDLLTRIVSFLRDKHDRVRSAACAALVRFFEACAPNLLEKSHAIVIPALLPALEDARVPRVSAAAAAALIACCKRYSKPAICTYLSAVMYAAVRVIKATYRSLLADGRTWILAQPISLICFLKDVNNSYFFVYHEELIGPLKTIIMARNHGPMLDSVALECAALVAAAVDDQKCASDCSEMMHAIMGRFDEHLGDTKEKYLFPSRQGMFSTSLAALAVAMGPAFLPFLPAVMNSSIGAIRTPVFENEDGE >PPA12803 pep:known supercontig:P_pacificus-5.0:Ppa_Contig235:48770:50400:1 gene:PPA12803 transcript:PPA12803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pch-2 MVDIEIPEQLIELIDDNEAARDIFFLLKIKPGISKKKVTEYLKDKKECISVEVENWTGMEGVSTELIDYSMVGYCWVYSLATSLYRVREYLAISHLRRQYQKRGPPGTGKTSLCKGLAQLSSIILNDKFSKCAMIEVNSHSLFSKWFSESGKLVARLFEQAEEMASDPSTLLFLLIDEAESLCASRSRSSSGTDPTDSIRAVNALLTQLDKLRRLPNVFVLCTSNLGDSIDGALIDRSDISRVVPIPGEKALTAIYRSCIQQLIKIGVISPTIDTVVDSCCAILSSSSLSLSARSIRQIPAVAYAKCMKKSMELETFMPFLQAAIQEKKEKLTSHV >PPA12800 pep:known supercontig:P_pacificus-5.0:Ppa_Contig235:44944:45356:-1 gene:PPA12800 transcript:PPA12800 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLPDPAVMKTFLSSMSDKEAKDNELFSTRVDLEEAAKCTCTCCPPRDQLEQFDFCCQSLFLFPLKKKGQLLRDGLKEKLKAHQSPCITQNPLFTDFLLTDIRLQGHSTAIKLEKWTRT >PPA12811 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2353:516:1213:-1 gene:PPA12811 transcript:PPA12811 gene_biotype:protein_coding transcript_biotype:protein_coding MPDMQMGVIASQTVKIADFGLSRTYSSQASFTTTVVTLWYRSPELLLQTSYNSAVDIWAIGCMLSE >PPA12812 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2354:38:719:-1 gene:PPA12812 transcript:PPA12812 gene_biotype:protein_coding transcript_biotype:protein_coding GITIWNTNPHPGRPSSRVRGRVLRELKANPKSSVRDIEKTIHIPKTTVARILHDAGKTPKLPQVIPHDLTTAQLKKRVDVCQGLLHRRSNFNWVSHIVAMDEKWITYDNPERKLQWVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVRVPPKLLSEGKILMLMDNARPHHAKITQKKMDELEMEWLPHPPYSPDLSPCDYH >PPA12813 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2356:34:1089:-1 gene:PPA12813 transcript:PPA12813 gene_biotype:protein_coding transcript_biotype:protein_coding RRGGNSESPTAWPADDNLVKVREEPVTKSMTVVKAGAPEANPNEKDHTVEKVIAKDHTVEKVIAKDHTVEKVIAKDHTVEKVIAKDHTVEKVIAKDHTVEKVITEVECEMERFRDHKAPAVIENVIVKVECEAGSARDHNAPEAKVKVRGHETNDPGYTKNFLQDRYSDNVRVAPENTVIGKSSRMKKKAKFKPISERDNPIQCDHRSSEIDVKMVIPSEAVNDNHECAENSADYHDYKRMYENNEIGKKGSVHAIQSRENESEAHQLELIHLLHTNKFDLQFIDPALHQRDEFREELDECPK >PPA12820 pep:known supercontig:P_pacificus-5.0:Ppa_Contig236:58519:66193:-1 gene:PPA12820 transcript:PPA12820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sur-6 MGFPLPPSSLSIVQGNLGKYVRGVRSREYNVYSTFQSHEPEFDYLKSLEIEEKINQIKWLKKKNAANFILSTNDKTVKLWKISERERKVADDAWNVERGGSFIGRLNIPSIVPMELIVEASPRRVYGNAHTYHVNSISVNSDEETFLSADDLRINLWHLEITNESFNIVDIKPTNMEELTEVITASEFHPTQCHWLVYSSSKGSIRLCDMRDKALCDQHAKIFEEPEDPAARSFFSEIIASVSDVKFSHDGKFLLTRDYLTVKVWDLRQERAPVETYAVHEHLRSKLCQLYENDSIFDKFECGWSGDDKHILTGSYHNLFRTFARGTQEGKTWEARPAEMHQPLRNRKIGGSASSRKKRAQNPGGAEGGSIFVMLEMPVLLLPKGHLML >PPA12817 pep:known supercontig:P_pacificus-5.0:Ppa_Contig236:38061:44640:1 gene:PPA12817 transcript:PPA12817 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSHKGGPNSTYSSHPPYPIEDGLNTTFTSSDTGITPTPARPPVTTSTPKKSKEKAPIADSETTTSGTTPDRGPFSLSPSATPVSFGPSFLTRPICVHAFSTKTFPNALAVSDSCGGVYICTPGGSILHHLLIKNSSASSLAVDEQNEILYVSVMQAKGRSVHVFDAADGFKKLDTLACPKDPKIEMSRTRWLTVAPRGQLFMTSGDNHKSALWVYMRSKKETLEIGLGWARECKFVMTIGDNHKSAPWMYVRSKKGWKLLKESRKTRYQYLSVAEDQAEYK >PPA12816 pep:known supercontig:P_pacificus-5.0:Ppa_Contig236:22098:31041:1 gene:PPA12816 transcript:PPA12816 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSAMRALEGRCSRRAKSMHDTKIAVKQFIAKVEVFLLNELNRALEAVNESDRLMIAKLNRANLHYEEEDTRPSLYHLPSPTRPAWKTWNLDQENPRRMEELLETVRELLQLPRGDKFEEDTLLELKERSEWNVRVAAEAVAAAMGARFVHEHGLFPLGLSGHVVDRRWHGQCGQHPERETEKEGGQFGYGQSRVQFATGTESRTLLNSLDHPFHFVIRYV >PPA12825 pep:known supercontig:P_pacificus-5.0:Ppa_Contig236:79674:88404:-1 gene:PPA12825 transcript:PPA12825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-amx-2 MHIVHRLLQTVLDAPESSVSALQLLLLASSESKPLGEVLAGNGHGEGMRIKEGLEELISKFSSGVDARVNEPVLSVVREDNGTVTVRSVKGMYSAKQVIIATPPSLAASIHFVPSLPHETGAFLQSYAPVGHAFYFAVTYNHPWWRANNISMIFIDGASVGGRSIRVQSESIRLSLLIRGGETVYGCRAGPLTWMTTFDTGRASDCGSCGSSSGILWGIAHFSSPLPSGTRRELVQKALLKTMVFADAEEDIIDFKDYQFSHDEYLGGTIGILPRSTDLSYLLMINNTISHGPVHFASAELSRTSMGLMNGAVLSGKMAAHVADGASDVVEGSGEGPSPFSAARDALYDAAQAPTLSDLIMPATPSPSPSLGVTGFGQEASVAKEPTISDDTVVEESIDEPKIESPLRDQPVKSTTPFVYTTSTFYPPTTPPHQMESTKVMAWGPGDFDYKTSTQYPPTGASSAIDFAHDPSATVVAKDEEATTVSSQSSPSTDSDNDTDGDTLTPFVVPGFERRTNGSAVFDYHTSTQYPPTLTTGSVIAGTEGSDGTTAGQSGFNYSTSTHYPPVQGSSQGTVASSIDRGAEEEEEGPAKMAVLKNEQMVPMIPEDISGEIRTSSGAAFNYSTSTQYPPTSFAPAPTPLVHFSNGNVPAASLPVEKEPRHGEENKEEKTETDFSVDESSQTAEAQSEEKKKQEPSEAAEFSVDDE >PPA12821 pep:known supercontig:P_pacificus-5.0:Ppa_Contig236:67374:69443:-1 gene:PPA12821 transcript:PPA12821 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDEPSTQHGGGAGTSMDTSETPSSVDGIEWKFSQVKGTVDQDDTSIADVPPADVISCVEFSHDGEYLATGDKGGRVVIFQRDQSASIKRLETGADVLWAEICERAT >PPA12819 pep:known supercontig:P_pacificus-5.0:Ppa_Contig236:52103:52619:1 gene:PPA12819 transcript:PPA12819 gene_biotype:protein_coding transcript_biotype:protein_coding MIGMYPTEDMDLHASARREGEKPSKKKPQVSYRGDGRKQIDGILQEQQGANALWTSTITRGVNQ >PPA12824 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig236:78252:79541:-1 gene:PPA12824 transcript:PPA12824 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSKSPSEKEFSADEPVEKAAKAEVTLRDHPVVPEGSGEDTVGGTVVVGGTIDHVAKAKSAMQTLEEEVPLLPKDEASGIGDRLLELLHTILKLLKGE >PPA12822 pep:known supercontig:P_pacificus-5.0:Ppa_Contig236:71864:75234:1 gene:PPA12822 transcript:PPA12822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dpy-5 MGKFTVLAWGSIALSTVILVASLVSFISLIDELSSLEKDGVRSIDETTILRDASWKSLHSLLASSESPAVVPSMLRSKREDTKKNAEDAQCNCSRTPNRCPKGPQGPKGATGAPGEPGLPGKDGARGAPGVNSLIKQDKPGCIVCPMGPPGPPGLPGRPGDQGRPGPEGEPGTPGRDGEPGMRGRTGDQGLPGPPGPPGLIGEAGRNGVWPIGRKGLPGFPGNPGEPGAPGFPGRNGNPGRDGPVGKPGPAGERGFDGRPGSTGMN >PPA12823 pep:known supercontig:P_pacificus-5.0:Ppa_Contig236:75544:77672:-1 gene:PPA12823 transcript:PPA12823 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPTVSPVGATEQTCASCGYPAAKKCVYQWSIKAIRRSTTGTGRMRHLKKIQHRFKSTLALRADTPPPRSVCTRGYPQTHHRNWSHASSKEDPAPLQKHTCASCGYPAAKKQAIRRRTTGTGRMRHLKKIQHRFKNGFREGTVAVSQKKRTQSAASN >PPA12815 pep:known supercontig:P_pacificus-5.0:Ppa_Contig236:6634:11065:-1 gene:PPA12815 transcript:PPA12815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-glc-2 MSSILLLLLLSLLLLSLIPLSGAHSQYIPGGSNLVEKDILNKIQKHYDQRVRPPATNASMANGPVIVNVNIMIRMLSKIDVEYSMQITFREEWIDRRLAYDRFFDNESDYPKYLTVPHVKTNLWIPDSFFPTEKSAHRHMIDTENMFLRIYPDGRVLYSVRLSLTNSCSMQLQMYPLDVQVCNFDLISYAHTTKDIVYEWTNTPETKPVQLKDGVGLDLPNFQLDGVPPVNKECTSITNTGNYDCLRMQLILRRQFSYYLVQLYAPTTMIVIVSWVSFWIDMHSTAGRVALGVTTLLTMTTLQSAINTKLPPVSYVKIVDVWLGACQTFVFSALIEYAFVSYQDSARQHEKSMNANTVRKAQKRRNQMEMLEDADMYQPPCTCHLGETRPPSWSEKFRKYFEKPDYLPARIDFYARFLAPLGFLVFNIIYWSSCYIMTSAVEKELNITRMKGADWEISYSLAHADVAVPAPPELDAVAARGGRRVDQHERALAAPQLDTIQHA >PPA12818 pep:known supercontig:P_pacificus-5.0:Ppa_Contig236:48350:49998:1 gene:PPA12818 transcript:PPA12818 gene_biotype:protein_coding transcript_biotype:protein_coding MCEVEAKLRNELGRRNARHGNLIVLDYASGKVWILLSGVKGVRRLKEIPTEEPLNPQEALGITATGDYMLVAVFARQTIIATRYLDDGVFPSLRSPSLSRRSQSLPRSAPPESLSESAV >PPA12826 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2363:326:594:-1 gene:PPA12826 transcript:PPA12826 gene_biotype:protein_coding transcript_biotype:protein_coding MILLPLLLSLALLSPSSGAEGFDSNRCGRSPAAGCDSCSNPGCCDPCSNIKTAAADSARCAHGDDSPGGVAAPD >PPA12827 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2365:301:973:1 gene:PPA12827 transcript:PPA12827 gene_biotype:protein_coding transcript_biotype:protein_coding MSERVECPESNCTHSVPLKYLKNLRAHLSSVHQWSKERISSFDNERRKLAIEQKGAKVHGCAHCGKFFSSSTHLKRHVSQMHPNEYAPAAVPIEENDREEEEEEEEEIEEEMEEEGEEVPEKGEKEGFNCPIEGCSVKLKSRMSLASHCGEEHSDPNERSKNGEMN >PPA12828 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2368:375:989:-1 gene:PPA12828 transcript:PPA12828 gene_biotype:protein_coding transcript_biotype:protein_coding VWKEVPCVLEGRLVEQFLRKNQAPTDREYILALQQEEEEAVSDEEGGEGDGDGEDGGDSDASMELGGGVYGEEVDSDREPTMKLIELSDVEDQKSEGEDEEDEEELSSGSEWDEGEAGRMALERGRMRRGRGRGLSRGGTVAQSVVTTDKSTVLTRVPANQEEEEPSTEEGKGEKREKRKVRLEKKARKVVMDESSSESEREKPP >PPA12844 pep:known supercontig:P_pacificus-5.0:Ppa_Contig237:127933:129610:1 gene:PPA12844 transcript:PPA12844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-egl-2 MFKRDDERIGSLFSALKVVRLLRLGRVARKLDNYLEYGAATLLLLLCAYVLVAHWLACIWFSIGEFEVRLRMENSSMPEGWLFKLANDLKQFYDQNNSNRTSLVGGPSRTSAYISSLYFTMSCMSTVGFGNISSTTDNEKLFAVCMMIISALLYAAIFGHMTTIIQQMTSATVRAIMQLQEISYTTRESQWMLFGKGDVFGDEFWKVNGATGQSAANVRALTYTDLHMIKKEKLMEVLDFYKAFANSFARNLVLTYNLTHRLKFRRVVDVKREQELDAKRKNENFTLSTDHPNERAAWTKDIPIEYVC >PPA12848 pep:known supercontig:P_pacificus-5.0:Ppa_Contig237:163540:165331:-1 gene:PPA12848 transcript:PPA12848 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSAPPLYYPDTFEIEEDDKPSQPPSSRHKREGSRSFFGRFKVGGHRGVIGAQPENSIAAFQKALDSGADTIELDVMLTSDKKAVIMHDDTTDRTTNGKRVVENTSYEDIRRLRLIHNTQETSEKVPTLDEALSFVKKNKLNVVIDVKDHSEEVGEKQMVNEISRLIIRYSLHDRAIVSSFNPLVPFLIKKADDRILTGITFRRWAWSTLTEDDSVKRHPFYINWLYGVMDTINYYGIISMITPSFLGTEMILANHLDISPKLVSRAFHHGFQVVSWTSNSVAEAKFYRSIKVPLLTDLPEVMNAVKGDE >PPA12832 pep:known supercontig:P_pacificus-5.0:Ppa_Contig237:13251:15041:1 gene:PPA12832 transcript:PPA12832 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDDGLNEQLIRWVARYPYPLYPREYVYVKRSVVSDDGKDIVIQSKALPESIVPLSGNFVRVECYESRMCVRCHKDFDSPGLDYVLTYFDNPEANIPSYAYNWIVNQAGPYFIKQVYLEARKLSNSGRHIESTVRMGGICTQRQCKTNDQEDKRENEEKEKKKEKEGRREPQSIETIPGVRLIPSGKEGGPMKKGAEREGEMSERKEEKEDEDENEVWNSFDDDIPSSPLPGRRIFT >PPA12842 pep:known supercontig:P_pacificus-5.0:Ppa_Contig237:60493:61718:-1 gene:PPA12842 transcript:PPA12842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-atg-18 MNRSLICEHKFGSSILNVKMNRDRLIVCLEECIHVYNLRDMKVMHTICDTPPNRTGMIDLSSCGSPSLLAYPGSTSSGQVHMFDAMSLTSVNSFMAHANPLSALRFNAEGTKIATASTKGTVIRVFSVPNGTKLFEFQRGVRPCVMHSLCFSADSNYLCSSSNTETIHVYKLAKAEEQPQAEVASGGGWLEYMQQTASAYLKVGEFLR >PPA12846 pep:known supercontig:P_pacificus-5.0:Ppa_Contig237:141404:146983:1 gene:PPA12846 transcript:PPA12846 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKKGTDLNDLKQEVKMDEHQIPMEELATRLSTNLETGLTRSKAAEFLARDGPNALSPPKTTPEWIKFCKNLFGGFALLLWVGAFLCYVAYSVDYFTMEYPSKDNLYLGIVLMSVVIITGCFQYYQESKSSKIMDSFKNMVPTYATVHRDGQKQQIRCEELVIGDIVEVKGGDRVPADIRVISAFGFKVDNSSLTGESEPQSRNAECTNENPLETRNIAFFSTNAVEGTCKGIVIYTGDNTVMGRIAHLASGLDTGMTPIAREIEHFIHLITGVAVFLGVSFFIIAFILGYHWLTAVVFLIVCLTLTAKRMASKNCLVKNLEAVETLGSTSTICSDKTGTLTQNRMTVAHMWYDKKIEECDTTENQSGGGGGHSNRGLTGTRGMLSRVAALCNRAEFKTGQNDTPILKKECTGDASEIALLKFTELAIGQVSQYREKNPKIAEIPFNSTNKYQVSIHDLPDQEEYLLVMKGAPERILERCTSILLDGKEEEMDEKHKADFNAAYLELGGMGERVLGFCDFVLPKDKFPKGFKFETEEVNFPIDGLRFVGLMSMIDPPRAAVPDAVAKCRSAGIKVVMVTGDHPITAKAIAKSVGIISEGTETVEDIAIRRGIPVEQVDPREAKAAVIHGSDLREMTEEQLADIIRHHSEIVFARTSPQQKLMIVEGFQKQGQIVAVTGDGVNDSPALKRADIGVAMGIAGSDVSKQAADMILLDDNFASIVVGVEEGRLIFDNLKKSIAYTLTSNIPEISPFLTYILFGIPLPLGTVTILCIDLGTDMVPAISLAYEEAESDIMKRKPRDPLHDKLVNERLISLAYGQIGMIQASAGFFTYFWIMADNGFWPRDLYQLRAQWDSRAYNNVLDSYGQEWTYANRKILEYTCQTAYFVTIVVVQWADLIISKTRRNSLVQQGMSNWTLNFGLVFETALAAFMCYCPGLDNGLRMYGLRFSWWFPGLPFSILIFIYDEVRRYLIRRYPGGWQLIKEGKIEYKPRIRSG >PPA12830 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig237:7430:7641:1 gene:PPA12830 transcript:PPA12830 gene_biotype:protein_coding transcript_biotype:protein_coding MFKESINGVNTQDTWERVYTNVRRNINGDWSLMVFTMNNEVVIVDDGNAASFPSRFG >PPA12845 pep:known supercontig:P_pacificus-5.0:Ppa_Contig237:136396:136819:1 gene:PPA12845 transcript:PPA12845 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSVFIFALLISAYTVNMGYPGYGMWMGYGMGGMGGLGYGGFGGYGGYLGYGMPMFGGYGMYG >PPA12829 pep:known supercontig:P_pacificus-5.0:Ppa_Contig237:2276:5012:1 gene:PPA12829 transcript:PPA12829 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQTGNAYDYSEEEYPAVGERNMEGRYGELILLGYNGPSEQSGNRKQQCKMELFRRKVGNGVKKANISIVNVPASQAPAVLDSSRHVVSYAYSKNQTVLVEYTSDPDKDMFQIGRSSEDMIDFTVMDTQLASAAGGSAQQGSRRYSRPVSSTISRYACRMMIHRENPSKAYVYAAGFDASKNIFLGEKASKWTKKNKELDGLTTNGILILHPDRPVEDSSSEEDDSRGGDGKKIPTSLSVAIVK >PPA12841 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig237:56841:58579:1 gene:PPA12841 transcript:PPA12841 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPLLLSFVGLSIAAPFTTCPGGYKNGEKIREGSFVKQCTVTPNSYSINVIGCLTEKGTEISIGSKKEENKQIFNCIATEGGGARMEREYASTPTESKENMKCDGKYTNGQKFTEGSFVKRCVSTPYSAEIEIFGCLSPSGKDIAIGSKVEEGRLVYSCIKTSNGAKMETARYESNEQSDEPKENMKCSDKYNEGSFVKLCSSTPTSWSISIVGCLTPSGEEIAIGAKAEEGRLIYSCVKTGKGASIETDLAKKGCEDGKYQKGQTYASTNKRFLLKCIDDYGSNDILACITESGKEVKVGSELIEGNYKYKCVKESNGSVRYLKEPIGGMKPTQKACGQYAIGDHIISEDGKFKFTCVGAGKINFLPNS >PPA12847 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig237:152940:155323:1 gene:PPA12847 transcript:PPA12847 gene_biotype:protein_coding transcript_biotype:protein_coding MERDSMNSSVDDYEFDCEEEIFDEIESPSTSRHVEYVNLADFCVIRDDNNIYSDIVKSPQTPPKPPQHARMRLWEYQLHEAADKCLSMGDSPLPPLPSTPPSHSPALRHSSEKIRDCEPLSRLSIGEITNVTVVKEKGTAYSFQINTESGESIVYSTESERSTSEWVAAITNAIKGVTIREMVSRSTPLDASISSFVTRVHCGHSKKLFAALVQHKLMFFRSSDYTIPSHYLLLSGAHITESEVLSDEQSSSSDEQLEERGPGNKKTREYSISINIAGEDPTYLIVSSSEEKDKWMYFLRAASGDPSMKGTPFEVLLQRMLADGMPPGSILWKDLLLTNHDESPYEGMMSIEKDNEKKAIELAKATHLFVSVLMRPTGVEYHIDLAQNILKMAVANEAIRNELYAQLIRLTQDGVPFALQGWKLLSLALPLFSPKHYALHWLLRRHLYKCTQSSIVEV >PPA12837 pep:known supercontig:P_pacificus-5.0:Ppa_Contig237:28333:29684:-1 gene:PPA12837 transcript:PPA12837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fos-1 MDHEEVEKKSKRRLRNKEAAARCRARRLGLLNELQDKVDQFKRESKSKAEEIKNLSDKVNRLQAFLRNHECKVSMEERQKILNNCGVSQNMPLVNTRYISAPAPTPQSHRSLPPPSIPPSHIHLVTSTHSHIEQPIENFPAQLRSNQPRDSTDWIPQYEQHRVTKRGHEDMNGGNQYGEHKQPKMELMENEREREEKTLAQINGNDDLERPNEFKLDLSGMGGSGTVPLSTPSRDFGGPPTFSSFPMLSFG >PPA12839 pep:known supercontig:P_pacificus-5.0:Ppa_Contig237:44535:46955:-1 gene:PPA12839 transcript:PPA12839 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLLLLSLVTPSIVCNGYGVMPRDWSPPSPDLTLPRHTYGETRTTNTPIETTTKAASKYWSTVTPPTTKKWTKGSQGTTYAGSKGANEYWATRTTHETTTGYVYSNPYVPRDPTTTVAPETTTTTQVPTTVYTTATEVPTTTTTDATTEAPTTTTTVYYAPTTEYTTTTTTTEAPTTTTTEDPTTTTTVYYAPTTEYTTTTTEAPTTTSDYQTTTTQPITTTQVPGAYEYWSTYTPPQTTTTTTTEAPTTTYTTTEDPTTTTTVYYVPITEYTTTTTEAPTTTVYETTTTEPTTTTIYTQPPTRATTIRDYTMTTTEDPTTTTVPTTVYTQPTTAVYWPPYVDSTTSNVIIQEAATTTTAQATTTKDGAYEYWRTYTPPATSQTPTTVYYVPTTTQTLETTTTTVAPSTTQAPTTTSEPVTTTLAPETTTIPMETRPIYGYAAPTQSYKNGYISSGVQQSSPYQSMVPAMVYPQYPMYMNPIQSQPPLPPYLRPYRQGY >PPA12843 pep:known supercontig:P_pacificus-5.0:Ppa_Contig237:125612:126146:1 gene:PPA12843 transcript:PPA12843 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDHGEAGALERLKEALETGRSEQAEIGLSKKNKTPIWLLVHLAPIKNDKDNVVLYLCQFKDINSTKAAT >PPA12831 pep:known supercontig:P_pacificus-5.0:Ppa_Contig237:9572:11273:1 gene:PPA12831 transcript:PPA12831 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVGRSLQEIITSTPGISSIFITDRDGVPIASSGTETRSRQALVQSYQMTMEPASKLDMGPQKYAFFYYDQRQVAVITVHPMVIFIVASPDTNYISLHLMYECNLSIPTFRRVPFVFPFDSFIIRIDRKEEEGVITLILLILIHPHQHHQRGFFDGDHSQLHWLLLHSHSK >PPA12838 pep:known supercontig:P_pacificus-5.0:Ppa_Contig237:43556:44300:1 gene:PPA12838 transcript:PPA12838 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDQTLENINTTLEKVHGLLEVVQMAIIIVAIALAVLLIGMTITCYYGKYKKYNSRRDSELPSSSIDKKQYQKGTYHPNEI >PPA12836 pep:known supercontig:P_pacificus-5.0:Ppa_Contig237:25398:26651:1 gene:PPA12836 transcript:PPA12836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-grl-7 MHYLILFSLVGVSSSFFFGCGCQQQSPCQSVCPPIQTPQCPPSPCISLPPPSLPSGGYVIAPQGPPPPQYVPVYNQPAQQVVQQLPSGGQYSIGGQQVQPSPAPIHETTQYAREEIGVADEQIPDSPPDVSLNVDPSKGAAAVKVARVEESEEEIEKKEEKPVDVSTLKLTDDPLCNSEDLRALMLENIDDNLNSSKRLIQLAAEAQYGGRFDVICANGDFSYVTNTELFCQESKGDVSCYTYRQL >PPA12834 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig237:20155:21103:-1 gene:PPA12834 transcript:PPA12834 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSAKSLPSIHSNLVCEDKFNLEVKNKDEKWMNVKEITANSDKFTVKDMDDKTRGLELYCDRTKTEWIMKTIGGDENVNEDDNLESKQRLKE >PPA12849 pep:known supercontig:P_pacificus-5.0:Ppa_Contig237:166476:167393:1 gene:PPA12849 transcript:PPA12849 gene_biotype:protein_coding transcript_biotype:protein_coding MKMFANMMYNYLKGVSVPHKNEEDDYETEENHLKAKNLELVQDAFEKTSLRHLLTPPPSYPPIPIGPPPPPSFNDYDIGAPGLPPVESDRPLPPPMPKFKPIRYHSGFSTPFPTTIPPPSRVLLFKPSSIRRRPDEFIVRPPPRTQFNSPYGKVYRQSDAVHIPSINDMPYRRPFAIPLAPYSFPSFPTNTVTPNLHFHHAYRFRTDQRVEARRNNANERRMSGGYVLPPQSKKKEKKQLYYRKVVRWTHPSQSLNAPVIPSNTR >PPA12840 pep:known supercontig:P_pacificus-5.0:Ppa_Contig237:52816:54380:-1 gene:PPA12840 transcript:PPA12840 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCVRDINQIVECVTDNGIPVGIGRQATVNGVKHSCNKQADGSVLLERELALSSKEIDNRIDVNPQVLQPPAPLPDLPNPQFKRFESEQIPLAPPDIDLSTFGVTVSPPVGARSCFHEGIWRKPEETWISEDKFTKKCTPQGAVVILNCVVNKKENVTIKIDSKIKIGRKIYTCTRKDGSVFYEEKMITFH >PPA12833 pep:known supercontig:P_pacificus-5.0:Ppa_Contig237:19408:19791:-1 gene:PPA12833 transcript:PPA12833 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAIAKIRGDKKKKKGKGSKEKKEMKSGKKGYKGESSGKGVIKNTEKETSIVEPDMPSSAEPVKMSGEKVEGLSVNGASTDKFSIENIKGGIVIPNGSNEPIDPINPNVA >PPA12835 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig237:22621:22954:-1 gene:PPA12835 transcript:PPA12835 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDSSFESVEGELVCDNEQLNGGRKGGFKLLDIDAIRCAKESKDDKEAIDRDIIVLECTATAKEDSVICDEGYHIE >PPA12850 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2375:102:566:-1 gene:PPA12850 transcript:PPA12850 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-egl-43 MSIDGDFLRSVEVTSHPVEGSLLKAISSLPQGRLIGFIDKTLPNDKNALLILNLIKETHDDTKANVVITNGSTKTILQTARKIDKGEALLAGKSTEEEDEEEEGEDGDVKMEEIEDDEELEEGEI >PPA12851 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2376:455:1010:1 gene:PPA12851 transcript:PPA12851 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCLLIAIVPLVALILGCGKKKPPPSAKPGVKPPIPSPAKPPAAPAAAPPPAAPAAAAAKPEEKKEEKKEEEKKEEKKEEEKKEEEKKDEKKEEEKKEEEKKDEEKKDEKKDEEKKDEEKKDEEKKDEEKER >PPA12852 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2379:114:560:1 gene:PPA12852 transcript:PPA12852 gene_biotype:protein_coding transcript_biotype:protein_coding VKVWDVRTPSDDGPSLSFDASGPVEAAIFLPREQLVAVSAGPTVRLYDITTGGRCLQSLTAHHK >PPA12854 pep:known supercontig:P_pacificus-5.0:Ppa_Contig238:4776:7158:-1 gene:PPA12854 transcript:PPA12854 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEQSDFPGPLNVDTDKLEVTYNPSMPESSFSPLSSGSNSSQALLMLSRTDDETPSKPTLRAAQNRHLDTGVCLLLVPDHHLRPEGPDCSNGAGRPGFPYGTAPPDWPCRSVKSAIRRIFRALSTIKGGLYFLPCVNALRFNVDLMTQLENRMEDGVWDRENPSKLPVGGEDAYKKYSIEYALALARGTNDSSTDVDRARSSLQKGSFTTLSKSMHRYLVRRHECRVLLFALVFGEFKSVILEVANHTAGRENRVTTEREGTLRDSGTQRKEPKKKSLLKVDSSPLDVDSSPAKYENYTPTGEYREAISPVVCVLKVML >PPA12857 pep:known supercontig:P_pacificus-5.0:Ppa_Contig238:23544:24166:1 gene:PPA12857 transcript:PPA12857 gene_biotype:protein_coding transcript_biotype:protein_coding MNIWAACILIEPLIVEPILTDRTSQSQWLIVFSIHGGFAIISGVIFLFTANAEPAPWTHSLCEKEMTPWQDSTSGSTLKLEETEEEEPWSSDLRL >PPA12865 pep:known supercontig:P_pacificus-5.0:Ppa_Contig238:63498:64713:1 gene:PPA12865 transcript:PPA12865 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFRINSGGGGNAFVARLISIILFVFLSIVTSVEVFYHSARRDRNHYRNHHQVIHFNFLVLQTAMTYLLAYGVIKRGLPFSPLTRLSPSPPSLGSDGARTNTIFDRRGGDA >PPA12864 pep:known supercontig:P_pacificus-5.0:Ppa_Contig238:57592:59736:1 gene:PPA12864 transcript:PPA12864 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTRHTNNCELCYRDLTIKRTATLECSHVFHRSCFLSWCECGSSVGEKTAEMCPTCRVPCIGTARNMNNQLLPIIVGFGPTAQDSNILNAERIDEVYPQRNMEDIVKTADSLLTIVKSHEVTTNIVHKQETIIELEVSMNRYETLVKNLSDAVLKNSKQQGTENSIGPHDVTMDIMASTSDDEEVCSICLDALSTKAPQAPPTFV >PPA12860 pep:known supercontig:P_pacificus-5.0:Ppa_Contig238:40418:42625:-1 gene:PPA12860 transcript:PPA12860 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNGTGRNKPASNDSPRRFHRNLVAQQPSAEPVREVNRSVFAEIFPAGTQNDHPDNRKVGGSRAIRVSELFPAGISAKKDAEPRANANGRNGDNQAIRGQAISPLRLINSVPTSAAERLPKVSKVELSPGVVVEFLERVERDPSLLGGLAEELTLNTDVAGLRRPPTCPREIGDLLNLFVFAAENYPPGLNATERQVFAPTRKEIVKAMLKTGLLLAANGRFVAELHEDIRRNRISDELIRIASLAIQLLLKAFLLLDEHKLNDDQDTIALWQDVERSVFNLQPRFWRVISGEMHDSLCHLAGEITVRVLSSAYYCHNINKDID >PPA12855 pep:known supercontig:P_pacificus-5.0:Ppa_Contig238:9718:12564:1 gene:PPA12855 transcript:PPA12855 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNGRLNFTPDDLNKAMLAGQRAAAPHTGMNKLISCARHTQPLPEWTDGLTGCQSAFEAAAAVAAAIANNSGNAPSTSQASVCFPDPRSYCPCADFYVCCAPSSFPDPRSYCPCADFYPRYLHVFPLQGRYGITMVIKQNEGAEQEIAARVVGEGLVLKLELRHRVGRGCIYVVEPRFVLDVCFDWNRPLHIDLTHFKRQCRFAKPPRPYLYEIQLDVDPKLDYDKLLKKLPSSK >PPA12856 pep:known supercontig:P_pacificus-5.0:Ppa_Contig238:13416:18382:1 gene:PPA12856 transcript:PPA12856 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQPIPGDKNVTSCVGSHFVLTSCVTLILAIATLCCTSILANMNIYNFTKICADPIEQDLDGNYTTMSSNFTRTQEMMIQGAPAVGALVSSIPYMLTFNRYSGRFVFLSAGVISIVSTALAPLGFSLGFWGFLVARAVQGISFSTVFQVIGLITAKRTVIETKK >PPA12861 pep:known supercontig:P_pacificus-5.0:Ppa_Contig238:44620:48682:1 gene:PPA12861 transcript:PPA12861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:H3ESU2] MNFKKLSIDQIDLKDKRVLIRSHFAVPLDEHGNITNNQRIVACLPTIKYAIDQGAKSVVLISHIGRPDGRVQLKYSMEPVVVELRRLLGRNITFLPDCVGAEVEAATANPPPGSVFLLENIRFHVEEQGKGVNERGEKITADKEAVKKFRASLSKHGDVYINDAFGNSHRAHSSLVGITLPIRAAGFLMKKELKYFTKALDNPARPFLAITGGAKVADKIHLIDNLLDKVEWRGGGDYSGVRIQGAKIVPQLLEKAKAKGVKIHLPVDFVCGDRFAEDAVVTHVPAAEGVPDGPMGVFEWDNFDAGTKSLMEAVVAATGRGARTIIGKLKIFSQHQP >PPA12859 pep:known supercontig:P_pacificus-5.0:Ppa_Contig238:30516:36871:-1 gene:PPA12859 transcript:PPA12859 gene_biotype:protein_coding transcript_biotype:protein_coding MARNARKPSRRKDKAKSPAVEPKKEVEPPPPPVVTPPSAAAAAPPTLAQLKSRRRRFQKSRREEEYGEGCEPKTIYPIPSWSTSRLRWPPAALAVAAEFLERYAEMGVDGIKEEFKREIEGYKSPDYADAAWKANPAKNRDKDHMACLDGTRVEFASDKKRYINATWVYDTQLVAQKYILTQLGYRQTDITAAHKSQEPIVPLAKGDASSLDDFWEMVYAENCAIVIKFNQIIGDKFYPIHTEKPLELAGFFLEDQWYSNHESLRSRASKISVAPKNCAGDADHNHKNGQPMRGCTPLSREAIIFDDDEPTIPGMRSLLYAIAQANRKNKGQLSPIQPVKSEGVGGPIVVMDDCSGTSAAAIFVAIDVLGNLLWTAQKDVTVVSIVKWIRRCRHGAIRNADEYFFIVNFLILKIFTVPKVPKAIRNVIGPSFRLFLTNRVRNTGRRLFISHTLSGLSD >PPA12862 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig238:49464:51338:1 gene:PPA12862 transcript:PPA12862 gene_biotype:protein_coding transcript_biotype:protein_coding MHLANIVYLCYGIPCILIYILVIASILSMRLELSRGFVLIFIVDASVNLISYLNTWLNNRLMSEPALGFFYRFCNHSIIIPYVQEFLTGYGYYGQNVTSFLLTLDRFISIVFTIHAKLLFTYIFTIAYDPQAIIIINSIVLYTSDVFSLGPAIYSFLVPGPIRRRCLHLLSHGWNRPAAPALSSTYSAKSH >PPA12858 pep:known supercontig:P_pacificus-5.0:Ppa_Contig238:24918:27644:1 gene:PPA12858 transcript:PPA12858 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDLSPPANPPQPHEQRYEPAEYSTCPPQENDRKYSEQRDNSDSTKDYLSSLVKDCMRSIITRLDKNDVDKFLTGNTTTRFSMDLDRTKYFMLLSDANQCTKFRKTNQIVLSTADHPVVADVIAARLSRFDYRICIFVKICINEHFLDYFEKERLRRKINGNWSFRITENYLLDLS >PPA12853 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig238:2023:3216:1 gene:PPA12853 transcript:PPA12853 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYMGRGDSSYRPQLSSQSSQIEEDEDEIEEEEEKIAADFFLVAKANLEPLFRRCQDCGGMIDPISIEWIQIASALSVKFQCTECKVHFRWDSQSKKGTGKSQVFQLNQELPIAAFVTGTPFPRLLECCDVLGIATPKERTMRDAIRFYGSPAIDRVYEEWENDARVTSKAFAPAEVVVLALDGQYDSPGWCASNCKVSAIDTSLGLIVGAVSLSSKDPGIDGKSVRMESRGTEAVLEQLIGVGFTECGESPQIEPTEYRSMVKHWILMRVTDSNSMVDKRLRENPKLNHIEAQRDFWHVQKPLRKKWWKVSISGCIN >PPA12863 pep:known supercontig:P_pacificus-5.0:Ppa_Contig238:54845:57143:-1 gene:PPA12863 transcript:PPA12863 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKSTSKQPEQSVKTSDKRAKTARDEGDEGSSGTTVHDSSVNLDSLPQVSMDLICSVLAARKMYEELQNLRVKFCATIPVRNGKDEVMNAIADALSNTVDEVRIERVRSEEELGRFNRVLGNAKVKFLRVTLDKNYYDEGAGLLSMARVHAVDHFQLCTRSTLWSLEDPESFISEIVKLTKSGMIQSLLWDGKVLNISDQNWNRIAASLNRTCEYDPDDSKLTWNP >PPA12866 pep:known supercontig:P_pacificus-5.0:Ppa_Contig238:66906:68507:1 gene:PPA12866 transcript:PPA12866 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMITMVIQIIACVYAFAMALYHFGIAVGFWCRSGSSGTPGVWFGGDSNIKVWILFDIVMVFFLLVLYQDFILKKIHLSLCALASCINFISILSLVISIFYVVPVRPDHQ >PPA12869 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2384:1011:1430:1 gene:PPA12869 transcript:PPA12869 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHLYIGTINARTLASRDKQTELELALDRIKCDVLAVQEARIVGCASFNLTSSGTLVFHSGGPTATHGVAFLLRPHLAGGAVFRGLSPRLATLLLPNQRLFLVCAYAPTSSYDDKEYDDFMDQVEAALRSAPRGHTPVL >PPA12870 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2389:202:763:1 gene:PPA12870 transcript:PPA12870 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMQQEEEENMDEGDEEEGEGEDGGESDVSEELGGGVYGEEVDSDHEPAMNTIELSDAEDEESEEELVEREGEEGSGSDWDEGEAALRALERGGRGRGRGRGRGRGRGRGGRGGRGRGGSVAVSVAAGKSTTVQGEGQPPEEEKGDRREKKERRSKTARKDMEEERESTDSDYDQPPVKKGRGAGR >PPA12880 pep:known supercontig:P_pacificus-5.0:Ppa_Contig239:75348:77434:1 gene:PPA12880 transcript:PPA12880 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMSADEWYRSGMNWGIRCHRLVDIREEFLEHRGFSEVVKAFKRASDPELPQGWAIKHGRKVGRYSEATKAFVKAKFDEYAKRGAKLKADEAERLMRADRFIEPKDWMTKSQLRN >PPA12878 pep:known supercontig:P_pacificus-5.0:Ppa_Contig239:56634:67138:-1 gene:PPA12878 transcript:PPA12878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-80 MAGEKNPAHLVENEAWRVLEQPPRPPPPQRADSVQLPQPSIEIHEVEDRPHSTSTSGADAERSVDHDEYTSEEDRMEKSDDDRSEATSGADLIRSFDQESLERGNSYDHQQEPFRGEEAVEDEIIKRVSKDQNLTQAELDHISWIQKLAEETAMQQVVQPPRPPPPQRADSVQLPQPSIEIHEVEDRPHSTSTSGADAERSVDHDEYTSEEDRMEKSDDDRSEATSGADLIRSFDQESLERGNIEEEHSSATSGADAERSSFEQESFDKGYHYERSSALLEPTQEESDMTTTAATEAIEEPVQLTQEELDHIAYIQKMAEQSSFETIAAAPTRPAPPQVEEVIAARERFGSMQEESVDNYERSSPLLETTQEESDMTTTAATEAIEEPVQLTQEELDHIAYIQKMAEQSSFETIAAAPTRPAPPQVEEVIAARERFGSMQEESVDKFDIEEEHSSATSGADAERSSFEQESFDKGYHYERSSALLEPTQEESDMTTTAATEAIEEPVQLTQEELDHIAYIQKMAEQSSFETIAAAPTRPAPPQVEEVIAARERFGSMQEESVDKFDIEEEHSSATSGADAERSSIPVYYERSSALLETTQEESDMTTTAATEAIEEPVQLTQEELDHIAYIQKMAEQSSFETIAAAPTRPAPPQVEEVIAARERFGSMQEESVDKFDIEEEHSSATSGADAERSSFEQESFDKGYQFSGFAIEEPVQLTQEELDHIAYIQKMAEQSSFETIAAAPTRPAPPQVEEVIAARERFGSMQEESVDKFDIEEEHSSATSGADAERSSFEQESFDKGYQFSYERSSPLLETTQEESDMTTTAATEAIEEPVQLTQEELDHIAYIQKMAEQSSFETIAAAPTRPAPPQVEEVIAARERFGSMQEESVDKFDIEEEHSSATSGADAERSSFEQESFDKGYQFSYERSSPLLETTQEESDMTTTAATEAIEEPVQLTQEELDHIAYIQKMAEQSSFETIAAAPTRPAPPQVEEVIAARERFGSMQEESVDKFDIEEEHSSATSGADAERSSFEQSPSTKDTTTKDHLHFLSLLKKSRNMTTTAATEAIEEPFDIEEEHSSATFGADAERSSFEQESFDKGYQFSYERSSPLLETTQEESDMTTTAATEAIEEPVQLTQEELDHIAYIQKMAEQSSFETIAAAPTRPAPPQVEEVIAARERFGSMQEESVDKFDIEEEHSSATSGADAERSSFEQESFDKGYHYERSSALLEPTQEESDMTTTAATEAIEEPVQLTQEELDHIAYIQKMAEQSSFETIAAAPTRPAPPQVEEVIAARERFGSMQEESVDKFDIEEEHSSATSGADAERSSFEQESFDKGYQFSYERSSPLLEATQEESNMTTTAATEAIEEPVQLTQEELDHIAYIQKMAEQTAPTRPAPPQVEEVIAARERFGSMQEESVDKFDIEEEHSSATSGADAERSSFEQESFDKGYQFSYERSSPLLDATQEESNMMTTAATEAIEEPVQLTQEELDHIAYIQKMAEQSSFETIAAAPTRPAPPQVEDVIAEDEDISRTTITFTNSLSRRLDRSIMSFTSEGDVEKNCPSFDESFKGMNDNIETNRRKSSVFLIYTDKRDVHSTEDDDSEDRISLQHHEHDDERSDHSSESLKASRAFTSMQNITTLNSEQLSMHTSHSVSCVDECGIRHPKGLNVFELCEQHSYTDELLTNVDFLCKLNFFANRLTEQIAERDEPSESRMDILNLIRRSSNIDYSDSSSIPQHALVGLSDTEKEHVKKVIENARKSSQSPAGSRRTSSTLPVHEMGLFSESERAHIQQVVEKAESRGSSPFVIRAHSSGKIRPTNSTVSYNSPTPPVERIAPHVSSDDDYITNMKDIDKRIRRATERETSLEMINDNSTKALTEEEEQEKAISSIPSSDDLLQSNFISLPANDDITRMTEESETSPRPLPTISDISKEEMDHIRRITELAESDALMLSFTPFPSFANIQEEKVSSTSLGLNDMTKDQFMKLNETSEMENLTHLEGELTEEELEHIRRINEMAMKEEMKNIANEDEIRRMKDIEEDNTMNVEFSTLTKEEDELNEEEIQHIKRMEELGRIMDEDMKMIMIKEGIMNDEKKEDIRRMEDIIRIEEKEGMMIKDWEIEKDDIKEDNMEEVGRQRTDMMYSPLSFNKYNEMEEEKRREEDEDNEIESPKWTMKFEYTNGMKNKWHL >PPA12873 pep:known supercontig:P_pacificus-5.0:Ppa_Contig239:17602:19150:-1 gene:PPA12873 transcript:PPA12873 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKGIVPATVKTKPKEVRVPGMEHRATGRLSLSNGYHSIRTRLGPDLHANGLGHKAFPWKKPKELKIKPELLAKRLAM >PPA12881 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig239:77465:78283:1 gene:PPA12881 transcript:PPA12881 gene_biotype:protein_coding transcript_biotype:protein_coding MRAWRRQVEHEDMDDEHFEVEVEPSDEDIVITEEDFHRHLTPTMLKKFFSDVDKPLFGVLRYDRAVTRAGNFLKAGFGTN >PPA12879 pep:known supercontig:P_pacificus-5.0:Ppa_Contig239:73126:76720:-1 gene:PPA12879 transcript:PPA12879 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKFFSDVDKPVTPNTPISINSGVKILSYSFSETQQGKSGADRDISKGGRKMRSHRDKQHNVITPEDMFDALNATLQLRATSVFLAELVENSVSSTKIKKMTKLSYFEYHGSDARVWKFHGIGDGEVIKDLKHTNATLDIKKQGRKLATAAVNIVDRKRILASFDKNPGQYEEPTFWLLPHEVAPMLDIEPNARDDDIVTPNRPDPSNPAGAAKQSLFYCRDCGSSFILYRNLLKHIEKGKHFIHPEHVNLLDRVLGLFMRAIEDTLVPEPLSPVSENLLKHIEKGKHFIHPEHVNLLDRVLGLFMRAIEDTLVPEPLSPVSEVVKAFKRASDPELPQGWAIKHGRKVGRYSEATKAFVKAKFDEHAKRGAKLKADEAERLMRADRFIEPKDWMTKSQLRDYINSLKSQLPKMRAWRRQVEHEDMDDEHFEVEVEPSDEDIVITEEDFHRHLTPTMLKKFFSDVAKPVTPNTPLFGVLRYDRAVTRAGNFLKAGFGTN >PPA12877 pep:known supercontig:P_pacificus-5.0:Ppa_Contig239:53864:55936:-1 gene:PPA12877 transcript:PPA12877 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGKKSGRFGGLSKFVGDSIGKAKAASEQLQQAAAAAAADITQSTSTSNLHSNAQGIKVVHRFLLPECVSIVVPIHIKFTYCNPFTITRRREDEDNGSDGLC >PPA12875 pep:known supercontig:P_pacificus-5.0:Ppa_Contig239:25484:26497:-1 gene:PPA12875 transcript:PPA12875 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQIHSSKYIYSLEERFRIKDELDLFLLRVIQNGIKFLVEYDIPWSSLPRMNLEFSIWDYDKFSENNSLGQVIISLNDTNVLTGISRWYKIEAIEMPLNGHRQPKDVPSVYANGVSSKGIMGGYRSAYSYNPIRDVISSIDV >PPA12882 pep:known supercontig:P_pacificus-5.0:Ppa_Contig239:82929:89168:-1 gene:PPA12882 transcript:PPA12882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nol-10 MELVERENDSDVVMSMDDRAVKVWDRYMGKPFAAIEPQNGLNDFVRYPGSVYDDYKFVTTSELDQIGLSHLVGTNVLRAYMHGYFMDIRLYNKARTLTQPFAYDKYKDRKLMEEVEKERDMTVVKKKNEKNEVKVNADLAERLKKDAEATIKERRKDKRNKEMKKVDEESEAFTRAKNSMSKKKIDKGKELKSESEEEDEEEEKEDIEQMDTSVWNVGIEEDDDESSSSSMEDKSESENEDEKEKEEKKLKADAARKRQQKRNLQRAFDDVEKERRSGNKPTKFTLHQVDTGETTTKYADGDESDDEMSAPLGSRKEMLMEEGMGDEGREEETPFGGKSLTFTLKKKSTMEKEAAAEKAEIHLKERKNERRTLGSVRKMMTPLPANLMLNFKGEQITRGESVSDIWGFI >PPA12884 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig239:101666:105275:1 gene:PPA12884 transcript:PPA12884 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSRPIPSSPQQTRQMPIGGHLKPTGPSTMASAQMQMSSNAPLPSLHTQNQSMVVQRQPVESPPKRGYYDVQVPHIHWWSSGGQAAPLITATGSMTYNPRRKKEKDTGETVDAAVSRNVKAILQSARAYQDRVKKEKEEAKNRELKRKLAERARRKEENSRKPSSVIRNTPKASAPLKSEKTGNYSYREILKNECSTSARRLVAVSRLPNHSSDIVNTLRNNPIIRSLYVESKVFGIVVTEEVCEDFSDPLLWKRNVEIEISFLIV >PPA12874 pep:known supercontig:P_pacificus-5.0:Ppa_Contig239:21581:22331:-1 gene:PPA12874 transcript:PPA12874 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTTYLTEETTGDFVGPMGDSIRSDSKKYLSLHLTGDVHRCRDSIARLKPSEDEFLSLLVLCCWNLENTEADPHLMAIGETNKRKVLNELEESYKRRGMTEYAPRLGELLAITTFFQITAEAMPFKLEMLRLHNIIDDDTFLYSVTKT >PPA12871 pep:known supercontig:P_pacificus-5.0:Ppa_Contig239:4250:5025:1 gene:PPA12871 transcript:PPA12871 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDDDDRFVQDSLEGFNIRVNNFEEMDDGDLSESDLPAPSRFEQIHWALQNKAQEYRDTGLRPPDRNDQFGIERKKENEDTEIKN >PPA12876 pep:known supercontig:P_pacificus-5.0:Ppa_Contig239:49927:53051:-1 gene:PPA12876 transcript:PPA12876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-199 MEMARMEEESTGLQLINENHEYEDKLVDDGNFNEEKRREMEEMEYEKERFASYEHQDLQPIHHKDQLDDEMEESNEQFRTSEEESTSRYKAVPSFDSSSSLTKESGYGTTSTSVEKDLSLSQYQKDISYREEKNEEREREREEIRDKEKLIEEEKRRLEKEKEEEEEKEMRRREMEEVENEQKRERERLKWKEDEMKEEERKENMEGYDKNDDIMMDTIEVRDGAESRTDGCSIDDDAFAFNETAPRFQLPEGVEDWQQGRETEWKTESKPPMWTTVFESDGSEDANEKEEIFPLPPSGSVAYSTQTQGMEGSPTVYRTRYTSSTREDNSPVDAIEMDEVYDNFSIAEAPSTAGRSIPSTVSGGFRFDRGEEIEFDSTPRNVSSLSRSSIPEISVTIHETMESDEDENGGEQTSDDEDYPDKVIVAPIAPPISYEEVEREREVNEAAATQMLHQIQALGDEAANDEFDVQWAHSQLKKTTKTPIDSSSSSSIPSSSSPESRKNPFLDEDDVKVDMEELKTDYSQAAAYYAGMGSGGLINHRPGPVYTITEEDEGERVIDGDAKSVGK >PPA12883 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig239:100276:101184:1 gene:PPA12883 transcript:PPA12883 gene_biotype:protein_coding transcript_biotype:protein_coding MHPIQDHGVHFRGAAPIVTPARGQKITPRGRPTIGLRRDIPDDRTFTMVTTQQGIPPSKPIPTKKMTHFGSAQAAEDAHTREMIQAAQNALAPSNAIISGMSVQPPLPSHSSLPHLHPHPSHHDPIVLPPHNRPQTSSHRYDDGDLPRTPGKVHAYTPISSPHSAVRTSSPKIFALMELIIITPKVKTMVGNCKHF >PPA12885 pep:known supercontig:P_pacificus-5.0:Ppa_Contig239:106000:107030:1 gene:PPA12885 transcript:PPA12885 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPAQGCGCDKMKEKGKCELERFFNQAQSVSSSSRKSLSVNGTTPMSGRSPPIRQAPTEKKKVTSSKK >PPA12872 pep:known supercontig:P_pacificus-5.0:Ppa_Contig239:11311:13267:1 gene:PPA12872 transcript:PPA12872 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKKTGQRKKADKQKEVQKKIRSGERDLADQPCNALMKCLECGNEQRSRAFCYFCSTLNKLPVCAQCGKQKCMGGDCVIKHVGKFTTGKSFLVSPTDLFILLGMGMVGAICDFCEAFVCHGRKCLTTHACACPLRDAECYSCKRGIWELGGSCNRLGQHTCMRCKVCFCEDHVRRKGVKYDKSSTVIPCPKCSHPTSETKDFSVSARRHAFGRQRQDDDEDEEGGFSYGGGGGYYGGANER >PPA12886 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2390:130:715:1 gene:PPA12886 transcript:PPA12886 gene_biotype:protein_coding transcript_biotype:protein_coding VWASDGASLPSSHGSTTVDGNQIRVVRKNIARFDRDQTDGQRAVLCVALSPSTRLPSRSKTSSSSSSSMRVVDEGISLSPSFSTSPSSHELFLL >PPA12887 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2393:452:951:1 gene:PPA12887 transcript:PPA12887 gene_biotype:protein_coding transcript_biotype:protein_coding VKVPEWSDLVKLGVTKDMAPLRQFFRKRHPQGSQVEALKWVDKSENGKGRILSKQGRKDLDRIAADLRSTAAPAEL >PPA12888 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2395:315:589:1 gene:PPA12888 transcript:PPA12888 gene_biotype:protein_coding transcript_biotype:protein_coding ATKAAAHMFSMMSGEEVPDTNKSRRYGAYRLFIASSIGHLGKGIRIRLPSCFVRAVRDRWPSPNYTGFASSELTDI >PPA12889 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2395:712:1221:1 gene:PPA12889 transcript:PPA12889 gene_biotype:protein_coding transcript_biotype:protein_coding MPVCVSPTCKKFSADRGLFHQFTSREPFRSQWLTALTVDDSSKASLDVTLSTKPGKHYVCVSHFDDDSFIAGTRILKKDAIPMSQRISPSYSTPTVSYSPPPTPRGNLLSSTPVARPLRPLLPSVSLKHRSRPAACCCKKR >PPA12890 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2396:43:692:1 gene:PPA12890 transcript:PPA12890 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERISPEHRPLSSQSTRYSRTPLHWLALNTKKSSADIESDCLLLLSFGVDVNAQDMDGNTALHYACENARLPIVRRLLDAGADPLIDNELDMTPLHVAAQCGDDKCMELLIAHKFYKDP >PPA12925 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:273298:273892:1 gene:PPA12925 transcript:PPA12925 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRKFTVVYEIIRSVTNRMEDEMKKKLEKLELENVQLNRLIAHQERRALKRREHDKNIIWSLKGNQTKTFRKVAELEKNMELMITAEEPTRKRSLSRQRRQYPMPTYQDVMSSSDSENEDKGERTPKKKNKVNMNKY >PPA12950 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:434123:437159:1 gene:PPA12950 transcript:PPA12950 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIDEADCLVSSMIIKEQDSTTDSMPDPEPGPEPISDPVRTFAMPAHLGEQVMETVSQKMLCITRDRGYVKRRKPLKQLVVFKEGNKFTFYAIPIDKSKWLVFETTGNGDGIRRKIEPLDEEYYSHYQLDQAWFACGGGLARLMPHAGFEPGTLRRAVRHTTDCAMPSAIVPNPDPEFLEIPAEMFAAIAQLSLKYAPVHVNLSEVRVDAVLLRRLKDAFHGKLPARLDLSCICADKDAGLIDFVLDSKITSLFVSWAISPVNFESRLTIFDEEFVTKFSAREEERLELYVDLDVFGRSWFPTPQFLPILCRYTNLKLEGVNLNLDLLKKLIDVRQVLYCCINITLLT >PPA13014 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:919724:920408:1 gene:PPA13014 transcript:PPA13014 gene_biotype:protein_coding transcript_biotype:protein_coding MPEMAQLFIKTEDGLAMPLMTTSESHSTTTQSSPASSPSTSPRPLKRGRPQQEIDDDVADAGSQRRKHRRLYAREYRAQMRKKVDEVETLRQTVDLLQRERKLSEARRLEGDLKMAQLERALIHSDHNASCSTAAAVSHSRYRTIIRLI >PPA12957 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:480864:487737:1 gene:PPA12957 transcript:PPA12957 gene_biotype:protein_coding transcript_biotype:protein_coding MTESMESESFSAFSPAEPAVDAAFVSKHNQSAEIYQIFKDEVDALLQAVKTPGLDHSMRIRNILNHCETLLSVFEDEKQYLMGDILCNWAIRQQKLSIATLWTQQLHYKQLDMIHLQFEYFGELLQQTLSGLNYLQEIFPGVGFDEAWSRFRHMAHYFLYYSIIVSRQPPSVVVKCGDAENHRRSRFWFNTELRVLGGGAFGIEASGEGMEVRCFLITDETAKQLLSNAYHDSEEFVIEPCTAAFQKKENKGLRSKFEDMHVLPSTCNDVLKSVVAKFNASQLITPRQQVSSLNLFPSSVPNESTRLIGSRRPSGRRSRPLPPRRTFSSLPDNFESALEAHPHMLDEFYAPWCGHCKLLASSTTRLKDEGSEVKLAKVDATVHGNLARKIEVRGYPTLTFFRAGKTTEYTCECEHCKSLVPVWEELGEKYGTSDKVLIAKVGSSHIEIGETTED >PPA13053 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1123310:1124913:1 gene:PPA13053 transcript:PPA13053 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLVLLLALYGIALSQVHQMRLNKRYDKTYGLYMLDCKASIPDLKLDIGAKTYSLTSKNMIVPVTSTKCGLAIEAFNGGGLGPSWILGDPFIRQSMSHKSGRACGPYQYCQIYDVGNKRMGFAFSNQK >PPA13046 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1090743:1093318:-1 gene:PPA13046 transcript:PPA13046 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNCQLSQAIHLAKSFALSKGIAAGEKMGLPNAQDALNIAHALAQSQGMSGGIGEIFTLLNSLDNQSITSPVASLSPSPVIKAFMAGYLDGMGGKSEEERKVTRQREERARRRCPSSFYSHTRGWHFLDKQLTWGEHVLNLHRCSLRDLDDLIEMLQKKIEILDNHITMAGRGLPELPETEEEISLYQSVTAQWKLVINSIDVISRAVKSRRSKKLPAEVAELRKAIAKRRIEAANLLNVSSQLQCKLTHLYSSIQAEIDVKSVEAA >PPA12936 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:347411:350776:-1 gene:PPA12936 transcript:PPA12936 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDALVSAASSFIQRTSTIPHLQECTGPCPTPGNCWMYHRPAPRQGCGPGFPPGPGFGPMPPPSFMPPAGIRYGFEPDFTYRQPPPPPGPGYGMDPRMGPVFPPPGHFPPSGYDPRYPPGNGGTGNGVAMLHPIRPVRRLPDSVLNNLLSRKRRIMADIVGYQQWLRRLQIPYFIVEEELKKEDDNQSCTLQRVAMDSMGNDYFIVPDCRLYVKSMPTYYRIPRGEEQNNKPRPVASVTPLVRKVSDQVSRAQQACGQSTGSSQEPKRRCGYFPRCYRIHTNDEFFHPTEKCKKLAAGQKCDGQWCLFLHGDCPSDGTCTDMRCIFEHHHSPTVVERRVLANKKKQGSLSRNPSMTNLSRINSMSNISLCSNTTGGRRKSVSFDFDSEDQCDVEKKSSTTAPSPGILRPMGTNRKGRCRFGEQCTNEECDYMHPREKCP >PPA12943 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:383170:384129:-1 gene:PPA12943 transcript:PPA12943 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLLPLFVFLAVAVTASYGAAAGGNIYSIWHPYRLPRTATSAPALTAFRQRNDLQMTNPTKAQFLAQPSLAEYSLSFPTPHSHSTTFGVVVNWANNRRSS >PPA13065 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1183607:1186658:1 gene:PPA13065 transcript:PPA13065 gene_biotype:protein_coding transcript_biotype:protein_coding MREASNRSPCEELSKYWSAIKKSTPSATSTEASSAVEFRPKKATEQDFAELNATCIERTSDKPWKVVADRGYDANCALNADFVLIFTSNLDEIEQATSEARTIVITQEQITVTPNSATSIRKEKCTGEGKVTFNTGAGIGEEEQRYEIKSWSCSELSDWIITFDNVFTIIPDEGVVLTLEFKTYNFLREHILVKPSQSWTIMTSGRSDNAYQYELSWDIPSAKFVFDIGEHRYTYGTVSCENTFDHPHRPFVDMSILTDTGHEYAEYITQRFFDGAKSWSGFAQEYNVNLVIKRNLKAADVWNSQDNFICEYTPQATETTTTLLPVDSGNTKNIPT >PPA13056 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1137115:1142589:1 gene:PPA13056 transcript:PPA13056 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPSIPNPLRDFEKEDPPVFLDSLRKTLIREGKWEEYVASKTFLRADRATLAAGYPQKVNDYDDAEYVGNITIGTPGQAFEVILDTGSANLWVPDSTCGGGVTNPCENKHKFQSSQSSTWVKNGKSFTISYGTGSARGFLGQDTVRFGTEATDLTVPKCTFGQATSIAAFFKNEVIDGILGLAFQSLAVDNVKPPFIEAIDQKLVDQPLFTVWLEHEGNAENVFGGIYTYGAVDTTNCGPVIAYQALSSATYFEFKMTSVAMGTYSNNKGWQVISDTGTSLLAAPTAITEQIAEAAGAKYNRLYGLYTLDCHASIPTLDIVIGSTTYSLDNSNMIIPVTDTECGLAIDAYNGGGFGPSWILGDPFIRQYCQIYDVGNKRMGFAPSLQN >PPA12938 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:354638:364569:1 gene:PPA12938 transcript:PPA12938 gene_biotype:protein_coding transcript_biotype:protein_coding MPYIVMVIQSVIIFITLSAFILYVFSPRSIPHLSPTVAPSFTVTFENSALFPPPPPSQPALPVVDETKQSPPVHHSHTMLGKFRKAAVVSDHGICSEIGRSILTKGGNAVDAAIATLICVGATNPQSSGIGGGFFMQVYQKDSGICTTINARETAPQNIDVKEYERTPDASSYGWKAIGVPGEVKGFWRAFTDYGSGKVAWRDLLAPTIKLCREGIPVSEYLAMILVNETKSVNKSNELREMFTNPATSKFYREGETMKREKLARTLERLSEAEDPAALFYAGDMADTIVKEIRENGKLIFDLCSLNQRLLRSYHKFINGMDILFVPIRRERGGYMDKEDLEDFQPLVRPAITSAITDSITQCGPPPPSSWIVTQLIVRIMAELYPEPRRLEDLDSVLFYHRLIEAEKFAYARRTRLGDPQKYYNPGMEDTVKELTNPAAAKRDHMLIVKVIPVNHGTCVLREITARPMYAARIRDEGPQANAAYEVKGYVKEDHGTSHVSVIDEQGNAVAVTSSINLWLGAKVASSLGIIWNNQMDDFGVPGVVNGFGFLPSKENYIAPGKAPLSSMSPTIIYDRDGQVRVVIGTTGGSKIIQGVASVVVRSLLFNQTIKEAIDAPQIWNQMQPDHVQYEKDFPEHIVSGLRAMGHKLQEEVNMRSTTHALFVDEATKYIYANSDKRSPVHMHPDGY >PPA13038 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:1054105:1056766:1 gene:PPA13038 transcript:PPA13038 gene_biotype:protein_coding transcript_biotype:protein_coding MMESRAGENMTFFERLHNTYVYMVSDHFFRRNYIPRFANVVYEQFPELPPLMELIARNSLVFTNSEPLVDFPRPSSSRIVDIGGIVVSSKHEQLNKTWSSLLDLRSQTIFLSFGTVAKAHLMPEQYKRSIVEVIRRFPDVTFIWKYERPEHNISRGIDNLIESTWVPQRDILHDPRLSAFITHCGQGSTTEAIDAGLPLVVIPVLADQHRNARQIVRNGIGLMLEKSQLASPDALEKAIKQILSTNRYRERAMQVRKMLKERPFPMKECLTTY >PPA12996 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:805548:806130:1 gene:PPA12996 transcript:PPA12996 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGKVTSSTPPALRSTQTKGQKSHAVSSDRSHSSLTAGTEGGRTMRAS >PPA12990 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:762741:775812:1 gene:PPA12990 transcript:PPA12990 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDVRWVRKRGWRGLICLKSNGDRPPGGKAVADGASSVRLCNGARISYGNADSSRSQNGTCLARGQIPHLMYSIKEDAQRGSKNNDEERLSRSFCQLLRLMTAKVGEAVTAGTLTTSARDLVSAIAGFAMVFMEAQPKRALEVVAIVDQLLPMVSSVNGVAKQDSSDSNYFSTHRDVHFDIVESTHPYLPSTVQSAVIGFDKEVELMFIDMDECSRTVSADDVLWIYVGVDTMCYVPVARFSGSSLWRNRQLMLPGNSAWFILESAAMVEGASESDMYGFRCSVHGYSVLGENTNLRLEQELAWLSASACRLLVQLPSEGEELTRLSIAEEETKDLLEKHGSLLRKGLNMSHIPTLHELHTRSVPPPGLSPELKFIREFISGASSMAGALARWLPMGAVIDPAHCQLLLPQIPIIQEEFVVDQPAQLKLITRDQHGRLAQCNAVAVEVTVHRGLEDSRCAHKIEQWCGEGTLPPITLFHQNPYLPVYMNKASAYSGLGRITIGFENAHKIEQWCGEGTLPPITLFHQNPYLPVYMNKARYMSIGMMPAYANYSYEELRLGFAANGVQKDTVGMTQKSSTTHCGTWFPRLPGVFRIECRVDGFQVPHSFTVEVVDRGEKGARKNSEASHHPSNRTFPSDKNTNCAVVDCQTRRAEEILEEQERRRPSEQSVLIEANEMYILAEGDRVELYATPSLAGLCKDEHLEGRREILTDGWIHNSHGVWIRIAALQKYILAEDAVGHSSVHSQLASFNGNDEEEEQTRPRLRPRSAEAEALRPSIVDCIRTVFGAFLWHEHLVKDAMAAATYLKFHANLSNIWQGGAEASLSSLSLIPPPLLPLVRLWRDLTMAVRTSIDQHLIMPSPPAVRCAAGEQSAHNSPQQKPSTSSAECELCDEPVRAPGHGYNSSAKYTTGWSGACGGRSKAMWYLLCPACRQKYLRQTSAGHHQERKRRWREFRLSSAALSSRPETVMKQNALFLLELNASADGGDSKNSSTNTSGWTINLFPTNTLTPSPFDRSLIGEGTVVSQHLTKLASSQAVMSHHQPRYY >PPA12920 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:216067:223065:-1 gene:PPA12920 transcript:PPA12920 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cand-1 MNRVKLELFLDLPMPAIVSHLRACGLLRSTLMCPKCAVPCVEYQLKKSPSWPGCGWRCNNCATTFSALRDSWFSRTRIDIRPLLRMLYAFSWEQASFRSVQHELRCPDGSTISRQTFVDYCRLMAWALFIFFPNSEYLFDEQSQAREKGAGQEVCAASFTASIVVSDGDRLRIAEFINLQAHVSSPSVNMRAAVVTSVKFMITDEQKPIDDVLDTMMSSFLSAAGDENLDVRRVALVVLNSAAHNKPNLIRNRLAEVMPIVYHETNVRQELIREVEMGPFKHSVDDGLDLRKSAFECMYTLLDSCIDRLDLHTFIAALENGLKDQHDIKLLSYLILSKLATLAPNDLTLKLDKVCDPLKTQLNVKNKQNAVKAEMDKNEELKRAVLRTLIVLNKLPEGTRVVQLNELRSLVSNTTDLRTVYEALEKDGRRGGFAVENTVE >PPA12911 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:139049:141131:1 gene:PPA12911 transcript:PPA12911 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMSAKSASHHSLPITASHASLRLEFARLYSFPVSCSRLYNPESMTRDRQSCYDCHTATQHNNAVSHVHILVSRWSMGPPVLAPRQATQAIIASEKVSGPMSVQTALKKMDNEDWNEKVDGINMISELSEKNPQAIVDNLNEVVTALLNECKNLRSSVSRVAISCLGTLHVNLKGKMDPMTDKTTAVLIARSGDVSNAFIRDDATEAVENVVKFGSPNKVLASIITAGAK >PPA12980 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:669278:682842:-1 gene:PPA12980 transcript:PPA12980 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSQICSRTIHASKRLCDCRFAEPDEQYLNAYFRAMYRNKNNRDGGGRQNAKQISMNRFKEIDADLGSRFDDLYEEEEQERNNAGGETPRYLNRNQPRPGGRNGNQQGSYEQKKNLQLVKGAAGSAMKEFVSKVKVSNGKKYGQRFILKALIGHVEDLKPIMPNFADNGDFEFFVREADVAQAIRMCSRRIKHVASGERLNITVHNVVAPWAKLKKEEKDAIVEVVDSRADRQNRALELSGFATHEAFVSRDLMMNMTKNNVFLAVVELIEAKYSDIIALSLKNNRIKYLEMASMLPYFAKNLKVLDLSDNQIESIAELEKLKGLHLTTLFLENNPVCESYSKASDYLREVQAIFPRVTRLDGNECPALPGAFDDDNEFVEPPARPGFYGDAGLRSIVETFIIEYFKSYDGDEPTASRKTLVHAYDDSNSQFTMCVENLYEEGTGKSRWPNENFAFHIRISHNIKQIDKWGKNRHSRLFHGAMDVAAQLCKMPATRHLQESFIVDVVMATSSLLVFTVQGLFEEAPFAVSPNLPQLNFFSRTFTVTPKENGSICVISDELYLSAMTYSRVERYRIQLGKANAAPTAVAAAPAAAAAAAGGAEPDAATRAAMVEAFCRDSGMLPDWSTKCLLEANWDFNRS >PPA12895 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:7023:9506:1 gene:PPA12895 transcript:PPA12895 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAGKCTSEAVVSLFTASLAATERALAEESAKALQAESALVTALTRIHKLEVELLLARAESAQWRNRYARECEQRAWERERRELQRRGPVTQQSGADNNPSTRFNYTAGPAQAQKESEEAQEPQEPSPRPATPLNPGGFDPLWQQQHRAMQQAKKVSQPQSNAVGSRSLSAVVRQPLVTSEPTRCQTPPTSEEPAPCFGTRSEPRPLQQLQQLQPKGKQSKPRQQQRQSGRKEAPTVEGAIGDIFAAQASGDCRRPEDRSSLLHFTTLRRAPPLEFSISRLIDK >PPA12931 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:310361:311183:1 gene:PPA12931 transcript:PPA12931 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEHFHRDAIGWRLYHFMLDQNSQYDPFLLEGNSISAIDKVCRVALPLVFGAVVLIYYQIYVGTPFHFQFEDPRGPRLL >PPA13043 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1081135:1082311:1 gene:PPA13043 transcript:PPA13043 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRNHIFQCHEYSAWSISGEPAERISDDCTVFKPEIPNVECHAYSYDFESRQCKLLGGVRPKVSTEQVTIYERRNCTANPNCGSTTLDKAVICDSEGYKYDACNFNGTSPTFLNALMLKDTFGCFTGFKYSSTTNVLRNKTIQTVPVCTEKGWLEQAGGIPFDGTIRYVYCKKIE >PPA12971 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:586443:588970:1 gene:PPA12971 transcript:PPA12971 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGEKYVADSYSKLAESLERMARAEPDKTMARTLARGADAMQKLKKVEARASNDEELKLTDTLVYFQRDTNAAKLL >PPA12975 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:642705:644178:-1 gene:PPA12975 transcript:PPA12975 gene_biotype:protein_coding transcript_biotype:protein_coding MHAQTGNTGEKTSYQCVDASDAAYCKSSSNFDAYLTKYKKDAYKAKAYVHQSC >PPA12926 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:275021:277265:-1 gene:PPA12926 transcript:PPA12926 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNMLDRRIRDMPHALMPRNGSIMSRKDGIQHMLLCKDMKRFSSCFVYPGCTQEQASKIASTQYHMVFGKILPVQAFLSFWGYGKEVCEQACSYESLMQCKRDIRRRSESAEETLFQQAAELSSKINSEERKETFCDDFKTTLVKLMRARSEVCGEVSKCLCMEDQLKRGIIFCNAGCEKLMYDSMESEMQQVSAAPSHVLPFSLVLPILIFGL >PPA12940 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:368036:370300:1 gene:PPA12940 transcript:PPA12940 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRQSVRKSSAPLLLPHDKSPTGEDLLEPADTCPCSFASAVAVLVLTPIILKPSDVKFSSSASSARASTLLTSVTLLLFIVAGAFDLTFLSLLTVVGERESGFLHMVFFICFILSTEAFMAAHLLLSIRCGVKGRKETVSFRLRLFLFFALIITVALLTTAFSLFQGYCVPYSYSTFAGLEYCTIAMILMYHSTALLDLDFRLNVVYTGKEC >PPA13067 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:1190185:1190527:1 gene:PPA13067 transcript:PPA13067 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHKSNYSIRRSSLRIGCICNRSERNQQDNAGTITHRSATDDTDDVVSMEMQETPEC >PPA12945 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:397217:408626:-1 gene:PPA12945 transcript:PPA12945 gene_biotype:protein_coding transcript_biotype:protein_coding MMEALVYSLSAISDVPTNAADLLHPQPAHVQRLYKSILVKNFGIPEQAMQQADLGWISTEMQRDPDLYSRSEGPLLLCRFVQSILNDYVAIGDDVPQFGIGCLVDPKPRETRSYLHQLATIAQLKKMAKPHYDEELRSFDHQLAMIKAQEDRALELEARLERLTTERAARLRLDENLCRQKDERASALEALTRAASDMEQKMEATAELLAKNSNTVVAKRAEEAAARRKCAELDYDLADDPEALRERAVWPITRKTIEKHDTGRGREVCELEQRDEQCRHAANTMNSLEGEMGVATKTVALLIEALGEMHEKEAVLEELHELLASKKEGVEKKRAERGAFRARHEEERIEQRERLDEISSRIADTRAECDRLRSLEGSVKKSVLEQRAKLARLRNEKNAEISTLMDYVATRTGLLQKLEDKMVEKRAVLQKLEAAYEEGKRCLSDAMTTSDLDVSVTVTMQLTD >PPA12973 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:626014:637725:1 gene:PPA12973 transcript:PPA12973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gck-3 MADQHETWPCDKKDYVLDDQIGVGATATVFRATCIPRNERCAVKCINLEKCQTSVDELSHEIHAMSLCAHPNVVNYHTSFVVDEELWVIMRLLSCGSMLDILKRKIKAIGKEDAAFGVLDETTIATVLKEVLKGLEYFHSNGQIHRDIKAGNILLADDGTVQIADFGVSGRIAASGGDLSRQKVRHTFVGTPCWMAPEVMEQVSGYDFKADIWSLGILAIELATGTAPYHKYPPMKVLMLTLQNDPPNLETNADKKDQYKAYGKSFRTLIKDCLQKDPAKRPTATELLKYKFFSKAKDKKYLVHSLIENLGAIPVSSHAQNPHKKKVASGKLKKGPDGNWEFEYDSPENTDSSDEDEEVRKPAAAAGAAAVGGAEPAGDGVQMGDTINLVLRVRNQQRELNDIKFDFTRGTDSVEGIAHELVTAELIDCHDLVIVAANLQKLIEHADEKDRRSVTFALNSGVATNEIPDERTLTGFAQISIID >PPA12919 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:195806:202933:-1 gene:PPA12919 transcript:PPA12919 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQSLADTAPLSEAEMEALLADPLYPVVLYAHGNSFDRTIAHRCELYNVLTAMGYQVVSFDYRGYGDSEGSPTEGGIVNDTRVVYDYVRMHSKDNAVVVWGHSMGTGVCTRLTKELSLARNAPAGLVLESPFNNLRDAVMNHPFSIPIRWMTRGMIDRFVLNPLKSVGLVMESDERITHITCPILILHAEDDHIIPVKLGRALKDAAASSNRDVEYVEFPKAREFAHKFIYTAPELPEIQVCVSLSQQQHLAVFLEDGRVVPCGTADILQSRYRRDWRMTSVCDQ >PPA13010 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:890071:891065:-1 gene:PPA13010 transcript:PPA13010 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVENREQQSGALSIPSALSSVRAARDHGKVRERERPIIGKVPKSRPVPLSTYIPPKSYAMSRSKSAHERALRLLTEASTPPGLKGTAVADGSIDIAGIEEMKLSSGRSKKRMSYRLG >PPA12979 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:660094:666274:-1 gene:PPA12979 transcript:PPA12979 gene_biotype:protein_coding transcript_biotype:protein_coding MVFARVSTSPRDAFRLSTTTGPQHAARLSTSPREGFRRVERGRSITRFSIRNNVYGGRHQTAKDRARSQLKNKQAADVEKAWRVKIPSGQKYGAPFILSTLSAHVENLKPIMPKMFSTEFVFFVRDDDAAHAIRSVHRRIKHAETGDRLNIITNLVNAPWMKLKKEEKEAIEEVVNRRADQRSRALELNLFANDEAFTKRDLMMNLTKNNVFLAVVELIETDYSNLTALSLKDNRLKHLEVAAMLPYFAKNLKVLDLSNNHIDSLEELEKLKGLHLTTLFLENNPVCEKYTKASDYLRAVQDIFPRISMLDGNACSPRPDAFDEDKKAVEPQTKPGFYSDISIQPLIDNFIIEYFKTYDGVDGRSRKDLINAYDDENSKFTLCLESLYEDGAAKTRWANDANYTFLIRLSHNVKQEDKWKRNRDARIFRGAMDVTAQVCKMPGTRHLQETFLVDVVLTTPSLLIFSVQGLFEETPFAVSPSTPTLNFFSRTFAVTPKPNGAVAVISDELYLSALTAARVDRYRKQLARLNATIAPVAGPAAASAAVNDVADALSQLGKENATDVQVTTSADQQAAMVAAFSAESGMLPEWSARCLQDSAWNYQLAGQNFLASKDRIPKEAFPAQ >PPA13023 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:987003:991007:1 gene:PPA13023 transcript:PPA13023 gene_biotype:protein_coding transcript_biotype:protein_coding MISLHIPPRYQISRMAKMLADEYGTAANIKSKVNRDSVRSAITSVQAKLRLYKDVPPNGLVIYCGTIITDDGKEKKETIDFSPFKPIAHSLYHCDNRFHTEALKALLDDGSRFGFIIIDGSGCLFGTLQGNTREILHKFSVELPKKHGRGGQSALRFARLRTEKRHNYVRKAAETAVEVFIRNDRVTVAGLILAGSADFKTELAQSEIFDKRLQSAILRTVDISNGGEMGLNQAIDLSSDVLSNCKFIQEKRLIGAYFEEIKVDSGRYVFGVEETIQALESGRGVVETLICWENLDIERYKLRNGATGEEKVATLRPGEPTNFVDPVTQTALEIVEQTTLLEWLANNYKLFGAAIEIVTDKSQEGAQFVKGFGGIGAWSSEFDL >PPA12959 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:493474:497795:1 gene:PPA12959 transcript:PPA12959 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLLLLLSLSPLALHAAFDKDEAVRVVRIQLNYEQASTREIDHIGKWSSLLKSSLLASLRFINKHWLICGGSEEDKKSATDCGKAHITGETMSDSHYRVNVTFVAERDPVKNAKVEATSTVFGVVQIGLKGGIFQYTNPLKILGKPTPTLILTEKFFCYKGFRLAEDDKCVRDHRQRLADQPLVEVEKPLALRKWYKMGSLDSMIQLANPFGAF >PPA13030 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1021972:1024122:-1 gene:PPA13030 transcript:PPA13030 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPDYVKICIFEAACVLAVGAMGLGGIGCKNRCLMMTFIVFAIIGIVIYSLWGIKLIYAQTQFDPERLYAYDVSGSHSREELFLERKNEEKTRAIAYVVGLFINQILTGVAVFFYFKARREITAEMYNEFYAQDPVVTPVYSGYPQYGCQSPVYHGQAYPAPYYYQ >PPA13016 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:924785:932465:-1 gene:PPA13016 transcript:PPA13016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-skp-1 MSVKLTELLPTPSAVTDEYSAVRRDPWFRGKEDAVGLAVAVKEPPRYRHRQNYKPKTPEDFGDGGAFPEILVAQFPLGMGLERKGKNDKTVALQFDGEGKLRHDAIARIGHGKDKIVYSKLADMKAKIIDEDDESFQRPDDEVTRDITEQTRLALEKITRGKVESALPVRHAEKQAPAQFVRYTPSQQSGAAGSQQRIIRMVEEQKDPMEPPKFKINSKIPMAPPSPPAPVMHSPPRKTTVKDQADWKIPPCISNWKNPKGFTVALDKRLAADGRGLQQARDAVEQRAMLERKIAQNKKSEQEEKMRAMAAKARAERAAAPRMKDEDEGAKEREAIRRDRQDDARKERNIARNRPDKADRLRRERERDISEKIVLGLPDTKARTGETQFDQRLFDQSKGLDSGAMDEDSYNPYDKAWRATDNIQQHIYRPGVTLSK >PPA12932 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:312135:314212:-1 gene:PPA12932 transcript:PPA12932 gene_biotype:protein_coding transcript_biotype:protein_coding MCAGLYCLFVHGACANDGSCTSMTCIYEHYKSPLVIERRLEMRHKKGQTLSRAPSLSNLSTCSKRSRRSVNFDLYSDGESVVSDNKDTITQKPKSILKTTPQRDKCPAFPQYPNGGVCIFKHELCKNDGVCSKEDCDYDHLLPHPIANSWCKNGSRCTLTDCSELHPKECIGRCPTPGNCWMYHRISASAAPPPAPPIPITPPTPAPRTTAPRAQTVGTGREPQGTGQRTERHDPGSQSMGPQGMGPQSFPGYGHASPYGHPGYGYGQPAYGYGPGYAPGYWQHPGYGGYAPGVHYDPRYGPGPGPRGGFPAPVPGFIPPNPPPLSHFSERESPPPGYGEMSEQERTMFERKHELGESTRLKH >PPA13009 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:884245:884954:-1 gene:PPA13009 transcript:PPA13009 gene_biotype:protein_coding transcript_biotype:protein_coding MKNADTAISQPVTIEKRERKEGDREESEESGGTFDVKREELKEGKDYKDDFEADSSSSSMKTSSSSTSSSSSIGEKEDVTNVVKTDRTLQRQRRLLLVFRLVALVVWSVHCSTIPH >PPA13073 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1219124:1220113:1 gene:PPA13073 transcript:PPA13073 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGCREQTCASCGYPAAKKCVYQWSIKAIRRSTTGTGRMRHLKKIQHRFKRTTGTGRMRHLKKIQHRFKNGFREGTVAVSQKKRTQSAASN >PPA13059 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1153654:1154070:-1 gene:PPA13059 transcript:PPA13059 gene_biotype:protein_coding transcript_biotype:protein_coding MNESLCPDHREAHCIFVKHAVEAAENVFHVLPSLPSHCARNNNNPVGIIIGFIVIMKCNSTPPPRTTGDNGITNPAFEKEETTRV >PPA12984 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:699869:700979:1 gene:PPA12984 transcript:PPA12984 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSANVDCTILLSCMDNEDCVRVTARRFDVEYCLDEDIPSIMEKRASSLRKILLSNLGSRMSGGRK >PPA12982 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:687142:690722:1 gene:PPA12982 transcript:PPA12982 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTVKEEPVDFDFSIPNQSNAPVQPIFHDTTAIKDEVKQEPEDDYEVLQAVPLFEETIPKDEVKNEPDCLPSTSSVVSSKSSVAVPKSRVVTRVVQKCVCSMCGEMFKFRFQLDQHLREKEHRPLSTGKRKLAKIEQAVRVHDVRKENSWKGHTRMEGCAFIGKPHVGSKTDLSHPSTITYIDCGVCGDRFANARDHRSHAIKIHPHLKEERLCKNEYCVRKRIIASQFIDDEGYMSENLRAILVPMYDAVEDQSSYFHFYEHFCREHAADNCLRFRCSGCNHVYTSPRGLKKHIVEAAATSERCYGGASFLPPAPRKKRLADVMQIKQYWP >PPA12954 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:467760:471532:1 gene:PPA12954 transcript:PPA12954 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTARRCTTSLSPYLNSLDDIRRVRRPIVKPAANSLAQDMLWADPSHSVVGFAHNELRSVSVNFGEREVQERLRKLGVSKIIRAHQEESSNYGAVICVKKNLGISVTMIKPSELEENKSNMHDVLTVDVSATNAKPSSTQSSDERHRQESPDDEPPK >PPA12965 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:545416:556962:-1 gene:PPA12965 transcript:PPA12965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pak-2 MSPSVSSSARGYPFSEPTYAPLPLRKETPTPMAASSSTTTAAATTSLNANANSNSHQQQAQQMMNGNGGASLSHDQFRHALSLLVDRADPRADLEGFAQIGEGSTGVVVSAYQISARRRVAVKKMNMKKQQRRELMFNEVSIMRDFSHPNIVRLFSAHLISDELWLVMEFMEGGSLTDVVTHARMTEPQMATVCVQVLSALAFLHAHGVIHRDLKSDSILLNKDGTCKVSDLGFCGQLSKEVPKRRSLVGTPYWTAIEVISRLPYDTSADMWSFGIMLIEMVEGEPPYFNEQPLEAMKRIRDGPQPGFAPSANVSPALRALLSSLLQHDSSRRATAAAALRDPFMEKGNTFMEKVGDAF >PPA13028 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1009506:1011650:1 gene:PPA13028 transcript:PPA13028 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLPVLFLISFSLASVESVNCVYIGAVTCRGLDIYNPRCITEYKTSPAEYCREIQYIKEILSKFFLMLFLAFLFILVAPTFASDCCDVNVIIPKAGRLVTVFPPLDHCPSQALFYCSAPGGNQRTVLSINGNKTIAIGLENEHSVAPLVCMNNKWYSTYCDRFVDTIDCM >PPA12907 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:109908:110435:1 gene:PPA12907 transcript:PPA12907 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLNRMRTFRPARKSKCVEDCGRKGAYCHECTNLCAIHWEFPDRREYEADFYNAIAQIRANPSFNNL >PPA12898 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:12315:17901:-1 gene:PPA12898 transcript:PPA12898 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVESTVERAVPKKKKMKRMCKELADCNRRNDELDRLERLQRGSIAANTRKRHIFQEGSLGTESFNGKRGRAAARAAINRIDRIKEDMSGSSDNDPSEKETSHKRGKVGTQSLHEKTRVAAAGCSPAWGAILSSLNKTVPFARPSLVVSTSTSSSASSPDVPDVPDQPREIPPLSPVIISYPISILNALKTEQVLSLVSQRIEDQGPREEPGGPTVKEVVVDEDEDIEVVTISSDEEETVEEGNRRAQIEPMLAMLEEKCWIMPHSFPFDLVLILVEQRVGRREQGLHVNCLVAAAHHPSAQRPREPPTAHRFDTRAGSCAVCQAHFQGYYAYFDHFSTVHKQIYSIVANRVSMYAEMKSSDPIFFRFFTKPGEIDETNFDRLKDIPGVVDRARKWDNKSEERFASLNEHGSENGTSIERFPSGSSDRQGRLGTLAHNSSDVKPFIADDLTGRSMRTIPKMVDSATDPVRELLVPSEVAHASAGPDEGECPTSSSVADCGARNAAFQATCNSVEHRLHAAVAAGMALLMGTLDPGSEAAGTANLAHSLGIAAPLVASLPPAPPPVVSLAYDAPPCMEDRKYWTGKLTQSECPRGCPQHMFRPDTPQNRRLEYKARHYREYFAITCERRQEPERFLSITYGQTGPGHRACAYCPPVQRLQEIYVDRYRLIEHIRRDHRDQFLEHQLQYLTKLVGIPPQHGVLHDLMAQGHRRFLRNERNNDESTFLTIVSAFPL >PPA12934 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:342304:344504:-1 gene:PPA12934 transcript:PPA12934 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGGGTPHTGGRSGTIGPTSGAAAVQQLREYSTHGLSEPSPQGTPGGGGQTAIAAIHVEHEDHEQSRARGDDEVREIRNVLDANACDYEQRERYLLLCVHGDPATDSLVQWEMEVCKLPRLSLNGVRFKRISGTSIGLKNIASKIAQELNL >PPA12949 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:428362:432680:-1 gene:PPA12949 transcript:PPA12949 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAALMNGRGAGPGAGPNGRSNSIVDGTTSKRSEIYRFQSNKPLYSAAWSTKHDHRFRLAVGSIVDREAGNRVTIVQLDENSGELVEKGNFNHEFPANNIAFIPDPNNIFPDLLATSADFLRIWRISPENVVTEELLLSNGKATQYCAPLTNFDWNDAEPNLMGTSSIDTTCTIWHVETGKALIRCVSGTTGQALNTVRCVSGTVKTQLIAHDKPVHDIAFSKLTNGKDHFATVGADGSARMFDLRHLEHSTIIYEDPTKTPLMRLAWNKQEPHLLATFAQDACEVIILDIRMPCNPLSKLKNHSGPINGLAWAPHSGHHICTAGDDKQALIWDVQCMPRPVDDPILAYTAGGEVNQVHWGAVHKNWISICFNKTLEILRV >PPA12994 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:794040:794767:-1 gene:PPA12994 transcript:PPA12994 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPRHHRGNDDCRCGIIGHGKYDGKESIDLGRSLPRNVANSNRYYFLQIVFGVTSMMKSAPPSTVNPYEVPNSREQWPNQRQPTPPSADLPPPVYEPVMTAQPQSFGATQTLAGGPNPLYFG >PPA13054 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1124960:1129288:-1 gene:PPA13054 transcript:PPA13054 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLLLLPILGSISNTDDFDQFSKTLKKLQDKLFKSYDSQISPYSTRYSNFSWNTNGSYSSITLLRSRLLSVQEREQSFSTATGVILGWTDRRLAWNPDQYSGIEHLYVRRGKVWMPEIVPCESTGVEPVTLFDTSNVKIYSSGEVIMLAYFFATHNCEIKADNFPFDINHCMMCFALFGTYDDELMLRGGIVPKPDLFGTGEFDYDLFLPKPMMNMGLAASGLSASSVLFHFVITRQPQFWVSLIILPTFFIGMLVLIGIFYGEESESLNGLVELGLSGMMSLTVIVGILNDSIAKSKDLSALGRFVLYDIIIVVVAVMVVITAIKQRRRLVKIADAKLKKDSANPFWLRIKRYSKSTKITRYVLFFIFFALHLANLISMLTACSQNICAESRRAKERLRDDERQFEPSRGSIN >PPA13033 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:1032653:1034760:1 gene:PPA13033 transcript:PPA13033 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKAFFTALLENHNFTITNSAVRQTAYITVNSDTLWNLARSTFTVDNGDGGLQEIPIGEPLPKMLKKKLYECKLHLDTTTVPVDQPLTSPAAESTSTPSTTAADVMSQDGPNLVLVISLGVACFILLLIVISVFMKKSKKQEPKKEEQLKNWTYDRNSQEKETWQQRFRREFKEGKRNRASVTGSKVELGSLSKGAPVSASADSTIMTSITPSSSNEQPSSSTNAAEGSSKKLETLETSVSIKKTQNSSTKDENSLGSYADCEPSGEDRFLRTDSLGSYKD >PPA12952 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:448623:450533:1 gene:PPA12952 transcript:PPA12952 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAAKASGDAKPAPNEMTSKDYYFDSYAHFGIHEEMLKDEVRTNTYRNSIYHNKHLFKDKIVMDVGSGTGILSMFAAKSGAKRVLAIEFSNMAVQSRQIIKDNNLDSIVEVIQCKVEDIKELPFGIEKVDIIISEWMGYCLFYESMLNTVLYARDKWLAPEGALFPDKAKLFITAIEDRQYKEDKINWWDNVYGFNMSSIRKVAITEPLVDVVDNNQVVAGNYCVKEIDLYTVQIADLAWTSEFVLRMNRNDYVQALVTYFTVEFSKCHKRTGFSTGPDSQYTHWKQTVFYLQDALTVKKGEELKGVFTCAPNARNERDLDFNIKVSFHVLIPVVDC >PPA12905 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:98619:101243:1 gene:PPA12905 transcript:PPA12905 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVLIREMDEMSLRGGSGVDSGVGSASSQQHPAMTKSATGTTLAPMGRDTQQQHSQHSVHAPATPTQAYPPSSTVTPGERVQKASGGGSSATSNNSGGAFPRNTRNRQTFHGKTEHNRVNVEDEESDGEGALPGNQSMGTATGRGGTFLSKLTKLARRF >PPA13050 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:1106042:1106868:1 gene:PPA13050 transcript:PPA13050 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRRYEMPWDPLPVNEILVRAQEMLDTQNCNLLKSNCEHFSHYCGYGKASSYQIDRAAAMWFAPVAAVLGDKEIVRTVSKVHFKL >PPA12947 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:414346:420374:1 gene:PPA12947 transcript:PPA12947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-twk-32 MNLVHSPSRLLVAEFLWTHLEAAHFVEIPFLLVYGLLLAYITAASFIVARIEGWQIEDGFYYIMMSVLTVGFGDVVPRSESLALLHTLIVLLGLVLATTSIDVAGAYYIDKLHFFGRQLDDDPLSWLKAVQQKRIEAMKREAMRKLFETVTALHHIRFTTMQKLIETAVAKKEDSMDGLNMPNVVIDPPSNISAFNATADSVCLRWTAPQREPEGKRFWYTLTYKTRNPHWIFGRNQATVVDFITGETYEVKGLKTFTLYQFSLVVTTRYGSSKPATCQEYTEPCTVPQSVAVEALSSETVTMSWKAPKKNNGPERYVIMYSQEPAPQFKYWNRFKCGASTRFTIPDLGADTRYIACVAAEHNFGLAAMSKSIRFRTKLWWHEEAEHTSLLAPLVIAQKRLID >PPA13002 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:844065:845398:-1 gene:PPA13002 transcript:PPA13002 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVLLVLAVIIFIPNAESNRRSCVQCTSNEDGDCAMGLRLKEFTKSRLEPVERSCSKYCPSLYTVVRECAYVGRDQHDKRTMINSGKRFAYYQCSNEAHEFVMPCNRASTFPLIFSIIIVAVTRMFL >PPA13025 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:998669:1000048:1 gene:PPA13025 transcript:PPA13025 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPARTHYKIFDVVSKSLFAVTPEKFEEFITMQIESHERENEQSLQRGDRFPRACTQCHKQNPELRSFATECGHVVCSECAHGAEQCDECSAVSTFIRLYEALYCPFCRTRTRRAKKLVEAIDCPEKPLQEANEVPRELNDEQSTGLSMN >PPA13058 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1149168:1151497:1 gene:PPA13058 transcript:PPA13058 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRECLVLCALTAVAWTQSCGIPQSEAAAFLAVHNKLRASISAGTYVAKGKKMPAAKTPIKPMTWDCNIEKSAQAVANTCVFAHSNNRNNLGENLYTMWSSNKVSFTGMGTKASQSWENEFQQFGWPSVKLTPAAFSSGIGHATQMAWAKSTKLGCGMKLCDGDKKVLVVCQYKDAGNYMNQNIYDPR >PPA12902 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:71910:73205:1 gene:PPA12902 transcript:PPA12902 gene_biotype:protein_coding transcript_biotype:protein_coding MRARGYTSYTKLATMTQRRMNDASIEREPFSSVIHSHGRILVATLCKRPHGTVLGTVRVPKVARSNTPPEKTHARSAGDK >PPA12908 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:117455:127132:1 gene:PPA12908 transcript:PPA12908 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-che-12 MEYLSSTNRVERLHSPVSAFLPRDEDIVELLRNHDFDTRLQTLNRMILLCKKDIEWFTKFSKKGDLMKNLDRILAEDRWEVQHQAIRFLLEAMPSFGNNLEYCMAYIMPNLLPKLGSTKITIKRVTVQVIQNFTRLQPQALHTILVVLNQVISKSNDRLINLEILNELPTLFQDWLRDGNWGTMLEGFMGWMSGADDETKKKIAMCLRKLQGLAFGNWGTMLEGFMGWMGNADDETKKKIAMCLRKLQVFLGGSNFERFAILLTPQQREVFTKEVESISLPTADISAPSTASTPVSSTSSRSAKSGREMRMRFGIVPTYLATMLADEDTNTKSAALEKLKTIIETMTPESTAKLIPHLHSFFVTLGNVLNDLNFKVIIQALDLVRLTVNRLKANVEAHLQQVIALISRHLGNQKAVVKQLVMMTVMDLFRSLNPKAVVAVICPFLEHKNSRVREEVLNILTASLLVVPPSKMNLSAVANLLVPRLVDPKRRVRLAAFEQLSVLAFHLNGKLDPILKLVKEYEQKCFEGLTAAVKARLLRGARPTLRYDGLLEYSTPPISDSSFDVADEALTKPENLDLHWILHMNGSVERSNSPPTLFSSYKTVVKGEIAQQVLAQQKALWESESERPLVRGNDGTAKVGRPDCEAADQGWCRVFRQ >PPA13062 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1164339:1167632:-1 gene:PPA13062 transcript:PPA13062 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRARADGLPADVIRQIIEYSDNDSLRNLLLIKLSSWNPGQAMLLEAMTGMRVDTVHVYFLGNPEDVKPVEEIMSLWKYEKLDVHCDKLLEWGSLSRRLQVGR >PPA12987 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:720922:734628:-1 gene:PPA12987 transcript:PPA12987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sel-1 MGAVGHQLGTTMVTRRASLVVLLLIGSTLTAVGAATKKVSSLKDAETMEKNRKAFQSLGISDSDLTRLGEGDGGRKERVLTPGDEFTNEVEDENLPPEVHEQYLQGLTFLERGRPQGDEARTAAFRLIADAAEKGHKNAMKVMAFANLFGDDARWSINDAKESFTRLSKAGSPDATLGLGFMHATGLGVAKSSQAKALVYYSFAAQGGNPLAQMAMGYRYYAGVGVPASCEEALNYYQKVAELVANSVRFSTGLSIQRLRLTDEMEPTSNAQSTAHPMDNNLLEYYRFLADKGDVSAQLGLGQLFLSGGRGVEQNFDEANRYLTAAAEAGNAAAYAYLGKMYLGGGEAGNAATYAYLGMIGKRGQDGTGATPQDNVTAFHFFLKSAEKSNQIGQAGLGIMFLQGRGVKQDYAKAFRQALVALDTIVLAVGRAGLGGRAAVPGHHALSRAGLEKLGIMYYRQFLISSSTYLGAPEGLGTVRDMKNALKYFQLASQNGHVLAFYNLAHMHSTGVGVVRSCTTAVEFYKNVAERGRWTEKMMQAYAAYKDKRPSEAAMKYLFLAELGYEQAQTNFAYILDKDEAGSLFPEERSEVLSRALHAWKRAAGQEYPTARVKLGDYFYYGLGTEPDYSEAAHHYKIAADRHLTAQAMFNLGYMHERGEGMTQDLYLAKRYYDSAMEHSPDAVVPSSLALAKLFMVFAGHKISETLLWRAAVESGADGHWDYYVMGAASSQLHCHV >PPA13045 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1087169:1087915:1 gene:PPA13045 transcript:PPA13045 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLLWLWLLPMVTALPYYTAVKSSESFIRRHGPMNVSNHNDDCKVFNPSLPGVNCLAYSYNFTSRQCALLGGPSPNMCSVPVTIYKLGNSIGRNPKCGPITVDEKVICDSDGYKCPAEHLFNSLVGILFTSTALNGY >PPA13019 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:951758:953290:1 gene:PPA13019 transcript:PPA13019 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPEEGALPPHRADNRNETLDQEDVGHKWTLGALLRRLEEKGIDERCEIFIFNRERRDARAQAGGELHDLPG >PPA13074 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:1223785:1225488:1 gene:PPA13074 transcript:PPA13074 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFRARRSDSLVVVVAVGEPPAVADMLELFWKTEEIKVLSSISLHSYG >PPA12967 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:558790:561673:-1 gene:PPA12967 transcript:PPA12967 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLFSPDLDKPKMSMRRSRLRKEEIGTPTDFQHRIHAGYDPATGQYSGLPKQWQVILGRAQSTSSRSTIGSSSGRPRALVDPSAITPMEMASIKTVVRGDKYNPETNQFGGDRA >PPA12909 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:128882:129912:-1 gene:PPA12909 transcript:PPA12909 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSNPLRPAILKLFRAGIPRHDIVLRLAIPKRTVYDAIARYLELGSEEDRKGRGRPATVSTPRNRERIRKRIGRNRKQSMRAMAKNLHISNTSVRRLIKSQLTLRPYKYLKLHGLNDRQIKLRRDRCRLLLMRCARAEHFSTVFSDEKIFTIEGKMNSQNDRILAHDPEEAYKSGGFIGQTSHPLYVMVWGGVCATGKTPLVFVTPGVKHILQDALLPWARSHFGQSHWTYQQDSAPSHKAKKTQDWLKAHVPDYIPTSEWPPNSPDLNPLDFSVWGVLQAKVSTTKYKNRDTLKAALLKAWAELDTNYLRELATAYERRLKACVKAGGGHIEIR >PPA13035 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1037932:1042373:-1 gene:PPA13035 transcript:PPA13035 gene_biotype:protein_coding transcript_biotype:protein_coding MKYIVLVCLSLLHPSTALKFLCYSPRFATSHVNYLGKLADSLIEAGHEVVILSQIMDSRLKSAGSDRARVIEIPQDDVGRAYEKGTTADTHAAWHSGETWQALDTWASGYDLWTALCNTTINHPGLLDQLREEKFDAAYGESVHWCMGGLFHLAGIEKFAATESIAYKDGMYAISQLPTASSYVPSIMGGSFGDDMNFAQRAFNLFNTLMYARFNYGSVPKYQPMFDSNYPGFPDVVDLMALNSLFFLNSDPLVDFNRPSAARVIDIGGIMVSNGYGELNEDWSTILSLRPRTVFMSFGTFARAFAMPDEYKETIRQTARALPDVTFIWKYEKPEDNVTQGIPNLIETTWAPQHELLNDPRLSAFVTHCGAGSTTEANYAGVPLIVVPVIFDQIRNAFQVKKAGLGINLDKSDLGRKGIFENAVKEILANPKYKQQAVVTAAMLNEKPFSAREIFVRNMEFLARHGPLRQLDHYGGHLNFFQYYLIDVIAALIFSVVVI >PPA12903 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:75414:76366:1 gene:PPA12903 transcript:PPA12903 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSRPVEDPHVGKYKLLKTIGKGNFAKVKLAKHIPTGIEVAIKIIDKTALNPSSLQKV >PPA13068 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1203531:1204573:-1 gene:PPA13068 transcript:PPA13068 gene_biotype:protein_coding transcript_biotype:protein_coding MSAELIEKLTKLAEYIKAHPDEARAGVAKLSPDAQKPAGDIIKIFVSDKDPKTKHEEIQALKASLPANIAAEIEEHKQELAKKLAARA >PPA13037 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1048873:1054050:1 gene:PPA13037 transcript:PPA13037 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTLWILLIFLQSGCSLKFLAYSPLFAKSHVNFLAKISDVLVDAGHEVVMLSEVYDATLGGSMTKKARVIEIPQTERAKEVETWMNEDIALDFWADKSIYEFMSSWGEFMDVYADVCVSTMSTPGLMEALRAEKFDAAYVESLNPCGPIIFHMLGIDKWAMTESLAMMDSWFHYTQTPSNPAYVPSIMFSVGGENMTFLERVHNTYVFAISEYFRLKNTPRFEKIVHESFPELPPMAELVGRNSLVFTNSEPLVDFPRPSSARIIDIGGIVVSSKHEPLNETWSSILNLRPRTFFLSFGTVAKAHLMPEQYKKSIVEVIKRFPDVTFIFKYEKPEHNISQGVDNLIESTWVPQRDILHDPRLTAFITHCGQGSTTEAIDAGKGLPLVVIPVLADQHRNARQIVRNGIGLMLEKSDLSTPDALEAAVRQILSSDRYRERALQVRKMIRERPFSMKEIFVRNMEFLAKHGPLRQLDHYGRHLNFFQYYLIDLPVFREMKKEVLIGLCILQYAVSLKFLAYNPLFAKSHVNFMAKISDILVDAGHEVVMLSEVYDRHLGGAMTEKARVIEIPGTKRALEMEKFMNEDIAADMWNDKSVYEFFNSWAHMIDIYADMCLHTLSTPGLLDALRAEKFDVAFGESFHSCAPILFHKIGVDKWAITESMQLMDGGFHYTQTPSNPAYVPG >PPA12917 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:188813:193745:1 gene:PPA12917 transcript:PPA12917 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAAGNSPRIPHQLSVRAGSTSPAPGGGATTPVRNNSHPEAGPRGSDASDKLNPERKLLILKIVFSVLCILDILHWAYMLRDDFGDDNRNTWSFYETNYGTSDTAYLIARMFGDIFTGVLGLGAAMWTKKVALTIPCLVIQMIFVVIR >PPA12976 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:646614:648878:-1 gene:PPA12976 transcript:PPA12976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cyn-17 MRLATLLLSMMGLASYAAQADHADLVAKAIQQSRMRQSSRVSSANSKPPAAAAASATAGAAAGKKGAAQARPSGQSKYIDVERLNDLIKSFDKTWVHPKAGAKEPVGSNFQYKKTCASIYKARPGACSQLGFGSMCFNYCFEKGEQILIFFS >PPA13063 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1172717:1174909:-1 gene:PPA13063 transcript:PPA13063 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATTLEGGLHLPDKDLTDAHLKKAVTALLRKDDCDESIEALSAKYKEVALRKQPEHAVWRAMAEVNSDLVFNLPTVQTLQERIRRGIPPYFYVFDYYNKACIGPLAQNSPCADTPHCSELAYLFNDGVVAPFAFSEDDEQVAHLVMRAFANFAKSGNPNDDGSCPWQPCDVLLPTRHMVLGTQPRMQQQFKKGRCERSKR >PPA13007 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:872269:874056:-1 gene:PPA13007 transcript:PPA13007 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKRYAYGELSLKKDAVKPIDDIGPEYNLSFKMVRSESKLIKTSLYFTGLTQASARNPNFNILWTSTHLNSHCLRSLKSWYVASQSLSADRRAHEEGSFVREHCEKQGTLRSLCWMIILLC >PPA12988 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:737088:750580:1 gene:PPA12988 transcript:PPA12988 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHLRNLIPDASSRPNSPLSPPCFIRAKLSHADLSDDATELARLIHRSCPLPSKGHILHLPTNESKDAETDRPSLPIRLESLLSIISAPSPFGLHVIARNIVLKYGSYLQPETPSIDNVFPEDDTREKDKEKEEGKEGDILLDGLDLRGVHVVRAVAVGEEGHGVALTALLSSLQILKMKAGETPLLRNTQQVLLELYHEGRGDISSLAGSCLIALSIASFDPDILFSTAAAFLCGPTVESAAVAGPSTASSSTLRSILIPENLHRLSLFVQQKAFSAAGAASDWWSSSMADHVILSSFDLSSTPSDSSPETPDDDRRLYSAIASDGAYIYVLNYIGLYKIGTGFSETIGGKIYASNTLLRSSRNCTLSFCNGSLYLRRGQSSRVWVLDEDSLRERGEIILPSTPPHSTIFCDATAFYQAHLDANSTLVVTRLNDSFQPVSDSKVKQKLRLTKLSYDIFGDAQPVPHSLIHSMPSTLHGQVSDLHVSRDIALLLARTGKVYYAGNANRLGLQDTGSTWMELVLPETIVQISVGSETILFRSGSGHVWIAGYEEKKKSGKLRRLHTVGRKKVISIAASSGCFMYVTENGKVYAMGKHPWRVNPDNGLVLGLEGVHIAAIALGKVHAVAVSRSGTLYTWGVNNLGQCGRKESPSLSSSPRHASSLRAASCSPSEHLWIHDVASMCVQCGKCSSRGAACDKFLQLRPGGLCPCGPGETACLRCGICRACVEASISSGSVRGDLPASSLEGLPVTHKISLAPSSLQLTTNSPDIKVASVSCGNFHTIVLTANRQVYTFGSNAHGQLGVGDALKRAGPQKISLPAGVQPVQVAAGANHCVVRAADGSVFTFGAHKSGQLGRRDDDVAEPSEDWHCSPGRVPRLGPGTGTCAVVASGSLSILSDGERFHLIPLDSTNDSTRSESPSKPDWSPTRAHGRLQHTPGFVFDLQWDSICVQLRQQSYREPREQDSFSSHCDCWIGASGDTTFIHAHSTLLEEGAIGDAQIVANKNAIFIFPRQIGKNYIVVKRKQNAFAEHQLGPAGLYTSYWLDSTYNVMWTYSAAEMRIVALGEASAQDGRRRGEEQESLQGFLRFARTPELMVPVEPDCSLSNIALAVNLLSSTYALTLISVLPPHLSKDLSTMSRSAVSASLTDNRSVLNRFEGNGGGWGYSAHSVDAIQVQDIQLVEILDN >PPA12901 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:31245:44830:-1 gene:PPA12901 transcript:PPA12901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-par-1 MKQLDHPNIVKLYQVMETEQTLYLVMEYASGGEAENLLLDSDMNIKIADFGFSNNFAIGNKLATFCGAPPYAAPELFQGKKYDGPEALVRPPALDDRFQAHVRAPALDDRFQALVRPPALDARFQALVRPPALDDRFQALVRPPALDARFQALVRAPALDDRFQTLVRPPALDARSQALVRPPALDARFQALVRPPALDDRFQALVRPPALDARFQALVRPPALDDRFQALVRPPALDDRFQALVRPPALDDRFQALVRPPALDDRFQALVRPPALDARFQALVRPPALDARFQALVRPPALDARFQALVRPPRPRRPIPGPSFELPALDARFQAPRSTSXXXXVRPPALDARSQALVRPPALDARFQALVRPPALDDRFQALVRPPALDDRFQALVRPPALDDRFQALVRPPALDARFQALVRPPALDARFQALVRPPALDARFQALVRPPALDARFQALVRPPALDARFQALVRPPALDARFQALVRPPALDDRFQALVRPPALDDRFQALVRPPALDDRFQALVRPPALDDRFQALVRPPALDDRFQALVRPPALDDRFQALALVRPPALDDRFQALVRPPAFDDRFQAXXXXLVRPPALDARFQALVRPPALDDRFQALVRPPALDDRFQALVRPPALDDRFQALVRPPALDARFQALVRPPALDDRFQALVRPPALDDRFQALVRPPALDDRFQALVRPPALDDRFQALVRPPALDARFQALVRPPALDDRFQALVRPPALDDRFQALVRPPALDDRFQALVRPPALDDRFQALVRPPALDARFQALVRPPALDARFQALVRPPALDARFQALVRPPALDARFQALVRPPALDARFQALVRPLALDARVHDEREQLHVDKLASSPDGQGLSIADQGIHKLSPPPNPNTRPLPGSSTTSAAGSLKQDEVLLRMTEDDLVDRTTSSEDCRSAETIQRGYYYFLFFIFDIELIESPRESNPSPTRFHLGTPLPEGMVTGGGGGGGGTPQTGGRSGTIGPTSGAAAVQQLREEIRKVLDANASDYEQRERYLLLCVHGDPATRIPWCSGKWRKELVCFTFAKYKISVEDSD >PPA12921 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:223228:223831:-1 gene:PPA12921 transcript:PPA12921 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGRVPRQAVPRKPRPAAPASRGHAPSPSPLPSPLPLAPPLAPPPLPIPPPPRALPLFASHRFPLLLHYETAPSFQCFLPPELDKIPRFLPAASLDCKV >PPA13020 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:957399:964787:1 gene:PPA13020 transcript:PPA13020 gene_biotype:protein_coding transcript_biotype:protein_coding MHNARIVVGSELGEERDWNAPHVRLQASMSLYRRELAATVLLVGRDPKWHLQRRSAFARLCERSTELHFAPLEQQLQAVAEATGRANAKRKDGMLGDDTFSTGDVYVTRHSNLGNVHIVYHLVVDDADQHKEISSRHAYLTGVRNVIRMASRFGVTTLSLPMLFTDTVNESMTVQWSTRRAELVFKCVKGYLMERLWCGE >PPA12995 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:796271:804505:-1 gene:PPA12995 transcript:PPA12995 gene_biotype:protein_coding transcript_biotype:protein_coding MMWDAAGQSSPATNLLYAAYTTTVLPGEYLYCSNVAGTCGGSVPLYLYYNAETDDYQLRNDKDTALGYGTDPGYGNRIDWDWCTVASQIVGSSSTSTVTNGTHIRLARGANESNAAVVGKPIQILTDDLAHPNSSKTEDQRPAINKLRTLPDWYNSTNRPSENDRFALPTVTSHLRVAGYGTGTTGTVSMDPKKTEKGESADLIRLYGNGGDLTARDDGNETATKRSREEMSQEGAKDLLGWGSGSIANFGKERTMDHLLGMGKNATGNGSSTNLPKNLGAGGGWGRFTGIVSDGNVSVIDQMKELGKERMEKALGSVEASIDQTKEKALTALGTNAGNNGSATGLMKEHGHGDKVANSTEAKDKKFGGVIHLGSILGGLAGGKAVDAINDRFSSLRNVTLPHGNQTDDDLKEKMKGKLMDKVGNGSEVDEAGNSVVDWKEKMEDNDKYKPIPSNLVNWKETMEEIVKSYDEEKNSSAIVDWKEKMKEKLMDKVGNGSELVKKKWMDTHGHLEKALESLGIDVPSNVSTVDVMKALGKDTHGNDTDLIKEKGKDKKGLIEKALHAFGIDVPSNFSATELMENLGKEDKNKNHTGGRASVFNMMKDIGKDKIGEALASLGIDVPSNFSASDLNLGKDDEDKNHTGGRVSVIELVMDLGKNKIEKALSSLGIDIPSNFSDTEMSKEIGKNNGGGDFLHVLNASLGVLDEKKENRTLHDAVNEKNVVDVEKILGRFNTSLAQVRPSFNDNISSVLIATIPFPGKLGEQELEQARNETAEVKEVRVFDDRLTVYGGDVEAKKDQKDARVENGTWSPEPTGETRGTRMGTEGTRRPSSQHPHGTMVTGANTIGTGGILNDNDIWFFDEHGIWINDDYGSAGSGGSEQRTFPSSSTTSSSGAYTGSSTTTMPYTGPPTTSTTADILPASSSTPYTGPPTTTTTAAPYTGPPTTSTTAAPYTGPSTTADPAASTTTASGSTGIISTLTTVRPTTTTSPYVYAATTDAAGTYGPGDQKLENGTVIRPNGDTIYPNGTVKTGDGAIIEGGKKTDSDGTVHNPDGSTKYPNGTVVYPSDATPVAGSGGGKSGPWNVFHPDHKRIHFGAPYTELVSWIPNFNR >PPA13078 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1239052:1241021:1 gene:PPA13078 transcript:PPA13078 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVCRACAAFFKRTMQAGRTYSCRFRRLRNQCTFRKDEKFMCRSCRFDRCVELGMEYTEPRKNKTKKEREEEARELQEMLPSITNIFPSTPPSRRESILGRMKDAYKASHKRRLVQEHRFIASSELYDMGHPTKYYEVLRIRMQESRIILGNVFDEFDNISSSHRTTLLRNFIGRFCFTEGLFLSSRSMKGFTEEYNELMAPMLKMSELTETELYALLVLAYCDLNYYRNELRLSNYSERLGNLMTVVHEAGEAGVKVNEEMRMYATMFNLYPDDDLFREVFLD >PPA13052 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1115048:1118988:-1 gene:PPA13052 transcript:PPA13052 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVIVLLALLGIALSQVHQMRMNKKESLRKVLIREGLWEKYVAQKEELRASRTSFDAGFPQKVELRAARTSFDAGFPQKVSDYSDAEYVGNITIGTPGQAFEVILDTGSANLWVPDSTCSGGATNPCAKKHKFQSSKSSTWVKNGKAFTISYGTGSAKGFLGQDTVRFGTDAGALAVPKCTFGQATSIAAFFKNDVIDGILGLAFQSLAVDNVKPPFIEAIDQKLVSQPLFTVWLEHEGNKQNVPGGIYTYGAIDTTNCGAVIAYEPLTSATYYEFKMKSISAGTSSNTKGWQVISDTGTSLMSGPTDVVEKLAAAVGAKYDKAYGLYMLSSCTATFPDLKIEIGAKTYTIGSKNMIFPVTATKCAYAMDAFNGGAFGPAWILGDPFIRQYCQIYDVGNKRMGFADSKQK >PPA12896 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:9965:10711:1 gene:PPA12896 transcript:PPA12896 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLILTFLAIFTLAANSATIPGMHCIGPKKALESRRNKRDALAYSTDNQRFKRLHNNRKG >PPA12969 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:564392:565045:-1 gene:PPA12969 transcript:PPA12969 gene_biotype:protein_coding transcript_biotype:protein_coding MWPVIVAFVSFVNLTVSNANRDLLTPVVVLLVIDVLTSSRLGTRFSRYLVLPAAAVVGTIGYYLERKLMPKPAPIPYLELSIQEQRQRRLAGVHDDLSVEQQRQSTLHLNEPK >PPA13013 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:909596:913889:1 gene:PPA13013 transcript:PPA13013 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRGSGQIEIRESSEESDAAVASSSEEDREHEEEEDAGVDKQKPLKTKTVSFPNPRRALERARWNTNTMSFPNPRRTLEGAFDSVFTEAVFRSVEMEGIPSEKRALVKRATKKELSVKQVQKQNAMKLGFTKPDVVRDRVKERTLRRIATSGVAQLFNAVTERQKSLDVEIQKHQKGGKLKKEKLSTTLNFGPPGVVKPKKVLIN >PPA12999 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:826084:827732:1 gene:PPA12999 transcript:PPA12999 gene_biotype:protein_coding transcript_biotype:protein_coding MPSARLLLFTLAQAALGGFGDILANTLNLMNPPLRAFFNHSIVTHYGPEAAQSFDTIYAISAAFQMVGLLIGFSFMGKLMDYLGRKECAVILRSTVGVLAGMAMVIGQYTNRFEFFVIGHLFAGVVLAFKVLLVIFVAECCPDQNRAPRKQTQTC >PPA12956 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:474588:477778:1 gene:PPA12956 transcript:PPA12956 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAKRPRQEEADNCIRVRVPKVSQLKEGDCILSVHSPKFHKYFYGDNKDEKKAFEEVLEDVDEDGILDEADKSLQLMKFDTEVLFFWAEKYKLFCLQDWLFGNITAANLKKIKQSDEYKGLHEQTKKKKRNCSIRHSIRLNLHGRPEEDVNRLVDVVRERRVDRVSEGDQRSKIRNQRPKINQPAGELLLERTGNNGGAVGREEHHLLVWKRERSKISCGCPGQNWTMQWPE >PPA12923 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:248664:264604:1 gene:PPA12923 transcript:PPA12923 gene_biotype:protein_coding transcript_biotype:protein_coding MESFDVIVVGAGIAGLTAARELLKKEPTLKVLVLEGKDRVGGRTLTVDVQTANHTTDKFDMGGQWVGRTQKELLALLDELKIELYDQYETGRKMAQLGTEKIRSYSASLPVFAAGSFTLYEVIDFVRNIFRMNRMSNKINVLDAFSWEGAVAADEQSVASFARSIALTRSARDALDIGMRAVYGAEAKRMSLLYHLLYCRSGGSFMDLVEATGDGAQAFRIKGGSQQISLKLAEAVGNERVRLSSLLLPHRSVGLGSKRKIKEWRASRGDERGRPDEGHAGERRGARLECKRLVLAIPPNQCGLVEFSPPLGYMKRRLYDNMTPGHLIKFVVTYKSAFWREDGKSGEIISAGRTTIPGEILPIVCSYDATTSNGSPAIVGFIHAELHDWTVNERCNAVVKDLVRFFGTKALAEFIAYEEKNWNKEPFNGGCPVDYVTPGNMDAFGSIRDMHYGVHFAGTETALVWMGYMSGAVESGKRAANEVLHALELRDKVDHKYMRGSQFSVDYHCPHPPSDHYDDTTSPWRRRIFFGCALVFGIYAVSKKYDLSYTARVFRPVEKTVFKWWTGFKWPH >PPA13077 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:1235434:1237462:-1 gene:PPA13077 transcript:PPA13077 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEEAKEKSRREPLSIIIDGEYQALICTAENIRSAKSMNPRPDDIYICTYPKCGTTWTQHIVHQLLGRTDYEKGNDSHENKMKPSPMCHVSPMIEFMGAASCGALQSPRVLKVGCGEYFVHLTSWLEAVKKMEENIVFLKYEDLVVDHRSAIVNIAEFLGGEAADLVKKEERLKNILEATTLSSMRKDQQRWFPLDHHRGNFVRKGGSRGWKKYFSQEQSDRMDDLYCNGDVRFRQRSSGTAAAEWWQEEMAWTD >PPA12892 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:61:1147:1 gene:PPA12892 transcript:PPA12892 gene_biotype:protein_coding transcript_biotype:protein_coding SQFRGASYVLVRRDGRGCRAGLAKVEDAVVLVQHILKPPLHGRDELWLQQQQRQRVMDAETAAVLQSKAVASRSTPAIVRPSEKRPDSSPPPFSLLHLLPLTPDQHTTPPAFGTHSTPVVVRPSEQTTPDFGRCMWCFQSKGTLVC >PPA12929 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:306674:307860:-1 gene:PPA12929 transcript:PPA12929 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNIVFSHLVRLTSALGNRLGDCLSNTSTRALPEDSPVIILQFESPRRAKCELSTDNDDNVDIFMIV >PPA12927 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:277603:282312:-1 gene:PPA12927 transcript:PPA12927 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVDQSMRSLFTGEMTKEEAPLVFLYVFLTTTALIMLVYFCSLIIFHCVFWPKILAKIKADKPKETAKQEQILHQLSVGRDKPVEDKTQSERKLTHFSSDSPVVERTPPSDKELFRGAHSVVSENASLIGDKTPRSAITCAATVTAAPAPSPPPQLGVQTAKENRKARKWYEDDMMTTGMELSQSKDHRKGKKRSEDMRTGREEKDYHLRTGREKKEEKKKEGGRTIKRLRFASASPYNADDTRTCLEKLPLLSQSIEHASPACVFRAGEASERAGGGRETHANQAPPLPSRLAFPSSPPERKSDEPPLQQLPKKNSQDGRTKRRVKDLPPASFYAYEIDPTQREGRGTERKRSRENVAGTAGTRTANSIDNRSTDRPEKPQLLELCKTQED >PPA13004 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:854286:855979:1 gene:PPA13004 transcript:PPA13004 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEDDVKQRTCTYTMALNYAMAPKSCAATEKQHHEPHHAQVCTAFPGGFTVVKDTVSSGVPYADSFHVSCTYCVAGAGRGQARLTVHGGLVFTKSVWGIIRGFIERSTVVGMMEHYDALHAALTEECKRSSAGADDDPPLEEHLNSSLAAAIDRIGLQQKVLGDAANNARAQR >PPA12930 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:308692:308998:1 gene:PPA12930 transcript:PPA12930 gene_biotype:protein_coding transcript_biotype:protein_coding MLELEREKMRHIIRSIPKRSVLSSRHNTRPGLIDRQRKLTVNGPCNGVSGDSVT >PPA13029 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1011810:1014538:-1 gene:PPA13029 transcript:PPA13029 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYMLTIFSSVFIHPLLESSDPFPQLGIKQAYVPAASSTNITYKVFIVGAYGFILPLMGLYAQGLRSPTGMCFFIVFSGISMGNALFSIIGVLLFNSVSKRENEWGELEMLPAVQNFLAFMDPTNAEYYLFKEGADRIRKGMTTAIAWIVLQAVYQVVVVIFYARARKEIIEEDRGVIIEPDFILKGDGEEVVAKFFADRPSIPNARTARLPSSHLGLSHTALRTARPMSRTDPRLMSSGRPLSGSGPRLPSSGPRGPGNYGGSRLSVKAYGAGPSSGGGGRVSAKSIGPGGGGGGPSRKFKFSLKSSKLGAGSSGKMSAPEHDAGANIADA >PPA13047 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1093751:1094509:1 gene:PPA13047 transcript:PPA13047 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEELDDRTAKVLIRIAIEIYAIGRAMKKDYDNGTKHHTAYVLSDLIGETVGAYYGYEWGAAVASELMGDGLGKSFGKIIGASIGAHLGAWKARKAIEVFFPEP >PPA12974 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:638998:642490:-1 gene:PPA12974 transcript:PPA12974 gene_biotype:protein_coding transcript_biotype:protein_coding MISRCFATALCNAKGILDAVVIESSTPKTREMTHLKLTKPAGSSVSKKSPVKTSTTTTTIAPEREITAATDAPEVHAKPTNSRPKKVPEWQKLMGKATTTEKSVKKEVDEEEEEEVASTTEAEESFEEVEVEEEETTPAPTTARPLRGGPARKAVSKKNVVAATAGVTAGSTTTASRATFKPLKTTAVPPAPSSGELAAASHTGEKTPKAAVNY >PPA13015 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:920701:923285:-1 gene:PPA13015 transcript:PPA13015 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSDDNDKSKNLDKDVYGEDLDKVLNTNRFVPDKGFSGAADAGQTRSGPVQFEKEADVFGLGDLFQNVTKKRKDDGKDESCDSSAV >PPA13003 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:849268:850855:1 gene:PPA13003 transcript:PPA13003 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPRPTHFTVLDATTNERTEVTREEYEMSMAASIDILQKLSEESIKQGDRFSRTCSFCYAKNPLVRSAAVECGHIICSKCAADATECHICEKSSAFVRIFEDDSRECMICASVPRHRDFLVPCGHILCRIIEPTSKKSQKETRSRQDIEKVTQILNRKGQHSNNQRRTGCEKLFARQDKGMSRVNQPMKQQMRCSR >PPA13066 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1188471:1189222:1 gene:PPA13066 transcript:PPA13066 gene_biotype:protein_coding transcript_biotype:protein_coding MYTGAGIGEEEARYEMTSWKCSDLPDWIITFDNVFTIVPDENVELSLEYQIYDWRGAILVKPSESWAVMTSGRSDNVYQYEFATERTIAALQIDIPQWDKNMERLFTEL >PPA12912 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:141844:145389:1 gene:PPA12912 transcript:PPA12912 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAVLKLKKSKNNTIRSATANLVSQLLNRSMSIMSPQAFFVPTALTSVIDYNDQQSSQIGTATALQNGDFLQKLIPLLLNFAKDVTPKVRQHAKMSLCALSQDSSFDRQMRKHCSDGELAAVREIVGDVNKKGGVDALDCSSASIFSRNGSVRKSVNRKLPDNLQLDLDEIREDLNASGWERRLTGLKRFEEMTTTSARAIATNTTLVEAFIARLSDINSKVSLAAMETYIKTLPSMAKFYSSEPSLKAVLNQLLLSLSSHLPSRSEEHRHLAQTSLQETLKQIDPAALSSHFAAVTKKANVKQRPFLLHLFTKLNTDLHKTKPKQVEVVALPILWECMKSPASDADNKRVSRCL >PPA12910 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:135313:137047:1 gene:PPA12910 transcript:PPA12910 gene_biotype:protein_coding transcript_biotype:protein_coding MALQHPANSSNSWSSPLQKFPSPTASTPETNNNKPDFSFAPELSASLDRLRFLAIQKRFQRQFQNNPTIRNNLTIQKRTQYQESKQTSQSNGKHHAEEKPKRGPAVGRNKVDLSRARSETTLSDERKENVPVNLSHDDTPIRGTKNGTYEAGEQQYLV >PPA13000 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:828795:833192:1 gene:PPA13000 transcript:PPA13000 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMLVNSGASLAVCLVTPLCLPSLFGTERGWTILPLIAMVQAVLHLLTGLAFPQSPKQLYIQHGDRTGAQKSVTYYHGDDVNPNLILREFEAERLQETNGHANLREVLSNKTFRWSLWLVLLLSFVPPVSALNVKSQYLNQLLLSYGLDQSGATLTMLLSSLIALPLLFISPVIIEKFGRRPLFITVCMLCTLEWAGLGLAQFFVDHEVTSFTRAVLGIGGSFLGQSALNLGLLVMAPILLSEICPHNTRAAITQFTQVVPMLLAICQVTIFPMLLSSIGSLFFAFFGVVSAGLTVLVWLQLPETRTLPVDRLVNRVTKARSRTQSNTSAFFHPHYGSTENSSSSDFEDLKKKLVNKDLEQII >PPA12958 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:488559:491277:1 gene:PPA12958 transcript:PPA12958 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPPSLRRRRRTKGEFRRESADRVSKKAQLRRDSVATRRYCLCYSVQLTTSCGIELVGKKVSLPFAVLVGPKTDVEAKLFLERSFADLVRRPLSDIPAHASAVELVDQMEMKFQAIIETPQKSTDGPQLIQPRMLTRQAKEHLAMRLKPNPQGFVALENFLKLPVAEEYQLKKNSTTEGDWKLVPFFKLAEIINKYLYQMWHNGLIYGFCSKEDSERMLQSIPRSVALGGREKPSFSRHPRALANDIYFDNQGAATSTF >PPA12914 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:149153:157852:-1 gene:PPA12914 transcript:PPA12914 gene_biotype:protein_coding transcript_biotype:protein_coding MQFYEKETGTCWKAIGVPGEIKGFWRAFKKFGSGRFGAGRNANRVAWKDLLGIPVSEYLASILTAETAAVYKTDEIREMFMNPATKRFYKEGEIMRRPKLAKTLQRLADAKDPVVLFYEGDMADTIIKEIQENGGYMTKEDLEDFEPKIHDGLTSSISSSLTQCGLPPPSGWIVTQLIVRIMAELYPEPRSREDLDSVLFYHRLIEAEKFAYARRTLLGDPIEPYKDDIKRLIAELTDPSAAKKFAAKIRDEGPQDDKVYGAQGYVKEDHGTSHVSVIDEQGNAVAVTSSINLWLGARVASSLGIIWNDQMDDFGVPGVTNGFGFEPSKENLIAPGKIPMSSMSPTIIYSNKDNQVRVVVGTTGGSKIIQVGPTGEGRGLGRRALATVQSDDQAIDAPQIFNQMQPDIVQYEKDFTEHIIAGLRAKGHTLQEEKNMRSTTHALFVDEATKRIQAGLKCHQNGFGVIFDL >PPA12953 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:452090:455406:1 gene:PPA12953 transcript:PPA12953 gene_biotype:protein_coding transcript_biotype:protein_coding MGLESMMRQLMIQRNEKREKQTKKITDSADPNRVFLKEMVHNFFQSDASAVVHDAKQMLRLLDQVAIGGLDSLWILNGHNEKKEMKLGRIASRMGTIVWSVLFVRDGLVASGDSTGTVSFWNTHNATLITSCGEWKDTLTKRIVTRDVRCMTGWGNAVYGGGCDDKIFRIGTKPQSLNILPIHSHRAI >PPA13011 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:895270:896271:-1 gene:PPA13011 transcript:PPA13011 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQSLHSSYSLNGQNRPERLNDRPHTLSGAVNYEDYRPAGKADDYRLVDQRRESRDDYRPSGQRKEDKRRDYYRSVDQRLETRKGDQKRDEEYRAGLVDKRIEDRNEDRRRIEEEYRLIDQRMEARKEDKKRREDQRSKENEYRSADQKVEEARTRLEERRSREERMEDDYRPRRDRRDEEGRDSSEERKKQRRPVTITAESEAIFERNKDKI >PPA12983 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:691257:692100:-1 gene:PPA12983 transcript:PPA12983 gene_biotype:protein_coding transcript_biotype:protein_coding MRFHPDMELIPQAQVLQYIRDRSDPLKRLLQRKSCAKHGVMRPPNSKMNRGQQTKFDKPSQSIYVI >PPA12915 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:181511:183627:-1 gene:PPA12915 transcript:PPA12915 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLRCPGIQRRRRIQQSAQSYQRLPVILSITPGTHNTEDAINRQLRDEGRVAAAMENFNLVYALNKCLGSREGTS >PPA12941 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:370829:373171:1 gene:PPA12941 transcript:PPA12941 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTPIINVLEVFKVETMLPVGEKVFANLEAMRQEQTTTSLPSAQSTLRSRRSSTSFAAKTRGLHFLDKSVLWREHVITIHKSCLLALAGFFHDKAAKTLRSITTHKSCLLALVDFVSMLKRQIAMIKDRIRRADRDIPELPWEAEERALLHEISAQWKKIGDYFREMEIIGRGRRTIPEESLSLATLINTLHNEAQSRMCQTTRKGSMQYIIIIEHHNRKQVENDYESSQLEQSQCRRLQSLPFGANAA >PPA12993 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:786501:790278:1 gene:PPA12993 transcript:PPA12993 gene_biotype:protein_coding transcript_biotype:protein_coding MVELTAEDALFPSSSSTSIGRMTSATCLVINQKSRCRFCSIHLPPERQLMGVCAEEECIGFQEIACEQTKTCGHQCGGVKGEAACLPCMKCPSNENRQDGDDVCVICLIDRIGAQPSLMLECGHVFHYGCLKTVLERRWNGPRILFRFMNCPLCNCEIAHPSLSSLTEPLLALKKDVETKARMRLEYDGLMTCAAIIKPESEFHNRPLEYAMDRYVYVLCFKCGKAYFGGESRCQLAANDASQYNPTELICGGCCDVQGVQMCGRHGVEFLEYKCRFCCSIAFVLSDRLDSFGTTHFCTSCHDDFQRLMTLPKQLLPQCPVGPKCTQLEEGPCPLRVDHAPTGEEFALGCGVCRNLSTF >PPA13055 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1129762:1133487:-1 gene:PPA13055 transcript:PPA13055 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFVLLLALLGIAFSHVHKMRLHKRDSLRKVLAAEGKWEEYVASKNFLRADRVTLASGYPQKVNDYDDAEYVGNITIGTPGQAFEVILDTGSANLWVPDSTCGGGVTNPCQNKHKFQSSASSTWVKNGKAFTISYGTGSAKGFLGQDTVRFGTDAGALTVPKCTFGQATSIAAFFKNEVIDGILGLAFQSLAVDNVKPPFIEAIDQKLVDQPLFTVWLEHEGNKENVPGGIYTYGAVDTDNCGPVIAYQALSSATYFEFKMTTIGLGSYSNSKGWQVISDTGTSLIAGPKAIVDQIGEAAGAKFDRTIGLWIVDCKANLPTLDIVIGSTTYSIDTSNFIIPATALKCGLAIQDFNGGGFGPSWILGDPFIRQYCQIYDVGNKRMGFAKSLQGK >PPA13034 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:1036070:1037747:1 gene:PPA13034 transcript:PPA13034 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVALSIVHYAICSLGLIINAVLLFVITTRTPAKMRTYGAVLATSTIVDLLALASSTVTFVKCEASYIETVTGPCIFTRLYAIRNGALSERATFIAVAIILFPNVLDVIVFAQSDMLSSDAVRALLIQQERPVDENFMYFKYRDDANIVNMISLSSLIVPFPIAYVVIIIVLLRIRKALADATGSMSAKTKEAHVEIIKARSADPILLASPGCLLNRAILKARKGSDVKSVAPHSTSH >PPA13032 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:1029973:1030188:1 gene:PPA13032 transcript:PPA13032 gene_biotype:protein_coding transcript_biotype:protein_coding MKCTKPGGDPAKGYVFSAIEEGVEECPFGDESKLLGWFLIEYTSLFSAFLV >PPA12961 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:506222:507469:-1 gene:PPA12961 transcript:PPA12961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-42 MGWLDSLLVKTNQSRQAIASIETKLIRVQSPRPNSNRQFRTLANTGAFKSIKPIKTEGNVASVFGTGLGGGGMPQTPARRRHRTTFTQEQLAQLDEAFQKSHYPDIYAREDLARKTNLNEARIQNKEVTEGPM >PPA13040 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1064974:1069585:1 gene:PPA13040 transcript:PPA13040 gene_biotype:protein_coding transcript_biotype:protein_coding MGKISDALVEAGHEVVMLATQTDDAIKLTATKARVVHVPQCSASVADKQLLDDIVTNLWLANDPFTMIIQFHHMMTSWIDQCNVVDLHTLQSARENRDMGEALEKLSSLIAATIRHPGLLDALRAEKFDAAFSETLDPCGFGLFELLGIENRAVTQTMAIVDGTHYFTQTPANPAYVPTLMVAPSGEQMPFLDRVRNTLSHLVMVIHNMNSMRRFEPVFRAADPNFDSLESNSLVFMNSDPLLDFPAPRSSRVIDIGGISVSFGHSMLNETWSAILDLRPTTVLLSFGTFVQSHAMPERYKMSIVEAFRSFPDVTFIWKYEKPEDRISEGVDNIIETTWLPQHDMLNDARLSVFITHGGQGSVTEANTAGIPLIVIPVVTDQIRNANQVKRNGLGIVVDKESLDTPDSLIAAIKTMLEDDSYRKKALKTARMLAKKPFAARDIFVRNMEFLAEFGPLRQLDHYGAHLNFIHVSERKE >PPA12906 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:103038:105818:1 gene:PPA12906 transcript:PPA12906 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGGGTPHTGGRSGTIGPTSGAAAVQQLREYSTHGRSEPSPQGTPGGGAEEVKPRSLRFTWSMKTTSSLAPEEMMREIRKVLDANACDYEQRERYLLLCVHGDPATDSLVQWEMEVCKLPRLSLNGVRFKRISGTSIGFKNIASKIAQELNL >PPA12894 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:4808:6742:-1 gene:PPA12894 transcript:PPA12894 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLALVLLASAVTLLAAPAGTTGCAKITRTQFFDHISFGKRATVLVRKDPTDAGTTRFSKVPAVPSLPAKGDGTRRFGMVVDKFNE >PPA12899 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:21935:23100:1 gene:PPA12899 transcript:PPA12899 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSFLLFTSALFAFASADEIQSFPPELLAVFKEFNAAAFLKELHEAGSAKETRNSAMNVLCKYSYCHAEARKDCADLCAAAAPKFDEKVVGNRIQKIDKSVQPDADKAACEKECAIDCGNNDCKKECPALCEIHWSYKNRKEYEGEVFQFIARINEFSKLNQTKP >PPA12964 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:538635:539447:1 gene:PPA12964 transcript:PPA12964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-clec-1 MSTTSRLATVSALLIAYVAANCDPGWRYLPSTQSCYKLIDDQLPWSVAEIRCLYQGGHHVSIASYEENQFVHETARHQEVWLGAAFFGSDYSYVYSDHTPFGYFEGWEFGGARPSMNRARRCIKINDRGDWFQSCCKKLAATVCKKAATPAWGNSNDLRA >PPA13022 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:982736:985647:1 gene:PPA13022 transcript:PPA13022 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPFDLLSAERETPEVMEYPKASSDSLLWSTTAFDTVPSFLASQLRSVRLLARPHQSYYVYDGNASIIEARTCYMRIDPSELLDRLPSTFSTSSSTAPPPPVDVLFFCSRWCCEPDCCQINASIWLAAFFVLVSLLLYVIHFCADDYRRRELMRRMLKNYRPVRRSNALRQKKKSSATAPRPRWREAPRISIDPPTPSISAIAEKETSFAFGDNDVILKARSFGDNDVVL >PPA13070 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1210861:1212877:1 gene:PPA13070 transcript:PPA13070 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRCCDELTTEEIEDAIDNMEFEEQMEDMFTHMLRHCNFALCKPLREYNDYICEHFWLYLGTRLFLYVGPGNILMAYTLKWVLMAICFCVGWWDGPVYAPDSDEEEAETELQARIRAPRASRDSRSFSCSQQQQPGAAAAAASTTDEKWIVHSDDTGVKPLDNYGILKVETVEAAAEEGTVGNIRHLRRQSTSIGETLGLMGYSGSDSDNE >PPA12900 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:26358:27780:1 gene:PPA12900 transcript:PPA12900 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRIALIASTLLVSSSIAAPPHQPTNATEGFVQLKLAEHADNSTILFLPSLNSTEGFTQLKLAERFDNSTILLLPSLNSTEGFTQLKLAERFDNSTILLLPSLNSTEGFTQLKLAERFDNSTILLLPSLNSTEGFTQLKLAERFDNSTILLLPSLNSTEGFTQLKLAERFDNSTILLLPSLNSTERFTQLKLAEHADNSTILLLPSLNSTEGFTQLKLAERFDNSTILVLPSLNSTERFTQLKLAEHADNSTILVLPSFNSTEGFTQLKLAERFDNSTILLLPSLNSTEGFTQLKLAERFDNSTILVLPSFNSTEGFTQLKLAERFDNSTILLLPSLNSTEGFTQLKLAERFDNSTILLLPSLNSTEGFTQLKLAERFDNSTIVILPSLNATGGVVQLKHTEETASVWRSPRPIRLAAQ >PPA13027 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:1005969:1007377:1 gene:PPA13027 transcript:PPA13027 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPRMQNGARRSLMLIEPAFITALVLVIILGITRPLIEVNHQLPRNFKVVISDSIIYKFVAFCCVFLIFKKSPAALLIGLVMLVYWSGPLGFRYVRDILPREREDVVITAIVFFFIRRDVVHELSLAEPEQVYGAVGPMGLPLAPRPYQPASTDKKA >PPA12986 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:714280:717799:-1 gene:PPA12986 transcript:PPA12986 gene_biotype:protein_coding transcript_biotype:protein_coding MFDASSRVVGTQGELWKTLTSVSKSGMKKGRKNTRQPIRNLQRFYRIGASPMKVQFPGLNAPITEKPGQALEMSIVEQTEEEMKAGPAAMRAELEAKNTKKRANREKLHPLERGFSGTQIVGQKLGPPPPNDNATFDDFESYCLEVKPWQ >PPA12939 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:366275:367029:1 gene:PPA12939 transcript:PPA12939 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTNSCRSLIDRRQSPFSVPGPSLTAFKPLLSFTASSLNMVSLFFPVAATVIAAAFAVTVDRHRLFDYYWVCEFPINLLRIERNI >PPA12935 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:345976:346507:-1 gene:PPA12935 transcript:PPA12935 gene_biotype:protein_coding transcript_biotype:protein_coding MHWPLPDAGHMLDVPPCGFTSPSSSSSFYFSSLQLLRKRLEKDIEILRRFPSYIPNYRGCNNASFVYIQMEVVKA >PPA13060 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:1154394:1155144:-1 gene:PPA13060 transcript:PPA13060 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRGLWKKYVLEMHTLFFNTQCTIFTKIVLHEDCPHHDKFDEHRITWVCR >PPA12944 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:385079:388913:1 gene:PPA12944 transcript:PPA12944 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSIAYKHSFGSEERFISLETMRHLLLLVVFVAVAVFAAKSINNYSLQPTKQGEKAHESPEKSDKEATEKKTRNALTEEQKKEANEKYEAAKTKKEAKRKERVEKLSEEAKAALEKIKAVYKQKLGKEETHKQVKAIVEAQPESVQKELKEHRKGGKGGAKKGEKKEKKEEKGEKKEEAKPTGA >PPA12978 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:656082:658542:1 gene:PPA12978 transcript:PPA12978 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKARGEETTLEKLAGLAKQKQTKQPAALEFLSIPESEHDKGASANSNDLVGLLSVGAMCFTSAIAGVYLEKVLKGSSVSVWMQNIRISLIGLPVSILSMCYYEWSDIQRDGPFRGWDHWVLIMTATNSLGGLLISMVIKYADNILKAYAQSMAIVGAVVGSWLIFSIVPSIFFMLGAIMVMLSIVVYSAFPYVEKPSEEKVQLMAADGREYI >PPA13031 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1026814:1029331:-1 gene:PPA13031 transcript:PPA13031 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEVNVTAPEIIQYWGYPLEEHFVTTEDAYILGLHRIPYGRNEAAPTTNRPVVFMQHGLESDSSNWIANPPEESAGFVFADAGFDVWLGNMRGNTYSKNHTTLTASDEQFWEFSWDELQMYDLPAMIDYVLKITNQNSVYYIGHSQGTLTMFSRLSLDPNFGSKLFQEIFGEGEFLGQGGAFDLIAQWICDSIDGDKVCDSVIFLISGPETTDQFNATRTEVYIANDPAGTSTQNMIHWMQMAIHRRHRNPVRLRERAEEPAALWDENPTVLQLHLRCNGHVSLLAE >PPA13006 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:867748:871224:1 gene:PPA13006 transcript:PPA13006 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVAPPLVNAQRMRMGDIARTLQLAVQNETGKSFEVFMGRSEMSFSSYQIAPLTSCRMWIGEYYTTMYETPVQHDISNAFEERFMANIDFGQALGGSGYPGQIPFPQYSALQGPMYQPPPPVFAQMQCFSGDLMVETAEGPKRMDELKIGDEVLSIDENMISFSPIIMFLHRDEELMAEFNVITTETGKSLKLTNEHLIFVSDCDNMIPLRLVKAKAVTADDCVISSQTSTYLPKHLNVDRVINVSKVYERGIFSPLTSTGDIIVNDILTSCHSNLGVRTLQQSVLRMYLSIYRSLSFFLLEEESFPVGLAFLTSTLDIFLPGKITLG >PPA13042 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1073311:1073884:-1 gene:PPA13042 transcript:PPA13042 gene_biotype:protein_coding transcript_biotype:protein_coding MHKETMKGLLCQSLMPSVYVFAVSYTFALRTGTIQDWNEAEFIAVTSSEISAPGQHKTR >PPA13049 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1099367:1104685:-1 gene:PPA13049 transcript:PPA13049 gene_biotype:protein_coding transcript_biotype:protein_coding MDERTRLLEEEQHLTTSYMDWHDLTPHLTPGDKIEFEQFNSSSRELWNSPRAVHQVGDEIKIVSEPLETVIRANITGDCKIRKNNETDLECSPVSATDILNFARNMCGRQFNRLTIRIVRTRCFCEEHIKHHAIALAMFLIAAVLSAAAIVVYFLHQPPSTGSTVTLLVLTVASMSIEIAAIILLCSSLLKRPIYHQIALADASLEGEQPSLKSLYMKCGDQALISTLEPGDKIEFSRWNCSSFRNGFFQHWAIYIGNIDNVPHVIHYTKVDKEFKVVKDTLEKAAKGGKFRKNNQTDNDGAKGYPQTPTHININGNRTIAFGLGDEHSVTYLMCRDRISLLFMRSFIILVLLVAVAAASLMPGSTNSFIANGKGGLGRWQRHLEGRDGSSYYGNGNGFGTYGYAPVYQPFGFFG >PPA12997 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:806871:813648:-1 gene:PPA12997 transcript:PPA12997 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMDPATGAAYDPAGDYTAYKTQLSTTTSTLYCARQEGYCGATTPLYLYYNKNTSDYVTRGATQPNGTEEDPNSVIANGGQPWCYLFQPPTTTSTTVSTTTVAQILNIDLEQARIGHVRVAGHHDDCQANHYVIFRHNIDVSYDHDDYNQVKHDVIVLLNDLVFHLISDIIIGVISFDDHNTDHDHYRLLNDRQSLIDHDNKSIVDIDGLVSDDFDDIVLRHIDVRFRIIINDDHGSCAKIRYDSLSGTTTTTAITTTRTPATTTTVSTTTSPYVYPGTTDTSGTYEPGDTVFPNGTIVRTNGDTVYPNGTVLTSDGWTIKDGTKRSKIRPQRSMIKNHFQIAPNGTVYNPNGSQTYPNGTTLNADGSTTYPNGTTVTPDGSYQAPNGVTYYPNGTQKYEDGTVVSADGTVTSPDGTVTYPNGTTVVAPPATAKSGSGISLGWPLIILGVIVGAGLVIGVIYCLTIQFIKSGARAVSPEYYHRYEPYRSDTDMAPPRVMQPAAAAPPPFS >PPA13036 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:1044268:1045971:1 gene:PPA13036 transcript:PPA13036 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFCAILLVALAIVVHANEQTVGVKGTLWCGKEPIPHSDVQLLVHDTFSDNVLMTVSTNGSGYFQLTGSRNKAYSMRTYVKFFFFCNPNNAKPDPNAKCTRVVRADLPDNIAIDGKNPKWYDMPNVVMKPDGKMPNEDQDCKN >PPA13026 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:1003745:1004645:-1 gene:PPA13026 transcript:PPA13026 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDIAMFLLVAGCGYYAIFTRTPREIVWMIYLEGFQAAYGVFWCLMSLFIDALVEATSDRTPARSQSHDEFMFKVYVWVAGFFVHSIFSAVVAVMYFFVRKQVVNEIALAVEQQMQEQGAGPMGYPIAPPPYGTQSTDPQP >PPA12972 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:590493:593929:1 gene:PPA12972 transcript:PPA12972 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLANYEAANKNLERARGRNKDIPKAETEQNTACTKFEDISTLAKTELKELKRRRVAAFKKNLAELAELQMRHSRYI >PPA12951 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:442459:447404:1 gene:PPA12951 transcript:PPA12951 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGTVMEERAAHDVDLLPASSSAPQAKAVPQIDLPADAVTIELTKDEVADAFAVYAGFGFNVVGGSDSQHLPGDSGIFVSLIKEDGVAARDGRLHEGDKIVSVNGVDLTDSTHDGAVKVLREIKMHSETILVVLQNAEMAALAQMAPMALSPFSRGVAAADEATKKSEKATPTSNGPPAAANLSFGAADAVSVKGALFGAQGRKQQQREDDEESIYAPSTHSIIDDVPRTPKRKRTLADRVTPLLTEITYVGIGLAALTAAAFVVYKIVVRNRQ >PPA13041 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:1069685:1071183:-1 gene:PPA13041 transcript:PPA13041 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNNLRIMDTEGYMLTDFTGLCQLSGSKNICTNVYAVMMHVVIRNTPLLDAIELRKLMFDFYPAFDYDSRSSYFLGSSNRFFLAEPCPSYRRKPV >PPA13005 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:857321:858765:1 gene:PPA13005 transcript:PPA13005 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVMPPLLNGGRMKIGDIAKRLQTAVQQGTGKSYEIFMGKGEMHFASHQTEVGASCKQRVGEFFTTVYETPIQYNIFNLEQEQFLANIDFGEPLGGSGYPGQEPFPQLVRTPVDAGPNALGEAGGAAGTEQAGRANAEPAGGPNAEGCDNPRLSGS >PPA12962 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:513578:519293:1 gene:PPA12962 transcript:PPA12962 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGPQWSLYPLLAIIAFCTFSLSGLHVFFCLPFHILFAPLLAGIVALLTAFHAIFLRYPNRTDFVLQVIAAVVSSAFFFTSGLETFCLTKSRDDATSGGDICEGVTYRTESLVASCNGFFGNMQSVVLRNANWEMQSVRIFVSSCLTALAASQLLITTALSFYSAHETKLRIRTYHYQLVLGLLLLISALFHWQYCCLYYFVSLPAACGLLCLTQGLTTRLRPSPLSRSLDVTGTLAAIGLFSSLLFSVLCTISGIFDWRLSILRHCRWGDGIMKGCRRSLHFSYPYFNWQMPQIEHEITSIQIAIYTKEEGAKSVF >PPA12963 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:523219:525550:1 gene:PPA12963 transcript:PPA12963 gene_biotype:protein_coding transcript_biotype:protein_coding MDILILTRAFLSFQYSGLRNKRCRIRAGKPTTSPPHSSIKPAVLEQRLDPNPPQSWHHNWTRNKPGTTVIHRASPELDPAPKPMLLRESVDLGLAFRVIFLLIYRLIRWIVLAESAIFAWFADRAKAVEVLHVVGRFRPKLSEIASVHDFLVFHEAFTTTQELFSSKEWHLYGFDGSSAWFVRCAAKMEKRKSQSQSLFESASKVARLSVRDFLREAGEIPDNPGKWKRDKYNSEMIVAHFQYTLVIGRNVCKLSVIRDDTS >PPA12937 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:351489:352327:-1 gene:PPA12937 transcript:PPA12937 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLDHAGIVPGIVVARGWKIRPNIGRNEQHLRKIVNICHRFQETATRFFWRYTYKVDVFALGLIFAKLCVIMSEEEPP >PPA13001 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:839035:840424:1 gene:PPA13001 transcript:PPA13001 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQALLSALLLSTAVHSRVLDSNVDCTILLSCMDNEDCVRIYLRRFALDYCLDGDYSSGLEKRTSSLKKILQSNLGIRMSGGKK >PPA12946 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:410149:413369:1 gene:PPA12946 transcript:PPA12946 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIMNGGVCRFAELQPGQFGLTKQLVLRLALPHVTLLVVSIIYALGGSLLLSLIRHATHDEVTPIEDLINVKRMFASKFMLPNSSREDQRAAFLEFTKKIYDLLEKHPKNLLLREDDKKEELSTILSFRSIFFTTTSLTSIGYGVDAPSSVPGRLFCIAYLFCGIPLYLITLADTAKFCTEGMNRLYTEYLKLKFRAKRRVKRWRKGTRSDSLAGNVNQVIIAGGDDDVG >PPA13039 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1059874:1064488:1 gene:PPA13039 transcript:PPA13039 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPRTDLLVGSPKTRARVLEVPQAPKSADFQHRANSGKGALSTVWESADVFKQIREFYPLFQAWVDQCEVTLADRELMETLKKEHFDAGFTETWDSCGYGLFHQLGITKYATTNSLALLDGLFSVTQVPVNTAYIPSMMGGSAGDRMSFIDRVMNTLAYLRMDNFVEYNLDLYQALFGKSMSQAPNIRDLMRNSSLVFMNSDPVVDFPKLTSPRYWSSVLSHRNHTIFMSFGTFVKSHLMPAAYKETIRETAKKFPDVTFVWKYEKPEHNISAGIDNLVETTWAPQHDLLQDPRLTAFITHGGQGSITESAGAGVPLICIGVTADQLRNARQVERNGVGIMLNKDDLGSMGPLEKAIERVLKDKSYKNNAVTLAHMIADRPFSMKDVFVKNMEFMVKYGPHHRLQHYGSQVENSTCLQFM >PPA13072 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1216271:1218436:-1 gene:PPA13072 transcript:PPA13072 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRGNILFGISYFDAILKELTPEYISANASVLAAADFIFCDANLPVPALSRVLEIARENKIKESSLDSPSALLAFLLSRPPLFPHLDILMVTLDQRGSLLITKELVAEKYKVSECPWGIAHILPPPTIDEIVSVSGAGDNLNSAFLVSLLLGRSLEDSIDLALKAVAYTLGSTDAIAII >PPA13017 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:933126:941167:1 gene:PPA13017 transcript:PPA13017 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRPLNRILCIHSIVSGRAGLNVELVGQRSDIALVSITDPSEGDIPHEICSLISAHDADVAIRSTIVALRTEFAPLPMRSYGSFCKIANCTKPTIALIDGDMGGVATELAMSCDIIYASDRSSFTLDQIRFGTIPGAGGTQRLPRAIGKSRAMEMALSGEKIGAEEAKEGGLVLPVHRLLKEGIRLGDRVGDKSTLIQPMAKEAVAAAFETSLREGLLVESRLFESTFATQDRREGMTAHVSCSIPIPLPAHSDTGEYAAHMVATQGVHPMYREELLSTLDSFIRREEEEAEEERDETVIEEALREGRSVDVERWSGAIEKQSLDALEEAVRLADTFGEVMRECDAVRMEAAMRRESEMAREEEGDSGNSSEEGVGDGKGG >PPA12924 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:272382:272577:1 gene:PPA12924 transcript:PPA12924 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPTADESSHLKQLPGATTGRAALSGGPQLPLLSSLSHLTQRDHSLHS >PPA13071 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:1213737:1214600:-1 gene:PPA13071 transcript:PPA13071 gene_biotype:protein_coding transcript_biotype:protein_coding MINFKLLALSRNAFNNISHFLNEKSLLNLREICKSSMHKVATFVSSSETDLGYLQYCPSRI >PPA12977 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:651591:652445:1 gene:PPA12977 transcript:PPA12977 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMVRYTRNRENGEAFFTTVNVLMMEVMKLAACSFLLIREHKCIFNLELENCYRRIPILQALSSVAEREIPCRREGRDLGRPG >PPA13069 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1208122:1208862:1 gene:PPA13069 transcript:PPA13069 gene_biotype:protein_coding transcript_biotype:protein_coding MSAELIEKLTKLAEYIKAHPDEARAGVAKLSPDAQKPAGDIIKIFVSDKDPKTKHEEIQALKASLPANIAAEIEEHKQELAKKLAARA >PPA13051 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:1114324:1114684:1 gene:PPA13051 transcript:PPA13051 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFFILLLLVATAVAALMPGSTDSFIANGKGGLGRWQRHMEQTFGRSSYYGNGFNYGYAPAYQPFGFFG >PPA12948 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:421343:427529:-1 gene:PPA12948 transcript:PPA12948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-swan-2 MQRGTSSGEPSPKKSCRPLAGSGSAAAAAAGGGGGAGSSNNNNAAAAAEYPPPIVGTFVPPSQQQQQMQVPQPMQQPQQQPRECGKLISRLEYAISISHTLSAAVNFWETPEVSHTISIIQQPMQPQQQQQQQQQQQQQQQHQQQQQQPVETEGITERMGSVTMEEDASPSSDERRKELYGYEAPFQLYSAACSDIFNKKPILLASDNLNLKVSQSPAKDAQRKFRLAVASFVEEYSNRISIVQLDEEAGALVLRYSFYHPYPPTKVQWMPDPNGVHPDLIATSGDYLRLWRVGKDNSVSIEALLNPNRNAEYCAPLTSFDWNTFDVNLIGTSSIDTTCTIWSLETGQALGTTKRIEGTLKTQLIAHDKEVFDMAFSHQRKDSFASVGADGSIRLFDLRHLEHSTIVYEAIDVARTPLLRIDWNKMDANYLATIAKDSKEATVNALSWAPHSSCHICSGADDMQALIWDINEIPKPVEDPILAYQANAECVRNWAKGNLAKKCNLFG >PPA12960 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:502729:503753:-1 gene:PPA12960 transcript:PPA12960 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRGDRQGREGIEKQQGPSIVWFQNRRAKHRKQEKQIQKSLPHAAFLSPSQAGIRPAMYPQTIQARTDFCYEICFVNFMYCV >PPA12985 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:704547:712656:-1 gene:PPA12985 transcript:PPA12985 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVFGRVHTMSTLVFMGNGRGLAGYAVGKAPLHRTSTAIMNAMKMASRKLFYVQLHEDRTIYQDFYAECRNTRVFAQRRPAGFGCPLSGLTCHPRLQKICEAIGIKDIYVKVEGSTKNYLALTHAFITGLLNQETFQQIAERKGLHVVEMSPSRHFLPEKIASPIVTGLKTEDELLPIDRLNLDDFYGEGRYPLRKPKPAPFYANLPSHLEAEWRKLPFRNHEQVMHRLLADDVVPRWTRDARRGWGARKSELALAGVEPLTTGIGLSHIVPKKE >PPA13024 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:994300:996924:1 gene:PPA13024 transcript:PPA13024 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSPPSYFYIIDMITKTAYAVTTEEFAEFVTKSIEFHEEENRERAHKLRPLCVDTISCNSEKVKSQACREATGFHGRVANAVTRIQSADQSRQNAVTRSADFRHPWSIPFNVSYPVPDRNSRDCELCCATPLKRVVNVPCGHIICAACLLLISKSAAEFNQAFCCPFCRSLPTDIKLLVEEIEAPEVKLHRLLSVLSRSNSVSQYVP >PPA13048 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1094653:1096990:-1 gene:PPA13048 transcript:PPA13048 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRQTQWTDPRLTWNPELFHGISHLYVRRNAVWSPQIIPCESTGVVPVQLFDTGNVKIYPNGQVATLAYFFATHNCEIKADNFPFDINHCTMCFMLAGNYEEEIQLKGTISTIADLFGTGEFDYEIYKPKPAVGVNYFDDDMAMSAVLFHFVITRQPQFWVSLIILPTFFISMLVLIGIFFGEDSHSLSGIVEMGLTGMMSLTVIVGILNESTAKSKDLSALGATYSRFVFYDIIIVVVAIITIMTSIKLRRKFLKLSNQKLSLDKSSVFWHNVKKYGASCAFETITTFNATYT >PPA12942 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:378221:381463:1 gene:PPA12942 transcript:PPA12942 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVEESTLRERADDRLLVGLMLIPITYGILANVALIFTVKGNKEIIANPSYYLLVHIAVCDLAILFSPLNYTIHFFRQCAWWSFVFELTLTAVNRFVCICFIGRYDKLFTHRSMLLAVIIISILGVLIFFDIWTSAYYPSDTWYLQFDYVVTIITIGIITTSYLGVCWRVRQKRVATSGKKRRQQVHIALQVGLMSGIYILNCLTWNIVPYIKATRLTNGIYFSLNSLQCASHPTIAFLLNGRIRAALIRAASSRSQQRASTGSSPTLTRKNGVYRVESRPNQTDTLR >PPA12933 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:314460:317377:-1 gene:PPA12933 transcript:PPA12933 gene_biotype:protein_coding transcript_biotype:protein_coding MADIIRYRQWLATLPISYFILEEELMKEDNNIYLVKGQEPYISAPLSVLNVEVILRAFIHNEIELPPGVFAPLHSSTHFHMIPSECKLWLLALAIDEETLRGQPWEELYRFVNTQPCLLLSENPEGWDVVRNAFQFFKLNDLIYAIRPDITVRCTGHNLAKMTQSRDRTEFQDFLTGLDPLPPTPLWQTALSRTQLKRLNMLNNATPPTNSKPTYYRMKRDPEPENPRPVASIRPTIHAEIAAQSPASLASPVSGQLTSPSETKKRCASKMH >PPA12922 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:230750:247697:-1 gene:PPA12922 transcript:PPA12922 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPCRNAYTIANYLEKMNSKDKDFRFMAVSDLMAEFTKNNIKLDEDSERKVVRMLLRLLEDNNGEVQNLAVRCLGTIAGSVREYNCESVVDALCENMQAKTDELREVSSTALSCFIKAMPVGATATKIAQRLLPKLHSVLCKLSPECSAKNEVIDIIGEVLARNGSTLTNFHTEAEKVLLSHVAEGRPSVRKRAISALAHLAVAASSDLFNHIVNEIIVGLSRPKAEARTMMAALIALARACPQRFAAFIPTVVPLFLGYAAAEDDEQREIALNALETMIVRCPKESKEFYGKMEEQLKSALVHDPNYSYDEDEDEDMESTNGDGEDFDDDDYDDYDDDDLSWKVRRAAAKAIEAMIETRRDEELHLSETFGPLLISRLKEREDNVRSDIFSVYSAVMKRLAISRSSAVVGVVRAQLPPLLKAVVKIMKGKNAKARQQCFLLLSKVVRAVPNSLSPCMPELVEGATASLEDSGASSQMKIDVLVFLEDALPSHEAADFFSSTGRLVPTVSKGVRDMFYKVSSQALVVAAHLLDILHAKPGGVAEVEPLCSAIFDKLRGDVDQEVKEKAILAVGVLLRQFALGDKSIELLKGLVDRVNNEATQLTALKALSMVVDSAQNVSLLPVLPSLLPLLSQQLKKNSRAVKGATLNALLEFVATFVRTPVPGKPTFEQLLDHLSSPIYTDTQSSLSRQSIHSFAAVVAAVAASEEDQKNASKLGQKLAEQLNHGQATDANKLFALLTLGELGKRAPSIFEKAKFKVEEIILGSFTSPNEDIKSAGAIALGALVVGSLEKYLPFVLNQC >PPA13021 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:967623:974029:1 gene:PPA13021 transcript:PPA13021 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLGRLLASLGPPPTTTPSRLAELRPTTMTKLPPIHSHQSINDHPESNTVVVPSETAHGRHERHTVEKRRPREQDRFKRGGGLLPRLERMTVDDDASPLMENVGSERWDARLYDQLFPEGTVAGKEGTVADVDTVARLHNELIDTKRYPSFSSLSPDVASLLRPSYEAAGEYAEKLTKEGRGVYCNNALPSVRASARARTRSCVEWYESRMNEQRDPATVEITDGKVQVLDGKENALPSLISSSPSHFPPSTLPSSFNDEDALEKTVEKMTSEDVDALVSRYTELLLLEGLRKVVDGRVHSGARRPNQIEPNGALQLLGFASDKGAVNEEVMKSKVHYLLWKRQSYAARERSSAFDRLNLRECEKLLEVVNKRKRRFNPCTSIDF >PPA13044 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:1084253:1084836:1 gene:PPA13044 transcript:PPA13044 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAKYSIPPCLTIYELKNCTANPKCGSTTLDQKVICDSEGYACPSGFLPYVNVDNGRLIYRGAHLIYFDGTEFVLSSTSSLRAYTISEVQNITCLPASSHT >PPA13018 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:945649:949002:1 gene:PPA13018 transcript:PPA13018 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSESKLIKTILYSTGFTQVSARNPNFNILWTSTHLNSHCLRSLKSWQRVNHFPRTGELTRKDRLYENIARSKALFGATPGGPFSFIPEFFVTPRDMDRLTRAMEDRRSRSSGHDASFIVKPVAGSRGKGIFLAKKPDEIPLASPLLVSRYISAPLTVNDHKFDLRVYVAVTSFYPLIAYVYTEGLTRY >PPA13080 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1243879:1248063:1 gene:PPA13080 transcript:PPA13080 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLQDKLFKTYDVTISPYNTRSANFTWNTNGSFASITLMRSRLLSVQEKEQQFSTATGVVMEWTDPRLTWNPDQFAGIDHLYMRKGRVWMPEIVPCESSEVTAVALFDTTNVKIYPNGQVIMLAYFFATHNCEITADNFPFDINHCMMCFSMSGLYPDELQLRGFMGTGEFDYDVFKAKPVLTVSYYSGEAALASVEVGLTGMMSLTVIVGILNDSIAKSKDLSALGRFVFYDIIIVVVAIIVILFAHKLRRRLKKLSEQKIKCQKSNMVLWLLIKRYGASNTINRRIPEHSPL >PPA12955 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:473007:473670:-1 gene:PPA12955 transcript:PPA12955 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHTSSLAERVVRGQVHRGIGDRASPFVNRCDRRSHPPKTLHPGEKGRL >PPA12918 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:194294:194852:1 gene:PPA12918 transcript:PPA12918 gene_biotype:protein_coding transcript_biotype:protein_coding MFVWPIRLLTSSWPAAVSNENLLFVACEFLLPGTWATLAGLIVYALKSDTIVTEPYT >PPA13064 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1175703:1178065:-1 gene:PPA13064 transcript:PPA13064 gene_biotype:protein_coding transcript_biotype:protein_coding MRTWRGVPYARPPLGELRFKKPLPSLEWAGTRECVHHPAKCVQQLSELLSQNDKDWPASEDCLYLNVFSPGDYPANNKRPVLVFIHGGGFARGSIRAYGDRAICDGLTCPGNFGLWDQIAALRWIQANIEQFGGDKDNVTICGQSAGGACADLLSLSPHANGLAHP >PPA12989 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:752782:761684:1 gene:PPA12989 transcript:PPA12989 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLQGLDGDELYVEEVATTDEVLFECPPNDIAPILFTKSILIRANIWHVISAKISGPSSDCGSSGHARVEADGVVFNFRNSTLSNNGTDVTVGQIPEMYFQNLAKPAEEAEERTVEEKAFDLKMYTDFHNISRSALLRPAPSVYCSLLRILRWATTIVFGQMTQSEESLWQQERATLIAVLVLKTLRFYTETLYPSEARADECIEMAEPAISMFDAIHSIFEAANNFEGAEMDRPRLSLVEECIASIVASSHLLLASPFIVASQLATYMGTPRNEWRLTALLHAMANTDELLSFFPDVVAAKPRPPMYSHLTERMTKRLPPISDRCRSATSLHSILSFLFDVAFARSGEQQIGASSSYSLERISQVLIREIAKELVKGDFAHSGTPSLMNTPCRFRRRTVGACWDMASGAPDAIALRVDRTGVIVAGIGMFKADLSDTNSEEKWELVEKVTHLVSANEGRDTANLKFNRAVPLDPTMTYLIKIASLDGGKTFAGEPSLDGGKASAGERE >PPA13057 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1143849:1147834:1 gene:PPA13057 transcript:PPA13057 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVRGKEEHVEGIGYYGRKVDMVGKWEQYAARKNTLRAVRTSLELAYTQKVNDYDDAEYVGNITIGTPGQTFEVILDTGSANLWVPDKTCSGGTGNPCAKKSKFDSTKSSTYAANGKAWTITYGTGNAKGFLGQDTVKFGTSATSLTVPKCTFGQANSIAPFFKNEVIDGILGLAFQALAVDNVKPPFIEAINQKLVDLPLFTVWLEHEGNKENVPGGIYTYGAIDTENCGPVIAYQALSKATYFEFNMASVSLGTYTNTPTKPWSVISDTGTSLIGAPTEVVEKIATAAGAKYDKSTGLYKLNCGEHISDFHLTIASTTYRIDYTNMVVPITEYECGIAMESYHGGAFGPSWVLGDPFIRQYCQIYDIGNKRMGFAPSLQN >PPA12916 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:184046:185994:1 gene:PPA12916 transcript:PPA12916 gene_biotype:protein_coding transcript_biotype:protein_coding MILRLSSSSLLLAWIRSALLLVVVIHSTDAKRQSHNPHMKGNRYDLTLFIRNHNVTDATFFRLVGVDNTMDFRLGPGDVMEHTFEVRRRGYWTLFVEFPGDRCTTSRTRSASPSGTS >PPA12928 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:298561:305129:1 gene:PPA12928 transcript:PPA12928 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lgc-36 MTIIFFLLLLPCSLGVGAFERLKIKDDFFIERVTIGHRISSNSSSTLRRKRESGMYDAARNVTSHLNTILRDYDKNLRPLFNKNAPTNVYIDINVRTMGFISEKTNTYSFDCYFRQTWTDERLRFIAPRDSMRVLSLSTAMLDRIWKPDVYFWNDAGSYVHTQTVPNRLVRLFDDGTVLFSMRLTVKAKCRMQLIRYPLDRQILACRLVIGSFAYPVDEMNLHWKVTNSNSGVQIDDVAFTELPQFSVSGFEIVNKTSIQRDLNYSALEVRFLLDRHFGYFLMNFYVPCTLIVLLCWVAFWINREATQERIGLVITNVLTMMFMSLDSKADSPKTDYPTALDVICLFFLFICMVEFTIVHYFTKLNTGDPEKLLKCGMNTMWNENKFAYFSE >PPA12970 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:566231:568538:1 gene:PPA12970 transcript:PPA12970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-snx-6 MPVQNRTEEINVDLDADNSILVDISDALSERDKVKYTVHSKSTLGDMKPETSVVREHEEFIWLHSCLDENENYAGFIGRRETGDLNENYAGFIIPPPPPRPDFDASREKLQKLGEGEATMTREEFLNMKQELEQDYLATFKKTVAMHEVFLQSL >PPA12904 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:86259:97236:1 gene:PPA12904 transcript:PPA12904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-par-1 METEQTLYLVMEYASGGEVFDYLVAHGRMKEKEARAKFRQIVSAVQYLHQKNIIHRDLKAENLLLDSDMNIKIADFGFSNHFAIGNKLDTFCGSPPYAAPELFQGKKYDGPEVDVWSLGVILYTLVSGSLPFDGQNLKELRERVLRGKYRIPFYMSTDCENLLKKFLVLNPQRRGTLESVMKDRWMNIGYEEEELRPYVEPLKGARDEGRVNKMAQMGYSREGVMRSIEADKFDELHAMYLLMGEKKSEMESGDSLSAQSLSVTSSTTNVPSLSGGGPASTTASSADAGASRRASQEPPSSSKLIGPPPPATAVTLRQPGGSGGRAPTTLPGDPGAPNKRYTIQQFDITPRAPGPLPPAEQAAAFNKASASNAATAAAMANNGSRKSSTPGRIPMIRPQASAHPTKGFSRNDGSSSARTSSSTPQSASLVKQFQPVQQQQGQRSSLVANIPLQKSGSISHTPAEPVIREGDEDESSSENSNGGNGGLSMTTHHALY >PPA13079 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:1241707:1243258:1 gene:PPA13079 transcript:PPA13079 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIRCFIVDIFKFIFNLTLHFDEAFSKKKSSIERRRKLRDWICEAHTPTLSMPATIETREQNNTNSTSPISSDRAYLKFVTKQLEVEEEEECMNTSCALSDDEQLCDNCCFCADHPSVCQRMVFERCGHAVCRPCAKEIRLVAGDAPVECPSCGEESIIVRAVEELVEEKIDAA >PPA12998 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:817482:823931:-1 gene:PPA12998 transcript:PPA12998 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-51 MMRLRNVHRSGLVLVQSDIYRSVISDVIAQVKEAFLDESIDIDVLNQLKKDWEEKIAQSGCVDMDPKPGPPPTNSMGAVRAAAGMPPNMVVHRPQPQQVAHHHHQQLQQQHLQIQPTTQQILAQQQRITQQQQIQEQQQLAQQQAQQAAARQQQALLQAQLQQHQVQQQFQAVHALPLQMAGMNGMQGVYMQQAAARAVPLQQYAGLVPGGLMNVPQQVMVLSGGQSTPVPFSALNAQQRMQVLGQMQQQQLQQQQQQQQQQDHVHQLDGSGGLREDVPCSSVSLKDRKTTGKMVKKAGKQPTRKEAERVARQLGILQLDGGRAGGGGGMSDSSSEEEEEEEDDPLRRMADRIGDEGEEQDGDGVHEDPLNSDDDQSDDEDLVTLFDAEDVVMCQFEKVSRARSKWKFSLKDGIMHINGKDFCFQKCTGEAEW >PPA13061 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1162403:1163397:-1 gene:PPA13061 transcript:PPA13061 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLGTETTIRSISGDCVQYSTTSDSSRINVKSSSVLNGKPDPRFIYFRGGGGDITLRTVTPNIGSVHVYESLFSEEYFPMMFSDKSSNAEVTFSGTSSKLHKIELLVKEEKKAFTIGNAGLAYFWGPEHLPGISDECFNYQSTNDDRHFSYCNTTEMDRCAANISHFPHLAPFTFSSKGKE >PPA12897 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:11385:11740:1 gene:PPA12897 transcript:PPA12897 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLIILTFFLLAFTSAQDMVDDGQVVEKRACNRRSSTTTTVAPGAGAAGRAGNNNNNRGNRFG >PPA12991 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:776233:776645:-1 gene:PPA12991 transcript:PPA12991 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSESSESLDFCVHNAERANTEFVIDIEPTNASTGLLNIAEGAEAVEQSS >PPA12913 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:146510:147553:-1 gene:PPA12913 transcript:PPA12913 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPVPDAIAYEIRVEAALQQRPAALELYCTLVYDAEMERIDKPVIAMFLARLFRNEPGLVEETNKFLDEYAIELESDQTEDGMKQEDIQSEDENTLYSYRQFLNEFNLSD >PPA13012 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:904273:907154:1 gene:PPA13012 transcript:PPA13012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-chw-1 MEEEQRVLKCVLVGDAEVGKTALAVAYSTNGFPQIYTPTAYDNYSVIVRVDKQPIRLQLCDTAGSDSFTTLRPLSYTDANVFILVYSTVNPLSLKSVVNKWLPEVSKVAPDTPLIIVGTKVDLAAWGTDSIPTEHGRRLAASIGADFFECSAVTQQNLKQVFDCAILAGLTGSRKNQMKIR >PPA12966 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:557954:558691:-1 gene:PPA12966 transcript:PPA12966 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVACADCADCTITVKLSEIREPQHGLGAVGDECRTQQQSAHAAAAAAAAALAAHDRVAVDEPTIREVALL >PPA12968 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:562329:562796:1 gene:PPA12968 transcript:PPA12968 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRGVIYNMDEEGRARLVFESASSFEGTLETKTGEIFSIRGIKGARGDDTVDIQVVDAETGSQFFMTVNPLPSHTRRWTILRMSG >PPA13008 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:875042:881869:-1 gene:PPA13008 transcript:PPA13008 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVIIAGAGLVGALHACFFAKRGWTVEVYEFRRDIRTMEHVPGRSINLALSFRGKTALEAVGLKDYIVDQGVQMHARLVHETDGVHTNRQPYGQPGEHIVSINRRHLNEVMITQAERAPNVRFFFRHKVKKVDLQRNELIVEHDGVEVIVEGDLIVAADGAYSAVRRSLMTQPRFDYEQEYIEHGYIELSILPKNGEFALESNVFHLWPRGQFTLIALANIDKTFTVTLFGPFRIFEEQLNDKAKQIAFFEKHFPDALKLITPEALTARFAEIGYQSLISLKCSPHHFGRGVVLTGDAAHAMVPFYGQGMNCGFEDCLVLADALDRAKEDIPKAISLYSSTRVTDAHTINDLAMYNYQELRDLVNHTSYKLRKKLDLALNRWFPQSWIPLYSMVTFTRIPYSEVVKNRQWQDKVLARFRCLSTVTLLGMSATALFTIAKKMWIKTEDVGQALLYLDAFNVGDEVNINTQWQRLASQRPRHTGWLSIQLICPVAWQLTPGRSHRREESVAN >PPA13075 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1229067:1232084:1 gene:PPA13075 transcript:PPA13075 gene_biotype:protein_coding transcript_biotype:protein_coding MTSISEFASTAETMVSRIGSIGSSKVYLLDDHAKTLPLAQAIATANISKGSDDAFTILNLDALYKRFKLWKRELPQIELFYAMKCNSDETIIRSLAALDVGFDCASKEEIDMVIRIGVSPDRIIYANPCKSSKFIEHADLKGVKTMTFDSVDELEKIAEHHKCPGLLLRFNVSDPTATHPPSGKYGADPENTAPLLLQRAVELGINIGGVSFHVGSGCNDPSVYRTAIQHSRNLVNIGRDLGHTMAIVDVGGGFPGAEQRMPHFEKIASVIRSSLDEFFPEKDVRLIAEPGRYFAAPLCTLVTNVIAVKTVLGANGSAGKIHYFINDGIYGSFNCRIFDHARPDNKMMRLLNAGNWVVYEEMGAYTSAASFTFNGFPRPTAIHVISESVWKAIGLSDINNNTEK >PPA12893 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:4019:4484:1 gene:PPA12893 transcript:PPA12893 gene_biotype:protein_coding transcript_biotype:protein_coding MRERPWATAGTLLFDQVADKLNEWFVSAFVTASRIRFVMLHTAPPSLPFPSVHLGQ >PPA13076 pep:known supercontig:P_pacificus-5.0:Ppa_Contig24:1233468:1234699:-1 gene:PPA13076 transcript:PPA13076 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRREIIGDTKINITDKPVDTFQLAREVAAYKTVEGSNQASIIVNLDTRECALLAKVIARKTVLASSGGNPSTRMHYFVDCINGSINNEDCPTGRPLIDKEGELYQSTIWEGPCDARNKLESNKMMRKLDVGEWIVYEDMGASRALSSTFNYSPTPIYESSRREG >PPA12981 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:683656:683978:1 gene:PPA12981 transcript:PPA12981 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIQRTQGASQTQKYPVPCPPGPLQQETPNWPPSDMLAGRPNASAHWWNATYEEFRPILI >PPA12992 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig24:778302:786433:1 gene:PPA12992 transcript:PPA12992 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPHLFYHFQYVWSLSSYAPLFGSTTSRSPVRLLPHPWRLCFLAGPLSTWMVQQMHSFLYTVAVILQSTTLDTRLRSLCFKAWTIQLSAHEQDLLILTCNILATVGGVLSEPSSDCLTSALRQESVDEDLGVDVKAMSDASSRQAMVVCLTDGSPDTFWESGDEDKARYRSILIRCEGTAAVLLCLYIDNVRDEQYRVSNLSAKALNVDGTRHNVHDQHIDQQFAGWVKICVAGLSAAQIILKGQLFSQISKYFNRLMLCKKGPGASVRVRQLLIFGLLPSPMTTPDPGPPNYLVFSAPQRDAFALFQAISSQAFSDELSEDQHTSLRQRVLDLLFSRVHLQPLQTYVCSQMVNALEREVTLLRDRNKRSYSYACGLMAMLVRVIGSRKGTEIGENRWTIGEVDTYNLDDIRVIRSRLRLKAMLVRVIGSTKGTEVFAARDHLLITLSELLLFAPQVVQCQVIETIERLFSVFQPSQVDTSLFVSNLLVTIAKVISLQVKDRATRTVSGVCFMTSCSHSAPAHWRMDRSISPEVGRLVLQLIDDVTSDRYSSDWSSCIKRELAVTLASIVHLSTDFTASTSATSMMSGGGGNEPIFAALRARRFWLSVAALAVLKDKQWLELAESWRNLQQTRSDEPEMICENHDDGRTRAQVHCDVCSLSLCRDCFRILHLHKRNRSHEAHLIGAGASSAPHVDIHAGCSRLRLANLLILLHSTALTGKLHTFRQHLEHISNRNANN >PPA13090 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig240:38287:38823:1 gene:PPA13090 transcript:PPA13090 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLALIACFFLAAHAVSGFSVSSPKPAVSGAPLKTSPAPVRPSGSPVGSTGFFNPTTRGFGNPSVKPVTGGPVSGSPKPAGSTGFFYPTTRGFGNPSVKPVSGGPVSATTRGWFGLLKI >PPA13088 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig240:32965:35806:-1 gene:PPA13088 transcript:PPA13088 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQPETYFNYFNLVKVDELTVRSDPPHNATYTADRAFGGLIVSQAVNSFLSIYPARSPHTINYKFIAADILKPTDGLVVLLFISDFAILQVAEEIYLKAKIKPFCWYLTVSECEVICHGRVRLESRIFNEAHKCVMTVLQEGYIQRRKYRTLKQPVRDRIDDSIITPDGSSSGLARRCPLNDALKAGKFKLSFYT >PPA13086 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig240:29087:30795:1 gene:PPA13086 transcript:PPA13086 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFGFVIGGAESSRTSLKWNLRNPGKRRAIPLYHFGMNYSIKQLRMMNKELSLAPYETRLRNMVDDSKQLLTYEMAQKPHHIGVRKSWPTWHSQNLEGWLPLVVAQDEIVRRFISGFFAQNLVVFSNEYSNRLDTRRIYWMFGFAREFLSQLLKQPVKLKLAFVENEKDCAYNFI >PPA13091 pep:known supercontig:P_pacificus-5.0:Ppa_Contig240:39687:43839:1 gene:PPA13091 transcript:PPA13091 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKLHKNDPVLGRISKSVNCIVQAISSADQTYWSSKATGGYALLGLVCNTTSKQWNWADGTVLNYKPPSGYATDLDKDCVPSMNWAIQSNGYWYSGAAHNTFTADVFCSIPAPQLVPSPNGCESFDDDSDDVICYQENSFVRRLAVSRQAINGVLIGGLLSGNDKAWTDGSTWDYDNFYPGFPISGLGQCLVLDTQGTSGEWMNVDCNNTVAVACERQQNFTTPVCPTEPPKEGQFVVSPGFPFDASTPCDYMLMVDEGKKVQMEILMLEANTCCDRLVIYEDYFVTGEFKERVYTTSTSNFMKVSWQPNGGVNITFRGLMCNTSSTKWEWIDKSPSDYRPPADFVSDAHSTVSMDIFCTTQLQPPVPSGDGCDGFDNDIEDGVCYEV >PPA13083 pep:known supercontig:P_pacificus-5.0:Ppa_Contig240:16689:19519:1 gene:PPA13083 transcript:PPA13083 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFFAMLTFTHADCPYHLDLIAGGQCRGFDAALSFSRDQTVKMAIQLCDELPALPVSIHNDEASGLAVVDQNQYYMGELPPPESTPRPIVLDCAQYMADEDGRWSTWCGPDMERVTIYCIYQLPEPAPPPSGCANFDDDTDDGSCYEVINAVEDWQDAQVTCRNIGSDLASIHNERENSFIRRLAVSQGVVGWIFIGGAVTGKGNNFGWIDGSEWDYENFYPGYPVDGNGECLAMDTRVNGRIWTAQQIWLLCAGGENPVQKTLNRSAHQGRGLRIVSPGYPYDASVPCDYILSVETGKRVKLELQILEANSCCDRLILTDNLIGGNIIANLTGALSNRTYTTDSSNLMTVSWQPQGGLNVRGMMITFTGV >PPA13095 pep:known supercontig:P_pacificus-5.0:Ppa_Contig240:54126:59007:1 gene:PPA13095 transcript:PPA13095 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCACPDGFKLTAAGQCSQITPTLISNVRADQALDKAISTCKEIHTQPVIIHYEDQQQYWITQFKSTNIVLGIVCDTSSLKWQWTDNSPINYRPTTHYDSDCDGTTTSYNVYCSVQMPQPIYSEDGCEYFDDDTADGVCYKENNFLRRLAVTQNAVTALFLGATISGKEDNFGWIDGSSWDYQNFYPGFPLPGFGDCVVMDTSTTAGLWMNYDCSAKVPAACARDKRTNLVANYTCSAGPWEENTIITSPGFPYNASTPCEFFLMADGGKHVEMEILHMEANPCCDNLVLYDGYVSGSVIANVTGIQNNVTYTTTQSNYMKVSWQPKGGYNVMGLAMTFRSVGAREHAIFGEEINGKTYIPNADVAISFCPPGFDLVRGGQCRGFYTTVTVPDDVAENIAANKCKEINGLPIIIHDDEEQAYWKSRATGAYDLVLALDEECSSGCVWMQGKDGMWREWCDDDQDTFQVYCTTQMPPLPVPSPDGCDGFEDDGDDGACYQVSTTAESWNDAELICRKLGANLASIHNDHENSFIRHLAVSKGEVRGVFIGASSGGLGEKEADFAWVDGSPWDYSLFYNESVCSSETWKEHTLITSPGFPYNSGTPCEYFLMVEAGKKVNVEILLLEANSCCDSLTIYDGYLGGDVLVSLTGEVYNVNYTTTSSNIMKVAWQPNGGINVLGLAMTFRAV >PPA13087 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig240:31755:32058:-1 gene:PPA13087 transcript:PPA13087 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFGFVIGGAESSRTSLKWNLRNPGKRRAIPLYHFGMNYSIKQLRMMNKE >PPA13093 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig240:45610:47451:1 gene:PPA13093 transcript:PPA13093 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWLRIDCGWTVNVAYTAATNTAISKCKEMQAQPIIIHYAECYSAESTATNTFNIFCTTQLPHPIPSGDGCESFEDDNEDGVCYQIYSPAFPLNASSPCEFILTVDAGKRVEVEILLLEANSCCDNLIIYENYFGGTIIAK >PPA13096 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig240:59677:63951:1 gene:PPA13096 transcript:PPA13096 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCGLSHNSLFVPRWLRSRCCGRVQNGLVCDSSTKKWQWSDGSSLNYKPPSSSGYDSDCDTFPTTRYDIYCIVELQQPIPSGDGCNSFEDDKDDGVCYQVLMLEANKCCDNVIIYENSIGNNVIANLTGELNHQKYMTTSSNIMRVSWKPNGGVNVQGLAFTFNAV >PPA13085 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig240:24041:28188:-1 gene:PPA13085 transcript:PPA13085 gene_biotype:protein_coding transcript_biotype:protein_coding MFLREDQFTTEEADPPHAAGVPGRVYGEQIVSQAINAFTSFNPKLVPHTMNYAFIAPVNMTTPVRRIEGRNIVTISAYQRDKHVGMGHIQFTIVPEFLDSSSIIFPDYGSPSDYPNMHEIAKIMTGPRRIVTKFMADLPLDIRFIECPLFPKSSKDRTSYWLKLKDVAGTKPIDLLPALLFMSDFSILQVAGDIYEKSKLKFSSISSLHHSVWIHDANLDVSHRNMQMLSGDVTKVILDTTSKKRLGTRARAGDPLVKM >PPA13082 pep:known supercontig:P_pacificus-5.0:Ppa_Contig240:14073:16288:1 gene:PPA13082 transcript:PPA13082 gene_biotype:protein_coding transcript_biotype:protein_coding MKINHRDHWLKYVQKCPVNICDFRSSDPSVVQRHHVMVHNRNYNTRMGNAAVNFKFVATCPFCPDPLRGLAGFVQHMEKKHPRLCTYEAKILACAECRYSTSNVYMLLTHWLKTVPMCTQGLRFNYEIAANTKINDAPRLFTYIIPDVCSIV >PPA13092 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig240:44111:45067:1 gene:PPA13092 transcript:PPA13092 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGKDLGWVDGTDWDYDNFHSGFPMPGLGDFLAMDTSISAGQWMNIDCAAQLPVACIREGERAIEQPTCSSGPFVEGALITSPGFPFSASTFCDFFLTVEAGKRVEAEIIVLEANTCCDSLVLYDGYLGAPVLATLSGELSNVTFTTTSSNIMRVNWQPQGGVNVMGLAMTFRGV >PPA13094 pep:known supercontig:P_pacificus-5.0:Ppa_Contig240:49570:51828:1 gene:PPA13094 transcript:PPA13094 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLLLLFALLPSPTAFTSNCPDGFILTAEGQCSQTSPIVVSSREDEAIDLQNSYWGSKQKSNYLPIGLVCNTSNLKYQWADGSEIDYTPAAGFNPELTLPCKNGCIWFVNRDGGWDSWCFATIHDFDVYCSIQLPHPEISEDGCENFEDDTEDGVCYLVGPNAETWSDAQLNCKKLGANLASIHNQQENNFLRRVAVSRGAVDGLFLGATISGKDDHFGWIDGTDWDYQNFYPGFPLPDFGDCVAMDTSTPITSPGFPYDASTPCEFFLKAEDGMKVELEVVPSRLEKLKEGVREGPAALSQLKYEIQI >PPA13084 pep:known supercontig:P_pacificus-5.0:Ppa_Contig240:21166:23508:1 gene:PPA13084 transcript:PPA13084 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLAHSSCPSGFELVRDGECRGRYTAVNSNWNDISRTAVTRCKEIQGKAVIIHNDEHQAYWRNRVPSETLFMGIVCNSSSMRWEWGDGSSVDYRPKEGYMEAYGTDMEYSLSIFCTTQLQQPTDYGSCRVCRIGKTLRTLAETVAQPWLPFIIYRRTHLFAALPSPKEQISRKRAGRLSGDGHVLWFGRVDECSVLIAAGGGMRSESRPEARVHRWAVIYSPGYPYDASVPCDWFLTVPAGRRIMLLEANSCCDRLVLQDATLGGNIVANLTGEITDRVFTTSSSNLMRVSWQPQGGVNVRGAMFTFNAV >PPA13081 pep:known supercontig:P_pacificus-5.0:Ppa_Contig240:3255:7049:1 gene:PPA13081 transcript:PPA13081 gene_biotype:protein_coding transcript_biotype:protein_coding MNIACTEKLPIVCIRYQKPVVEPTCADGPWDEGQIITSPGFPYNASIPCDFFLIVDEGKKVEVEVVFLEANSCCDSLIIFDGYLGGHVIATLTGDIGNSTYTASSNTMRVSWAPSGGVNVKGMAITYRGLIIDYYNDREKKER >PPA13089 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig240:36027:37179:1 gene:PPA13089 transcript:PPA13089 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLNLLLQEVRCPTCGKAFTRPWLLQNHMRVHTGEKPYACDTCGKAFADKSNLRAHTQTHSGLKPYGCTRCGKSFVLKAYLSKHEESAML >PPA13097 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2405:79:1342:-1 gene:PPA13097 transcript:PPA13097 gene_biotype:protein_coding transcript_biotype:protein_coding MSERTWQDKVASWSEEGFSAAEIVSESRVLRLLKKKYVVKKAYTPSSIVDKQKIGPIFKFVFDSYLEDNQKEGTKVVEEINALFGEKLSPNIVKRIREAQGLGSDGVRYGHSVRMANRPPRLAFCTHHLSVGTMFTHHVFTDESMVQAGKRGRFCYVLKGDTSSRVKPRYKHPPQLMVWGGISWEGATPLVLIRKGRNVDGPVYQSMLHSSYLKWAEKKYGDNAVLVQDNARCHISASTRDFFQRAGVQTLDWPAESPDLNPVEMVWALMKQWLHTRKGRTLSRISKTGLTNGGALSSQKNCVEN >PPA13098 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2406:130:1170:1 gene:PPA13098 transcript:PPA13098 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKHSSSFNLGGLKECTVDRRSASRSPSRKVTRNRKKKDEGGRDDGPLLIIDEESPLDIQSVYERDLTDETIYQVEHEGSLALSIYMCSCNPTFNETLEEMGERAARHFGELQARECPHAQAIRAILNDFLREITVIEEGITPISDCPRLFVTIPQDVNPGV >PPA13102 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig241:16003:17359:-1 gene:PPA13102 transcript:PPA13102 gene_biotype:protein_coding transcript_biotype:protein_coding MIIALIHIVLALVFFIYVVKSFGKEDKSLIEGIFQILTAFVLITANLVFLIGLRIEHRHIVSFFICFQVWFLTVILKSFRYLNDKYHFNGSDSVAERPVLS >PPA13101 pep:known supercontig:P_pacificus-5.0:Ppa_Contig241:13445:15209:1 gene:PPA13101 transcript:PPA13101 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPTLAEIGEDLACVLDKFEVKSCMAFGEGAGANIICRFAMTYPNRVLGIILVHCTSTTAGLIEYCKDKLINIRLESGVMSDGAWEFLAAHKFGSNEKHDKQEYIDNLKKMLNPKNLSKYLFAFSKRTDLSSDIGHKLDTVDALLVSGSRAPNLHTVYTTHKSMNKKKTTLLIVDNVTDVMAEAPDNLARSLILLCKGCGVLSGVSIPGMERQRTLSSIFRQRWGKC >PPA13109 pep:known supercontig:P_pacificus-5.0:Ppa_Contig241:58491:61425:1 gene:PPA13109 transcript:PPA13109 gene_biotype:protein_coding transcript_biotype:protein_coding MALAIDENDPPSDDVLNRAIKSTVMMTERWMKHIKKYADEYPDKTRLIFYQEGAYETAEDNHNRFESTFNIPGLHTKILLEQGLHIRYGEYLPKGTIVLKSIPAVSRPTNRPPEPGPPPQSRVDAMQNAMNILVWLQTTIAMNPQLPAHILLQYITQSAPQEQINHLFGYLAQAFPQFCQSSPGVNPVQIAYYALPSVSRIAAAPAHSIQQQVPVPQQHMAPGPVPQQSVLQAVSLIHNQRAPVQHSAAPIPISASPTLTNSSSDDSDRSESDDEGESEPEEFPVNVCLGDGDECLISSLYDALTYPRDNTGDNVKVPRHPEPNDPDEKFFRMDPMLILNRICVWLFFCTHQKPSSDARQVIPLSYTDPTDLNDEDRNILDVTEISLELQGLLSSPQSNHTGQDAMTSREAAAQWQIACGSFKGVTMGKDYDNNIKNFIMGLITVAHMTYEDAMKCIKDAVPQAFQPRGREYTPSPEVHSIVVSFEHTQQTAHFLHHQMASSKNFLNACRASDQVMKNSFARGANEFDVRNGMGMGVTIAALAAQFMHSVATNKADLKRVLATPLIHMSQKRRREEAATSSSFALREEFDELPSAFKPVVPKANGEEKKSQE >PPA13106 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig241:45763:46772:-1 gene:PPA13106 transcript:PPA13106 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSPLFLVFLLPLVLCTTEIGSKAEELKQITDKGLGFIIGAKDKAEDSIGDATGHLIDLAGDVKGKLDHPVDTFHNVTATELSNLKNEFADCVQLGKIEFAIAEAWEAVDNMMADVLDVPAVIFKNIINEGINMVRKPIDDIINELTTAVQKVNAAKMFKEMEEAAAKKLKEAEEAAAKKLKEAEEAAQKALEDLATITPPTIPTIPITFPPVTIPPICLSPWGCGK >PPA13110 pep:known supercontig:P_pacificus-5.0:Ppa_Contig241:65240:66179:-1 gene:PPA13110 transcript:PPA13110 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSNPLRPAILKLFRAGIPRHDIVLRLAIPKRTVYDAIARYLELGSEEDRKGRGRPATVSTPRNRERIRKRIGRNRKQSMRAMAKNLHISNTSVRRLIKSQLTLRPYKYLKLHGLNDRQIKLRRDRCRLLLMRCARAEHFSTVFSDEKIFTIEGKMNSQNDRILAHDPEEAYKSGGLIGQTSHPLYVMVWGGVCATGKTPLVFVTPGVKVNKEFYVKHILQDALLPWARSHFGQSHWTYQQDSAPSHKAKKTQDWLKAHVPDYIPTSEWPPNSPDLNPLDFSVWGVLQAKPFVYQQQ >PPA13115 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig241:98171:98381:-1 gene:PPA13115 transcript:PPA13115 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNGSAFFVFEISPSLDSETQYPSLACIVSFIVNMTLFSPLTIIVYPMLMR >PPA13104 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig241:35775:39341:-1 gene:PPA13104 transcript:PPA13104 gene_biotype:protein_coding transcript_biotype:protein_coding MITRENTIEMEMKRDEMEVIVDGKIIKEKKMNEFGLEKRGDVVIFENEDLFVKFDGFKAEIKMNEMYKAKQCGLCGHFDGEKKGEFRKADDEETEDIEEFHRSFLLKNEECEMEESKIKDKKNYKKFDYESENRITTLLSQKKEHQRKVHGNRQRRYVKLIDDFERDLAEDGISLDDIEEEVDLEGPLDEDDLIITSDEIYDLVHSNMEFFDNPSEPVFSDFGKFEQ >PPA13099 pep:known supercontig:P_pacificus-5.0:Ppa_Contig241:3824:6151:1 gene:PPA13099 transcript:PPA13099 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKGLNSSLLDRKNVISIFDLPNFDMYGGSEVLSSLERNVQKLSVVEKRETSPIPADPRPAKADQVTVKRALIVTILKGELKTRSEEKMRELANDSCFDTKVKDVQAVIDPLCDEHFFLLFVRPSHILDNIALIRRGLLHDQISVRKVVETTPVVQDRFLELVADPSRCFPVEVKKKEKPEPKSSRGTINSLPLKAHIASNGFATPKAEPSTPPSEDSRRARGPTSGHVARRLVEGSLGIRSTVTREQLKKENALVATFAVYIRDFIFKGTNRPIEANYVVSHMLRSERLVRKPKQSPIK >PPA13112 pep:known supercontig:P_pacificus-5.0:Ppa_Contig241:67545:68925:-1 gene:PPA13112 transcript:PPA13112 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVPLSYTHDGFHLPLLKRPRPLPEEESEKQHGVYAEWKIRGFIHRDSGKVPRVSVKCTIRVRYATLEVEGRFPAFKLKIPLVSIGFVESSGLDFLDIRGRTFRLLLCGIDDIQTARDTLRYLIVRQRVPHAILGKEFDWKVEEVKEKQKKAPKISKWSPLNCLLAF >PPA13113 pep:known supercontig:P_pacificus-5.0:Ppa_Contig241:70531:71244:-1 gene:PPA13113 transcript:PPA13113 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTRRNAQLDQSVLQWKKVKDNEELKKENEWLRMQLEEKEEEERRANQKARNRSEQLTVEEAWRAKGLHDLILKKYMLHKKRKECLVLEQGLRDLSTALVAHDRSIKKKTDELEEAEEWAEIVKGERIAAAIALNSHK >PPA13100 pep:known supercontig:P_pacificus-5.0:Ppa_Contig241:7819:9077:1 gene:PPA13100 transcript:PPA13100 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTLERNLVSPQGDLPVESVTVKTKEEKTELELEVKLDGVHGQVQSRVTLDNISPKIVLVLRASVLIPFGLWGLLQFVYLKRGNEDDNCRVARWNSFSQEWN >PPA13114 pep:known supercontig:P_pacificus-5.0:Ppa_Contig241:75175:77009:1 gene:PPA13114 transcript:PPA13114 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSDFFIVDMGRGIDGGLWKFYTEDSTGLKIGPVPAPVMSLHLLKGKARDKIRWYEGETKKMGLVLGMVTSTLHR >PPA13105 pep:known supercontig:P_pacificus-5.0:Ppa_Contig241:42530:45460:1 gene:PPA13105 transcript:PPA13105 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFDESLPLRIGILRLRSHSHCHLSVRCRIISQYQDQRSISHGKFSVFIYTVHGSVSPIVYPMGHAAQVCSVYFTLVAGMDCFVVTCLSNRIRNIVCRTRTVKRLVMCVVIFSICYNVPHCFESIVIECYHEKFNSPTFELCPDPFRFNEMYSYYYYKLMYSTFVAVGPLLCLILMNISIIGVSIFGSKGGNMGDTIALVLVVLLFIVCNTIALVLNVFEDELSDHLGIYFNYMVDMSNEITTSTSGNLNSNGSVVAPAPPIVITEKSRLRLANDAYGNFLQSTQREVLI >PPA13108 pep:known supercontig:P_pacificus-5.0:Ppa_Contig241:48373:51313:-1 gene:PPA13108 transcript:PPA13108 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRYAFIALDPDLSGTSKRDLFISLAQFGDVKLMSRISEVASHVTDLVRNLENAAGKTNQRLRQFLKKLLCADPRQLFHGIHPQEKPDGVEKTEYDSNGMVSVFKTDCETMELSYTKEHFDATDSSQIHHEGKYDETDNVEDSYPFLPRSSTDRDTRSPSNLSVNPLRMYADDEGKIELPYNPQFNECRPRRPDDSLEKLRPNQAQCGVCKRWVKVTTTCTRLIAHVFLHTKKERYVCPIEDCGYSNKVESIARRHINTTHGKKMNPIDFEKDKKSQSISWKEDRCKWAPRCFPDHFEAAAGGNLFMRVNEEITLMEGDSSALSPFGIFSIVKQ >PPA13111 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig241:66411:67175:1 gene:PPA13111 transcript:PPA13111 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKSVDRPPKGSFMIVAKKMRNQDSAPLNDPYVYFAYIAYTLLILLILRGNCDLYVFQCAAGPNPTKYKEPPVRKTSTIAQENEGKRRKGPCYDEQPIRYGDKAKSRLVLSIHGKPVSPVKNKLISKYTHFITHGGNLFIRCPRTTPTQRYVIVAHELIIPA >PPA13107 pep:known supercontig:P_pacificus-5.0:Ppa_Contig241:46901:47629:1 gene:PPA13107 transcript:PPA13107 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGRVQKDGSAREKALLRRSTMTSSFYFVLFALLTFALVQCSDDSSEWDKAREKADAGLAVMEQCGNDDMKATAKKLRKIEKEVDEAIKAAKKSMQQFIEIPSVLIKDTMMKGMKGLVESSGTVFKTAEAAINAIKDQIPKLA >PPA13103 pep:known supercontig:P_pacificus-5.0:Ppa_Contig241:21077:25475:-1 gene:PPA13103 transcript:PPA13103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sfxn-1.5 MSELVKTLVLKPDISKPRWDQSTFEGRLSHFLTTTNPLNLLTGESQLNDFKHIVDNYKKGIVDDNLTVNGLWKAKHMTESAFHPSTGEKMLVIGRMSAQVPMNMAITGGMLTFYKTPAAVIFWQWLNQSFNAVVNYTNRSGNAGSTTQLLTSYCAATGGALTAALGLNSLVKSAPPLVGRLVPFVAVCVANSINIPLMRRQELTDGISLFDAEGNEVGKSKEVARSAIMQVVVSRIGMAAPTFVCIPVAMNSIVKTEWYKKRPHMAGPIQVLLAGLILLVSTPVGCAIFPQTTPVNVSSLEPALAEKIRSRPNAPQVVYCNKGL >PPA13117 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2413:86:296:1 gene:PPA13117 transcript:PPA13117 gene_biotype:protein_coding transcript_biotype:protein_coding MCYALTSELAIIQGPPGTGKTHIGVEIVMSILENRSRWRMTQPILIATFSNQ >PPA13118 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2414:699:958:1 gene:PPA13118 transcript:PPA13118 gene_biotype:protein_coding transcript_biotype:protein_coding VIRLIYVLWFFAAVPSLYIGLQFKRVTRDWCGASHVIEQGRCDYVNYGFSFQIE >PPA13119 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2415:144:574:-1 gene:PPA13119 transcript:PPA13119 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRAKGEVLHEYIVIGRKLPTEKEPVTPIYKMQTFASNAVIAKSRFWYFISMLRRLKKANGEILECKESVLLNLRTSFPV >PPA13134 pep:known supercontig:P_pacificus-5.0:Ppa_Contig242:63904:64622:-1 gene:PPA13134 transcript:PPA13134 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIVSVSLLFTLVALICADGAYLTCSKANEEWRECASCEATCEEREPICIRMCKRAACQCKAGFFRSANDECVTAEQCDQEAYGESVKVDDSPDVAWSNRYL >PPA13120 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig242:9491:13659:-1 gene:PPA13120 transcript:PPA13120 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDIMELDEAAAKKQRKKEKRERRKNEVPTQESTHRTSSYNPASTCEGDACASASFFGKMSIDEKEIQAESSG >PPA13127 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig242:34588:34929:1 gene:PPA13127 transcript:PPA13127 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVMGTFYGLFPNAIFVDSSLAPNFKIKRLESALTLNGLCSNEKCVALFDKISANNKTIASNRTQIKCYAVPVYDVNIFE >PPA13125 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig242:32436:32742:1 gene:PPA13125 transcript:PPA13125 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFGYLSLNYYLCNEDLCNLEDVGEVVEQRVQRDSNSIQNESNVETIGIEATTKQASVWKWNFFALAAMISAKYSQY >PPA13133 pep:known supercontig:P_pacificus-5.0:Ppa_Contig242:59105:60761:-1 gene:PPA13133 transcript:PPA13133 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLTILAVLPLVVLAQVSTKNAGICYHGQLSQVGCSARGQCAAGQTCMNGLCCTTTGNEYSAACGGLSAVSSCTNGGCAGGLFCSTSNYCCECPVGRTAGKCINGACAAGFSCMPNGYCCACCPNNAMPFGACQNGTCGNGKTCRAGNICC >PPA13138 pep:known supercontig:P_pacificus-5.0:Ppa_Contig242:75994:76757:-1 gene:PPA13138 transcript:PPA13138 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGRNNGKKLMTVRIVKHSFEIIHLLTGESPVQVLVNAVINSGHVKTRPCWMRRSEAAENRARQAGAIEKSDDDDGDYRLVDLPL >PPA13135 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig242:65398:68737:1 gene:PPA13135 transcript:PPA13135 gene_biotype:protein_coding transcript_biotype:protein_coding METDLALSSCPNPGYDLVRDGECRGLEDETTAAIEAVETCANARATPHQSYWRSQAAATKNFFLILGLVCNTTTKRYTWADGSQVDFKPSIEFEYGPPSLFSLAHSVDERVCKLKRRRPIWKETARPSTAEGGFATGNPALVSIYCTTQLTPPVRSADGCDAFGDDGEDGVCYFVSKFASHNVQAAQSGCMMAGGNLASVHNAQENAFIRRMAVNQRANYGVFIGGFPKAGAGDCLSLDTSAASGQWSNVDCLSGLPAACMRDQRPVTQPTCVSEGYKENTIIASPGFPFDASTPCDFLLTVDQGRKVQVNILQIEANSCCDHLVLYDGAEGGNVIANLTGGEIHGETFATRQSNVMKVSWQPNGGVNVMGFTMKYGQI >PPA13132 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig242:53415:58965:1 gene:PPA13132 transcript:PPA13132 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRRNKFSSIVQGAIGNKYSKTKNAGPTNHWLEHYLDTHECANDPKCMALKGTKKKTQHLCQKKQYVSDVISLLKIIVMFVPVPMFWALYDQQGSIWLLQGIQMDCQVFGILLLPDQMQTLNAVLILCYIPLFQVVVYPLVGKCFKITPLRKMVIGGWLAALAFLITGFVQLQVNNTLPLLPKSGESFASFMNVYEKDCNISVWRLDDKMAPMGDPFVLPANTNHPCDARSPADFYYWETDYNQHTDDRANFIDVMNQPGKNNKDRSVTSYVFKPVKPGKWRLYFLHNIVKDVDTATPPKEDVDVSDTGIGFEINGQGGVYNFVVTGEGDVTGDSEQNELHVYQVVQDNKVSILWQVPQIIVITAGEILFSVTGYELAYSQTAPSMKTLVQALWLLTTAIGDSIIVLITALDLFDDMAVQFFAYSGLMFLVICIYALMAIFFFEYNYYTKPEELDDDDDEDAELDAIEHNAHVNNGFYGDTEKRQLSIAESDNNSVTLRI >PPA13129 pep:known supercontig:P_pacificus-5.0:Ppa_Contig242:38674:40180:-1 gene:PPA13129 transcript:PPA13129 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAEKLSSQKNFTSSEKQVACYSYTDTHQQSFENIYTNGTANNHSCSGDYCYMSVNHDQISRGCLTVLDDSMADVKMKDGIYEFINIHFYLCSKSYCNLEDISQLEEAPPPIAITTTTYFGTTAIVTVAVETTTGCVNNLNVSAGCVENPTNTLCICTSDLCNKDKLSDFPDPTKPRPSACPKTDFCSEIYSCMFTTDVDM >PPA13121 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig242:19997:20335:-1 gene:PPA13121 transcript:PPA13121 gene_biotype:protein_coding transcript_biotype:protein_coding MIVHSDEEHPVSVQNTDTHKGMTPDIRGEYAVDTLLGTQNFEVTWQPVPNTFFLVTYRFHSALHH >PPA13123 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig242:26416:27002:1 gene:PPA13123 transcript:PPA13123 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPRVPLMYTNYRLTLPHIREKRKKSLQCAYHICDSVPSADRVFFVDCGHVVCREYADQIREPAIAPLIMADDIMELDEAAAKKQRKKEKRERRKNDEEASSQNEGSSSQ >PPA13136 pep:known supercontig:P_pacificus-5.0:Ppa_Contig242:69154:69607:-1 gene:PPA13136 transcript:PPA13136 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKRKRGLLKNTKVFDRRKTATMGAMYQVFVDEDKKKHDDAYMEKQRIEEAKIAAEEKAKKIERKEQEKAEQERLREEKIPILPKSLDCLDCTE >PPA13128 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig242:37163:38378:-1 gene:PPA13128 transcript:PPA13128 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAKKLSTQKNFTSLEDQVSCYSYQDSSDYHFELNTNASIKAQNQSCTGGFCYMSFRKDGIQQTVTRGCLTVLDDSLATAHIESGTYAFINLHFYLCKENYCNLRDVQQINGQSPPIATTTPKSSESPSIATESIGTTAISAVTIPFITTGAAIDSTGATGSKSKVIASQLWIALNIGESSCLVPIAEGLIAEVYC >PPA13137 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig242:72532:75204:-1 gene:PPA13137 transcript:PPA13137 gene_biotype:protein_coding transcript_biotype:protein_coding MKQADGKSQAILKELSSVLRKTGRKITVNHELQMFGGNFWLGLDCDSDRGVFVWQTGETLDFEKVRTAKNCSTVNRFIMNMDGKWEEIDKTLLVYSIICVVR >PPA13122 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig242:21306:22512:1 gene:PPA13122 transcript:PPA13122 gene_biotype:protein_coding transcript_biotype:protein_coding MKILLVLALFLFAMGTIEAAVMGLFGTKMINWAASQHPGLDSPDVIRIGNQCGDFSQVYTRDNLRPKTGSGAYLVWKKEKECVDECALLLSKRDGSDKICTSLCKFHFSYADREEYQKNVMDAFRVFMEGSQLRLLE >PPA13130 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig242:42610:42915:-1 gene:PPA13130 transcript:PPA13130 gene_biotype:protein_coding transcript_biotype:protein_coding MHQQHTRNSRADHCNVDKFGDIAEIKPVKNSTCPINPKCADSLTCLFTTDKTM >PPA13131 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig242:46494:47001:1 gene:PPA13131 transcript:PPA13131 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISAVLTFYILNVLKFDSNTSTIFFNAFSIVAYLTPMLGSVLADGYIGKFWTIFSVSIFYALGQVMLAVASTQNWTSSFFHSTFYQRNHYHYTDV >PPA13124 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig242:27612:28373:1 gene:PPA13124 transcript:PPA13124 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLTCIPVLSAIYCHSNTNKYGNSGNLASTCEGDACASGSFFGKLSIDGKEIQCDRILSGNSVIL >PPA13126 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig242:32913:33712:-1 gene:PPA13126 transcript:PPA13126 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSLGEQKNRLSRCKTFNRATILQPLASGEQRVSIPTTPCLHATVLRTRVWSSHAAICGAVRHAVLFSLLSEKAY >PPA13139 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2424:31:1250:1 gene:PPA13139 transcript:PPA13139 gene_biotype:protein_coding transcript_biotype:protein_coding VSKSKVLRLLKKTYVVRKPYTPSSIIDKEKIQPIFKYIFDAFMSESQEDEEKIVKEIQAQFGETITPTIVKRIREAQGIGTDHVRYGHSVRMVNRPPRVAFCTHHLSVGTMFTHHAFTDESMVQSGKRGRFCFVLKGDTSRRIKPKFKHPPQLMIWGGVSWEGATPLVVMRNKVRIDGGVYQSMLHSTYLKWADYTYDLTTPRPKRCIFLPLVVLGVPTALAILALVDPLEGFKRLEGLVDDASVRK >PPA13140 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2429:1169:2194:1 gene:PPA13140 transcript:PPA13140 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFSASLLCFALFSGSTAQFFAAQAPFFGRYYATAGRAVLAPAAPMLAPPVIAAAPAFAPPVVAAAPAFAPPPVVAAAPALVPPPPVVAAAPAPVLAAAPAVGLTAPLAAPVMAAPMIRPAFAAPMVAAAPAFAPAPVLAAPAPVAYAPAFAPFASPAYFIGSNKGKKSE >PPA13152 pep:known supercontig:P_pacificus-5.0:Ppa_Contig243:47176:51066:1 gene:PPA13152 transcript:PPA13152 gene_biotype:protein_coding transcript_biotype:protein_coding MKMECIGNTTASSEDAKVTCRSFGADVASIHNDKENSFVRRLAVSRGAFNGVYLGATGVGNEFSWIDGSTWDYSNFYNTFPLDGYGKCLAMDTSGTSGQWVNVDCSSKLAVACMGQQNYSAPLCSPGPWKEGQIIYSPGFPDDASIPCDYLFSVNTGKKIEVEFYVVMKATISYVIHHLDTSRSCDSDKDEPCVRIEHYLLECSNRDEKTAHIVNATCRSGFELFRNGECRGNYTRLTPYWDASPNPSIASCKQIQAQPIIIRDAMDQSYWSSKATGGYALLGLVCNSTSKQWNWADGTVLNYKTPSGYATGAAHNTFTADVFCSIPDSQPVPSPNGCESFDDDSDDAICYQIATTAENFRNAQMVCKNVGGDLASIHNDRENSFVRRLAVSRQALNGVLIGGLLSGNDKAWTDGSAWDYDNFYPECIDNSGFPINGLGQCLVLDTQGTSGEWMNVDCNNTVAVACERQQNFTTPFCPTEPPKERQLVVSPGFPFDASTPCDYMLMVDAGKKVQMEILMLEANTCCDRLIVYEDYFAGKVIANVTGEFKERVYTTSTSNFMKVSWQPNGGVNVRGMMITFRGV >PPA13155 pep:known supercontig:P_pacificus-5.0:Ppa_Contig243:66210:70453:1 gene:PPA13155 transcript:PPA13155 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSTAGLWMNFDCNSKLPVACIRDKMTNLVANYTCSAGPWEEDTLITSPGFPYNASTPCEFFLMADDGKHVELEILPLEANPCCDNLVLYDGYIVTGIHTNVTYTTTQTNFMRVSWEPKGGYNVMGLAMTFRSEQAYWKSRATGAYDLVLGLVCSSSRWMWADGSQVDYHPPSFIPRCGDDQDTFQVYCTTQMPPLPVPSPDGCDGFKDNGDDGVCYQVSTTAESWNDAELICRKLGANLASIHNDHENSFIRHLAVSKGEVRGVFIGASSGGRGEKEADFAWVDGSPWDYSLFYNERTAPVCSSETWKEHALITSPGFPYNSATPCEYFLMVESGKRVNVEILLLEANSCYDSLTIYDGYLGGDVLVSLTGEVYNVNYTTTTSNIMKVAWQPNGGINVLGLAMTFRAV >PPA13141 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig243:577:1125:1 gene:PPA13141 transcript:PPA13141 gene_biotype:protein_coding transcript_biotype:protein_coding MRQNNMVEECVKTTWLEVKSEPVEEEFGDEKDTIPLPSTSRQMIASPIIRHRKSC >PPA13154 pep:known supercontig:P_pacificus-5.0:Ppa_Contig243:58893:59911:1 gene:PPA13154 transcript:PPA13154 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGNEFGWIDGSQWDYDNFFPGFPIAGLGDCLVIDTDGTSGQWANKIILHQLVPLALGKKVKFLTGEIERKLITTSSSNFMRVSWQPNGGVNVRGMMMSFRGV >PPA13153 pep:known supercontig:P_pacificus-5.0:Ppa_Contig243:51797:53280:1 gene:PPA13153 transcript:PPA13153 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSSSTKWEWVDKSPSDYKPPALNKACKLGCVWYLTTNGVWACENSTPQLDVFCTTQLQQPVPAGEGCEGFDDDVEDGACYEVGDSAESWQDAQMNCAKLGANPASIHNSQENSFVRRLAVSKGAVNGLYLGATMSGKGKDFGWVDGTEWDYANFHQGFPMDGLGECLAMDTSSSAGLWMNIDCSVQLPVACVRQQNAIIHPNCSSGPFVEGALITSPGFPYSASTFCDFFLTVEAGKKVEAEILFLEANTCCDSLVLYDGYLGGQLCSLSGEMRNATFTTTTSNVMRVNWQPQGGVNVMGLAMTFRGV >PPA13150 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig243:40481:41284:1 gene:PPA13150 transcript:PPA13150 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLALIACFFLAAHAVTGFSVSSPKPAVSGSPLKTSPAPVRPSGSPVGSTGFFYPTTKGFGNPSVKPVTGGPVSGSPKPAASTGFFYPTTRGFGNPSVKPVTGGPVSGSPKPQGFTGFFYPTTRGFGNPSVKPVTGGPVSGSPKPQGSTGFFYPTTRGFGSPSVKPVTGSPVSGSPKPQGSTGFFYPTTRGFGNPSFKPVSGGPVSATTRGWFGLLKI >PPA13148 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig243:24488:26863:1 gene:PPA13148 transcript:PPA13148 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLILGFAILGIAHCSCPKGFDLVANGQCRGFIGKFNLPFDQAAPKAIEKCADVQAQAQQSYWSSKAPATSDGFLVLGLVCNSKSKKWEWSDGSALDYKPPTNLRNPELDKPCTPNYTWDIHPDGYWSYGGPGNYIQAYIFCTTDLPPLPTFTDGCENFGQDAGNGQCYQVGVTAASWQDAENICQQAGAHLASLHNKDENAFLRRMAVANGAVNGVFLGASARDNEPFRWVDGTNMDYEPYAPGFPKPNIGYCVAMDTSSANGQWMNVNCAAKMPFACRRKQRNDVEQPTCYDEEWKENTIITSPGFPSSAVTPCDYLLSVAPGKKVSVEIQLEANSCCDSLTIYDGYMGGSVIAILTGDINNGTYTTKTSNIMKVSWQPKGGVNVKGLAMTFRAV >PPA13144 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig243:6531:8293:1 gene:PPA13144 transcript:PPA13144 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPFLCLVCNFTSTKWEWADGSPVDYKPPLGTQNDDLDKPCGKCSWFGYANGDWKKWCDTTRYSVAVFCSVRLQPPVPSADGCDGFVDDSDDGVCYQILDTADVFQGAQMLCKQLGANAASVHTAQENSFIRRLAVSRGAVDGVYLGASVSGKGYDFAWTDGTNWDYENFHPGFPKTGYGDCLAMDTSISSGLWMNINCSEDLPVACIRDPTKLGKKGKSCVNITSPGFPFNASTFCDYFLTVDAGKKVEAVIDLEANSCCDYLTIYDGYLGGNLIANLTGEIRSKVIKTTTSNIMRVNWVPNGAVNVRGLVMSFKGVLH >PPA13146 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig243:15968:19041:1 gene:PPA13146 transcript:PPA13146 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGLRSRNQLALTICPPNFDLVRDGECRGFVSVEKLTFDQAAPTAIELCAALPSKVQGQPVIIRNQEVGIVCNPATKKFEWADGSPIDFRPKEGYNGGELDALCYTEYGWYMRADGYWNFATRGAYVQADIFCTVQLLQPPLNPDGCDNYEDDMGDGVGAYAEDWQDAYMVCKKEGAELASIHNSQENSFVRRLAVSAGAVNGVYLGASSFFAQEEGAFGWADGTPMDYQNYYNGFPKKDFGDCIAMDTSSTAGQWMNNDCTKAIPAACIRRQKVDPAPICSGQDYYEGQIIVSPGFPNSAATPCDWFLEVPAGKRVQLQVIMLEANSCCDSLVLYDGSLGSKPLATLSGSQHNMTYTTKDSNIMKVSWEPNGGVNVRGMAVTYRAV >PPA13156 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig243:72597:74111:1 gene:PPA13156 transcript:PPA13156 gene_biotype:protein_coding transcript_biotype:protein_coding MFTALHNFNNRFHLGVDVKVSRTTAMMKSVMRNQHSQVANAAQNWKEAQTICRSFGADVASIHNDQENSFLRRLAVSKGAVNGMYLGASPSGKGNQFGWIDGSEWDYEHFYPDDYISSGCYTGAWKEEEIAYSPSYPFDASVPCDFILSVDPGKRVELEVIVLEANSCCDYLIVHESYVGSNIIANLTGALDNTFYTTTSSNSMRVSWQPNGGVNVRGVMFTFRSV >PPA13149 pep:known supercontig:P_pacificus-5.0:Ppa_Contig243:36286:39473:1 gene:PPA13149 transcript:PPA13149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-scrt-1 MSTDISAVFDSATEAMIKRELSPTGEYPQATPFALAQPITPDQNDENRNETAVTPTRSVPSEVDEAFRKFATSPPSALSTVDSTPSAFHAPRLGLQGLQGFGHALPDALMMTPTSPLSPSPTTVFAPLPATPMLPRVPPLTNNAFWQQMLYNNLVLSLAANQQRLAALAQSSSVLLTPSCPSTPKQTTSPYSFSSAESLARSDAVPSPPSFFSTPKRRASSADHSTPVTGKEVRCPTCGKAFTRPWLLQNHMRVHTGEKPYACDTCGKAFADKSNLRAHTQTHSGLKPYGCTRCGKSFALKAYLSKHEESAWTRPVCTEHN >PPA13151 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig243:43054:43786:1 gene:PPA13151 transcript:PPA13151 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLITVTPFYTMSSISRFTSRLAASCKVYVSAPESTLEKIYLGDTTTFAALARNVDKSGLKSPYNLKLNEFSPACDGGDIGKS >PPA13143 pep:known supercontig:P_pacificus-5.0:Ppa_Contig243:4287:5176:1 gene:PPA13143 transcript:PPA13143 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQLLYFSIIHLILCNCPDGFELVRDGECRGKHAQLNMADDESVNVTIASCKEIGGIPVIIHDDELESSDPFPQLGIKQAYVPAASSTNIAYKPCSDCLRAGVRLTICGPQFDRLLDVTFPHGLFESCITSTVLYGSEVWALRSSDKERLNITQRKMDRKMLRDRWKKERVREITKLRDWNREALRRKARWALKVRSMQMEQWTRATTFWTPYNRKRPPGKPRARWRDDLDRAIGNWWNTPHEDFAPILI >PPA13147 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig243:20415:22228:1 gene:PPA13147 transcript:PPA13147 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDHSQQIKKMWRLHLAFAILGLAHCSCPKGFDLVADEQCRGFVGKFYLPFELAAPYALEKCVSVQAQAVSIHNEEQQSYWSSKAPATTDGFLVLAAMQLSGLVCNSESKKWEWSDGSALDYKPPTNLRNPALDKPCSPDLTWDIHPDGYWSYGGAGNYIQAYIFCTV >PPA13145 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig243:11107:14241:1 gene:PPA13145 transcript:PPA13145 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLFLCFALLQLASCTCPGTFDLVKDGECRGLVSIETLTFDQAAKTAIEKCNTVPGQPVIIHNEEHQTYWKGKAPATSEGFLILGIICNTTTRKYVWADGSAIDYKPSNGYNEELDSNYCQPDYCWDIHPDGFWSYGGPGAFIKASIYCTMQLEQPPLNTDGCDNFEDDTDDGVCYQVAATAQNYQDAQVLCKKAGADLASIHNSQENAFVRRLAVSRGAVNGVFLGASADKNGRFGWADGSKMDFVNYYPGFPKKNFGECLAMDTSLSAGQWMNVDCAAPLPAACVRDQRPGQAPVCSGDDYDEGQIIVSPGFPNSAATPCDYFLAVPSGKKVELTIILLEANTCCDSLVLYDGTLGATVIAKLSGSIQNQVYTSKTSNIMRVSWQPNGGVNVKGMVVRIISIKM >PPA13157 pep:known supercontig:P_pacificus-5.0:Ppa_Contig243:78565:80310:1 gene:PPA13157 transcript:PPA13157 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPTHFPSPPPSNRTRKRDGRGRDEGVGNSDTGPDRGTLGTHCAEYCQWCGTDVEPFDVYCTVQLQQPTPSVDGCESFEDDSEDGVCYQVGATAENWQDAQILCLKLGANLASIHSSQENSFIRRIAVSRGAVSGVYLGGTISGKGSDFGWIDGSAWDFTNFHSGFPITGAGDCLAMDTSTSSGQWMNIACTEKLPIVCIRDQKPVVEPTCTDGPWEEGHIITSPGFPYNASIPCDFFLIVDEGKKVEVDVVFLEANSCCDSLIIYDGYLGGHVIATLTGEIRNSTYTATSNTMRVSWAPNGGVNVKGMAVTYRAV >PPA13142 pep:known supercontig:P_pacificus-5.0:Ppa_Contig243:2905:3906:-1 gene:PPA13142 transcript:PPA13142 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLFLLFLYFLAAVDFSTAIDVRPMSLFGNDMMAFASKEETAGDVDRYRVGCYLLYCHFDDKNLCAHSRKPGCDCRLQCKYFGYAYSRENLRSKTDTCAVWKTLQQCVDECILLLSKSDDSSEICSTVCGYHFSYADREEYQKRVCDTFKTWLPNSPLRLM >PPA13158 pep:known supercontig:P_pacificus-5.0:Ppa_Contig244:954:1226:1 gene:PPA13158 transcript:PPA13158 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSRQRKYLAEKTSTSTASRETTGADKSSASRKKSRSKNVAKMRGRRKDTPIPPK >PPA13169 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig244:64080:64861:1 gene:PPA13169 transcript:PPA13169 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAQPKRSHKKKVKVDYSTKSTPTHEASSSNSSNSIDSMSNACPKRVVSHKKKVKIDSTNEAVPDTKVTISKTNDESNGPSPTPTTTKKAKINPWTDFVPDDDKPDLRLEDLKRKNWQVYDV >PPA13165 pep:known supercontig:P_pacificus-5.0:Ppa_Contig244:25056:28653:-1 gene:PPA13165 transcript:PPA13165 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSSIAESDYARIQRRKIVVPGVNSTFATGSLSKSLPGDNNTLIRSVSSDEGEETFNAKSAAPSESSLHHTKMSSDSLARRPLPDIPKRRRDEVDDDLPLPPHIAPSLVTSESFDYAVTPDKTSHVIRDFLMNERTAERDLGILSFCKNKMSSVINRFPRSRFLQFQSASSLLRGQSGCRPVDETLSLFSDLHSLTVQALNELENVVDPWRGLTTLPSLLLRTSHNSLPLYDKLIDQLPVLLSALDQCTVSQPSFASQIRKFHSSDECYLTINWLIVRAISRLTYWPIVVASVIESILSSGMDDEGALSAARICLDKVSSFVDRSLKTRLSLYSFVTLLQTEADLHLSATLTHPHRRLLRVGRVERGTRRGLVSRLFLLFNDCLLVASRFHPEDDSTSFRVNLTLPLKGMLFEDGDSYSITNRPSDSITLYHKENCVAFVSTSREEWLGGIGEAVKAAVKTRAELPPVHIIEEKPEEEKNGEEKAIRATKSPVENTSSNPRKMSAIEMCWHRNCAIRYDDWINVSLGTVLPVQQTTRQLALRVGPT >PPA13161 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig244:12513:13671:1 gene:PPA13161 transcript:PPA13161 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAKPAGVGKSNQSSKGNASKVLVIQEYKRRLRDNIRSLNENFVQLITAAKIKQEDEVHKCPNGRMAEHATTRYEMKVRAALIVKACDELSKLTNDLKEFLILHDFNFLTEAISKAENECDDKLRHQLKKHNDLRIDIAKIVFDVDKELQEHFSLRP >PPA13168 pep:known supercontig:P_pacificus-5.0:Ppa_Contig244:61105:63468:1 gene:PPA13168 transcript:PPA13168 gene_biotype:protein_coding transcript_biotype:protein_coding MCEMIRRFPKYTNAFSDSTEEPAHHGPRPVPPPPILPHAASTVSSSTMAYVPPPDTAPTLAALSAVLRGAFTTHSDMLPQSRPTTTVSTSMQSQNSAVVAQPAAPPLSGSHISRFSAVRPLMSIPPPGLIDAEQLKYMIKREEPDDYERALSIGPIPIRAALIPLPPPPPLPIPPPLDIKPVLPYPDLPSVDRVFFQPPPPPGVMSRSGKERREGGREASGKCFNTATYLNHVKELLPKPDEEEILLFARPLDGEQPPDWDVVRDYFKDEDCSSLVYGGIISLRFTGVRAKEKEKDRTMSELKAQLLLCKEDGTPYVNGQQFEVGVTFAVLFEATSAVRKIGVYDQVKERFGSVVEVEKKCNTPLGKPVYKIYFDSLETAKQAGLEGFTAEGSTFTNIGPLPE >PPA13162 pep:known supercontig:P_pacificus-5.0:Ppa_Contig244:14470:18387:1 gene:PPA13162 transcript:PPA13162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mdt-22 MSHQLRNPWADYVRYREEKTKKIRLPTRPKMEMPQLGVWLRGLSTEMRDLGHERQASCIHEEIAELYLSGKHPTAADRNEARKEYLMAARLSARGEDARRDQNYLTPLTSASCEDLFNKAIHLSMQMNDLKMAGLTAFEAATATREWKHNGETTIAFLERAIRLLEGEGISQSTAYFHLILAQAERDKWSICLSLLDDLWMILMKSKQDSILVETSLVECEIMTALIVCMMSPPEVAGRHKCIHDMYSKLHPVEVHFSLLEEGKNEAAEEYRQQVQSRSKRNHQWSDIPEESCLGDDRFWLLKDIIWMIYYGETENLTTTLEDKEEMETFPEYSRKLIPLVAARATSEEDWQLPYDPARELLQPREEEEEEDGGVEEMNGSEEKKEAEKNVEEKLDAHDMERSWRMGRFIEDTGLEEEGGRDDGLPEVNEAESTVEEVNRRARRRTMRESIERGNDEEEKEVDVTVKVKEDVGLEEEKMEIEEKKDEEKKEKEKEKEEIEEKREEKEEEKIKDPLGAMRELVID >PPA13159 pep:known supercontig:P_pacificus-5.0:Ppa_Contig244:3497:5472:-1 gene:PPA13159 transcript:PPA13159 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDALVFPTSLTPEEKKFKEMQENLKNLRKRFETTNTGCPNELLEREQKRKKIEEAASNTEELKRKIASGVGEKREFKRSKGVERRLSHERKDSFSSDGLLSPPIRPDPPKKEPRPSTMLYVRGIDLNEELLERLFAPFGPVKAVEIDSRQRTGFVWVDSLAEAAEAIEKLDRTGVDHWTLHVSYALYPRPVGSHRRTTSVSSTTSSTTTESLASPPIPSLLAWDAATAKSSDRKRVANSSD >PPA13160 pep:known supercontig:P_pacificus-5.0:Ppa_Contig244:6727:12159:-1 gene:PPA13160 transcript:PPA13160 gene_biotype:protein_coding transcript_biotype:protein_coding MPDKAASQSLAGKVSEEKNEVPPDLKEITARIQSSPLFIGSANTKLPSLIQGSIPVETAITTHANLYGTSPEKMERIRDLYKRLDYDNDGTIDIRDLTAALKAEVPHIPSRLAPTLLARMSSNADKVTFGEFAKYVVEHEKRLEMIFLDLDKNRDGFIDVKEIKHYCDELGVPLSEKRAQNLVSQMDQTGTEEIDLKEFQDFMLLYPSTDPTDIIRFWKHNLIIDIGEDSQIPEDFSKEEMQSGIWWKHLVAGGLAGAMSRTSTAPLDRIKVYLQVHATKTNNLNVFSVLKLLHAEGGIKSFWRGNGINVVKIAPESAINRLQFYLGVMQRGGDIMKRLIQRAKGSNELEVYERFAAGSSAGAISQTIIYPMEVLKTRLALRRTGQLHKGLIHFAAKLYRKEGIATFYKGYVPNLLGIIPYAGIDLAIYETLKALYCKHSKATEPGVLALLACGTCSSTFGQLASYPLALIRTRLQARSISADPTQPDTMSGQLRYIVKNEGLTGLYRGITPNFMKVIPAVSISYVVYENVRKHLGAPMS >PPA13167 pep:known supercontig:P_pacificus-5.0:Ppa_Contig244:42544:60856:1 gene:PPA13167 transcript:PPA13167 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGMDNDDASECSEELGMDYDRIEYPKEAYDLVHGRPTKEQPIAKKQASNGRQRNQKQTVHSEKTADGARKSVNSRRAKKDVKKVKDDDEIISDERDRKSSQSAKTEKKATQTVQRELKLRGRNVTVKVEEAIDSSPEKNNEDKSVSPVRVQKTEEKSRSTNGKGKDDRTKKDTRPTRKAKVKKTAPPIVNPSDAIDDAKLENVKKEDETLEIKGQITKDASEIESIPTEVQDEVMRVITGVEIDSSPEKRNEDKSAEKDNVRVEKTEEKVEEAMKGMEDDYDPFEGETREIEWNDGTKMIVMTDGVELRESSDYVITDNDNGRRSKSVTGLLADTVEIEEDVDVVGGYDTEDGGIVPTTSRTPVLDKLLRSLPSLDDMFPMVLQKAIEKEDTIEMKEDVDVVGGYDEEEGVNQPLPSLDELFPMEPQKIVEKPAPASRPVTATRSRIIMEYNKPPPPNYNVVVGPIGSERGAVAVNRYFCNMAQIQPLSHLRGNKPGFLSLAFHNPYVEMEIIGQGFVTLGTYRVHVAYPTVVTIFFDGGQLTNMDVEDFVVNEFISACALRILPSNGNNERIALVKAVIAKEVIVKLRNPIRKWKNSSIRMDVSSHMASKVKVEPPDEGDAAFGMNGNSLFLHGHTVRSEESADDPFMGMIGLEEIKNEDDPFGLAALLRAHEEKYNREYEDENRMEYEEEYGRNGDLVHDPFDDVMGLNDGEEMRRRKEAETNATEPLETTPLLADNHSVQRNHVYTHVNSRLPRGNFVPNNCAIFGTASNEAACAPNDEPPTDNVPEKEQPQTSLYDNIKQKTRRQSMRVIEAKKVDAVVEKKKGGRKSTAAKKVAGARKSTPRNVIGVVTPAKRGRPKGSKTKPKEVSNPPDVSPMDEGDTSMGNGIIDPALVRRRPRMAGGSGKAAAAAANSCELQSSLLPWKGSVHSTSHSFDNSTIDRSHPTTVSRSMPNFHQWVGRPYMEGHTSTTISQWGVHSTTVSPSTPNVSFPIADHTYTGWRHPLAPNKPATGKASTRKAVRPLIPPAAHMGQPKIAMVRLKPTNPPINIPNRSANGGVRVVDPRRARERGEEDMKRMNENAMETSMTFYDPRRVQRKSIDCDFAPHPIDEGDMFRVVCGPIPEKEVSLDVIRERVLSLCRPFDYKFTRFEGNYYMWLRFAVEQEAYNLVQSRPFRLGIFSAAFNRPGTVTVDTNGPMGDYYLFTVLTKYGNVTGVEKIGEFKWKATFLEEIEAMSVVNQKLERIGQNTLTYSPYTPTPRVEIDSPASPPYQFEEDAAPASPTPIIVPTHPAAPIRHSRPIHIPTHDYYAYDVDDDQLAAGTDAQTFSATTMADTPASPTGADSGTSMVRAPARTKTQQHYTGALVPRTAGTIAAQPHHHSGYRVQPYEGRHMAHMVAGAPGGARGAVVPVGGQGRGMVMVRSTMETAIERMKKMGEGLAVFPLAHGDDVKECVVGMRSWTNHIVLGPMPFVYNEDQMRRAYQIHPEIGLRMIGCFMHLFIAEEAVPRSIIVKGTSLYCTADSVKDYFESQYGRVVSVNEEHERMVKIFAVNFMHRKEAASAYNTQIHFALVDGEPFVLCEVLRLILMADVGMDNDDASECSEELGMDYDRIEYPKEVYDLVCGRPTNEQPLAKKQKVDDWLQTSQSSQASSQLSQASSTNDVEASRGQKRKQKQTANRKKTADGARESVNNHRAKKDVKKVKNEVISDEETEERECHDDSSQVSSEAPKKKKKKHLRAKSSGTSSKSAKTKQKSANKIVKRKLKLRWRNVTMRMKVESEESSETDSEEEEESFDDGSERSGLVAVPGRLGLPHNLEDSDEEEEETIDSSPEKKKKKRSEDKSVNKDPVRVEKTEENNRSTNGKGKDDRTKKDTKVIDSMKKYEKPSSMDKWTEGKVKHDSKDARSHSNGDERKESKEKDGRRMKESFESKEGRKSIDSTQVVLPKAKQDEKRPEITVAASSQSSVVHSSRKVKLKKNAPSSVNPSDNSMLPSCMQDIYSDLPCTPTNIFLDGFRVPKKKDKIEDRLTQRLGLPLTSPLADSPVQIEGSKGYDPRNDAFRPPQRNFKKPSLLKAPMGVEGRQHDIHRRPEDKSPSAIASAFYRPSLFQREDNRNYQGDLDDEEIDLEDIPIGAPPSIPLIPPTSPLRRQRDDEEEEEEQEQYQTYRSPVYFATTPSQEDSQEEHNGDWDERRGGHASPSYSMEETLTPPTYKFEFPTPRATSNERKRWDWNEEEERKHQVHHSSSSSSISTVRNYSSSSSFNFLGNFLDSSQNRSDNRTDFSRASAPVRLSFREQELVEERRQKEERRKEEERWKEGRRRKREEQEEAEEREREYWRMNTPLSPPPCSSSNRHHSLGEAPTLIPVDKLVQYRFIPIDDLPPLPSDQSPSSPFAYAPSAPKRHALLVVGPFPDRVSKPKFEKMMKEKSADVEWTVAPASKDVHAMTQRSMAAVICYSEETVKELLDKHNIMIDQTFVEVGKPGEVLVSVEGYTDKVDEEELTMEITDTVETHWGHLIELLLTVNQEALTVHGKARFFYAIHYWSGIACVVCRSNPDEWRKTTLIRSLSTRAIHPVDQG >PPA13163 pep:known supercontig:P_pacificus-5.0:Ppa_Contig244:19354:23935:1 gene:PPA13163 transcript:PPA13163 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nekl-3 MESDAQLTLKMFTIEKKIGKGQFSEVFRAKFEPTEGGNFPVQAGAAVALKKIQVFEMMDQKARQDCMKEIDLLRQLDHVNIIRYYASFIDSNQLNIVLDLAEAGDMSRMIRHFKKEGRLIPEKAIWKYFVQLARALHHMHSRRIMHRDIKPANVFITSTGIVKLGDLGLGRFFSSRTTAAHSLVGTPYYMSPERIQESGYNFKSDLWSLGCLLYELAALQSPFYGDKRNLYSLCKKIQSCDYPPLPADVYSEQLRGLVAKCILAEADKRPNTQEVLSLAEQMNAHFSGQPAQPVPMGSLSSGLSIGVIYSLLLLISTEECSWIQKMTKRDVKMVVAGEGLHRNLIVSTQLESTERFIECRILYNLKVPKGMYVDSDSVNSSLPLHSLHSRHYFDVEAPEKDSKEVQVSIVSTKITRKQFIISDHFTLPIHIRYHSPDSIGQSTISPPRILIDCPADYGIHSLDKCHTATSKSVQSLAAKWVIVDPLSVSAPVTVSIPTGNRSMLPTVVTG >PPA13164 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig244:24241:24860:-1 gene:PPA13164 transcript:PPA13164 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTFKSGYMLRKLRNSPGWQKLWIVLANFSLFFFKTHTESVPLASLPLLEYSVCIPTLQDDMAQEHVFKLVFSTHTYFFRAENHHNMMRFECDEL >PPA13166 pep:known supercontig:P_pacificus-5.0:Ppa_Contig244:29389:38331:-1 gene:PPA13166 transcript:PPA13166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-frm-3 MDEVCRHLNLLERDYFGLVFVDCTGLQCWLEMEKPILRQIANTRADAKFYLILKFYIPNPVDLEEEYTRYLFTLQIRRDLSSGELVCSEATSALLAAYIVQSDCGDFSEDDYPDARYLTHSKFVPSQNYDFQELVRNNHRRLIGMSPGESDLALLEVARRCEFYGIKLHPAKDVEGADASLAAFHGGIKVYHQQHCVSTFSWAKVRKLSFKRKKLLVKLHPDASQFYKETIEFFFETRNECKTFWKKCVEQHAFFRCATSDQPRKDTKLFSSGSSFRYRPLRSATVHQSNYCSSYVSGYVSDPGTARSLPALREPSGTLDARISRKNRSVRTVSVADRCATSDVEAGPSNYGNHSHHRSNGGGGGGKAVAVDVTLLPTDENMSLSLPNVLDDLEGMMMHDETIEQSQPKSASGESFLRTNRGRSIEEDNVSHDSYRLSDHERSRREESRDEERRPQLTTFGDGGYAVLERRDDRRPKEFPYRTPAPLALAETEPRSIDTPTRATVFTTPSGTAVLRPRVIPVQAAPAYDTVARSEDYPPAIAPLTSYKSLTSPAPIAITARTTVTSTPSTTVTSRPLAGKVITVDNIVITPEGVRERPRVKPVPPPKPKVDPSGAKAEPSGAQIVDADGVVVGKQRPTLISVRSEETPDVEKCHLFNSSIPYTLTLRKMDGDYATSSLDRRVGDCPARRKSVDIVPRKRLPSPASFSSQDHSIAVSSPDQGDLFEYVLRRRSMSQERSALRGRRGDPRRQTQPVRFDLPPSPSGTAPAPIGTASASAGSAPFLMGSEDNDEQLVSEARSLHDGMDRLEAVSSTASEVDKSECLSPDDELPPPPPSMLPSTIACSSLIKKTPPPPPPKPIGGPNVVSIRTMLMEREVTIQRGEQRKSQELKAVPPPTVPIKPVLSAKEEHTVPVKVEAPSTKDSPPFIDDSPKSSKGDSEEPEASTITTRDGLQWTDF >PPA13170 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2444:123:660:-1 gene:PPA13170 transcript:PPA13170 gene_biotype:protein_coding transcript_biotype:protein_coding VTNAYEGSKGAVHRAGDAVSNAAHTVADGTIGVANKVGHAVSDTAKSAKDGVCHAGHAVSDTAASAYEKAREAVVGGKD >PPA13173 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2449:815:1269:-1 gene:PPA13173 transcript:PPA13173 gene_biotype:protein_coding transcript_biotype:protein_coding ARKEIDDSNCLKIDLLSFFDGRRALTEEGEDWANAEEKEVLKKVAQTSTFFEATLIRIQEIDDEISKIEKERAAEKSK >PPA13184 pep:known supercontig:P_pacificus-5.0:Ppa_Contig245:38667:39202:-1 gene:PPA13184 transcript:PPA13184 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFYEGVRKFLVVSDYDMLNEILVKNFDHFYARARFELQKTKDGPKTHLVDSRGAHWKRLRALGSYAFTNKALKQIVPTVKKSAVLVVDGMEKEHDRVEGEKGCDTLEDITN >PPA13188 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig245:52936:54375:-1 gene:PPA13188 transcript:PPA13188 gene_biotype:protein_coding transcript_biotype:protein_coding MYKEHESKEEAKRSSLHSIPSLSNGLPSAFVPLQSSLNSSPLPVLPLPFSSPPVFNQSDLLSRLQDRLLLNSLLTLPSSFPFPFLPSSTLLTTNEDKSPSSEVKEEDEEDEEIEVRDNDDDGETPIKKIHLEGKNRVSRQSSVSEKRADSPCDTSGSSSSVFSPSHTDRLLLSPSPSDRQKELPSLNLDPSLSSSFSGHPHVSPSETHSTASPQCESSHSSCFECNSLRARIISQDNKISFLESRISSTQNEMSSTLSSLRVAESTVISLRSALLSCQEEALRFARCENAADSQAVMRFLNQILQSTIIR >PPA13195 pep:known supercontig:P_pacificus-5.0:Ppa_Contig245:149279:150837:-1 gene:PPA13195 transcript:PPA13195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dnc-2 MFAQREDVYESADLPAEDPNAKFVKPEKTSPDEEVELIHIDVDSALKKFSGRVLNCENIDFSDSIAKKRRNAYGSSAYVLEIVGKDYGEPETPEQKFNRLTYEIAELGELLKKDENAKGGLLTENSVNQLMDELKATKIANNCGTTEVIPKAKTESSKATTVVPHANVAALEGRMKRIETLLGSIGDSSVPLIDTLEDLRFRTDTFNTTFLDGIESRLNTVLNKLDQVETRKKQGENGELETKVDSIYELMSKWDSSCTNLGSTVKRLQSLRGLHEQASQFSERLSQLVGIKDELEKAANITR >PPA13198 pep:known supercontig:P_pacificus-5.0:Ppa_Contig245:154396:156293:-1 gene:PPA13198 transcript:PPA13198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pef-1 MNLRYGFVKELMAKYKDKSSSLIKMIEEIFAWLPMASIIDEEIFVVHGGISDKTELEFLKAIDRHKYVSILRPPVKDKKGVDMEEWKLVLDLLWSDPKPNRGCWPNVFRGGGCYFGADITAQFIEKLSIVEESALKDLKEKLASHHSQLIEIFTEKDPMKSGEVSIGDWADIDKDVVEELYRHKNTLESLFRFMDKDNSGQVSMAEFIDAIEVLSKYTKRALPTQYIAQIAESIDFNKVN >PPA13192 pep:known supercontig:P_pacificus-5.0:Ppa_Contig245:138153:141834:1 gene:PPA13192 transcript:PPA13192 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNEEVPAGGVLTAIGQIAGRLCVIVANDATVKGGTYYPITVKKHLRAQQIAAENGLPCVYLVDSGGANLPRQADIFADDRHFGRIFYNQATMSGAGIPQLAVVMGSCTAGGAYVPAMSDQSIIVKKTSTVFLGGPPLVKAATGEEISAEALGGADLHCRESGVTDYYAHSDPHALHLARNAVAGMGSGLPEGPPPSRASEEPLHPPESIYGVVGTNLKNTYDVREVIARIVDGSRFDEFKPLYGETLVTGWAHLYGRQVGILANNGVLFAESAVKGTHFIELCAQRKVPLIFLQNITGFMVGRDAEAGGIAKHGAKLVTAVSCAAVPKITLLIGGSYGAGNYGMCGRAFGARFLFMWPNSRISVMGGEQAAMVLATVQEERRKKEGKEWSKEEDEKLRRPVMESFEKEGHPYFASARLWDDGVIDPKDSRKVLGLALEATMQRPVKKTDFGVFRISEVVRALTTRSFLSRSDVEGGVEEPLPATDNGSDRESNEELIKKGSYLLKKSICGQLRHSFPQAPEEFIQVVSDYLLEDHIIGGVANLLGVDSVVRTGENPPSIESCASCVRALCAAIGENKTRLLTADYLMPQLYDVDLIDAFPLRSPLSVLEQIHKKNGATHVEPRLVRSTGIVSAQPVYVVSIYRDKKDVIGESAGETVEIAIDMAAREGLTRSWGLSSERLLLVGEKSNNAPKEFYEKENVKLADICDKGTDLSLDFDFDPEEEPLSMVEAAMRYKNDIESVVGKSYTRRLRHKFSRGTLARRSFRYLVKPKVYTVS >PPA13185 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig245:40324:43449:1 gene:PPA13185 transcript:PPA13185 gene_biotype:protein_coding transcript_biotype:protein_coding MAENVLQTIDKEVMREHFPFMKDGDINPELMSFAKLYLDEIVEKVLAKYDDPIIGTFLEALDAKDVQLTKDDAEWLVDSLLRSKKGFIELYNFLHTYHKSLLDQITLFNEGSLYKEVVDAFKQYFLRPAHDLATERLKDMADNIEIEDSDEESYLGTDEESETTVDSDDSLPENYVPKKRKRRRPVVEPVEVVEEVEELEYGYVDTVLDRIQCIFDPEFALNSLIAVFPRLKDITDPLNTPANAATMKYSPWARAILRQLPRQSPENEELPKFPSIVFKFARACEHDPINRVVLMYLLPDYHTQLDARNAAIRARLEKGGIKMDMKANLGENTVIVAPTGCGKTVVAAQIMRHHVMTSLAKKKPYRMIMVVPKIPLVEQQQKQLYQYMNDVVYSTTIHGDMMFEEQGKIDKVLCAELIVMTAQILLNLLQSVRSKERLFVSDITMLILDECHHCDSSHPYAQLMQIIRDWEHDKPQVIGLTASLGVGKDGGINEKSAYDHVLKLLVNMSATSITTVVNNLAELQTHVQLPVDGEKNREMKGNK >PPA13175 pep:known supercontig:P_pacificus-5.0:Ppa_Contig245:3823:9032:-1 gene:PPA13175 transcript:PPA13175 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-esyt-2 MEDWNSLIVPLGGSVLVCAFCYFLGRNEYSIFWLVVIVIFNVVKSYLWKKRETRLVSLRAARMREREVITAQLADLPAWVQFPDTERVEWLNKVIQQLWPYIGEYTKVFMQDIIVPQVKQQMPAMFKSFKFTKMDIGDLGCRVGGIKVYTHNVGRDRIIVDMDVAYAGDADFTISCCGFTGGMNQIQFSGKLRCILKPLLPYPPMVGGVSGTFLEMPKVDFNLTGMGEMVELPGLMNILRQVINSQISALCVVPNEIVVPLAPDVDVTQLFFPEPNGLIRLRIIEARNLENRDISFIQKGKSDPYCEVQVGSQFFKTRTIDNDLNPEEWEAEWLQADKPVHSALLLVFIDNISDLPYPKAKLEPSPFVKLTLGKQEKNTAVKTKTVNPLFQQRFQFFVKHPAGQELKIEGMDEGTRRSLGLLTLPLSLLMKEPKMEMYQTTLMLSLGVHQSPIVVTVRLRGLIAAKARPIDPSEIDDATLQHYATGSYIERANGEHWRGSGDIPLNVTNGNGIHSNGNSPTKKLMDESLLSARTGSVSSIASDHKKKKGIAKLFAKKEKNGSLEPGNAQRGEVEMAIRYVDATSRLEVRLLRARYLRPISKEGKANPYVSCCLVQVDDGKIIEKKKTATLTNTLEPVYDNHFEFAVARCDLANYKVALKVKDETNYGMLAKYPSIGHIEIRLDSLPNHQLAQQWVALLAERK >PPA13186 pep:known supercontig:P_pacificus-5.0:Ppa_Contig245:43589:50735:1 gene:PPA13186 transcript:PPA13186 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRGKLLEMIRPGNEYGVLIPQSIVKSHVDYNETEKYGGRLESIKQLIRPMKDGVTKSSLNMSLDILKVCNMAWGMNDLLPSSKVWTWMKVEMNLLRHRNPDHKAIRTFYDQYENVLESNKLQEEDNDMLKALRTELKEQMKKNRNSKARGVGTVSQTPAQQTAALNKFRNNQIAAEKMMNHVVKKIHREGEMKLKDAVEKLRISEKKREDQLKKDEATAKDKLKEKLFDILCGNCNQRLCDSFRIKKGSSHYFACDTQIWQLFQIEFNDSKGKYVDLTTAMVGKVLCKGDSGKCGHELGKVVRYDGCYLPALKCASLQLRNKKDNKIEGMDGKSTKWNDVCKNTFYITQISQDELKEMSNALSMGNVELFEKLEGRFRELHRKARRDAMRSFEMRSHEERDNLPLFDENAKINPQLMAYSRLFQKEIAKLYSDLHMGRATDDAIVSVYKEYFLCPSPFSFVPAQDEMSDEDDETDEGVVNKSDDEEIDDGLTVVDRLAECYHPQSKEKSNTSIGYLKQRYPSLGLISDPTVHPIHSTQFNFRPYARSILRALPNLKSETDELPEYPSLIYHFIKSCMLTPYNAPSAMYLLKDYASKLEERERVFKERANTDKSEEQKMEEEKITTFRDPMEYKEKRDVECKSNLSLDSDIPELREYQKELTKEADEGNNTVIVAPTGSGKTVVAAYIIRQHIERRIDIGKGARVVIVAPTVPLVSQHGKVLYGYLKDVAYIDYIHGSFQLSETQRIDSLLSNNLIICTPQLLINCLQSVRREDRLFISDFSLLILDECHHTCEKHPYAQLMTMIRKATGDIPQVVGMTASLGIGKKGVKDVDSGVTHVKSLLARMGATSISTVVNHVEELAMQVHRPTDYIAEISRPSDGRFTSQIINFIDSIRRYVRLSLDPFTKYDTEFCLPKNLVESLGDSRKPRSSRDSRDARFTGILNDIKSRLNRMRSGQLRAQLVDAIDMILMYIKTLNMNDLLPASSALAHLTEEMLEKYKTRQSNKFLEEFFNNSRQALKEVEDSEKEKKMLAKLREVRENERSE >PPA13191 pep:known supercontig:P_pacificus-5.0:Ppa_Contig245:137136:137407:1 gene:PPA13191 transcript:PPA13191 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSKLSQKICQSTRITNPQAVRFVRARWERTYLKDLYHRRILGADPVISRSAYPNWYVFFVRF >PPA13200 pep:known supercontig:P_pacificus-5.0:Ppa_Contig245:168205:171623:-1 gene:PPA13200 transcript:PPA13200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-flt-1 MTAFGIKGVVVYFSPCEKRLGSFSEVVRYLSKNDTGIVNREQFTFSPKVIVGDFFVGVPKNGQLVNVKFTEDDVKTELKRLQDERSKKMGEEKEKREEKRKEIVKKEREDKQKQKKEEGVEEKEKEEGGEGKRRAAEDLSLEEIRPLPPFKRINGLEVGGDAFGHILMTVQFINNFKNTLEFDSVPSIEDLSRGCKGDASSSLLSILSSLLSRCLTHLPSTRRYSLHGKKLSDIPVTSTNRDELLRLFLSQADGDGPMLSSALSSSSFLCLSPDQKAAVMGTLVNELVCSSAVRSQLDGHLEEVTKLKGERWMKEGKMRALRNHQNRRGKRRNGEEEPEIDHSPPSPERLIKFTPGIGQCEVLSPEEEALNDDEIDAAMNELGVKNVFIDPEVIGCLEDAIDRLIDGGGEGKRKKLGLRLMGNTEKRGWWTVTSKDVDQWKANLHQRGLRERVLHRAITRNDLIDDLPLGEISIGHIGKRMNGEDEELKRMKELNEKLIDLQYLLMEERIIDGEIDEDCEVSIESLKKKILSIQESIPSNRFQFNSTSEKEDEEGKEEEKGDILDEWRGMTELSSTSSQLGLSTQIALIDEEDDQIIDVNDEDRKCGLPSKGGVKRRAEPNSFTVPPSMVNGLGRSILDEMQELEGCEFFVSPVDLDEVPSYSLLIDKPMDLGTISQKIDRSGYSDAEDLYADISLMIPKSENREFESLDSLPNDGDN >PPA13178 pep:known supercontig:P_pacificus-5.0:Ppa_Contig245:16734:17338:-1 gene:PPA13178 transcript:PPA13178 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRMKKGLTEFNIPVPESFQASRRQSVATGESKNGTPLRLPQLNWRKLKKKVSFKYPSSSSTQSPLTTSSPSSLKTPFDKSAYLKSKSFDAGNSFCVGPEIPYRKTTQIGHDRPRLQKQVARRPSEDTMLEIDADVDALL >PPA13174 pep:known supercontig:P_pacificus-5.0:Ppa_Contig245:480:4089:1 gene:PPA13174 transcript:PPA13174 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nex-2 description:Annexin [Source:UniProtKB/TrEMBL;Acc:H3ETP9] MWGNPSVKAYPAFNANVDAETLRKAMKGFGCDNNKVIQVLCARTNGQRQEIARAFKTMYGKDLLADLKSELHGDFEDLVLALMERPPVYDAMQLRKAMEGLGTRESVLIEIMTTRTNAQIGELRYVYRQMYGSDLEKDLIGETSGHFKRLLVSLCAGGRDESMHVDPVRANHDARKLYQAGEGRLGTDESQFNAILASQNYAQLRLVFDEYHKVCNRSIEQSIQNEFSGDIRDGLLAVCAVVRSKPAYFATLLHNSMKGFGTRDGDLIRACVTRCEVDMADVRVAFEGMYHQPLEKMIKGDALSSSFSTMKVILVLSLLISISFCCDKFEKNVNLYCRFGQEENPCLLDQVKVEESKKDCCAKGCSFVNFKKEKTCCFTQECLDRCYPGKEYKMGQIQA >PPA13193 pep:known supercontig:P_pacificus-5.0:Ppa_Contig245:142373:146369:-1 gene:PPA13193 transcript:PPA13193 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-wrn-1 MADYDSFDDEDDFPPTPKPEEEEEEIPPTPPPPNEDEDNPNDSFDRDDIIIPTKSQKKEDGSSQDSFENGRTLSKNNSQVNSIDSFDRESIVPSQKNSIDSFDEEDEIKEEDELEVTPPPDEEEKRRLNETTLSATPPPPNDDDDEEEEEEQQQEEDTGQIHAPRGRTMYDFLRHPSDDGMHTVVPLSRNSWPEDPPVEVIKTMKKYFGHSQFRPRQWEIVRDVMNKRDVLAIMATGYGKSVCYQLPSLLRGDVTIVVSPLLSLIEDQLKGLRMNKIRATSITGNTSMSEREEIEHEIMRGSMHFLYLTPEFIQNADNFLRRIESYVSVVAIDEAHCVSQWGHDFRSSYRQLDKLKDLLKPVTFLAVTATATKNVAEDIKDSLGMKNAKEIRTNLNRSNIFLESRRATRMDDDLCGLLKEDNNRGRHFGAPKNIESFYQEIGRAGRDGGDSKSIVFFNDAHITRNRSLLASSTSSLNDQYRLHSQQMAAHMEMYLNTLKCRRQWDTDDKTMDLEVSEEARSILKVIHKVYGGYFGGQKAIDYVRGMSKEEDRKKKCPADVKELFGIGKGKNDLWWKDLVKQLRMKGFIQENRVKNGFGMVICVSGEGVKWMNGKEKLFVTPTLVLLKKPSEKGSQSGLVPGGGNVGSEKRLLGRSRIREWKSAEESTEGGISLSSLPTPQIMQNKLQLQELFLASLSSVSDWSEEKIRKFGPSFVEASTQFAEQTGMSMDTLAKSESMLTEDQKKKLDQLPSSTVSTYRVHLQTGIKATINTR >PPA13189 pep:known supercontig:P_pacificus-5.0:Ppa_Contig245:132023:133943:1 gene:PPA13189 transcript:PPA13189 gene_biotype:protein_coding transcript_biotype:protein_coding MDSILILLFFIIPSIAFPTTNLHKPNPEYRKIEGDIIVSDDDLIQIRDKRGAPDVTNPSKKWPIDQVISYRIEGAYNSDFIKMIRNAFHFWSDNTCLTYQENGPTTPYIRILKGNDCSSQLGRQAGSENQILNLDNGCNTVGTIVHEIAHSIGLAHTQMRWDRDSYVTINKNNMKPAAIRNFDKMLPQHNNNFGVEYDYSSVMHYDPCMVNDLKKGSEWHSTPPLSDGFAMNENIPFTGVDCSLRHPGRGFYAKPPCGADLTNVKGSFGSPYLQYKENATECFWNFKILLS >PPA13194 pep:known supercontig:P_pacificus-5.0:Ppa_Contig245:147030:149125:1 gene:PPA13194 transcript:PPA13194 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHMQNQTPNKQSSRKDKVEYTVVKLLGSGGFGDVYLVEEDTSKVKYALKTEFYGSVKRLFSRLKIEAHVLEKCTKAPEPQRKHFLSLKDKGTTNDFKFMCMDVVGPSLESVRKKFCDSLGKEKEAAIYMLDFGIAREFKNPNGTLRKPRDTVPFLGTPRFAARACHKQVEQSPKDDIETWIFMVYDVHDFMALPWKHESDRGSILKKKDELMTGKKNPTRAMHKDFLKVVKYVGKLEYVDTPDYTWIMSVLESIAKSGKIDISDGAVDWVGKIPAAPVTKQADDNKKKAKAASTPTPGLGSDGAK >PPA13182 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig245:31504:32679:1 gene:PPA13182 transcript:PPA13182 gene_biotype:protein_coding transcript_biotype:protein_coding MSISESERVRLSNETLWKQFNSLTTEMVVTKNGRKMFPKIEYVVEGLDPNQHYALFLHIERIDDNRYKYVSGEWMSCGKADVVDTVKRVGHQDGVLMGSAWMRSPVCFDRVKITNNPSDTSPSNQFILPVTEFVAVTAYQNNSITQLKVFNYSVSSLYFRLGYREYRM >PPA13179 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig245:20052:23027:-1 gene:PPA13179 transcript:PPA13179 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVLLEENVETGDAILYAIEEENVEAVEILISYLEKIDKFNPETQGVEINEHSAFTPDLTPIILAAHKDNFECYKLLYDKKATIPRPHDINCDCEDCEIAREDDSLRLSRARLNAYRALTSPSHICLHASDPILYAFELSWELRRLSYIENEFRQEYQELSQKCQKFSVQMLDQVRGAKELEIILNYNTKTWEEVTTKSHHLSETLARLKLAVKMRQKKLLVIISVALAFPLWSIIYLIAPKSRISAFVRKPFVKFLSHSGRENPERKERRGPEPTIIELAILSWVFGLIWVEIKQLWDEGVVDYCHNLWNILDFITNSLYLSTFALRTVAYFMVKNDAKRGFTMQLPRSKWDAWDPTLISECLFATANIFSSLKLVHIFTINPHLGPLKISLGRMVIDILKFFLVYCLVLFAFACGLNQLMWYYSSIRIKECDEYRTTTRNILSLDEIDLITRKKGESCNEKFTSCASQYKTMETLFWSTFGIIDLDSFDILEDHVAIQWTTRTIFGLSLSQRMD >PPA13177 pep:known supercontig:P_pacificus-5.0:Ppa_Contig245:12901:15696:1 gene:PPA13177 transcript:PPA13177 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRVFRYNRSLRLYSSLRPDDPTKTLDLSSFTPDKIRNFGIVAHVDHGKSTLADRLLELAGVIRKGEKEKLLMDALQVEKERGITVKAQTAVLPYKGHLLNLIDTPGHADFTEEVSRSLAVCDGILFLVAANQGVQAQSIANFWLAFERNVTIIPVINKVDIQGVNVNEVETQMKNLFDFNSNEILRISAKNGLNVDGILDKVIERIPPPSVSLSTPLKALIYDSYFDHFRGAIALILVKEGEIRRGSKIRSFHGDKEYEVQEVGIMRLEMIPVSSLYAGQVGYVICNMKSAGEARVGETLFESVTPKDTITPFEGFRKVQPTLFAGLFPLETSEYESLKQAVERLALNDSSVVIQPDSSRALGLGWKDNETIRKKRYGGEGEIRILDASKFPNEGDIEKFMEPMVKLLWRLPLAEVITDFFERLKRVTSGMASFDYEADGYDDANLIKMTVSINGKEIPEFSQVVRSSTAAEKAKRMILTVRRRKRKGMNGKITDFTQLLKGNFGKGGMERLNKKLGHQKKGKERMKQIGNVQIPKEAFFNVLKN >PPA13176 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig245:10517:12506:-1 gene:PPA13176 transcript:PPA13176 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSSEKISGKVNLINFWIHVRSFKDSTSIKNVPMTENIDKEAVDDGIFNEAQDFVYHLFKLRYFDEFKQSIHYKRHELDVLQNTTFDLEDLMYSQELLPVVMEVVNDDFDRNRIQFIIAVHTFEDSSDGTDEEMESDAMAIYERYISLQARMPIQISDSARTQIESLICTHDGKPSKHCFDLAKKGTLAVLSRKYRDTINESTSFIDYIKDLHSTIENTIELPSIHNRRGRDDSHSRLAEVDELGRYRPLYDNSLVSIRKEGKLKEKLRKYVDKNGLREEEMADEVARSIIADVIASTNIKKH >PPA13181 pep:known supercontig:P_pacificus-5.0:Ppa_Contig245:27185:30437:-1 gene:PPA13181 transcript:PPA13181 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-swp-1 MSRHKKHGRQQPEEEGLLVFGYSSRIYLNDSRSELIAGESHLQPWPMDESVLIDRFDVRLLLSTLPSESRGKVEDDKEERECEDERWRDLHDAELASLKRMEDEEVVMEARPKKAEYAFSYDQPSFASTPPSSSKVEEDDDDSEDEPFVPPPGIKLPLGLETPDNQKLNHVIERTAVFVVKQGPQMEIVIKAKQRTNQEQFGFLHFDHLLNPYYKYIMKLIREGKYTPNLEPKKNKSVTNGTSQKENENGSYGRGKTSGNALTALMAQHGSDSESDSDCELHPSLLARSRRGDSPDPVEKGVKSFAGPLKKPPTPPPKVMRSDYRLETANDTYSTLFKNLAQIHVQREKDQEEVERAERGDEKKEIEENPIQKEEESEESKKEWEDFTDWFISFYGMSPPLPFCNMPYIPPPPDIEQALAGYARYVGENGPEAEFALKGRVELQLHFLTPSNPHHLYYLSAVRRAQWEKNQKDQRDNDEAMSNAVVPPPPPLNRKQRRMAFMDAPLVSTTALPSIIDPTALLLASAALPHSYETPMVKSASSPTLHHSTVTSSPSYSYSSPRPMSPAPPAPPDV >PPA13196 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig245:151258:152494:1 gene:PPA13196 transcript:PPA13196 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNKPISKSDLRSLFGSLLGGSSSASTSSTSRDAAPGAELSSGEDYKKSNNTLVIRNCQLKSELSKIKKEMDSLRFENESLRDRLRQMESSTEDERIEMIVSQRVESRVKQIKFMVDRSVKFLQKTSDDLSGVFGGIDEQQENNSNTMNDRKSTQPLARKPALARVEESPLRRSSQPREEVVIPIPPPSSSLSSDTPQVDIPRRGKSPFRIRPRTPKRERGSTPTPSSPPLFDGIIDSSRRKRSATLKIQSFKEPSLGTKLRRPAGFDPTKDYI >PPA13180 pep:known supercontig:P_pacificus-5.0:Ppa_Contig245:25320:26268:-1 gene:PPA13180 transcript:PPA13180 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMTERQLKARLFMEKILNDKRKKKEEEITKGVEGMEEGEILESEEKEERRGRREGRGNREDKKDKERKDEKGEKKTVSASFFDDLIKSKMNELLKETVPGSLAVEKKNEEIKESKRAEDEKEKEKKGKDDKRGKDKKEERREKEREERKRKREKKEKKRRSRSRSSSCDERRRRRRRSRSASSELPSKYDSFPLPIPFLSLPYSIK >PPA13197 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig245:152693:153851:1 gene:PPA13197 transcript:PPA13197 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQCCAFKGNKNTVEEDRLRKTTSSSASDRERKGKGDADKTVKSEDKATNEEMSKEVQDKVANDDNSKEETERAVGGRDKNTVQGTVADTILYETPKRASIVDAVESINEDNDGVETARSTEENGGTPILMNNITQDITPVLNDEFGEEDDVVGEIPISSYDMRPIRPLPTPLRKVDITPTRRIEMFCDERGRVKRNEKIMRYMDDKRKSYDNNIN >PPA13183 pep:known supercontig:P_pacificus-5.0:Ppa_Contig245:35310:36563:1 gene:PPA13183 transcript:PPA13183 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTKPGRKIFPKLTVVFSGLNPIVYYGVRITLNRTDKYKYRYAGGKWTRGNEEEEQEGKSPPVLIHRDGMNQMGAEWMRRPVKFHDFKLTNNPDEDEKHMQSLDVASFIVVTAYQNDAIKKLKVDNNPFAAGLRQKSDSPNSSSSSESDYSSRKRPSSSPISSSFHPPPSFPLQLDPMTPYTSMSHFLPSDSIMQYNHIFPPFSITNWNPYFPTGTDDFHFNPPIIPQ >PPA13187 pep:known supercontig:P_pacificus-5.0:Ppa_Contig245:51357:52385:1 gene:PPA13187 transcript:PPA13187 gene_biotype:protein_coding transcript_biotype:protein_coding MKNILEGINKEGKKKLEMDIKDIMKLLEQNVNNMSFNVAEILCGQCYDGGRGHDNVIGKDTQEMEEEWKSGWDGVHGTKMYISKINRTDEMETLASLKKKDDILYHKLEVRSQEIERRFVSKKPVRSDIVVDIGWDD >PPA13199 pep:known supercontig:P_pacificus-5.0:Ppa_Contig245:166383:167510:1 gene:PPA13199 transcript:PPA13199 gene_biotype:protein_coding transcript_biotype:protein_coding MALIKYYMTKPAKYSDVPGSFLKNAVRRPDYYLVHENIFVGNELGRGAFGTVHCGTLKRTDGKRDETVDVAIKKMKSGDGAAKKHLLEFFKECRLMLRFNHPNVIRVYGVAPGNQPVLIVLELAGGGSLKSYCKKNDPVPVFQLNNFAKDALRGMQYLQTEKIIHRDLAARNCLLGKNSELKISDFGLSHRGDSFEIDKLKSVPIKWLSPETLTKGRFSHKTDVWSYGILVWEIYSRCSSDPFPGMNNGQAKVAVR >PPA13190 pep:known supercontig:P_pacificus-5.0:Ppa_Contig245:134357:135964:-1 gene:PPA13190 transcript:PPA13190 gene_biotype:protein_coding transcript_biotype:protein_coding MSHINRFPSVLQGVEKGRRGQESGKTIGLRNSNIPVLAASKGLIQPKTTRLGVRHAKKESTFNVFLDENGTNELNVVKQLERLEPPRVPLSSINDVLEIKASGTRSPSPSIDEGSEYFSVPDSIPISAYDTPTEMILDMFEYMRARETEFLVRLRWDKQPELNDNMRTVLIDWLHDVSMEYNMESETLHLTASLIDRLLMMCSVSRPNVQLVGTAALMASGVYSQLTIIASKYEQIHPPELKDFVYVTDDSYTEHQILRMEAKMLNYLRFDISVPTVEWFVSHMLNMTKPSKKTVSLAHYLGDLTLLITSLNGIRPSVIASACIALSNIMTGPAAWSEEMEKNTGIPLNQLERPMNILLYAFNAASQSEQKSVYDKYSRAQYDEVALLDSPSVLPPFH >PPA13202 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2459:52:565:-1 gene:PPA13202 transcript:PPA13202 gene_biotype:protein_coding transcript_biotype:protein_coding MPVCVSPTCKKFSADRGLFHQFTSREPFRSQWLTALTVDDSSKASLDVTLSTKPGKHYVCVSHFDDDSFIAGTRILKKDAIPMSQRISPSYSTPTVSYSPPPTPRGNLLSSTPVARPLRPPPSFRLAEHRSRPAAVAAADRQ >PPA13203 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2459:688:962:-1 gene:PPA13203 transcript:PPA13203 gene_biotype:protein_coding transcript_biotype:protein_coding ATKAAAHMFSMMSGEEVPDTNKSRRYGTYRLFIASSIGHLGKGIRIRLPSCFVRAVRDRWPSPNYTGFASSELTDI >PPA13211 pep:known supercontig:P_pacificus-5.0:Ppa_Contig246:50211:52219:1 gene:PPA13211 transcript:PPA13211 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIDGAQLQRHRRGEDAYSSGSSEEIAASPVKKKTKRAATTSEDSEAEGMNDESMETATEESMDEADERLPNEVSHISLEDRHELAAVAEPVVDTRDREPKAHHGRGDIFEPLGDHPLHPDRSDIVGVCECGLFADFPDLIVHRQKGDKATCGAPHSTLVYWTNDEMKAEKMNEEDKAKKDAFSDLAQELRRCVWRECAKHNFLFDTFEEMEEHNAKQHNIKLLYTCRVDHPDEKSLITCLSAPLSSNTSGRLCKSFCFPSITKMYADKKRAKKDAQERDSAITASSPAAAQNK >PPA13209 pep:known supercontig:P_pacificus-5.0:Ppa_Contig246:35821:36838:1 gene:PPA13209 transcript:PPA13209 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPGDVTILANKAKGRSGPVRMVVGTENPGAMCGVRFPDQIFITDKEFAWKDANREQLQRDDKSKKRVDKKIADGTILTTVYKLKYNVSKKSTKK >PPA13207 pep:known supercontig:P_pacificus-5.0:Ppa_Contig246:23932:25653:-1 gene:PPA13207 transcript:PPA13207 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWIKRLIGHFIGVIARRSNDVYKRLIGMTKEANESDDVSLKLKALDLIIYCKSGAWGEEAKKIIVNSIEESNFSLRKSALVALQYATLKNDEWKVILPKIVAIAEEKCSGPRLRCKALDQVECANGHIVALEHLCKLAGRDERCDLLRPHIARVLAIAAKELVHKLDCLNGGNEWDARALLHHLLKRDMPDNLIREILRYG >PPA13204 pep:known supercontig:P_pacificus-5.0:Ppa_Contig246:6371:7596:-1 gene:PPA13204 transcript:PPA13204 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDDQTAEKRMARFAFAKRSPYRTFAFAKRSSAADEDDAEEMDMDKRARFAFAKSLWGTAISHVSQSTVVATQLCVDRKGMQIIRLFEPGNAEPSSMIFAYKHPCFRDVFK >PPA13205 pep:known supercontig:P_pacificus-5.0:Ppa_Contig246:16351:17253:-1 gene:PPA13205 transcript:PPA13205 gene_biotype:protein_coding transcript_biotype:protein_coding MCEQFCQANLSKFVNTSQPLKGYECRRTIKSVAHGTFLRTHEMEWVVSMVVGPSTASEHWEIEDQHGRRGERVCKMKRRLPVFRTLWQSGAHFNAISAPLRSQQSGRLREPRRSLGSVGDMAVGEQSGRIVVPEERSWRMANGLRERHRAHSL >PPA13210 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig246:48627:50071:1 gene:PPA13210 transcript:PPA13210 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGELMGRGQEGHRIADHECSMRSERGRLMRQKIVNRVNNLPSTSKTPTTTTLLNFFTHSQKETIVPKMAASVTPGTSVIENAVPSITPRKPQLPTEQRTASATPATSGAAKVEIPKTPTTPAKKVLPKIRMDGPLGTTDATPSKKEVDKNDIITLDDSDDDIQLVEEIPKSEQRPVMLIETVMNGDVLEKQEELEVQHADVSELQVDAEDEKEGEEEDGDDAMEGDSMEGTTKKRKRQRKFDDLFIDMNDKMIVDVE >PPA13206 pep:known supercontig:P_pacificus-5.0:Ppa_Contig246:18935:20999:-1 gene:PPA13206 transcript:PPA13206 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLDSIKDHLGDGRCGDQMMSLTQEFFKSDEWSRRVAALATWSQVIDKKWGQERVNFLIDHALLGFADQIVSALVCLTADPVLPVRVQALRALYRWHIKDNLTPNLMPRYYDDLLPLLIAIIVDCPSDEPHVIHVFVLELISTLARDVGGVRFRRDLPAIEAVVCPLLSKLNTSNEFYYDICDAVQEMSEVVENEDSEFSKWTKIFEDRSDESSN >PPA13208 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig246:28782:29025:1 gene:PPA13208 transcript:PPA13208 gene_biotype:protein_coding transcript_biotype:protein_coding MFCVFWINIFIRSKGRIESEFQWYTNLIQDSFSLHTSSPSKSSVVSASFVVSLSAEFA >PPA13214 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig247:10987:11890:-1 gene:PPA13214 transcript:PPA13214 gene_biotype:protein_coding transcript_biotype:protein_coding MHCATVIALLRTARAGRAGLAVTYVTQYDVEQYQKIEAHIGKKLEEYPTVESDVMLLVERVAEAGRMAKDELKEMEDKKKFGKKRGHDDDDDMEENQGGMRKKKKMGKGKGGKMQIKNRIK >PPA13216 pep:known supercontig:P_pacificus-5.0:Ppa_Contig247:30897:32675:-1 gene:PPA13216 transcript:PPA13216 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAPPAANVDTARAPVGTLTEAEEPVPGALVPNPEVRSADPVALGNQYKGEFTAYAEGLRLRSAQVQELVQQQLASTASQAESFKATLQDVVQDKSDVQTPPSAVLPTFLWTTVLTLGASVGFFVGANILGGLVHLFFGGFFIALGVLVALPLYAYFTIKAKQAVAGSDAELRMFLLAYALGSGVLLGAGFNSTYFYSEPLAFLTPLVVSLVFAYGAPALGAARPTLLGASVGGALGLTLVAGILTGALSTSYLLLSLMYAGIACLTLMTLFKFVKSESDATHLYMLGYVVAYFYVKALTFFVMGSYQPYEYEVPAPTEARK >PPA13215 pep:known supercontig:P_pacificus-5.0:Ppa_Contig247:19809:29020:-1 gene:PPA13215 transcript:PPA13215 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKQVSTDSLRFLDPPSLRQNVDGSIEIETVFESQALPDIQWKFGSSEDIIASQLNGAEGRLKTTNDETCSSTLRIEGAGDGDAGMYKCDISSDAACITALIEVKKDFFKRPFFIQKTGFHLRDNGRIVIMDFRVRSPKKITANWSQDGLALPKSRTVNFVHRKENADTYYGALILCRDSASEAAQSTSVYECRIKNEHGEIVASYGQDHVHIALFDVGFASASEPTVTWWNIRGKPLAESGRVKVQVLKESDDGIYTARMQLANWGERDAGRYTCMIENECGKTAADVVFREVDHVGHLMSRLFSPAVERRGSSPSLKMSDSSSDEDDMQKQLAEQQRRKKLKMKKDAGTPLTKEEEKADAEPEEEEEDHRSFADLGVCESLVEACTALGWKEPSKIQKAALPAALKGKDVIGLAETGSGKTGAFALPILQSLLERPQKLFALVLTPTRELAFQIAQQFEALGTSIGVMTAVIVGGVDMVTQAMALAKRPHIIVATPGRLVDHLENTRGFNLKALKFLVMDEADRILNMDFEAELDKILKVVPRDRNTYLFSATMTKKVAKLERASLKDPARVEVNTRYKTVDKLKQNYLFIPHKYKVGSTGKACF >PPA13219 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig247:62851:63444:-1 gene:PPA13219 transcript:PPA13219 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFPGVVATPLGESQVRQKFKSNSRFYFGKNNVMAIALGKDKTTECATGISKV >PPA13220 pep:known supercontig:P_pacificus-5.0:Ppa_Contig247:64719:65631:1 gene:PPA13220 transcript:PPA13220 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTPSDSSYDSDVWDTSLKAMIEMRKTCSRYRESTSDDQLHHIISATITSVQIFRAAGCAAGKTTVTEVTTDGRSQTGGTAITSASQEDIFEPDISVCLSGWDAGVEWVVAPPEPRIKESNLTADEKRSSSAISRLSSEMTPYWAKKSCSIRTM >PPA13218 pep:known supercontig:P_pacificus-5.0:Ppa_Contig247:61121:62648:-1 gene:PPA13218 transcript:PPA13218 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSADKDEVLPRAEGHGFRTSWRHRSVDDRPKRVIVDKKNATRESRVARVILSITPGTHNTEDAINRQLRDEGRVAAAMENFNLIYALNKCLGSREGTELNSRFDDQRDLNKEKIERPVLYICL >PPA13217 pep:known supercontig:P_pacificus-5.0:Ppa_Contig247:34899:47048:-1 gene:PPA13217 transcript:PPA13217 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIGIPVHIDSEAAAAGTDSASSVLSSSCPSDEGYCSPGHREATTRGGVLTGAMTQQAESRKRVYKVVLTGGPCGGKTTGQERLATFFENMGWKVYTVPETATVLLSGGVKFAELTPEQAYEFQKDLLLTLIRIEDVFFHQAELEQKKRVLIICDRGAMDPSAYIDAELWHKMLKEIGLEQFNMRENRYDQAPYLNIRISRRNFDQNYAAVVHLVTAADGAEKYYTQANNKTRSEGIQAAMEMDKITRTSRKMNAWLGHPYVDVIDNVETPTFEDKIRRLIEVISDRAGVEVSDRLKLNSKKRKWLVLDYDESAFPKFEEFEVKHDYLMAENRGMQQVRLRSRCQNNRTTYTLTTRTAAPTKGMIETRMQLSMKDYQSYYRLKDKQRQTIHKLRKCFSHGTQYFNLDIYVDPLPPACLGKRLMILETYTTKPVGDPEPELPPWLKVEKEITGDSDFSMYSLSYNSRAAAVPPTATAAAAGVSSCSSSPPLSNASSASALPSLGGVPSPSSPPLKKAPLSYADLKAEMRRERGAAQNSSPDEERTQKSEELMFNEDADDA >PPA13221 pep:known supercontig:P_pacificus-5.0:Ppa_Contig247:70552:72710:-1 gene:PPA13221 transcript:PPA13221 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGLTFIGVLWMIVSCLATALLAGGIIIPEWLIGSVSANGKTVLAFFGAYKRCNYPIFDEESSMIALVEECGRYSSFFVVPSLYWQLTIILVVTGTSLSMLLSFLIIPSLCTQHVINASSAIIVGFFQIVAAICVSAGLVVYPLGWDNAEVRGSCGRDADRYDLGRVVTHMGTVSGHISRS >PPA13223 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2472:126:621:1 gene:PPA13223 transcript:PPA13223 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTDSNSMVDKRLRENPKLNHIEAQRDFWHVQKPLRKKWWKGMKMESCPTMAKRC >PPA13224 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2475:114:1499:-1 gene:PPA13224 transcript:PPA13224 gene_biotype:protein_coding transcript_biotype:protein_coding MENDEWTVYTSTQSPSDAQYLCAGILGIPANNVVKRLGGGFGGKGTGDRIPRGPAMVAANKIRKPVSCVLHRADDIASTGKRHPALFKYRVGVDDDGRMLAVHVREYLQGGYSRDHSVGAYGRPQTFFFMETLIAKVAQRVGKPLNE >PPA13228 pep:known supercontig:P_pacificus-5.0:Ppa_Contig248:22400:23821:1 gene:PPA13228 transcript:PPA13228 gene_biotype:protein_coding transcript_biotype:protein_coding MINKLSSFAGLIFVDGISWGNNLEEAGKYPIRTIHESTNNRVIYTPHCYGPEVYTQQVLQAPDFPNNLGALYMTRFGFLVRKKLPVLIGEWASSNEPDGLEEKWNNYTINWLMKNCLTNNFYWSLDRNASFTPGLFDVDWLTPNARKLEYINRLQPNPTKFEARDGKICITEGAFPEPYCQLPSPELPQDTGSNIAGERSIKSLKYGTYLSVIIRKDGSYGVVMVEHRSVRCEKWFIDEYPDKIDLRPHCSGKIWKYLNVESDGLIDVVDWGQDGQLWAPSRNEDGSFCIRSTHGKYLRAKENGQVVLGDDCDVNARFVIEAFPW >PPA13229 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig248:27063:27459:-1 gene:PPA13229 transcript:PPA13229 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSIFVFLYALIRLLRVWVWSSLQGGDYLKNTQYGLLQGLGIALHATVRPAPWLEKRATSILHHLSDKATATALGRRDVMRNRGQNQETAPNVSDVALLPV >PPA13227 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig248:8925:14922:-1 gene:PPA13227 transcript:PPA13227 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLHSTILRIVLLLSTATIASACLGGEAPCYSNCGSYHGGSYHEDHHSSYEPAPHDDDHYAFASYKKLGKYPLQTAPAPPPPLPPTEVMGVLPHVKSLPQHPFPTLGEYPSPTRSGNPAHSFSSDNRSSGIIRDEPVPQSAAARSNDTTASDDDHTNGERFGRRISGSNEDKKSTLPPPYITYYNQAANARATGDGKYDIVFLRTVHVRSNKKGIYCVSSVKIPLKAANSRAVRTKTPTTDHPAFSSFKVAKDVEQLEDETLNDAIDKFNGRMRDEAQTTTVHPYVESFFDGNSDKDADSKKNATLSKVDYDDAESSDKNSPTLPPSYYYKPGLRKDPTEDPPPSCQGPPSIMPCVPSMLCHLSLD >PPA13237 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig248:57242:57734:-1 gene:PPA13237 transcript:PPA13237 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFRRNHPKYHSCCGTVHVTTLARTMIVIHIAAYAIFIIAKLLIGQQSVPYLIPPLMLGFIAVYGEHRKMLLLLFGVIVDVIAACIEDSDIVPFSGP >PPA13233 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig248:40325:41959:-1 gene:PPA13233 transcript:PPA13233 gene_biotype:protein_coding transcript_biotype:protein_coding MARILDDETYYKTFKSKFPVKWAAPEAFVIVDAHGNIVQVGRSTSKSDVWSFAVVLWELYSMGEKPHAGKDALEIYRFIKDKHIPLERPANCPLSIYSLMRSCWSLDPSDRPTFSRIVEFFDDTDNVDGNV >PPA13238 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig248:62145:63901:1 gene:PPA13238 transcript:PPA13238 gene_biotype:protein_coding transcript_biotype:protein_coding MEREVASFYANGNRDCHCAPLCDEVVYDTSLSIIALPSLVSTCVSSNSSISTTNTCSDHDSSNIAIINVYSGQAHFEDHSEKAVYPLNRFLYDVARNGILLVLVAMMIPARKKMKGAIALEERIALNIGIPG >PPA13231 pep:known supercontig:P_pacificus-5.0:Ppa_Contig248:34690:35902:-1 gene:PPA13231 transcript:PPA13231 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFLAGSIEQISEGKYYLKSHHNTYIGVTGCDGIGSNSVSVRSAPHATLCEQWSIERHGDKITMKTVHTLQKYLQSNYDRSVGLSKWRYGDAMWTPIKRNDGTWSFKSAYGGLLSSDRNNNSAYLVYDRIDEWENFALRKPLALFGQCVEAIACTQLIADFA >PPA13226 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig248:46:729:1 gene:PPA13226 transcript:PPA13226 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIFGDCKVRTHKSLRFHPNIVSYVQAWREDRKLYMLMELCENSLEGHWQKKMILPVHDITRVMVDALQFLLRT >PPA13234 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig248:46331:49343:1 gene:PPA13234 transcript:PPA13234 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSRNCKELSSCSSLLNVTHSVQNNSNDEYTESMLATEDSEMKSAFRIPDKSHYRTIQQPTVTCPMVFPPIGSTFNSLVPNAFNNLHNYSYKEFTTSNKAVPLEPRELSAVDQACHNTKVAIKKMQNHFVGSKIARRALREFVLLSKQNHPNIIKFLSVFTPQETKDDLEDIYIVMEYMDLTLTDVIDAPIQLHHEYVSKFIYQTLCADLKPCNLVVNKKGKPELKLLDFGFARLITPRVEEKMSAYGSDNKQQWQEIVKKMGIPHHYISTLDETIARSALDLASKVSLSSLDDLNDRREHAARIDPGYDGRLDEEELPINELKSIIFEEVKRIENSMDIFGGM >PPA13230 pep:known supercontig:P_pacificus-5.0:Ppa_Contig248:32987:34304:-1 gene:PPA13230 transcript:PPA13230 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLLLPLGTFACIPMKTIDRGIPAVPGGCKLGAVKQISQADLDVRYCTGANPAMPAGCPSGQGCVAPTITATSVTCDDPAASTFAWQLPDGGVNAGPVSDASCSNEMWTLNGVVPDPTTYPYINTCWFP >PPA13232 pep:known supercontig:P_pacificus-5.0:Ppa_Contig248:36488:37361:1 gene:PPA13232 transcript:PPA13232 gene_biotype:protein_coding transcript_biotype:protein_coding MHITQMILPLLLVPLLVPVIEGHVYACDKLKHMITNSITIANLPYLCVVPKEEFSNWSMLEKMQARSGNTSTSFATLAKRSDYCVKRGNNSPWSITADSPLDLDCSTNPFTVVVTADEPWIDRPNKQYLWRNRLSLSSAKDLIIVSPPAGIWLQKDRCIGAGNITVFAGAGTRDSDERHKLQSWPNFLKEIPTMKFQEFF >PPA13236 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig248:53503:53929:-1 gene:PPA13236 transcript:PPA13236 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGKSRPSPTDPDERLRFYARRTGNKAAAKKHRNAIKERLDELAKLKKLFEKQTAQIELKDKLLADNHDEIHRLRSLLYMGNCNCSVE >PPA13235 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig248:51981:52502:-1 gene:PPA13235 transcript:PPA13235 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNFVSSSMPAVPLPSTGNYSQYITHQYPNPAYFTRSMAPYPVGSSSSIPASSAVSSSYNSQHPQNAIYQCTAYGKSIHSTENAVRYSLDCAS >PPA13239 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2488:95:248:-1 gene:PPA13239 transcript:PPA13239 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVHLLGIVGLLVVCAGKQKKASEDAKKKETFSSTKSSKTTTASRASSTS >PPA13250 pep:known supercontig:P_pacificus-5.0:Ppa_Contig249:48896:49922:-1 gene:PPA13250 transcript:PPA13250 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALCFAVLVAIAFGAPVLTDRQQKIRLIWGDDVNVTRAETILAEEAQKLGMTMDDYFNSCAADAESFDFTKQEEADIKQEMEAAVKKVDNINVKTWDELFNILKQHAPKTYDALMKRKAIFEKYFAKLDATAQQFVRNFGNALLESINGLSNAEKESKNPFDLIEMLGKNIKKVKTEYDALPQSSKDSLERVFCIRSTLRIVDEYGLLKIVYAVVEAVA >PPA13249 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig249:48069:48608:-1 gene:PPA13249 transcript:PPA13249 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIIFFLVLLLAGSSFAADASHQPVPPPGNPSQQPIPPSGGPSQQPVPPPGASNQPVPPPGATQQSKSKKNLIDGIPKPIYCAIQDLIYALKAWENRNQKMKCLAIIETPCGPRPA >PPA13251 pep:known supercontig:P_pacificus-5.0:Ppa_Contig249:55935:56890:1 gene:PPA13251 transcript:PPA13251 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAMTVRAMNPVYRLDYIAYVFNLDEKDALQITMNGVRTNIFGDLLKSRHEYDANRFDVSIYWTQKSHNSSFAVQFDFGPESAKEISTTTMTTTTTMGTTTTKSARIQQITTITPMLAVMALIELF >PPA13248 pep:known supercontig:P_pacificus-5.0:Ppa_Contig249:43496:44468:-1 gene:PPA13248 transcript:PPA13248 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLICLSALLVSAYCAPVLTDRQQKIKQIWGEDTNVTRAETILAEEAKKLGISFDDYFNSCTADAETLMFTKQEEADMKQEMEAAAKMGNVKVKTWDELFEVLKVRAPKTYAALMKRREVWNKTIAKLDAPAQSFVKNLGNTFLDSVNGLSESEVAGKNPLEIFSMLGKNVKKTKSEYEALPQESKNSLERHFRVRSSIRIVDEYGILRIAFSVIGIVSDL >PPA13256 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig249:70233:70683:1 gene:PPA13256 transcript:PPA13256 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLHCFLAVHCAYRFPIYGTTRAVFLNAFVCVFSPAMMTFIFVVRRKLIMRIEQANPSEKRHHESIARV >PPA13244 pep:known supercontig:P_pacificus-5.0:Ppa_Contig249:26413:29181:1 gene:PPA13244 transcript:PPA13244 gene_biotype:protein_coding transcript_biotype:protein_coding MITKAQQRRCHLKLREEIGLTGPPTSFFFGNFLYMFDTIKKKIAINMVYPILDGLVQVDHIGSYGAGWKEIRSTISEIFTSSKMKKMHLMFHDQLDNMMNVLSEKSKVNDGTVDIYAEMQAISMDLIGKCALGQEILCVKIRPLPDLIDLMLAENDKRKENGGIPLHHDVIVSNAWAFFIGGYETTASSLTFTAFLLAKYPEVQQTLYEELSSTFSNNEPIDYERVMKLPYLHAVFSESLRVCPPIVTFTGRRCIKETVIGGRIRVPEGVSVVTPVHAVMWNEENYERPKEFIPETYHIFLGNNGKAVSSATFLPFGIGPRNCAGARFAEMEFKTVIASIIRRFELALDPAHKKKNMPRGTEDSHYTRPSRPNGQRVIREGDRKGMMHLLNTGVVQVFHVLPCGDFH >PPA13253 pep:known supercontig:P_pacificus-5.0:Ppa_Contig249:59532:62357:-1 gene:PPA13253 transcript:PPA13253 gene_biotype:protein_coding transcript_biotype:protein_coding MRISFLFLLVALAAGDPFQLSEHQKIQKIWGLDSELRLAIAEQILHEEGQKIGITAKEYLESCYAETEKLAFTDEERADLEKDGEEANMKMGKITIEKKEDVFKVSEKQFPKSHAIIMKRLDIIKGVVKGMDADSQKFVHQTTDALLEMIVAITKPSFTELNTPMEQYSYVAKDFAKIFDAYSALPQSSKNTLERAFCARVSLRIVDNEGQNS >PPA13240 pep:known supercontig:P_pacificus-5.0:Ppa_Contig249:6832:7276:1 gene:PPA13240 transcript:PPA13240 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRRLSRKRSMQPVALRRRRFPEPVALRRRRFPEPVALRRRRFPEPVALRRRRFPEPVALRRRRFPEPVALRRRRFPEPVALRRRRFPEPVALRRRRFLEPIALLLRRFC >PPA13255 pep:known supercontig:P_pacificus-5.0:Ppa_Contig249:67578:68683:-1 gene:PPA13255 transcript:PPA13255 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVLCLLLLLCLAAAAPTRELSDRQKLILKVWGPNTDLIKAKLILEEEGKKLGLSGNEYFDGCTADAAEGGLIGMTAEETADFMNDMTEAKMIGAEIKFENKEDVFKVLEEKFPKSYAIISKRLDYIKTSIAKLDAETQKFTNEVSVASKSSSNSMENPLKEVTQMAKQFKKIITSYTALPQSSKDALEKTFCSRASIRISGAEEQFAMLIGMMNAMADLDDIHASMLKSLI >PPA13243 pep:known supercontig:P_pacificus-5.0:Ppa_Contig249:23377:24962:-1 gene:PPA13243 transcript:PPA13243 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPCMVYYSSGTTGQPKGVVHTNFTYYACIEILRSHFLDEIYPVLGVMDVDWYQDSQIISTGCFHMLGFGLLNWCLLTGAQVVMMERLDELLYPKLVDKFKPRYLFVSPPIFAYLSKDPNGRAAALESVQLILTSSSPLSQEISDEFFVHHPNVKYIVQGYGMTETGYSHLPLLTREGATASSGVLASGYQQKIINTTTGQACPLGECGEILVKSPSLTVGYLNKKEETKNLFDDEGWLHTGDIGYMDLSGSVYIVDRVKELIKVNYANQIQQVPPAELEGILLSSPSIRDVATVGIPHEAGGELVRAFVVKAEENLEAEDVERVITDKLAPFKRITGGVVFVDAIPRSATGKILRRQLKEIC >PPA13246 pep:known supercontig:P_pacificus-5.0:Ppa_Contig249:35043:36043:1 gene:PPA13246 transcript:PPA13246 gene_biotype:protein_coding transcript_biotype:protein_coding MKHAGSKQVEEATKDIKTQINRCFKVITTRVDHSVPMEGSNRLRPFTGDDDEDWTTWVRRFNDLVNMAPTKLTEAQKTAHLIEFLDRLTPKISFHVKARVPTTLEDAVQLAKRFESLLPDVSSTMSAMDSSPSTGTNRRP >PPA13245 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig249:29886:30306:-1 gene:PPA13245 transcript:PPA13245 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQFPYTERYWTICFYKPAYALNAAAAQGATFGKATIAIHRYYVLRRRDFAEKKLSHRVLFGILAVQCTVAIFLTVSIWP >PPA13247 pep:known supercontig:P_pacificus-5.0:Ppa_Contig249:40801:42026:-1 gene:PPA13247 transcript:PPA13247 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSMCFMPSPGGPSARLVIGTNITETSRGCKVACGNGNGSMRCSKPAAEIFSKQATGCPARSNDLPVLNSDPDPCVSGFYLADVKYGQSPICPRNAQNYILRGVDEFGTRLTLDNDIYNLDHEPGSCGCSSLPLVRPNLAGGVEAQTGVNGACANPSYILTIFSTKYPPGSNKDSFQANVAMAMTITCEAGIWILRHLDNSNGWQIANATCAP >PPA13241 pep:known supercontig:P_pacificus-5.0:Ppa_Contig249:18308:21783:-1 gene:PPA13241 transcript:PPA13241 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVGAIILGLGAWFAYQRQQRAERVAHLKGKIAQRKNEQAELLEWARAEAEKIPAQRRKEIGAMDFKQLLGKFNVITRDSRLMLVFTLSAALQSGDVTSLEAVTTYIGLSLAAHEKTNCVTMYIKESVKHARALDGRRKSGESLPPLFGLPVSIKESIGVRGEAALIAAGGSLLGIGSDVGGSIRMPAHYAGIAGVKPSSMRLSLLRIDDGYHGPKRGGGYPLIEANEGPMAASMETCAEILKQFWDSSLVSDVDPYKPPVGWNAAQFEEGRKYRIGYYTTDGWFDPTPGCVRVVEEARHILQMQGHTLVPFSLPDVPEMIRVYVATLTVDGGVSLMGSIEEDLPPAIFLSALRPLRVPILVQRSMAYVASALGYWRVAKFMRSMTKSSVELRRDYASIQLYRARVVEEMRKANIDMLLCPAQVMPAPPHEAPLHLSAGCSYTAIYNLLDFGAGVCRVGTWNAQDEAKLECYPTSDPWYTMAKKFSKDSVGLPLGVQVAAPPFKEEAVMRVLIDLERNVQHNPKSSFRQR >PPA13242 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig249:22496:22784:-1 gene:PPA13242 transcript:PPA13242 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRTSMSSNFKNMQAKVTWLLMDYLPTPQIIITLGMVGYQLIHSMQTSS >PPA13252 pep:known supercontig:P_pacificus-5.0:Ppa_Contig249:56981:58313:-1 gene:PPA13252 transcript:PPA13252 gene_biotype:protein_coding transcript_biotype:protein_coding MYDDVCRGLDEANDHPSTTFTAITGDGKFYSAGNDFTPAEWNSMHAASKDLEIGPFRMGRRIIDHDKLEFVKVEERHTHPITMTQILLGLVNGPAFGIAATTLALMDYVVCADTSYFSTPFSLVGVTPEGGSSGTFPSIMGISRANGMLLFNQRLEAVQALQCGLVGKIFPKDDFDRLSVEMIEEFEKLPRHSLLASKSLIRNNAWKQKMRGIFETEVEAIRRMFGSEATQKMIEERFDKKKQ >PPA13254 pep:known supercontig:P_pacificus-5.0:Ppa_Contig249:62813:64026:-1 gene:PPA13254 transcript:PPA13254 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLLLSLVTLATGTPSNLTEVIRKIQKIWGKKNILDIAIVENILHEEGKRIGITAEDYLESCYAETEKLLFTDEDWATLKEDGEVANKLKGTIKVESKEDIFKLTETHLPKSHVILMKRYNIIKEFVKGMDADSQKFVNETIDALLGIVVTMTNSPYTELESRVEKLTFVAKDFVKIFDAYAALPQSSKNALERAFCARVTLRIVDFSGQLEEFIETMYRLAKAESVTE >PPA13257 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2490:86:1191:1 gene:PPA13257 transcript:PPA13257 gene_biotype:protein_coding transcript_biotype:protein_coding DETHLGHMLVSRPKDSGQFAYRLCDDPKGGIRINMEYATVFDGQEDDDLEDLRLEAIYRKKLIYVRESGGVTWARELTQSIIAIDVEEGPNAYDGRLSSVYAPEEASLIYLLTDMCELTVLHPITMEERSFGLRLLPELLRATGDVQLCRRASGKGDAEGRIEAIRAGCCRFRRRNKN >PPA13258 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2492:340:823:1 gene:PPA13258 transcript:PPA13258 gene_biotype:protein_coding transcript_biotype:protein_coding SRVCEHVDPSRNLFASAQCLGEPPLDVSLSKEICAPGEKTILDQLVDVVGRSGAKSVFVSSDRDHMIPEINEALSAYD >PPA13259 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2497:15:940:-1 gene:PPA13259 transcript:PPA13259 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTRPVAQADGRGFSKLEMEACNICLDTAKDAVVSHCGHLFCWPCLVQWLDTRPYRQLCTACKALTSCDTVIPLYGRGGNETDPCVKVPPRPRAQRQEAPQGFPGFHFGDGTGQGGMHFSLGIGVFHFSIFESVFNSSMDRRPDALNE >PPA13398 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:701095:701941:-1 gene:PPA13398 transcript:PPA13398 gene_biotype:protein_coding transcript_biotype:protein_coding MIISYYEAQRKKAEDELKELGDYELLTVDSAQGREKKVVIVLTTRTSVPSDAGAFFKCALRCNVAVSRHQEALIVLGHPSIASAPNWARVLDQKYFKHVEDSKGWKGAR >PPA13322 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:333718:337549:-1 gene:PPA13322 transcript:PPA13322 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLDDDEIIATIRHEFSVAPEISKFSIGSFFDALLCVYYTKSVVPQVRRRSAGAMTLDSFWVLKLGASRWVRISFLRLRFLYAQSPLPEAFGPREVYANTMSRPFYIGTLNTRTLAPKDKLIEIENALNEIRWDVIAVQESRIVGTIIFHSGGTTAHHGVAFLVQPHLAKKAVFRGLSDRLATLQLPDVKLFIVNGYAPTSSYDDSIYDDFIDKAEAALKSAPKGYMPILLGDFNCRVAREQGNERYVGKYASTAPNTRGRTFTEACMRNELRIWNTVPKKRHGRTWTWRSSNGITYNQIDFITAPISAKIISCEVVGRFNFNSDHRLVRMVLSLPNKTQQKRHKKRMDFDPAAYKANSNLLGSLPLARPTSAIDAYNKIDAFTKAAATDCWIYTIMCKAARIGLIEDIRRRKEAQAMKAATMGRSVVKTTRNLHSSKKRLPVPDPVSGALSQDATKTAVRAFYEDLYSPSVQLPLAIPLDSEDPLPPFLPDETREALKLLKCGHSPGSDGILPDMLYHAREHLAPILADLNLLVDGDQVPVDMVDAISLWIAGIHAKLVHLLRRLYEASRTRVKVNEDLVPVAINRGVPQGDTLSPRLFNTVLRMAMNEIDWENDGIRVDGRNLSHIEYADDIALIAKSRQELEGMLRKLMAACSRAGLEYSMDLRRGPYVQVKKRGSQSHSEKWSEKCYE >PPA13369 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:538729:539584:-1 gene:PPA13369 transcript:PPA13369 gene_biotype:protein_coding transcript_biotype:protein_coding MNREETERYINVVITTNYWEGQGGAEVQFMYPALYRTSCQLDIRFFPYDQQNCTLVISSWTSSKVNLYLDSRRRRF >PPA13443 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:975655:978159:-1 gene:PPA13443 transcript:PPA13443 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEGVLIDLSAAELKDLKLIGINKCRTSYPEISGVHNGKITIYQSHDRVVMSAQLPEGYPLLFPSLLDRTCDREGRRREEGSAIPKPIPSGQFKYAIFINQSNSLTANTQATAMFKKSTNTERNDGMSRELDALAKQIDKLKMIAGETSTIRPLHETSAPSTGPCCVICLDKQPNMIFFECMHVIVCEECMTDAAKNPSTAIIKCPNCRAYIKKSAKALHD >PPA13457 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:1117300:1120405:1 gene:PPA13457 transcript:PPA13457 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAILKTTGVVIFLSSAIFIGTALYYMLTGQGHRFDIAWFLTQTSPNMWAGLGIAASLSLSVLGAGWGIFTTGSSILGGGVKAPRIRTKNLVSIIFCEAVAIFGIIMAFVFVGKLQPFIREDLDLSESGQVEILAKNLAAGYMLFGGGLTVGLSNLVCGLAVGIVGSGAALADAANPALFVKILIIEIFASAIGLFGMIIGIVQTNRANMGSRA >PPA13316 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:291413:292731:1 gene:PPA13316 transcript:PPA13316 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVTFCRVFFIFEAAFAAVLNLYVLVTVWRRRIDSNASTYRIGITVVCLSAIVQSLLQCFTVTIHQIHDNVYTLVQLGAVGWIRAREACILATQTLTFLMWEWIPAACILQYLALCRSHYSAARRLFIAYTYCLVIICVSSVSISAIMTAISGK >PPA13476 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:1214962:1217504:-1 gene:PPA13476 transcript:PPA13476 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTPRYADLRSTPWPQRDEQFHILEQPKELIGEIFKHVDYDGLLALRKVSHLMKEIVDEVCCTLSTIQQLYFTERNVNDPYFKIDLGHSKPPIILLPWKHLTSPRFNRNVVYVNGTREEFPENIALRTIRRLGLNAVEMGIYARVIRGVVCTEFAEIYQTAELADFLHLRKYIARPLPGLPALIVGIFQRSCSRVQFEKPLDEEDVNEIIKQLPSVQKDLHLHAFIIKGPARRILRRMRDNNEESCFNVGSMQVEIRIEPGAEHGILEMWRGARTRLSCVNMTQYEPFAATCRKVLQEKK >PPA13393 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:674506:679308:1 gene:PPA13393 transcript:PPA13393 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSSQLGGLSLRTTSSTIPSTPAVSSPRMLGYLLGKTEKHACVLHWSSGKPFTMKIASIPATFEAGKLYPFQGPADKTVFSDISEDSKKYYYCRGDISFTETGYRRRVLRGLLLGSRIRDHEQECSTVTSRSPLVISMPSRRGRIDLAWFRTEAGELFKVVVDNVKRDADSKKMTLFCTAATLLPSGLLKNSRLIHEETDQRTPIVTSVLDDFVMERRPIVTYSLLNRIYGDRGFASSTPPRDRTLVQIRKSKGDGIMLNVEQSQAVRYHTSDVYPALVIEAPPGSGKTLTAAAMALQRVGGLQLFLSTANVPVYNMAMALSDIDYGDLKIVHLESKEAAEKNRSPFAFELLTASDRALISLLELQLERARSLEKRELIDKIRQVKDDALYRSGGCDVIFGTVDKILMKLISPGGNRPCPIQRQLETAVTRIVIDEASQLTEAALNAIHLCFPTAKLVLIGDSKQLPPFRSEEGDVASKLAARSALDVLKRRSNVPVITLRQVYRAAPSAMAHYSDVFYGGALVSGKPESSRVKLSSIIKVATSCTFMEVVGSKAESFGTSRQNDKEIAALVWTINKLRLAGYDHESVMVISYYAAQQKLAKNSIPPAYEVLTVDSAQGREKDIVIVLTTVDTEAAPDFLKSPLRCNVAVSRHKEALIVLGHAALCKIEPWNMVLDNKSSTSKLDVDGQLVHPDFVCPSCRLDHPTWILLEIGKIIWNSKPHLSERDDRHVAASLEHVQGGSRSELAGHVSLLGSERRQLLRVTNQHKLGRREAQMNGVQRSFGELGGLVNYDTRDRSLQLSLDRTGSIASR >PPA13307 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:207295:207807:-1 gene:PPA13307 transcript:PPA13307 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFALGRSQQTRNGRSSSMGERQRHLVDQENITALLKQCKTSKRGEAYQKY >PPA13414 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:812316:815846:-1 gene:PPA13414 transcript:PPA13414 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLKNEYGRKLRTALKHMGVTSIEALPKVPGPVSPMEMKLAKEVSQLKRKLRQKTAPLSSPVAPKLFLAKTSEGYRSEVKYASLRLIALGVSDAKQGQVMDVVAQMLDVRINETPCESTVRSWVPAIALLNRMHQAEMLEQNEEGLTLLRDETTKKGDKVQQHAVSLESKRILYLGFTAVPDKSALTAFDSLRTRVESLAPFSSSSSPSQLFHQFVCKITNLMSDRASTEILFNKIFKSVRDRFLELKEGWSSLTPEEQEKAQLLLVHYCQLHSVSNLFGVVSREMILHESVSRQHPVDSSTAGFATVIREIPRYLSSRSAGGHREHREWKEWGKKVGITDTTMPALQGHRFNIQFLIAARIFMQREFILKFIEYAPKTMEDLDRLLRDELVLVQLQILGLLDQKITGPLWRIAENLGVIEGGQYHRDLLIFVDACIDNPSLFFSGECPTISIPPSFELFREEGILMRSLVDSSPSPLALDVAVRVLRSCASYLKDVLSQTMTGGIYSNPTDEVVESAQSAPATNRAVESAFAYMDYLYRRTPIPVSSVTMQSLASYLTMWQNGALEEDDFRSFYLGRTCELNCDITLSDGNVIHGECTIDNIISDGSGEVTIHLNGSSGIFTISRSLFEDLINDDAIFDFFSVRFGIADNIG >PPA13310 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:221315:224266:-1 gene:PPA13310 transcript:PPA13310 gene_biotype:protein_coding transcript_biotype:protein_coding MKATGAALARSAVRVRVRVVRGCASASAPSFRHPRPILIRLSRTHLAPKSTSRIAPPTSLKEPAAGQKKEIAKPTSGLKAPSSSLTKSFIKAPESKGDVKRGLRPPSSGLKTPSSLRSPAVSPQPKPRDLPLAAAAAAAPAAPAAAKAAAPAASSSSSTANAAPEALATPPQQQKSIPAPSKMLKLFGKEKKKDEVPEIKATPISTSKLKRPGLRPPSERVIEV >PPA13334 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:402869:410378:1 gene:PPA13334 transcript:PPA13334 gene_biotype:protein_coding transcript_biotype:protein_coding MLCELLLILWSIPAAHADTEVTISIDCLRIFSGVLRQLQIRIGLLVAENVDPTAIAYSTSGGAISVALDRIKNETLLSGYNFTFFVRNCDCDPVLTMGAFAEFALKLDVHAVVGPPCGGLFSGTMSTAYSIPTFMWGYTFLAELANDTRFPYVSTITATSLSLGYGFMKLAEYNQWDKIAILYTRDSTKYCDNIITDTLTAINDPNTYQNTLVYTVAYFHMVKADQFQAVLDESDDTNYTARMQGAKDRARIIVLCLSSGPSKRKFFAKANLMGMTTNEFVYVMLSVKGIGFGQSGNAPERLASGYIPFWVDTVNNNTDGLTALAKQGATRIINIDLARRSDSAAKSFNVDVIKRITGAPLYCNSTACLNAVSNNQSVDIQAATFARSLYDAFYLYALALNRTLAIDPVGGLTNANLINRNLAGTFYGMTGDVTITANGTRAPFFTVSALDTTQTVAYYFNITVDANQMQQGQGCNDCAAISSLASADNLWAPWGSKPLTTPICGYQGNACPKDFWDQNGVYIGIGAALASLVVLAAVAVAVYVYRERKKEIARLNSLWQISHRSLEKHERKKLDQSLRSLTSSTVTVTTKGSKGTLSSDLQETSTTAFYYFNKDLVMASKHETFVKFDNTETIEFRKMRTFDHDNVNRFIGMSLDGPQILSIWKYCSRGSLKQIVELGTMQLDSYFVFSLIRDIVQGLDYLHSSFLQCHGNLTSSSCLVDDRWQVKLSDYGLRSVRDRTKKRGLWQAPELLRDASAPPTKPSDVYAFSIVASEVITRQPAWKGRKESMDELLYMVKRGGPGAPRPLLEVPEGIELNMTLLHLVRDCWSEEPAERPTIEQVKSIMRSMVNDRQQNLMDHVFAMMEQYAGTLEEEVIERTRELVEEKKKSDLLLYRMLPRQVADKLKLGQSVEPESFECVTIFFSDVVKFTLLSQKCTAIQIINLLNELYTSFDTIIENHSVYKVETIGDGYLCVSGLPNRNGTEHVRNIAEMALDFMAAAREYRVTHLPAERVTLRIGINTGPCVAGVVGLTMPRYCLFGDTPGLIHMSSEANNLLVSAYAGQYTTQSRGDVIIKGKGVMETFWLMGRANELTVMLNPPAIPPPTELVPAPAVTASVRLDTVNDSGDVGLYTEYLRA >PPA13284 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:111750:113214:1 gene:PPA13284 transcript:PPA13284 gene_biotype:protein_coding transcript_biotype:protein_coding METFSVGHHCQYVWLQTFTTEMFDMSIGITMAVVAPGVAIHEPRKDSRVWQGSLQEH >PPA13420 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:876662:879319:1 gene:PPA13420 transcript:PPA13420 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFLLLAAAFVAILAEKQTYKEFPLHKGLPDGSVLTIYFKHNDWEFIRTSPGPVHLFSTMKMTNVLKSVSIPDNTPAYLRLERDWYYNVYSNTRILIHVSTCLSPILALFLRFGSPPTPPPYPKMSLKEYRTAEELKQLLAKELEQDPLRENPITALLDSTLRVVIEGEFPITHLDVLGYPSENPQYVYCMELSDVQHPYMHVRAPPVSDVEEKIAQDGARFVIDRYRNQILAQGRLMISTDQPTSDVFESVLAEIFDGKAMKIVESECGMFYMTKEQRDKLMSMEIVPPEGFNLQPVDVSKDGDTIHSSWKNGISADITKARLSCFPSISARDQNDNLAGWAITGRFGQISNEFVVPEHRGKGLGRAVELAQAQQLARKGRRVFKYIEMTNEVVFATSFRSSMWTMWREDGDRKPIYFRKFEITN >PPA13430 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:909313:915453:-1 gene:PPA13430 transcript:PPA13430 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLLVAAVISGCLCENTVNVRIDGKVTCSDSEFNYRVKLWEEDKSNHDFIAEEKAEGAEEEDYYSIGGRAHDGWFESYVEPFMTIEYTCGKKHECVCKFFKHTNVDLTETFNIDLKHHTYKRCDACSQAEERTHRQKSDNDGWFFLQRSTVFICGL >PPA13377 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:578309:580292:-1 gene:PPA13377 transcript:PPA13377 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSMLSTTGGEELQRSTFSVLGETSGVASSNVSRSTSGGSSVIDVPRETGHHNSILNSFIREEQPSKLESFSRPPIPAPRSRVTSEGAASNGGPDETFDIKRHPAEPPTPSAHHYYHHQHQQHLQHHSLLQERAALQPMEAYYGEQRYSIGADAEDARIRPDSINASSFSLGLASTERIIVNDKEYAILNQIGKGGSSQVYKAFDDITGGSIDDAMSSWLMDNGGISNKYCNDNRSMDV >PPA13473 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:1206941:1208795:-1 gene:PPA13473 transcript:PPA13473 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIVLLSFFPLIAAQSRRECAEGAKYCDSAGGACSLVENDEWTYFAQCECYDGFDGPRCDKRIAPGDSGQRYHCEGPFHAECPKGQTLRMDYASFGTNFASSTCAFAKHTCTDDRSLAVMRQECDGRQFCSIENLDYAFPNNNCTGKKSLIYRYRCTSGE >PPA13403 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:743209:746532:1 gene:PPA13403 transcript:PPA13403 gene_biotype:protein_coding transcript_biotype:protein_coding MNVILGLALLGVAFAAPINEFFARLEMQGASKDYSVPVDYSNMTFERYLGDAFTDEQNYEYAVKHERELKKLAELRASEGPYEEVIHHVGNDYTDEQKAYHEQMMKEGEKEREELLARKARYEASCLRRNDVPEVTIDDFFVKGLKKFCMGFMNDDVLDQCRTWFRNWNLTEKFGYDLGYKGELRTVLRIWNEDILNNSSAPVPDRLPWIGRVIDVARYLRSIGTPWNATDDYFDEDKSNYDECEELFQRIKRWGRNWDIKETEYLRYNATTNTIYREDTTEQISELIGQMRDKGVPEAAIKEYLADGVEYDFGIYFKYELGYDAEIKKVVITRDLHSY >PPA13342 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:441113:441492:-1 gene:PPA13342 transcript:PPA13342 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEQCQSTPISKVCLICGEPTQYAHYGVDSCRACVDFYKRATSSGKNYACRQGKGQCKLSKVTLRINKDDHFVRCHVSTVSL >PPA13426 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:893310:896876:-1 gene:PPA13426 transcript:PPA13426 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFQPVDGSSYFLKFYQEWTGETQRAFLGTNEALDNGHSKSTQCTRDWMKPNGDLVHFNLTRIRYNVVEVRVLYKYTVVNSYRTNSEVICWMWINKDLSAVMDRPFNIMSHDSALLLHVKRSYPDHFPPSSAAEVLFDVSTRCSCYNGECQVCEYHPVPSWNAYFEKFEEGLAGDNCGEYPITHLDVLGFPYECPKFVYGMETSEIAHPYLHVRFPLSSESIEEIAIDGARLFESVLSEIFGDKVTRLANYECGLFYMTAQQRDTLLSMEIVPPEGFTLQPVDDANDVEIIHSCWKNAISLDITKARVSCFPSISARDSNGNLGGWAISGRFGQISHEYVVPEHRGKGLGRALELTQAQLLARNGRRVVKDVDTDNAFAFEGSLKSPFWTLWKEDGETKANYFRRFQIQSDS >PPA13336 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:414941:416351:1 gene:PPA13336 transcript:PPA13336 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMEIINTTSNFLNTYPICPPILMAIKQRCTLNFYAVRYCNRRYEELYGKAELNARYQVKEAHTMAVAMKPVYIASYVIKK >PPA13410 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:785032:787365:1 gene:PPA13410 transcript:PPA13410 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVLFSLNGEHYTEHEKQEMNEMINEEVAEENNVNKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKRKGRILKKKSKNNSLSFSVGPLLDLRAPPVCRFTSICGVCPRGEALAVEERPHCRSWLVSSFLHDPHPLALLPRCPCAPRAYDLAVRLTLLSQRREHEALAQAVDEMQPGDTPLPWASTVTPSRAANWTSSAYDLSVRLTLLMQRSNAFL >PPA13330 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:386192:388484:1 gene:PPA13330 transcript:PPA13330 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARSRPGGGGGQGGAGFGGNQGFDGAGQGGNNLGFQGQQGFNGGGLGQGRGGLGGTGGFGGVGGLGAAGQGLGGAGLGGAASGLGGVGLGAGGLGGQGLQGLGAGGLGQGLGGLGGNLGGLNGLGANGLGLPGQGLNAPGLGLGNPSALGGLGGPGGLGGAGGAGGGTGPFPRAANGPLPNCFVNPAGFTCCNQGMNSAIDNVMAAMQREPGYSRCNTHRIVQRIEAALKAMPGNAQQDFEIIVSLGDFAQVVHFQGDLGCKSGADGKFILAYGTPRNDGAATTRMRIHPWSVVHIDQLELP >PPA13450 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:1027847:1028041:-1 gene:PPA13450 transcript:PPA13450 gene_biotype:protein_coding transcript_biotype:protein_coding MIENGLSIRFEKKEKLGLLFTHIYQVDDGTIYYYRTSYKPPDRLFVKWE >PPA13419 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:871051:875207:1 gene:PPA13419 transcript:PPA13419 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFLFLIAAFGAVIAGESGYKDVPLPKGMPDGTVITIYFEHLFGAWWELGPSAPVDVDFYDEDSTGNPDKLPENTPAHLRFERDYENNVHSNTRFHQKWQTDAVNLSEQGLDETPPKDGYWRLDIIKFDTFRLNVYFQGFWSDVHIKYPNANLVPFTFEQFKRFPHGDNAVQHKLATLPGFPKDGSIELVAHMRAIRGEAKSGAKPGIIFTSTDGSQPYFLKIHQEWNSKTHQMYLSTTDTFKNAGHPTRTACSFDWWTPNGDMVHLNLTRIRYNVVEMRVFFKHYKPNDNYTNWETLCWMWIPSEITTQMDKPFNILSRDGGLLFHIDRSFPDAFPPSNATDAYFRVKPACKCPSGYCMNCDAYMDGKYVNCAKERVFPITPECEYF >PPA13305 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:190199:191060:-1 gene:PPA13305 transcript:PPA13305 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIPELYFLKAEEYEEKIKDNVYGRVAARQAQIDKKVQEYKGEIANREDQIHTMQTEIDKLKSALDMALEKLARIELTNSDQIKTTSDTNTKVEKALADFKQSSEMKYQEQEARINDLENKYKRLLRMVDRSGNEPE >PPA13296 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:152311:154168:1 gene:PPA13296 transcript:PPA13296 gene_biotype:protein_coding transcript_biotype:protein_coding MYVHQSCEKRIATCERHCFAFRKNKDTAIVEARVKTLNMTKPAVDEKDCIDRCTANCGGGECGPACQGLCTSQFSYDDRKEYESEFLELLGRLNKLTA >PPA13261 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:13170:16362:-1 gene:PPA13261 transcript:PPA13261 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSECVKSTWRHQLPANTSCYQLEDGTFFLFNEVPPYALCAVVKGRRVDVALPALKEPLLCSGVLGNGLYFYSAPPRRRSFKFYRASVNQDAVEFMKIREVQNGAEPLKILYRLREGISVAVEQEKYYSSYTVIVTVPDPSKTAVYSRGDSEVLYVIAGDELLIIINHTLAKTFRLQPFANRSIDFSSSLAIVGVHNAQLVFSALQSSTSDRYLWSAELKEQHCDKSAHIAQSALTVSKPPKTCYSSSTSNLLFLKNFKPLKVLGHGSFGWVFEVERNLEGIVKWNRAVKRIALRGSPNAINNVLKEVEAMEKFNHPGIVSFHNAWTEQPPSGWQRESDSILLSNLGYGGCLCQSTLSTWIADNNVRNMSKIKQWFRQIVSAVGYIHSRGKFHRDLKPCNILITSENALKICDLGLITDCQIESGLEKTRTRTTAGTPLYMAPEQKSLVEWMTKRTHSERPTCEEILRDPFLF >PPA13456 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:1110809:1115228:-1 gene:PPA13456 transcript:PPA13456 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRRNNHLEESDDEGRPNADEVIFADPATASRSRLDQRGNQRGGGEEEELQLDPLPPMFSKYGDFHTIDWQRDLARDRLRHKMIEGRSRDFPLGLLKAAWDAGAGWICVLMVGIAAGATAGVIDVAARWMSDLKDGVCADRFWLDREHCCWSSNDTIYKK >PPA13370 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:556288:556537:-1 gene:PPA13370 transcript:PPA13370 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVAPANDHTYFAKKEQLFPFLQEDSGIPTKQFLSVRAMDWLTLSASREPLSLRSRVTSQET >PPA13275 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:82459:84351:-1 gene:PPA13275 transcript:PPA13275 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLVISFDNEHAVIDEEFLTDGIAAIDCGVSFMHWGVERKGIIVDMCGTKTGAVDSMMKLSSDSFFDGSASGSKRKRRRNESFSSASSSRGNTPFPSSIRSESASIPSSSPVVSKKKQAAVKPPTFIPPVSSSTLPPSLTTPSKTTTAFLAAAAPSASAPSLFTPSLRHTAPAKRTVPLGSPQVPSALPPTLTGNQDPLVLHLLSVVGNLSSEVSTLKNLMVNQFTAWNALIIGAANRSEATARETEGNKDRMTRIETALSEVKQGMDTLLSANKQPDFDYAPYSTRVLVDALDCGQGAANLATDLEESVFGPNDPDLKRSFNNKVDTQKRDWVLMCVLHRRKASVGLARKDTQTIIINRMNEYARKRKAGRVGKKGTKGPSIKGNTAKRLKVEMRGSDDEEQESDEGDPSSNNEMDDE >PPA13320 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:304259:309720:-1 gene:PPA13320 transcript:PPA13320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sinh-1 MVYRVISKTPDNLRTAVFEIPERVYLRLVAVVAASTRCRHVATYNLELLDKAEPLDLDKTIASTGTSEFVLIRRNSSRGDWHPRGSVGAAAAVVADYAPPPTPSGAMGGMGFDFPLAVSASVRPLASIGNEESAIAAFTVDRLHKYKNKWPAHLVLRWNWIEVTPVPTERRLSNRFQGGGQKSNMIAWEDLADAKMRERRNPSGGLPIVQLSITWLPAPGVAQTPTTPLRYTGFKKSCGNNSSGCSLVLQQVRQLVLEINC >PPA13264 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:35801:38440:-1 gene:PPA13264 transcript:PPA13264 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLVRKMENTVWSNKMSSGVEVVLMMGQVGTMARKIKTGDPTKAKKQRIMFKPGLRNQSPFQYILTNALANYTTPITLVIASRKAIVATAIHVTVAKYVEKRYDYHIDYGQELYALGFVGVFSSFFPVFPVTSGFQRSVVGAAVGGSTQLVFAPSPWFAMSPNCFPPRLDTESYTDLN >PPA13329 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:378121:379300:1 gene:PPA13329 transcript:PPA13329 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRKSLLLVLLFNLITCHKRPSHKEEMGFMIPRLVKCQKCGWKYADCELGPIPESSKSKWTCTDCISFWNVPKWGGIETIPEGDKIIHNTCALDSFLATLISQHRLDPRLFEKIGTASLFEKYLRSMLMDGNIDQVKDELIKKIFSNKIDKKGRYDMWASECEILDRLFEYSSKLLFNLKYRTCSERKKLTRCHFETQKKGDSMKQVVYDSILGQSDCQSCQGSRQILNVTSTAWFIPVDISLQKESPSRCDEIGEYKFELGGITLFGGGHYVALIPRDNKWILYDGIKTVKMRYINPRTIQDRTISVAFYYAS >PPA13339 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:429468:431947:1 gene:PPA13339 transcript:PPA13339 gene_biotype:protein_coding transcript_biotype:protein_coding MRYQVLILANALVLCARTAIKDNIYQIQAYDSAVNDRRKREAVQTVQPVLAAASSSSSLGGNQRSPRHISGITASYGYPLLPPALQQQQALLQQQQQLQQQLAAVQLQQQQLQQQQQQQAYLQQQQGQQQLQQQQPQLLNLAANGFAPNALQLPGVQSQLAPKSNAPAGKDGSSYRTGPKRKSTHYAYPPRMPLPLPQCFHNPTGYVCCNEELNDLMVDTWTTLEQRPKFQPCNVNLIATHIQLNAQRHFNTSFETIVAYDDFAQKINFRGDMVCKVELGNRFILAYATATDAYNHDLAGLRREKRETTEEFSCRGIPSEDD >PPA13277 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:88866:89962:-1 gene:PPA13277 transcript:PPA13277 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAVLLALAAVVVGTVDAMCFDNSKPSPPRDVIKPVHIVDNIEACKTECWKETACLAISHDPISNKCVQLGAEKRGTCAGKGFYPHVKCEDTVDCDYFSEGTVSTTTPTPADRSPFCAAINIYGNLPPTECGTVPSEMACSVENQCYCKDKKEIYGVYGVNIICLRALANRSNMRPFQFACAKFRL >PPA13308 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:212591:213257:-1 gene:PPA13308 transcript:PPA13308 gene_biotype:protein_coding transcript_biotype:protein_coding MIMIVSSYYCEHPEEPRVIAPGASASASEAATADMIRRASAASAASSAHPPRTRHANELIYTKSSNMMLQDDSR >PPA13401 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:721886:730561:1 gene:PPA13401 transcript:PPA13401 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIYRASLKEYHHLEAKYDWPTLKETSCWKRNNQIRMGGKGSKEGKEGEQLSQLELNYYMGVQTTPESRLHQNTTDSNTGTAEFSKPTLTTSSVNFTPSAFATSASSRPTTTSPVCPTSSFVPRQVQLRPSATPASTRPTTTTPTVGHTDTASATTASSRPTTTTKEIRSTTTTPSSTTSIVTAKSAVAAAKWRPVGCTPASDDEEKVEEQVCNDLGKPRKVLTARRATATQPTAPSSILPECRPAEAQSNPFALNLAQTSYDDPYGYIAEAVRRTAEEVRKQEEAALRPTPYPRMIVSSQPAAAAAPFLPAKDSAMATTPTAPAPRKTAVLAIVDPSTPRTIPSELPTASPSLPAASIPPVACGNSVSAASTAAASATGERCPTDIEQALSLMSVEERRLGAPTAPTAPAPRRNAVLAIVTPWENNSSDEELEELPSRPGTRHAASSRQITRVCAAAPAISRPSVPTPSTSTGYQWPADIDEALARLSLADASSGISSQRKAPVAAIRINPPIPAAVPTPKMTTVTAVAAAAAATRPPMVAEAIESVKSAGDWMALLRRHAELKEAQRRAMSDSRTTVAAPAAAAAAAAASNQLHPEAPAPPAANPTWRFPNEETHDAPRVPAPRAIASASTSAAAPDPYSSHAPYRFACDDDPVEEDQYTSSAGWKPLPAAPKATAVVSASSAAREFAAAASPRPVFQASSSDSSSSEDEQGVVFDYDSVAPYASAGDGRTELIDRFNRAMNDNPSLIKKLESMRSLSNLTHASLCEADTPLYTLSVGHKHICVFEPGREKIIRKRREVLEISDIEEGQIYRTTYITRDGLGDFFLPTDRYRDQLTERPSFIYGTPEIYRQLIIPALLLGSRVRDFEQRCATVIQLGDLVLNMPAQNNKRMKGTKLKLRTISGIIFEVRVKNVIACDADKRWVVECDAPEQPLPRKVRQGALLEIMGGYDVQPRVTGALMNYYAGSRFNFRTKSGKQKRDRPSDIGHWELLECIYGPHLDRYLSPVNSVNPMIHLAKNGGVVQLNPQQAEAVARYNCPVCPAFVVESPPGSGKTMTAAAMAVSYKGDGVQLFLSTANVPVINMALAMAKLDYGSLKAVHFISSEREDLMTEETRSPFSVLSLAKANETMNEQITALEAQLAYAPNDEEKDKIKAAIVMACGPVFADTYDIYFATVDMILGRLFKNNQNGKHKPDTIKKQLASSVRRIVVDEASQLTEAALNALILSFPDAQMVLIGDSKQLPPFRYSPRDVVSKLAARPALSVIKDKMNLPVITLSRVYRASPSMMAHYSECFYEGGLESFKPESVKSLLSCFGSRSKGSKCLFWKVDKGVAKKWGTSKFNFAEITSLRYIVNILRNSGYSEKEVMIISYYEAQRKKAEEELKDVGDYELLTVDSAQGREKKIVIVLTTRTSVPVQNDSVEAGEFFTCALRCNVAVSRHQEALIVLGHPSIASAPNWARVLSPKYFRHVEDTRSWKGGWYVPICIPVVHCDQTSSFLK >PPA13376 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:573762:574607:-1 gene:PPA13376 transcript:PPA13376 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKETERESFECDICGKRVTTKGSLVAHKLTHEPVIDRPYKCDMCTAAYTMPWALKMHKQKDHVNNGKQTTLGDSNDNINEYDKNTSEESESEEDGDDQ >PPA13436 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:932539:935147:-1 gene:PPA13436 transcript:PPA13436 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFLFLAAVLVVTLRAQNTLGDVTLYSMPAGLPIGAILTFYIKHVGNDDYPESVWINFYDLPAVEIDKVYDVPAHLRIERDWYKPVYSNSFMNYEFRQENEVVREQPLDEELPSNGWWRVDVIRIRIKLPNSNVPPMSVEKFKTDMYSDRFVTGLSFYAFPVGSHMEFAAVLRSSGGAKYKTPALIFVNTDENGGPAPKGTPEFALKLSQHWDGYTQRTYIGDSRGQTECTQDKPNGDLQHIKIERVAKTIVTMRIFYKPSTGAKCVECRHTVPEDLAKYLDQHFQIESRDGALIFHLDHSVPRFLELQRDSRTAE >PPA13362 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:505316:510924:-1 gene:PPA13362 transcript:PPA13362 gene_biotype:protein_coding transcript_biotype:protein_coding MYTDRLLCLHKSSEEEGKEDKKEQGTRSHFPLSDYGEESFGETRIQIDYLHGRWNSEKDVVDVTSSGANEVVEISVHKPAWFGFGYDLYKTIPLLPYIDSFKAYVYPSALCVFHSLVGINQLTAVMCPFRHKELWRTKVLLTICVIAWSLSLLSSVPMAFFAGAIIMQVATIGAYSALFIIVRRATVAPIGKQPQRHCTVESILIRSARRERSWSSSGGVPISFGARSSAATKALFVFE >PPA13394 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:685576:687212:1 gene:PPA13394 transcript:PPA13394 gene_biotype:protein_coding transcript_biotype:protein_coding MACLQILLLILFGLQITHATCFISESTTTPRVGYGSLRTNSMGDCEAACEADTVCISFAYSAAQKLCVFHNADPVDRRVLPCGAAASYERWMKTTSGCPTTTTTSTTSTTTLTTTTGCVTTIPQADPADDPCILQAYPELTSRVIGEPPCPRRNPDGSEGPLLVVRAYHRGGALMTLANIEVLTPGQPDCAALLRAGKSSHTEAAKGYVCATIRKYEVACPCTKTAIVPAGATCDPPPGVPDINICPNNLL >PPA13454 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:1085890:1093268:-1 gene:PPA13454 transcript:PPA13454 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLGISVPPLHAGVRLDLEALLAAFKAKNSVRFEAFAECFAALKMSLLFAGRQSAAELIEFEEHLLQLCAAYMMSVKSGEIAGYPEKFGSEAKPDIPQYERSDRPPEHLPSSIPGTTREAREDVSYVSTLVERIFGIYCCYSFFYLQPKDYQAQIRISPSQLRDIMDFLDERLRTEKHLDTMACLYKLLNEGAFRITAFELTYDPACHKRYEAEDEDECCLPPGSDAPLERLKTLTEDRTMQQLEILHKRYEESKRQAGFVGVTHGEIDIADSAQRILEKAKVAIQLDEIRPLTERRGGTAAAAAAAAAGDDPFSRLDIKKRAYASGVTASRHRRYAFADGDATVAGLDEESAEDEPTMSKSKKGKKAAGGGGKKRGIRENQFAPICFSIAHALAV >PPA13358 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:492944:493701:-1 gene:PPA13358 transcript:PPA13358 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCLLFFSFLTIITSADFLTEGFEFIDAQEIVRLLNTPGASKYGEYRNAILCAFSFCDGQDRQGCEQQCYQSAHKFDSAIVKKHRNTLSQREPDVDVEACEKECKIDCGKNDCSKECTLWCSIHFEYENRKEYEAEFKELMRRWRSLHPE >PPA13349 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:470897:471354:-1 gene:PPA13349 transcript:PPA13349 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTGEDATAGFMQMMAKMMEAQRKQNELIEARMESQQGLIESQKEAMKQQNEAIQALLSERTERSDTRSEQSESLGHRGPSIDALEKQIRNFSYNPEDGVTFDTWIDRHREIFENDLKDMADGDKGRLLLRHVDDRTEEDI >PPA13396 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:693652:696754:-1 gene:PPA13396 transcript:PPA13396 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFLGSALLAIAFAAPIKDEDGEIHPSFPAFPQFPSFPIVTDGPDVAPAQEEVTTETPTTVVPSVAHVSAGLEKLFDAPTAFPTHIREDVPTHTDASPEISHEEYNARLIEYEQEVRKLLSEHGIPEEAIEEYFDAKFHLLPFDDEKIVRFLQFFEKWAPKKSRETAIEPETTDATMSDEEHNEAAINGEDEIRETLRKNGVPEEAISEFIEQEFHLLPVSLDKYMHLLAWGRKWGLEQVSKYRWTAKNGGRLYLETPGEAWKVDVSEPEAQKIRQNNQKIREVFRSMGLPEEAIDEFIALGLTEEPFDEKKQELETKWTMKWKFAFPGARHMQQQIGKEMTNEIATIFLHTYMDQVNDMIKLTSHLSEFKRDLLLGAMAHVGEKVQKKYGFIDNDPNRPLRTFTE >PPA13298 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:158778:160468:-1 gene:PPA13298 transcript:PPA13298 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEKWKDTVELKANAEHEWISVAKAIDREKTMVDVHVHNTRGGSNTIKTFTYSSGFVKFKMEGNPYPSNLIVTISCGKISLSIGLVYVFFKRILGVYVIDIETGDLVFRDYDDLSYENDTIVGAAVIPGGFITMNIIGEMNRMSGNAQIRQHRSSSVSSSARGILAFDMLVALLVAAATASERMRSINI >PPA13269 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:56132:62123:-1 gene:PPA13269 transcript:PPA13269 gene_biotype:protein_coding transcript_biotype:protein_coding MNADSCLAFSYNPIVTKCVLLGAERQGICAGAGFYPYLKCSGTVDCDYFSEAVSTTVPSPTDRSPFCAPVSEFFDRPSTMCGTLPSDMALLRANHDNSKDNNGPFFCQSIEPLPFATCMCGLPFTMPGVREGNKLCGSKVPEVYKNSFVLGMHGWWSSKMAQTVWPIVYSLSFPLLSYNFLYRLIAVKYPFSIDIFKKPLVIFLMILLAFANAAIWAGVTRSWWFADKNSINYTKDFFIDEHYPTYLTHDIKNVENYNKGFLEGFNPQGFVGSAILGAMMLGAYTDPRLSDRSARLSMQLFRALVMQAIIPMFTAYAPIAFCCFLPPVHPGLLRALSALLRLASDKTVVKAQFFRKTLVDLLCSRSGRRTSRIFALEYEMPEQVGNARLKRKLSDVYNSCSNGPRNMIHPTHLTTSA >PPA13266 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:48397:49991:-1 gene:PPA13266 transcript:PPA13266 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASLPVDAVARILKFVDVEHFQNISRRWNEIVLRHPFTKPAIDYISFLKLVDQWNFQIVLEKRHLNYFGLANWRKERVENETVTVRMEMLIKTDEEKEKLLNRLGLLFSRASTIAELEVKWLYQLYLIDSVMGRVKIDEFVASTHMVYPCQIGQVAKFVKEHTVRKFVLNQACLNLVKLFAEVSLLVHCIEYNTMDKRIRSYAMKSTTWNEIRDEIARENSFTVELDMFKLSENNPFKDIIVRFTVTRSF >PPA13407 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:766224:769466:1 gene:PPA13407 transcript:PPA13407 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDGLIYDVWASNVEDEFNKVRSLIKDYPYVGMDTEFPGVVATPLGESQVRQKFKSNSRFYFGKNNVIAIALGKDKTTECATGISKGQCGLMFTSADKDEVIQYFRELKDADFARAGFKCVNKKYGTFLHLFIQDPLEQFAFRILGFAAVEKARHAHLARQRSVILSITPGTHNTEDAINRQLRDEGRVAAAMENFNLIYALNKCLGSREGTELNSRVDDQRDLNEEKIERPVLYICL >PPA13288 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:121010:124654:-1 gene:PPA13288 transcript:PPA13288 gene_biotype:protein_coding transcript_biotype:protein_coding MRECTKSGKIMVRMLFLLVDMYSFTDGCFTLFLPRPIVMFTSFETIKESLISQGDTFAGRSHLPPETLLQMHDQTGLLISDGDVWRNQRRTSLRILRDLALEGNLEGQVNRSIDEMLKQIKDKNDGVTPYNIAVPIQLCIGNVINEILFGYHFEYDDTDRFDMFNGIIAKHLRTVKDNFFVLMVQAWPWAKNLPIIGEEGFKKPYQNVKQYHQFIEDEVNKVSEHFHSDHEPTNFVEAYLGEMQKNKELDLENLHAIVVDFWMAGMETTSITLKWALLYLMKNPLEQDKIRAELLSVVGRDRRIQMSDKPKLPYFIAAIAELQRMANILSFVFFHRCTEEAVVCGKSIPKDTLTFPQIYSVLKDDPLFDNPEEFRPSRFLETDGKTVNKKATERMVAFGMGKRQCVGEGLARTEIFLVLGTLLLNYRFEPVGPLDFNPIFGSVLYPRPYKCSVVPV >PPA13408 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:770056:772228:-1 gene:PPA13408 transcript:PPA13408 gene_biotype:protein_coding transcript_biotype:protein_coding MALGEVRAMAKLEHPGIADVDDDIREKFGFHQMPFCQYSLAEWLTWDENRRNIKQWMIRQRKSLFKQLVSAVEYIHNNGLIHRDLKPSNILFVDENHLKICDLGIVALEVEDTRSNKGTPMYMAPEQGCLKYNFKVDIFALGLIFAEMCIPAEQKPNIFYSIRYNQLLNAEIMNADTIEFVTWLTKIKSEERPTCEEILQHAYLKEDSIYRVFEMLSKLNALVRMQRRMAERLDEMLIHKCPRCAKAIVKMAFDHSSLTDGCCSMISFR >PPA13311 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:279141:280567:1 gene:PPA13311 transcript:PPA13311 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSVHLVAGIEANEQVFGYGATTNVVAENNNRTIWPFVFVASASYVWSYGAFVVTTVLIYRALRTDGVKLTKKTLAMQRRFWKMLVLQGFVPLLGLVSLSFVRRIKKKEEPSESNSDSKNRRASSSRTATRPVESGL >PPA13383 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:612956:618427:1 gene:PPA13383 transcript:PPA13383 gene_biotype:protein_coding transcript_biotype:protein_coding MMELKLYLRDARPMYELSVMVMSKYEMHTDRDKIHLGVIATIDTLAIITNITLMGAIIFKTPKPLRTYSVFLLNNAIIDFFSATSSLLGVVRLVDNHAEGSMIFVFLGPCSMISEYLCRLSQGIHVNLVLQSTIVYYFVQEPVTAAVTEQFGLQGYMTANFYLLGTPALMVNVNAVVLSPIVMTLIFIVRSKLLNKIAQAKTDAQQHRLIARALTYQMLLPCGVACAASLWMLDLAQIWTGELMQRLIMSCFNFFSLASPLINFTMLPPYRALLPFAPKANPSVASQQPTPSSPHQKAFIENRLDKSIHERVKEGINHAHEEGQKIGKAVDDNANSLYQGVKDGLDKAGETVHNTVNAVYEGVKDAFDRAGESVHNTAEAIGNGVKEAAQGVADSEPTEVLLKSAIIDREHHTKMDESSNFELMKAMMEQLKSQNDAIQELLKERNERAMGAEIRGRMGPSIDALEKQIRTFSYRPEEGLTFDQWMERHREIFENDFSGLEDGEKGRILLRKVDDKVDKQLRNHIRPKSPADLKFDEIVAVMKDLFGDKRSQF >PPA13465 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:1167560:1168764:-1 gene:PPA13465 transcript:PPA13465 gene_biotype:protein_coding transcript_biotype:protein_coding MALKQITLKEVSEHNNVVSLWIALDNKVYDITKFLTEHPGGCEVMLEQAGKDATVIFTDVGHSTDARTMKEEYLIGEIVEAERKVYSTVQKKKENTDKCSEDKAQKPNSIVSSDTIVTSAIIAVSLALIYYLFLV >PPA13371 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:561168:562380:1 gene:PPA13371 transcript:PPA13371 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNSTLLYISATKSPKEMKTYGTVLGTLYAIRKGVPSKRATYTALAVCLLPNVVNVFYFASSDFIHGEEIVPELLRLNRTCFELVVSIHPLTCLYFVRPYRM >PPA13432 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:927808:928659:1 gene:PPA13432 transcript:PPA13432 gene_biotype:protein_coding transcript_biotype:protein_coding MRLALLISMILVEIITCAKTVTVAVSGKLTCSKKFTYTVKLWAHDGWFESDVEPFISIEHTCGKEKACVCHFFPPTDSDIDEELDIDLEHNSYKWCSGCSQEH >PPA13392 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:660937:672267:-1 gene:PPA13392 transcript:PPA13392 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAEDSLIDGGSMKSTSSACLVSTPTSPPPTSLSSAFPARASSSSGHKSETPSPCSIAPPASLRTPEQLQQELQSVTRYAIASLATCVLRLDFWVDDQPETVWVTNYQVMNTARDYRSVLLHYFLLGRTATESHRKLIQTHGENAPSLHTCFNWFTRFKRGDYNLEHQPHPGRPSSRVRGRVLRELKANPKSSVRDIEKTIHIPKTTVARILHDAGKTPKLPQVIPYDLTTAQLKKRVDVCQGLLHRRSNFNWVSHIVAMDEKWITYDNPERKLQWVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVRVPPKLLSEGKILMLMDNARPHHAKITQKKMDKLEMEWLPHPPYSPDLSPCDYHCFRSLSNFCRGKKFKNRDALVKEFEAWINSKPQAFWKRGIETLPDRWRQVRANRRQCGFFCKLALDSIVQCLGMPEKTARSLKGQLEGESALKDDDLAELIMKIKTDPTLLREGSLVVVGSLLLALCIRLIPFDYRIPLASGNYDSRYRVLLRHLAVLLGAPWEEFEDLEVNLSHLLIADEYVETELAMHPGQSKKAREKASRGKKIKRYLTIGVAGGVGGVLIGLTGGLAAPLVALGASTIIGGSVAGATIVAGLTTTAGAAILGSSMGVAGAGLTGYKMNKRVGAIEEFSIETLSEGTSLRCVLAISGWIDKDTLPEMAFTHQWRHLRAAAEQYTLHYESKYLKELGSAMDYLMSFAVTHSWISASEAVTE >PPA13282 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:107844:108965:1 gene:PPA13282 transcript:PPA13282 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVLTVISDIIIVLTLPLHCRYLYVMLRVNAKVANLEYAFRASIVNIVVANLLYSLIFLLIQEPAAYGVFPDFFMVPSSDRKANSWWLGKVAIMQSVPLILLGAMIHFLIAVNRVTALVNPTGHKKGSFYTITSPLGSEGLAMGIAVGIGGLFEIITICLYIFIGFQVRRLKVRIN >PPA13272 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:70677:71544:1 gene:PPA13272 transcript:PPA13272 gene_biotype:protein_coding transcript_biotype:protein_coding MPENLLLLADQGILTRRMIGDPAVGENEKSGAKRDAMTEARTSPPFSLSSSLPSLPAGPCAREGPPLCLSLAVWTSVEEGVRLQCN >PPA13283 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:109318:110554:1 gene:PPA13283 transcript:PPA13283 gene_biotype:protein_coding transcript_biotype:protein_coding MFYAVLKFANAYNNAVAPWVMMAQYRIIRRLLLGSSRAPATNRITSNPEPRNRTSPNCEPQSLRIAHSLVTRAGERECKIVMAVHIPSLTRKSSPEPEYHLLPPYRP >PPA13347 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:456613:457621:-1 gene:PPA13347 transcript:PPA13347 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPASAIAAFKAQQEAKDMGEGTSKTPPTTPIAAITTTQTIVPASLPWPSHQPAANIPFFDAETYKTVNLNVKASSQDNGLDLPLNDITTLRFFFNLGVQQSRAVLLSQLEEQLRIGTLQPP >PPA13268 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:53847:54682:-1 gene:PPA13268 transcript:PPA13268 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRLNILTIESTCPLLDGPWIHANGIIIAEVRMRVKYPLVLQQDINQGIERSSLMKHYL >PPA13279 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:94440:96454:-1 gene:PPA13279 transcript:PPA13279 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEESQIIFDPSDERLGGSTNVYQVEDGTLFYYDGYEIYIHKVYFDHNINRIEFLAYRNLLLGEKVLENAICVRDISGKEYAYRMSWDIAAHGVEVEEGREVIGVIGNRIFYRTERAHHWLWKTNANSYLVEIRERNRFLESHNARNVRVYGRMDSSTDVYVTNGLHLAVVRDGAVEILSFTGPEEIHSIVGVHNGVLIILGKDEGKRILCTAKLPERDLEAFAARRASSRQSEELFEEIMGRNEKLQADLNASIVSHALQIQAMQSTIDHLREENTQIRHRMDVMEEVLAQVISRTDDANNNG >PPA13468 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:1183684:1185061:-1 gene:PPA13468 transcript:PPA13468 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHVLADMCNVICCFGHMDRVIPAYWNIIFISYGPCTLVSPILCYVFYNCFLVASCASFYTTIASFLARLHILKHGSISPKKIWSLVGSIIVPPCAALAIGFAFAKMPDSEAREIYHEFAPTENLTGLVITGERLFQE >PPA13340 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:432071:432597:-1 gene:PPA13340 transcript:PPA13340 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYVDRMISVVWPLMLKSDLSEMEYYALFGLVMWQLDPCQEISEKLHAIAETEANALKSEEMQTYNLLDMLTADVSFLQLVMQIAF >PPA13331 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:391246:395970:-1 gene:PPA13331 transcript:PPA13331 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWIEVAPDIILSSLYIFLLIRLGSSNDDYFKTPFFILFSTTGVYSIIAVVAYHVLNNLGAIGSTIGKIYIALHRYFVLRTSDFSEKIMKVVTVCTYLIYIVVNTIFTFLTSRELVRLKKLLELAIAIVNSLGLIELQVAIRVWYPLVNGLATYATPICLIILSRNALNNFGAVAATIGKGYIAIHRYFVIRTKDLSENNWTSAVIVPLLMMQFVVPLFLVTPD >PPA13303 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:185688:186438:-1 gene:PPA13303 transcript:PPA13303 gene_biotype:protein_coding transcript_biotype:protein_coding MQANGGLVVKRFANTSKGAIWSYSAADVIMFKTDRDVRLIGVGLHLGEGMNTVSKYDEIYEAVCATNTMETTAQKIRADMKITAPKHSLSMREGSHATSIASCKPCFELAL >PPA13446 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:1006988:1008778:-1 gene:PPA13446 transcript:PPA13446 gene_biotype:protein_coding transcript_biotype:protein_coding MASREKVLDFVVKERAEDLVSIVQIDNGTLFYWRYSSPQRLYTKNQDGEEIDAKLPEVTIACDASHGDAIYFSTKIRIFKTVLTPTGRVEVTYGENDTTGGKLNRVRSDGKKYAYGMWDDPETGGIIPAAVSTDDRLNTPFFYFKCGTTLYALNTESMTVLPPLKINNIESIDSFAGVFNGVATLQVQMGNARYVVTAQLPKEYIGKTAPDNIRSVPVKNIPVGVKEASKKPPPIFEG >PPA13263 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:30535:34890:-1 gene:PPA13263 transcript:PPA13263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sulp-3 MNRAPRHTAFSGAKHMILFQLTGLFSSVALLSVILYIGPALEYLPKCVLASIMLVSLKNSFLKIRELGQLWPTFKIDAFIYIASMLLTICYDMVQGLLFAVVLAVATTVLRSQWPKWHFLTEHESGTYRECREGYERGGFIVFRFDGPLLFTSVDRFTQAVNRCISIWSKKRAAEFVPLEEMQGNAERLDEKISRFRSKRWIRKPEKTRDDRVHLVVDCTRMPFVDYEGLRTLKKVYKDKSAEGVDIVLVVYQADLLKKFDATDFYQIVGRDRVFTSIEEAVEAGGIKNSPISHPPLIQLDSMRASSVESASPPCSIEDDDCPDSSDSEASLEPPTPAPIGDLLPVSLSHRTSRPSNSSSLFDFESYLSPSSPSTTSPTPTPSTDSDEAIRRVGSMHFFTTIRV >PPA13378 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:582152:585364:-1 gene:PPA13378 transcript:PPA13378 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVICANVHEFEKLICLTCVVDDNHGGHVVKYDVKLEKIRGELREKVSNLQTKVEEKQQNVLKKAEQLGTMIEAVKTNFTKIAIPTSIIGQLEKLASAQDAIDYEEIVKDLSETIINQCETLTGAFDHTLNAAKIYRAVYTLNNGIEFDFMRLKQEDEGWHEGSIYSTERDGRTHIVRMFDVSDSDGIPFDVTDETLIEPKLMCVHREKAIYVSEEPGTSQPSMHAMSETMRKTIAELRKRNSELKKENELLKTVHEADKRELNSIINELRTKNAQLEQEYNRLQKNNNEENDEEV >PPA13389 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:643598:646760:1 gene:PPA13389 transcript:PPA13389 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHLSEDDPRKNRFECDICHKRFSQRTNLDKHQRLTMTLGRRPLSAAHVTTLDDPNKKNFKCEVDGCGMQCTFASNLAKHMKTHLRPFECNICVKPST >PPA13405 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:749974:754685:-1 gene:PPA13405 transcript:PPA13405 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKPAMVEWMLGSNVSLREASVRQNDREKKRRRDEEPQLTPEEKNRYENILDYIDEQAFVHASSELPDACFSWLLDNFDFGLKYLMLNQASATKRKLKRRDRAGVTHLVESRLKLQTTFTCSVCFEEYRTHLAVSCVVQQKEDTVDEEPETHSFCAECVQGHAKAALEQNVIVRSGIGIKCMEQRCANILMRAHIEQVLDAATLAALDPEFANEAILAAGLQAENN >PPA13424 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:890750:891306:-1 gene:PPA13424 transcript:PPA13424 gene_biotype:protein_coding transcript_biotype:protein_coding MWIWIILGVCAFLCIIPIIWCMCYKCRTRGPITPLPAEYPRQPAMPVGTLTA >PPA13434 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:929834:930283:-1 gene:PPA13434 transcript:PPA13434 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKADRKLMSFPSFPVGSHIELTVVLRASGGAKYNQPAILFVPDTSRKHWNTYFLKIVQQWHEGTEKMYIGCDFVHNP >PPA13280 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:97042:99351:1 gene:PPA13280 transcript:PPA13280 gene_biotype:protein_coding transcript_biotype:protein_coding MSEETLQFSKIKFLPFGTGIHQADDAIPRHLLIIDVQFLRGSLQRPIGEFVRRTIEGKNYAYRICDDPESDKILIDDEVECRPLIAVHRKRAYYSTQVECLTEPSIRNLFDDVYVVEFPFTFVIPNARESSRYIYFIDGHRRRPSAPVTDKNKYIRKPLDPQAPSHSRHEHDAVLASSCFRDVFSGKDSTKDAILPPYDYCLMEAELPDGYFDVIPVDAASDAHAMSAAEFENTTDEVSETIVTLSAMEVLEKDFDADVELDEVYPSAEEYHEYSDDDSSEATITLNSDEYEEGIVNELSEEITKLSTDEREEDIIVNDIPDYAPDDVPEGMARREEKVAHENHDHMQPLSVEDAQNTVDEFRVSEVGEEQWILN >PPA13416 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:852723:854498:-1 gene:PPA13416 transcript:PPA13416 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQSCTRFEYNEFVNRIDCLCDTEHRCDDKIIAEQTLKSTAVTCIVGNTRGLTGNTCQEGYVTSVNDVSQLIEYVMCKSNKCNEKWETANSSVNITAPVCDHAMPETTTREEVKYVVTTTSMSEHEHMEAHFIGEWSKMINTIENAFNDIFAGFGAFHG >PPA13285 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:113978:116025:1 gene:PPA13285 transcript:PPA13285 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTAFASFNNRCWSADSYQSTSTTNFCSDKPQPTTSWQGGNNCSSCEVKSYSTSPDKQEYCRLNRLSAIEEYVEFELYVLRPQSCVRISNGAEEYVRCVCDSANLCADKLFAEQKLRSSEVGHIITNDDDCEFAICNKPSCNSNWTTAMSNTPSTFIHYFDGLFFFHLYDNCRNIDHPEHFYLYYLITFFDNFHVAYLVVDPFTASTISPSSSTTTSVTASTITNAPSTPSAKTTTPADSETSPYVESTPWYTTENAASMSTTTSIYISTTAPSKQAIFESEYMKFFSTMKQALDQFIGKLIELYR >PPA13442 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:972082:975027:-1 gene:PPA13442 transcript:PPA13442 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFLFFAAVLVVTLRAQNTLGDVTHMTFTGGLPYGAILTFYIKHVGNDDYPESVWINFYDLPAVEMDKVYDVPAHLRIDRDKNWYKPVYSNSFIHDEYSMNVFLQGYWSDVREFKMSLNGIKSVYVMPCPNVDQKSVQIRIKLPNSNVPPMSVEKFKTDMYSDRFVIGLSFYAFPVGSHMEFAAVLRSSGGAKYKTPALLFVNTDENGGPAPQGAPEFALKICQHWDGYTQRTYIGDSRGQTECTQDKPNGDFQHFKIERVAKTIVSMRIFYKPSTGAKGVECRHTVPDDLAKYLDQHFQIESRHGALVFHLDHSVPRFLELQRDHRIV >PPA13472 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:1205324:1205712:-1 gene:PPA13472 transcript:PPA13472 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHPPVPSRTRSEEVIAASCSMTPPERSTTGKRTKCAGGSITLVRPWPETPNKTNLMEERSSDTAY >PPA13364 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:512422:513784:1 gene:PPA13364 transcript:PPA13364 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYKDAFTGDVLCTEECPVTTVDDFVYEFTGRVSIRSGDSIVPEDDFNGDGFDEVGIDFVLRHKLAPTGKQISSLDEFINTYGKSIVEQVTKAADFGGDEEAFSNQAMSWASGLFEDADRASKIQFYASPNFVEGERGQICLVEFRGEQQVPTLILLKAATVTEEQ >PPA13333 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:400165:402056:1 gene:PPA13333 transcript:PPA13333 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKIISEICIVPKLIYRKDGGELSGAEFGADACASATSPLRIGQKTICTQLTDRVVRVVLGDGTRRTLDNGAVQCDQEDAVIHVPYDTGSGKLRVDFVAGPSNCPCPDRWTWDKNSAVPQKKAGKYNIWCRAGAWIMEYNPYGLLVLEAA >PPA13422 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:885643:886675:-1 gene:PPA13422 transcript:PPA13422 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSATEEYKQWARAIGPIVYYTRLTIIICVVLIWMRWKKRKDARQAASLAAQPAAVPAETYSTAPPFTVTTSYPPVPVYQPPPPPPLSTSPGYPPTPAYPTVPGYPTPPGYPPVHGHSADPVCKQPPPLSMASGYPTDPGYPTVSYVVNNSN >PPA13317 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:295600:297370:1 gene:PPA13317 transcript:PPA13317 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEPVYAYAATMNLVPENNNRTIIPFVLVATLPSYVWSYGAFIVTTVLIYRALRTDGVQLTQRTLTMQRRFLRMQILQGFVPLFVCGFPVTLFIGNIVAVIFKFNKNPINSFRNEHGPIDHNDDCVDICRADCAGPRLSHIRPENEAEGRVGIFEQHGKESVVKNRQSLETPEFQKWCNEGEKARDNAKN >PPA13381 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:596365:602282:-1 gene:PPA13381 transcript:PPA13381 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNYARRYTKAIVSSGRLEINYEREMKKAKAIKANREFESPALTIAASQQRGFHAVISSQLNHRKKRKHKIDGHSSKQTSELQTSTVAFDELFDQCSCSICFETIKCPNSMFFVICANVHEFEKLICLTCVVDDNHGGHVVKYDVKLEKIRGELREKVSNLQTKVEEKQQNVLKKAEQLGTMIEAVKTNFTKIAIPTSIIGQLETLASAQDAIDYEEIVKDLSETIINQCETLTWAFDRTLNATKIYRAVYTLNNGIEFDFMRLKQEDEGWHEGSIYSTEREGRTHIVRMFDVSDSDGIPFDVTDETLIEPKLMCVHREKAIYVSEEPGTSQPSMHTMSETMRKTIAELRKRNSELKKENELLKTVHEADKRELNSIINELRTKNAQLEQEYNRLQKNNNEENDERDNKPYSSKTDVFSLGLILTELVAVLTTSQRNQIFDEFRTGKQCSLITDRTTANFVSSLTQVYPNDRPTSREMLDHLFLT >PPA13467 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:1177536:1178886:-1 gene:PPA13467 transcript:PPA13467 gene_biotype:protein_coding transcript_biotype:protein_coding MERGNVHYLHPAMFTAMFIVFVLITPMYLIPNPRPSQSLLCHANERAVTAAASAVLTLQALSPALVMTGLWTYALRQTQLVPTTVLLECTVIFFAELPALLNPLIVFACIPVFRGIVRSALRGVESTSKLELTMSSNAGAKN >PPA13428 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:904735:905519:-1 gene:PPA13428 transcript:PPA13428 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFCHVVAKEVEKRPENGCRSSCIDFANRLADDIDYEWSDAGLYTAVKDRNDCVKALGCSDLNFLTESGGNKWRCESLCAVKFYYPDWKAYQDELVVVLENEAKSPRLPFY >PPA13448 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:1018226:1020413:-1 gene:PPA13448 transcript:PPA13448 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLTLIGYSALALVAYRIYTAVYNVVFPYFLGTPVDLHKKAGAKWADFCSHRLPYPIIAVTGATDGIGKAYATALAKKKFNVFLISRTQSKLDETKKEIEALAPGVEIRTFAFDFGATTRAAYEPLLSELRAIELGILVNNVGYSFEYPEILHATEGGLERLAGMTTINSLPPVLLSAVALEQMSKRKAGIVINIASGAAYHEASHWNVYSAAKRFVLHLSGILRTEYAPAGVTVQCISPMLVATKMAKIRRSSFFVPSADAFVAQALRSVGLVGETTGCYAHQILVEAVNALPAFARDSIINKHTLVMHDGALAKRAKKMNDALGGRKNA >PPA13326 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:365017:365437:-1 gene:PPA13326 transcript:PPA13326 gene_biotype:protein_coding transcript_biotype:protein_coding MQALEASGYSTNGVSVSNTVNATEAFILPNAAPSCIADYYVSDTKAVTNKCAATESRFDAMPYNVSGTITVSFPLALLMNTYWVDIATKVWGSLAVNAGVKFVGLIDVI >PPA13270 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:62691:64852:1 gene:PPA13270 transcript:PPA13270 gene_biotype:protein_coding transcript_biotype:protein_coding MHNNRSRSPASLEEENRTLREALTRLSQEKTKCDEEISRLSSRITGFQLGSSWSTGNFRSKFGTEFDVSRILGIGGGGCVFEAKNLLDEWKYAVKRIAVDPNDAKIKKLLREVRAMAQLDHPNIIRYNSTWIEEPPEEWQYEADDEILAKINSKKRQLLDYNKNSVFIYIQMQLCHFSLAEWLAENVTPESRSLPRMKTWFKQMVQAVDYIHEKNLIHRDLKPANVLFAEKDRLKICDLGIVTEQKIDDGVEITMTRTGAGTEEYMSPEQRSYICRVNAKTDVFTLGLILAELCVVMEDYSKKVKIFDNFRSGKPTNIFTDERTAEFVGKLTTSDPKNRPTCKKLLDDLYLAL >PPA13345 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:447702:449729:1 gene:PPA13345 transcript:PPA13345 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDIANIIVSQIHDIPDDIANQELFGGIFLLEMFRYYVNGAYWAFDFAKPGTALYTQLNLVLQETQAKLRQPRHARVEKKLTTAFLLLSLSVILPTIAFNARPMYVVDHPDVPTLGRSRPDRLTLRMDRMLEMVHVLTDHYVHSE >PPA13274 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:80113:82267:1 gene:PPA13274 transcript:PPA13274 gene_biotype:protein_coding transcript_biotype:protein_coding MCEEEGRVPGVTRNEMNSSGRERGGINSSGRVGGVGRVAESNIIVSLWARRKSLAGIGPTYGAKLSEAGSNIIVSLWARRKSLAGIGPTYGAKLSEAGFDKAYVLLDHLLLVKKDEEL >PPA13299 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:164703:166713:1 gene:PPA13299 transcript:PPA13299 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVPLPLRMPSDTLRSTKGKKLYSDDNEYIYRVSHMVGADTKLRCVKAGCGAKATIGASGEALINANTTHTHAPSDYPLEVYGARKAVKFLRSQDVAPKAALTAVTAQLNPAVVPFLPSAAALKNGAQRLVPALTSSNYPYAPQTLLITRDGRAGHLFVPTVFALLKSKRVEAYQEIFDSVISMGVTNSPSVILMDFERAISTAAKRAFSTSNGLVGDYKIPTVKTTIRCLAALAFLDPADVAVYYGSLAAYSAISTPQCQPVIDSFGRNYVGLDTTGNVHIPMYHLEEWTVRDRILRSFHASNSAQESFNASLKGIPAKCAVSHLEGALLEVANYWEDEQTKATQSNLYLWQYRKQGNKRRSDEENRRMALANGAMGQSNLDHLKSLSMYLPVLVDCCCYG >PPA13291 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:136506:137303:1 gene:PPA13291 transcript:PPA13291 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSLLLFAAPPIAVMHSVKNTTVPKEWLEGFKHVDALDFVTRMKFAGATKDNAPAKDKYVYLCRYAYCHDQKTPKCNDYCGFAARVCASDCGKNDCKKECAYLCEKHFSFKNRKEYEAEFNELIGRFGPQQ >PPA13438 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:938030:939629:1 gene:PPA13438 transcript:PPA13438 gene_biotype:protein_coding transcript_biotype:protein_coding MENTIAALTAQLAEFAQERKHILKANQEVESSAEELQQKIVDHAREMVEAQKSFDDYKTESESLVRSLKLENCVRVKEKEIMEAELYQTIGGLRNENDRLKRELDQMVNEEMDTEKLVSDLRKVITELMHEKDRIRSLNEDDEDVEEDDYINELRNVIANLTKEDRGHEHVEQDDLPPPPPAVLAAQACRVSGYATGSAAPELVMQSPVPVDPVSSSIPAPANHSPQESRLVTSTASFTFDQPMSIAAVIREVIPSRRSAHHRESEEAEDEEDQNWED >PPA13435 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:930335:931947:-1 gene:PPA13435 transcript:PPA13435 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLPSGPNNDKPEPVTIDFYDLPMVEMDHVYDIPAPLRIDRDEEFPVESNSYVNGAWLNNSKMREQPLDEVPPSYGFWRVDVYKHDEYHMNVFFQGFWSDVREFRQSLNGLKSVYVISDFVNHLSVQIRLKTPSYVPPMSVDEFKTNMYSKADRKLMSFPSFPVGSHIELTVVLRASGGAKYNQPAILFVPDTSRKHWNTYFLKIVQQWHEGTEKMYIGCDFVHNP >PPA13337 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:419735:421500:-1 gene:PPA13337 transcript:PPA13337 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDIRVAKVRESEWAYYNDCLLRKECNSTNSFEKMSEHLHQFRRPSDKNDDPILNILVVFLPSGFKANFVERVGNILTTIMLITSACNLFIYLSMSRKFKEVAKLYFFRVVPIAKRTRNSKNLNAKSSQKITNF >PPA13445 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:996007:999461:-1 gene:PPA13445 transcript:PPA13445 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAHCRQDCLIGIWNYKALFVPPNTIKVQKVGGVNVKDNFPSIKGMRRVRPGFVSSNNNISIVVNCGVVNRFEFNSDHRLVRMEISIPNKSRHKRCREKREFDRGSFTVNANLLASMPLARPISATDAYLQIQAFTKAAASDCWRKKKTSPWISPSTRKLLAQRHLLKGKSHSAIAYSIACKAARISLVDDIRKRKEVQLRKAAILGRSIVKEALNLHSSRKRLLVPDPISGAFSQDATKTAVRIFYEELYKPSVQLPLGIFTCSNEPLSSLMKPETPWIRWNSTRHALLCERPHCLSTC >PPA13313 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:284041:286392:1 gene:PPA13313 transcript:PPA13313 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQCCCGILSHKTGARLVASSMIIYDIIMTVLEFVIPPTATPVPAPSTTGVVGSDGFSLKEAFVRAVKSVVLAEQQSTGVRIASTLAFVLDIIATIQLFLGCRKNKSILLVPMLIITAQSEADYALQILWVILHTLSYLAVLIAPELIKDLNARSTGPPIVAKTLFWAIDVIFIIWIFTVVKECYTHIRDIERY >PPA13352 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:476252:476488:-1 gene:PPA13352 transcript:PPA13352 gene_biotype:protein_coding transcript_biotype:protein_coding MESVQYTEALGGKIEDGPYDTEALGGKIVDGPYDGYHLLQAIALPSRLE >PPA13360 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:495117:495847:-1 gene:PPA13360 transcript:PPA13360 gene_biotype:protein_coding transcript_biotype:protein_coding MPAENGSSSSEFIPASAVREIKQEFEAIIDDQAKRIRKMQTSLDKLREDNEGLKERMAKIDAILAQARVIEEPQSPKVIKKAQPTMGKQVQPAVVKPAEPAFVQRSQSAIVKQPPPQVSKPVQPSILKTSPVLQSALKKNTTPLPKSKIAIEEEWDDC >PPA13462 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:1148471:1152653:1 gene:PPA13462 transcript:PPA13462 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGLSKLFYKKVPRECAYRLEPSPTQNTRLENTPTLIYPRWEQVPVYKVREVKFLVCCDGWEFVDGFCQRQTPVHEEVPIVVAPAEAPVAEEATPVVDEHDHEESSIAVAVPEETPAPVEEEHVKEIPIEVVDVPRVVEEAPLVVEKHEETPIVVVPEENPAAEDASTAPEPEVNMTDLELAQTLFRGQQSLDRNFNSIVTALHRYSHPPVAEAPVYPSKVFEEYIDTPEQTEPTEEPEPEMFPMSNESDDQEYPEEQHENHWREIIKHIGMPEDQRQHDETADNEDDQHHEHEETAPIDQQFVEHWRELIKHIASAPVQQRVEEESEGNDKQHHEQEPVQTTPTEPQPEQHWREIIKHIVASRPEVHEEEHEQDDAAVAAHAAAAAANAAHRAHEGAKAEQMAAEIAGIFVKELINALPQEVAAPTNPATPVEHGPEPSIPDEEHRPEPARLIECLLCGLIGFLACVFLVLIIATIVCIRNKRRRADCVITAARPVIVP >PPA13474 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:1210494:1212214:-1 gene:PPA13474 transcript:PPA13474 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLCFAVTLSLLGTIAAEDCLKFSKGSYTIIEDCKNGCEYSYKVDDGQTRKVEGGCARRQPNSNMDCSAEGSRTAACARVAAPHHL >PPA13309 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:217179:219867:-1 gene:PPA13309 transcript:PPA13309 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLSHRNYDCCGFFWAIRFVSSCQLPPDLNKKPDVVPTRTMPVKTIEEAEEKRSSSTSVDSAYCELSASSSSPSTSSTSSTADVSQTMMQVSPLVDKPTSMPSCIPSTSTSSLPVPPSSRLAVPAAAAAAAEPLAPSPIAEKPTLAVKGTRIRTAEPESPEFDSPTIVEELDETAGKAAKIADSPTGVTEFLDYSKI >PPA13421 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:883325:883749:-1 gene:PPA13421 transcript:PPA13421 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSGEVGVGKMVPYKNLIPNDARLFVEKSGGALSDDAFWVKMGDPHYIEKEEEHEEEEDEDAQCVSKKEKMREETEAGIVPLQMPYRELQSRVLLGKKSGAAYGCRLLPLRKYAT >PPA13460 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:1135327:1137042:1 gene:PPA13460 transcript:PPA13460 gene_biotype:protein_coding transcript_biotype:protein_coding MSETDCLTIANLSQSWVYNALVAFKLLIALGGVTFLLKQWKSHGARFLGHINSRILFHCYYAILRFECALLDFRLVLLLRGLVPISILSAHMIFVIMSIERLYSSLYPEQFEKSQARRQLTAVTALTVLADKTFRTTH >PPA13470 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:1189919:1195161:-1 gene:PPA13470 transcript:PPA13470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lat-2 MFSPADSPPAGPSFFSPASSSGASFRVASADAESFAPPQPTSLRLGYFVFSSLGAILSNETTRVNSQVIGATVNDASRSVQLPDSVDLAWVFALQSLIFSNLQEPVTLTFFHLHTSGVANPRCVFWDTFVSDWSTRGCQLLMSNLTTSVCQCNHLTSFAILMDITGELSQLENTALDVVTVVGCAISIVCLLLSFLVFSCFRSLRGLRSTIHANLCLTLLLAELVFVLGVSRTKNTVACSVTALLLHLLFLSAFCWMLLEGVQLYMMLVQVFEPSSTHIALLYLFAYGLPAVIVGVAAGVDWKNYGTENYCWIDTTTPTIWAFAGPVAAVVAINIFFLLIALRVVLSISSRDRSRADRLRGWLKGSATLLCLLGITWVFGFLTAVHGASVVFAWIFTLLNCTQGIFIFVLHVLMNEKARTAVSRWLRHGWCCFSNAESAANYNSKEYVTSRQRFLNMIRSTAADTGDNADETSGADKRQKRMRKTVKAI >PPA13458 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:1129016:1131183:1 gene:PPA13458 transcript:PPA13458 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVVANLGIKIPTHFACKPSNYASQDTISNRLVDSVNDVVANLAPFAEDIAQPEPGPGVCYENRTVSYNTTETVIKIETITTTHFCLDFSSGFKCKKEERKEIPTEVPTVKERDEMIAVCCEKYRFIDGKCVEITTPAPTTTPAPTFAPRSVGVTGRNGAQPEGTTKKPNGAAAASVIAMIPLLMAYLL >PPA13440 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:946721:948781:-1 gene:PPA13440 transcript:PPA13440 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLTLLGYSALALVAYRIYSAVYNVVFPYFLGTPVDLHKKAGAKWAAVTDATDGIGKAYATALAKKFNVFLISRTQSKLDETKKEIEAVAPGVEIKTFAFDFGATTRAAYEPLLSELRAIELGILVNNVGYSFEYPEILHATEGGLERLAGMTTIISLPPVLLSAVALEQMSKRKAGIVINIASGAAYHEASHWNVYSAAKRFVLHLSGILRTEYAPAGVTVQCISPMLVATKMAKIRRSSFFVPSADAFVAQALRSVGLVGETTGCYAHQILVEAVNALPALARDSIINKHTLVMHDGALAKRAKKMNDALEGRKNA >PPA13363 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:511162:511838:1 gene:PPA13363 transcript:PPA13363 gene_biotype:protein_coding transcript_biotype:protein_coding MPPACYGLTPFTCPEGTSELAHASDATNNKFADFGENKCYWGEKTLCCKNEILRLENGVKPKHCDGGFNNGCPAGRIELAVERSEVSGMPPAYTSFCCERDTMVLEKLPKDEE >PPA13301 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:175499:178065:-1 gene:PPA13301 transcript:PPA13301 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLGRGTAKYMAPEQKDSWAGYSAKVDIFSLGLILAELWVPMTDDQAATFRTNRDIRLLGIGLYVGEGKSRANGTAWDYGINGKHNVTAGGVAFDFRNSSLPDENYTTADMGQIPELYFLKAEEYEEKIKDNVYGRVAARQAQIDKKVQEYKGEIANREDQIHTMQTEIDKLKSALDMAMEKLARIELTNCDQIKTTSDTNTKVEKALADFKQSYEMKYQEQESRINDLENKYKRLLRMVDRSGNEPE >PPA13431 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:925041:925830:1 gene:PPA13431 transcript:PPA13431 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCLIIAAFISGCLRFEIYATVPSFCSLSRRDSDERTEVNIKVNVHGNLTCPEPFHYIEEDTISNDFITSHSEFSSTGKASYDISGQASDQWFENFVEPYFIVEHTCVEDSKFACLCVKFAPQNVDFVGKVNIDFKNTNLTRCEECDRTVKRRRDTQQRYTANYRPT >PPA13265 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:43813:47123:-1 gene:PPA13265 transcript:PPA13265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sulp-3 MGPISEEDISASTSGEDALWLPQYKWKVSLFGDLSGGLTMGVFNVPQGIALAAITGVNPVYGLYTAIFPSFFYILFGTSKHNSLGGFAVLSLLTAKAIERMDLEWSEANATAPIGMTAGIGSSSLLNITESIVGNGTAFYDEDSLLVDDVIKAGPNSIAIATALLFTSGVLQLIFGLVHAHYLTCYFSEQVMSGFIVGGVVHVFFAQIGPALGLELPIRNGPFYLYYRVSDLIDRFPNIHSPTVVISASSIVFLIVSKEFIGPWLDEVFFFPVPFELVLICFTLIVIVGITATNFAELASRHSIAVVGNMPTNGHLMT >PPA13276 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:86247:87068:-1 gene:PPA13276 transcript:PPA13276 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-baf-1 MASTSVKHRDFIVSLWARRKSLAGIGPTYGAKLSEAGSNIIVSLWARRKSLAGIGPTYGAKLSEAGSNIIVSLWARRKSLAGIGPTYGAKLSEAGFDKAYVLLDHLLLVKKDEEL >PPA13328 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:371346:372738:1 gene:PPA13328 transcript:PPA13328 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNDLVGDVLVQFVVLTPAVGFYCFGVVCRDGIPVNVPLALFVALGCLVAVGITMCFVFKHQTVVPETSLFKLNKLFYKLLHVGAWSACPVGFIVLLVIPMDYNVSDRAMNTY >PPA13297 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:155401:157925:-1 gene:PPA13297 transcript:PPA13297 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSKHDNDNRRTEKMKNARCIKMGFCNVGDIPVKDLDGFKHINAWRIAGSLHELDRGVVVGWKGGEQTYLLLECPLDGSRNWSGRERDTDGHTDLGTQLEGTKREATARAVPPRTDDYERNMKNGKDREEKESYLT >PPA13290 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:134849:135760:1 gene:PPA13290 transcript:PPA13290 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLLLLTAFPLSVYSCIMHTTSRMMAAGLEAGCDKTPAAVKYQLVCAFSYCHGPQNDKCYDICGNVPFDKEIIGAAAKTSMERRSPCEATCGKKNCTKDCDYLCKTHFSFKNRKEYEAEFNELIGRFGPQQ >PPA13415 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:840429:840908:1 gene:PPA13415 transcript:PPA13415 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSYQMYLSTTDTLNNAGHPTRAACSFDWWHPNGDLVHLKLTRIRYNVVEMRVLFKHHKPNDWYTNWETLCWMWIPSELTKQLDKPFNASL >PPA13387 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:637811:638636:-1 gene:PPA13387 transcript:PPA13387 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIVMQNVKNEENFSSDDDTVTGNLEKPNECEDESGNIKTSKPKKDYYALYKP >PPA13315 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:289666:290000:1 gene:PPA13315 transcript:PPA13315 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKAFPYGRFNATDDVMQVLESEFPKTHAVIKKRMDMFDRNVANIGKDAQDHLRTIGEIILGSFVTQ >PPA13267 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:51565:53735:1 gene:PPA13267 transcript:PPA13267 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSSISSFASIGLIGAGIQGLAATYSKTHINVVIIGQSIAGITTCLMSIFCQAATENAILNGRVYFGIAFTWTIVSVICYLFVIRSAHAKDRIDDCDPLVNEDEEDEEPEETQHPEDIQALHSRSLCDRCTHILQQCGPDMATALFVILVSCAAFPALCSQHISPPFAASYCTAAPMDLDAYFRCGLELRTRRQLQNLALARLLLLPLIAACDVQPRWHSPTLIRNDYIFVLLNMSLALSNGFCYTHAYVKAVQSVETHLRETAGSMMSLAGNTVGTIGCMLGVVIVTLINVSQLCGFQIHFVNICEKCSS >PPA13368 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:520811:524119:1 gene:PPA13368 transcript:PPA13368 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTVRLLAICCAVSFSANWQYAYSSTYLNTPVLQFKNYLNESFNGSLNDESYVHVWDLVQNIWFIGFFLGIWLSPVLNDRLGRKKGLLIANSTNFLAAIVQFVGVWQELPVLLIIGRAVASVATAVAFQAVILYLQESPPTALRGSASYCSEVIFAAMCIVGMMLGTDLLLGQKLPWLLAVNIIPCAISILIVILVPETPKFLLIRKKDRKSAEAAIRFHHGSDADVASVVEELLHEADEDSHAAHSFRDFLVIAKEPHLRKIVILSSSAMQITVSFWGAIYNSTQFMMDLDCSELAL >PPA13385 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:628186:630956:1 gene:PPA13385 transcript:PPA13385 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRIGKSSHTPRNWMIAAMKLAELPINVLHDIPHVHQITLNCKTEHAICNEVLKSVADKFNDSQLITQRQQLGEADRRSTCSPRPSRMNQLVSLALVGLLVVAPVLSVDIEEEENVLVLTNDNFESALEAHPQYQAAEALKDEGSEVKLAKVDATVHGDLASKFEVPEDWNTKPVKVLVGNNFNEVDKNWEGSARQVICPVVRTRKSLVLVWEELGEKYATSDEVLIAKVNSTQSEIGETTEEDKKGEHTEFGLMLY >PPA13453 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:1035723:1039919:1 gene:PPA13453 transcript:PPA13453 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSPEKLDRFIRKASGSANNSTLGTPTKSSERPNEQAKEAAVEAVQLDVSSGSKSRKELSSWMRNGLEMLAPFQKMVEEIMKENKENNQTTARVAVGATPPATTSHAASSSSTMTSSSRYSSTPSTSRLPAVPSTSKTPTTTTLLNFFTHSQKETIVPKMAASVTPGTSGIENAVPSITPRKLQLPTEQSTPAKMTQTNSGSELMLTPKNTGDTTPSKKEVDKNDIITLDDSDDDIQLVGEIPKSEQRSDAPEKQEDPDHQHADVSELPVDAVDEKEGDEDDGDDAMEGDSMEGITKKRKRQRKGDDLFIDMNDKMLLDVEDIEEGRKLTRAAIESQEIAASPVKKKKKRVVTTSEDSEAEGMNDESMETATEESMDEADERLPNEVSHISLEDRLGEDCLMFELQQWPKPSWIRAIASRSRIMDEEIKTISSGAS >PPA13427 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:897382:903919:1 gene:PPA13427 transcript:PPA13427 gene_biotype:protein_coding transcript_biotype:protein_coding MKLALLLSVLVPAYLCNISVKVNVHGNLTCSKPFNYIVTLWEEDQFANDFVGEDSGKESKKRAAFEVRGEAEDSWFESYVELLMTIEHSCGQEHACVCKKFDPANDDVDVSLNINLSKTKLKKCDACKESKKRRESMQHRELKSNLDQDGLGVATSVSTVEVEKSEISSYIRGLSFIHVPNLICTTVVNTVFSVLEVAAVAGVGVACPPLAPVVGGCLAIGGNLVGQAVTKAVEGDQALGYAQGIYKEQLQDLRNELNNNGWLLEENKEMLKDIKGIILSSAEENREMMRDMKKSLIKFEENVNKNFDDLKDFMIEKDFIQAGYSGADKYVVESHEKCQFIHEFLVKLKHTHTNPISSAMEKNVYLSKHIRTHYTRLLSEMVVKVIAIEGFHQGLTIATKKYWPKLVKDAVYENNNDDKLKNIADRIKKNLTGIHTSDGDTWGNLGKAFKYIFNDEKIRPHGFVACGVPGKIEQRLTNDTATIFTEKQQSTMDNPFYFGLSWP >PPA13402 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:730485:735618:-1 gene:PPA13402 transcript:PPA13402 gene_biotype:protein_coding transcript_biotype:protein_coding MDVACRNYTDDATNPSSFIVYVIRVDCRLNHEKDVTYFHASSSEFFITKNAHFPKDMKASKLFSLTFSHSGLAVFSTLSTTALQSYLNRQDYAFPGRQFDSTVLRSLLLGTRVQDYLLNAAIVINVTPLIIRIPHRPHLEDLLISNVRFITEAGHLLEVVVDDFTEEAQGHLELICSPLSMRSTIGILKNSRLLLYDEDHRHMSPTQTILEQCYQGTRPVVNRNLLDRLYGPNHSHAYGGITRKLISITKRGKELVLTPSLSEAVRRYEDCPAFVLTGPPGSGKTLVAAAMATSYCGGGGNQLVLVPSPSGLAALAQALVALDTTNKAFVLLDEDGEVESLHGKEDMDMEQAALLTSDTTGNQGSQHIGKDQEIDHGTGSVGGGNIFKKGKDEAHITELNQPTSKNCETFWELDNRRNENDEKPHIIVGTVDTVLKMMANQIRKDGSMDARLQSIPEEEETPFVSTRGQQLNSVTKIIIDEANQLTEPALIALTQCFPGVQTIAAIGDARQLTFYGLLSSELVGSDALDLFQRKLNVPSIQMTATHRHSVDLMSYSELFYGMQIPSTKLRRRDRFRLNVLSDFIDRNCLLVEAPGWKAIQAGDSSWINDVEINALDFTLQKLREAGQESIMVICLYEAQHQLARATLPDFYEVMTVEEAQGREATIVIVLTTVDKISLDVPFLCPNRCNVATSRHREALIIMGKQKLLTKFDPWSGLFEQQYFDVTYCDYEMGTMKSDHSEQQECILVHTRKTD >PPA13471 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:1195296:1197353:-1 gene:PPA13471 transcript:PPA13471 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAVSRGREAEIVSGETANHLKTTLSTQLYGGDITGSVDLTRSMLSLARGQYNSLDDRVVRQTRAQNFTQNLGDCGDSLLEGRALPVWEQLPSGARINQASDLMQSLEESAILLADYSYIEKQAIDYDHWAMEVEVRRTVQPQFGGGGGEGAAGAFEAAAAAPPAAVFAPTSPFAANADVPLPKLPEYQEQKPSDPKIANNFATIHVDIE >PPA13455 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:1095028:1107289:-1 gene:PPA13455 transcript:PPA13455 gene_biotype:protein_coding transcript_biotype:protein_coding MWFDQDSDCSAWTTWPEMFNYYSKSPFYYLVELFFYVAWAVGMSTLAVLLVKVFAPYACGSGIPEIKCILSGFIIRGYLGKWTFLIKSVGLILASASGLSLGKEGPMVHLGCCIGNIISYLFPKYGQNEAKKREILSASAAAGVSVAFGAPIGGVMFSLEEASYYFPQKTMWRSFFCALVAGIVLRLVNPFGSDQTSLFHVDYMVKWSFIELIPFGLLGIFGGLVGALFTALNIRWCRVRKENKMVGGNPIYEVVLVTLFTAAISYFNPYLHKSGTAVIKQLFDRCGPEDYMVELCEYRNVDGNVYFGSAFASVFWQLSVALVMKFVFTIFTFGIKIPCGLFVPSLVMGSLAGRLLAMLIEGFLRAVQDEAGTSMFSCQIGKDCMMPGLYAMVGAAAVLGGMTRMTVSLVVIMFELTGSLEFIVPTMVAVMFAKWVGDATHKQVMRPSADRSDGKELAVIVQDTMTLGEIEQLMRDTQYNGFPVVVSRESMYLVGYVTRRELQLALHAARQSQPYVVTASRVYFTAPGQAPMTVTDQMPMETIIDMFRKLGLRQLLVTRSGKVLGIITKKDILHFMRNASDHESIINPNFK >PPA13449 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:1023385:1026179:1 gene:PPA13449 transcript:PPA13449 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWDRLATVKFNASELLSITFGAATNGYPEQRARDWIADHFYFCLQANIAYFVLIFSIKFFMRNREPFDLQRKLNIWNAILAAFSIGGCLYTTPDFVGSLWNRGFRGSYCYNDGLMEGVNGWWVWLFVLSKLAEFTDTFFIVLRKKPLMFLHWYHHILTSIFAFYTFPVMSAFVRWVIWLNLTVHSFMYSYYFLRSIRVPVPGFVAKFITSTQGMKSRILLHVRPNYNFVISIVVLIACLVEHYVFKSMGDCDFHIPSFWFTLIMDTTYLILFTNFFLKSYVIKGGKDKYKKVATEPKKEK >PPA13418 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:869504:869993:1 gene:PPA13418 transcript:PPA13418 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVFGMCFNALPPMPSQARREVIVKSQTVASHDECKKNCWNHEACLAYSYFDAKCVILGKEVTASVCSVKNKDEVIGIKDYDNIISNHDYRSAAMLVGCD >PPA13356 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:486523:487230:-1 gene:PPA13356 transcript:PPA13356 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFLNSGRGFVIADKHQLVKDVAIPAREKHFPMLEKLAKEKGNNGLFVNASLTWVDLLIADHVSVLLKHLPGFLDAYPLVVDTVKKIEETPKLKEWIEKRPYSNF >PPA13273 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:71684:72676:-1 gene:PPA13273 transcript:PPA13273 gene_biotype:protein_coding transcript_biotype:protein_coding MMEERLNGGLQNINKNLETMNRRIDRLEDQQDEIADSMETQRTLIREVATVTPQIAANAHKAAEGTDTITEMLPSPPPEPRVRELDEEYDDFLSFAAALDREIFTASERSQPLSKRDKIRVRWMTECVLYRRRFSVGGGKKMWIAAMRKRLNTYASRMRAPREEGDEGVQGNAQEDEEEVREESGEEEQEVQEGRDETEGDESVRMDEMEEEGMNDGRMRGGSDMQGLFNYLNDDQFDY >PPA13319 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:301098:303924:1 gene:PPA13319 transcript:PPA13319 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPKSVSAALATHFGNALVSPAPSPLTDEERRMFSRLKILLTEKEQGLIESEEEDEEALVQESGDSDSDYDAEEDEKPEQRSRKPWTKELCDKMLEFYRSKDLEDGRRGASQSFKRMQNRFRAHMKTEYDLTLLRKYEKTGVIPSERYSAMRQLASDVRAKLGEKMKKGVPIHDTDIRKIALDLNKLNAASGNFKIDELRIKFLAVVRKNPGIVIINADQTGQVKEMHSTRTLAEEGSKDVVVEIESKSSLGHRTPHYLLGRAAAPYRIRPLGRANWILTSEESSGLVPKGHKVTILNIPKGGTSLAQPLDLCYNQQWKCVMRRLNDAILVHDIDFVLHTRDNLLRCISQVYWAFGAPMLKEYRKYGWYRGGFLTTHPAPFVTPPKRKLTAPMVHFDAEWVWFDNFTESSYHSLVSCREVMRNHLGELRCLPSIMQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLMFQIVIPSLESGEPIEAAYGGIPSPFDPGENNEAKRSGSLEQCS >PPA13404 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:746861:747691:1 gene:PPA13404 transcript:PPA13404 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTFTLLGFSLLAVALAATTLDSEEVAVEPETGTTSDFLDGLRKMGASEQAIDDLIASGIIKDLYNGISQELFDRIKEWGRKYDLKFVEKLEYDPETQKIFVP >PPA13413 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:794755:810623:1 gene:PPA13413 transcript:PPA13413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nsy-1 MLAHLPLIIYHHQDDQKLLALERSTFTPEGDLKSNPLGQSFNANHRPRSIPFRQRMKDILKGVQIEANAHSREKFLTDVRKVREIENMKESNEFLNKMRTRLDNPDVLSVDTVHALMMCYRDNQNYDGMICLVEDLSRIQDCSIIQQQVVRFLYAFALNRRNKPGDKDKALEEVLDMMAKDENSSPDVICLAGRIYKDRFIKSGYEDKETVVLNSLLGRKGVIDKLTDYWDVATFFEVSVLAEDYTKACQAAQKMALLKPPNFVRILDMFHTMYLRSTMENIKLINRCSATQSPVEKEKQQYLFWTEFLMEACEGEAGDIKCPRYPQFTPSFLTLNMTENTAVLCHVLEKGGSKSPLSTLGINRWQFNNENIKAVSNSKRDDRSMFLYVYENSDDFNLVFPTSKHCAKVMAVLLSMSAKNGEAPTTKMLSFDFEKTGMSFEYELDKSGERVVLGKGTYGTVYAGRDTNTQRQIVVKEVTVKHEEEVQPLMEEIQLHSTLSHQNIVQYLGCDLRRQNVGPDVFLIFMEHVPGGSLSSLLRNKWGPMNEPATVLYATQILHGLKYLHDQKIVHRDIKGDNVLVNTYSGVCKISDFGTCKRLAGLNPSTESFKGTLQYMAPEVIDHGQRGYGPPADIWSFGCTLIEMCTGKPPFVELGNPQMAMFKVGMHKMHPEIPNHINNQPMSDKFKHFIRRCFIGNAELRPSCDDLIIDPFLKPEKAATRASSMTKKQVETAKQSREMLRSTSHIGGMGVTERTSESQDEPNDLPPTAPSNAGKLRLLMSDPRTRTFSASPTTDSIGIPLGLHLSQPSSPINDDVLQPPLTASPCSVSSPLTHANLLNRTVSDENGMSGKFFMLKKDSERRHTLVHFMQDNCGQIVEEWLRHLRALPDLHDSLVVTAEMLQMLIFGMREFLLKKDPHQLQNSIDDIRRMLDFELGYISQINTALYTFSDAMQPVLRKLIIKPHWMFALDNLIRSAVQAGVSIINPDMSAILQVQDVAEISRISRESFSEGDIPEDSSRIKCLSLHSSCSLAGQRDERARRIKRRIVHPDSPISSPSSAAAIRDDRRVDRMSTSSSMAAYKQLQEENNRLHEELMETEKKLNDALTVQLQRNRSSLSRLRSSDPLLKSASSDPLPMLTASSSSGRTAIERCSRQREELMMWLRSLDVSPPIVDLIMVAEYTKADLLDFVTRQELLDIGVPGGVSCRIWRAIVEHREKSSLLRAAGHTPSLRRVGSKWRGSREDSLDDYHSSIADEMYTVAEMRSASANSN >PPA13411 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:787415:787740:1 gene:PPA13411 transcript:PPA13411 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIEAAAGEYFALGLDRVVQRGDDEASNRAWHTRWAEFRTPRKITGTRITA >PPA13375 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:569924:573373:-1 gene:PPA13375 transcript:PPA13375 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSRMSGIINAAFIKTLSLSSTDESGSIARSSIELLSVLTQNYVDPKPRNHLRMDTFMHKTPSKVARSRLQIIGAYRNHQGMDESEGKEAERWFAGEDNDEEAKRPFKCEQCGQRYTQSQHLQKHRNTHLGDDDPRKIRFECDICHKFLTERGTLLAHKRTHLEDEERRLPFKCEECGKRFSQSNLNTHKIRNHLKSEKKTFECGKCGQLLSAKHSLAVHQLTHGIVHPNFANLKCDLCERVFPNEYSLNRHRAIHSMY >PPA13373 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:564908:565285:-1 gene:PPA13373 transcript:PPA13373 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTNAFIANSRIYLVVVVLSFIVLISVKFHPRFISSPCGLARPILTIANPP >PPA13335 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:410577:413010:-1 gene:PPA13335 transcript:PPA13335 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGYPIVKFSEVESLAPDTSIHQIEDGTIFYAEEGLPSRLYAKWGGMEVHFNITAEISSVIAYGNAVYFVLDNQLQTKERELKEAMEAQKCEILTMQKIVNNKN >PPA13287 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:119221:120541:1 gene:PPA13287 transcript:PPA13287 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSQVSMIVVGSQLRTSRLRVYATYYARNRVCALAMAMKNSCDAFVIREALARILYWRIKSSDLLREACATLSAGAPDWNTAMGMATTVQQQQSRNYFDASAHNYHNRCDNHLINDSQTLHYDQHSRPSNYV >PPA13390 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:648495:651949:1 gene:PPA13390 transcript:PPA13390 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYTENEITQAPVVEERTLRSTNRKLTSPRRSILAREYGIVTGIVHRLTGYEDCNFRLSECRWEERSDGPNESRNGMSFTPPVIVKITNPIEAKDVSVIDAQYEVFSLLRSARIPTPEGIKTKAGEWWTLQELGMGVRLPVRVFRLLPGVNLENFDFTPDLVTEIGRTLASVHRTLDGFPHDISHVPFISPENVQCMRRETEILYRRKLIDDQKKALVDKTFADLDENIFRRRNLFDEGIIHSDFNETNLLVKDGKISGVLDFGDMHISLRVFDVAAAILYLHLSDRLGQRLDDLAAHFVAGYRSARAFVVPNDSLLVAMRARMACSLIYGLRTARINYRGGSIDYVLKTQSNGWETLQRMSARYVLKY >PPA13423 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:888834:889458:-1 gene:PPA13423 transcript:PPA13423 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIMPIIWLLIAMIVIPSIVFAILCVSCLMQKWRTSPPVYKMPAEYPRQEPIPSMVDPNSMSQAA >PPA13433 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:929262:929570:-1 gene:PPA13433 transcript:PPA13433 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFYGRSTGPKGVICEYTIPEEFVADLDLPFSFVSQDGALILHMDHSIPRLIEIEKEAKRSAYF >PPA13323 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:338490:353437:-1 gene:PPA13323 transcript:PPA13323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rsr-2 MYNGIGLQTARGTGTNGYIQGNLSHLLLSRRRVEYNAEEDIARAEAALARKPNEELLDHQRKRQIEIKVTEFEMLMENKGFEQEDIDKKVADYRRLLLSQLESGELNVDDDICLKDSHARQKYAAQKRAQWKEALKIDKDFKPGSSMQNMNKEGGEEDAAALAEKAKAEEMKHRLTKQEKMKPEGTTARLKKLVKVARKEQKRKEKEAKKKRKEKKKKQAAKKRKQAISSSSSSSSSSDSDSDSSDSSDSSDSDSDSSASSSDSDREERKRRKAKAKRMIKKTSEKVKKEVESDEGRRGDRGIRQETRGRPSPGRQSQRGQQGEKEAYWLVHSLLVSTGHLTVAHWRAAQRARNSSSEREQRRRSRRDSSAEEERGRRQEGGKDKEIKQEPASSDSEAGGGRQESANKSRRPGSRSASPYVDSDMSDDTRSRMRSMVTVVKKGNVVAVQKEY >PPA13399 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:715499:719618:1 gene:PPA13399 transcript:PPA13399 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLTGPEGPPNISDEPNNTRFIAYILEKHLNGDVTYMTATSRDFFIAKNARCPEDLEAGHLYCLEFAHSGQGVFTPLSSRALKAYTRRELNTYIGNTFDSIFIRSLMLGVNALNYTKYAATIKELEPLVIRMLKRPEIPEEFTEARFISESGDLVEVFVDEVAVFEEHLELHCSNKNYQISGLHKNCRLLYYPEQELPPDLTTRIVDELYRGSRPIVNQALIERLYGDADSFSHTKSDTTLLTFLTRAGQLLTLTAAQSEVVRRLMQSTEQCPALAIAGCPGSGKSLLVSLAAIAYRMAGLPGLQLALAPNRIALMHIEQALVSLRTTNCCFIRMEELDELGASQLSIMNHPDKENNAYIGMLARMKRGYALAREEEKAQIREYYPVLYGRALAVAEPDIILSTNDQALKMSLHKSPIFQRAVSRVLVDESNQVPEATLISLISCFPERPMFAFFYDEQQLGPSGFVKGKPLDLNYGHTIDISLPGSIPSLLAASSIPKVLQRKRNIATVKLTETFRHRAHSMPYAPPFYPRGIMPRAKLSQNCLDLTGLTPSTQGIYRSCVLIDVAEWQAIPSGTDWINDYEIRTLMHTVSWLQEVGRDQDSVLIITMFEAQRLLAKAHLPHGYEVVTIEEAQGREADVVIVLTTLDHSSSMDSHFRNKSACVVATSRHREGLVLIGKEEVLATIDPWSRLLERGFFFTVEIRGDSENELVEDRLDLLNFEVDSDVV >PPA13293 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:140310:142174:-1 gene:PPA13293 transcript:PPA13293 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFANSALYDIYDFKGVKEQEPDGLEPYSNNLYIYDESGAKDSIAKIATQRDANQRKIPLTLDGQRKVTVKNLNEPDHEKLVTQSIVLYVVKKTEAAQFSYEVIDTYYSQGTIITPSSDIITILSADFFGVQAAATTDANSATVRLVGFDNALDNNTDGCPYAYKTPFFQVNVTVLPNFDEKHSLTITNMKSFGDSKTVTGTSQQQFRFDKTNYILCEFDNMKGDQGFLLRYSSALIPKITTAGPISRSINYRLKAQRHQRRQPLPLSSQPPQAAPSKSLV >PPA13350 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:471656:473346:-1 gene:PPA13350 transcript:PPA13350 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSILLFTVLLVHLSSASNVFQSAITKDAKVWKVQAIQKLWDERKKMYHTPLELLNITGTPRTQFYIKNETASPSGTLKHRFVWMLLMWAVTEGKVTRNSTVYDSTSGNTGASEAYMCRLLGLTFVAVVSKDLEDAKIKQITQFGGEIMKVEAAERNAMAAKMAEERAGFFINQFGNADAAEEFYESGNWPYESANVFHEIGVKLRELKRPLPHYFVHSAGTVVLTGCL >PPA13437 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:936135:937989:1 gene:PPA13437 transcript:PPA13437 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSLEFERLELLPKGVWICQVDKGTIFYWKYTNPKRLNNEISAQLPDGTIYCKGAYGNALYLCSETQLYKAAYDLTNGIVFTHLRAKLEDEVLHFGGFCTRFRDGKTYAYRMGEDPHRDGVQVNVSEQVLNNLELRLMHRGKLIFVSKNSEAVKPSVQKLKENVLVVEMEQLMKDVLDDNINYNGDNTKQDSENHAEVTL >PPA13348 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:463743:464599:-1 gene:PPA13348 transcript:PPA13348 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNGNYKYTNLSANDAGRPAWVKPGIAGIGYGYNSAPIIFGESTSLDRSVIDEVARMPDMATVAAMRSLRDMGIDAGASTALNFLVALCKAIRHKQGKLSTLRKERLRIVFIMGDPGHFYASSYLNDRWVEENMVHAGGIKTLNCWKQKIDKAISHGSDFMDGAKKCAA >PPA13324 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:357375:359447:-1 gene:PPA13324 transcript:PPA13324 gene_biotype:protein_coding transcript_biotype:protein_coding MADVSEPLVFELRDDSIIPDNGHICQLDNGTLFYSSQSELCVLWNGQKFTANLDKECPGTGKIHKAVFECPRILITVVRDLLERELVHGNATCSRAHGDKYVAYRMDQDPGKHGIAYDGDGNAIGVAGDHIFLEGMDQSEQHWLWDSAKNTYIVNNFCDTVGYRTLVYARRRSPFIYVTNGQYLAVICNGKQYPMAYSGISEIHSIIGVHNGRISVVANMYGRMGIWTARLKNLEQTQTPTDKILDALHDFKLELKQLLKENTEAVERGSSDICSYLGGFDDQLVKISQEMEKIRLQRDTAMESLDD >PPA13260 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:9008:12212:-1 gene:PPA13260 transcript:PPA13260 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQGSSGQEFQSSRFKTEFKPTRFLGNGTYGSVFAAEKVKDTTMKCAEQADRMMITTLGITECLKNKNDCSFQYIQMEPSNILFDGSDGLKVCDLGIIADSDIVNKSVEGNDEPTSRTIRKGAQMKRKISDCQPTSINKKARNDKGAEKRNNEMNSSSGNSFKVDMFSLGLILAELCVVMTADEAAEVFDNYRAGKPNNALAHFPDVKDLVDWLTNVDLSDRPNCEELYLMRT >PPA13379 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:587240:587682:-1 gene:PPA13379 transcript:PPA13379 gene_biotype:protein_coding transcript_biotype:protein_coding MKELNGEIIVLDLPKGKLLCASLIYKAIISSGRLEINYERDMMKASSSEALH >PPA13325 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:360363:363625:1 gene:PPA13325 transcript:PPA13325 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLTQIFIFLLIKSSLAHKILVFNPTYSFSRVNCITAMVNTLVEAGNEVHVIVPEVANGVKVVGSKAHKTIFVPSLKGNPLNSMLLHKDPLASIDMRDAVHIQNMTIVEDAMCADLIAGYDHWMELKREGGYDVGITESLHAFGIMELLRVKQTMLFVPDPFFSSLALSQHLLSLPQRAFSLAASLATAQLLEMHVDREDSLLRAHWPQLTPLRESLARIALIVENAHPLLAKPEYRTHQIVPIGGLTVDASFGDVDDEITRMLDESTKGVVVIYFSSMGTSMTLSSRLKTILIDSMLALPDIMFLWRIDFDAEEQLPPNLHVFSDSLKSALGHPRVRAVLCDADATTFHEVSFSGVPLIALPLLGDQSYHAAAGIDRGTTVLLDRDTVTADIVTAAIRKVLTDRSKHRFSLTTNATALAKKLRRWPHRPIDSLVKHVDYVTEYGRPLGLGIPRVPFWVYYCLDVIVPSLIIIVFLVYKIVRLWIGGYYQIYLKMRNNIEKKRELEGHYDETEEEEEEEEPEEPQHHDHHHSHSHSHSHGGHGHSHEVF >PPA13367 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:517354:518630:1 gene:PPA13367 transcript:PPA13367 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFDDKLNGDILCTGNCPIKTAEGIILELTVDDKLLSKHLVKKEDITNYDQFMAASNKGGKDLDSKFKTWLKALFANPDRNKNLEFWTGPTPNGQICIVERRGEERVPILMLTKDLTKIEERSNSMMTGPHQPDVTQEIKARGF >PPA13400 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:715976:717288:-1 gene:PPA13400 transcript:PPA13400 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDTSSDLPDPGQPAIASAGHCSVDCIKRRTTSDWAAVSEREQRRVRLGVREAVGVAVEPFDEGLVHDRPAASVEFIDDPRGEVRRKLLLRVVEQAAILVQTADLVVLVGAVELQVLLEDGNLVDEHLDKVAGLANEAGFGELLRDFGSLEHPDDEGLELFDGGGVLGIVQSIHSQHEGADENGVEGVSDVGVQLATRVGLERARAERREHALTGVSELEAVQMASLKESGLGFYCSFNYFF >PPA13351 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:473783:476159:-1 gene:PPA13351 transcript:PPA13351 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRAVAVSGTLKHRFVWALMLWAVTENKINAKSTLYDSTSGNTGSAEAYMARLIGVPFVAVVSKDIEEEKIQQIKQFDGQIYKVESSERNAWAAKLARNNNGFFINQFGNADKAEEYHESGGYSEESSNVFHEIVEYMKFHDKHQHNYFIHSAGTGGTISSVGKYITRYLLPTMVVLADSEHSLFADYIFSLERTFLVTNTSSSTCGYTNHSSIDPGRPVWVKPGIPGIGYGGYNSAPVIFGQTTSLDRSVIDEVARMPDIATVAALRSLRDHGIDAGASTAVNFLVSLSKALAHKEGTLSIPSTERISIVTIMGDPGSFYTSYLNDTWVDEKMGHAGGLDSLNCWKRKIDESIKHGSGFMDGTIYCTKGCEN >PPA13286 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:116474:118052:1 gene:PPA13286 transcript:PPA13286 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPPWLLLLLFAHVFTTSNAVTCRDKIFGVPELVQPSEYETRSCEGDYCMATVNRTGYISRIYCMNGTAFASFNNRCWSADSYQSTSTCLCSTNFCSDKPQPTTSWQGGNNCSSCEVKSYSTSPNKQEYCSLNRLSAIEDHYYDGLFFFHLYDNCRNIDHPEHFSLYYLITIFFDNFHLPYLVVDRHSYVHFFF >PPA13338 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:423489:425118:1 gene:PPA13338 transcript:PPA13338 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSTILVGLCLLGAASAHFLAHTHSIAKSPQSKPNYPGPACTADDQAKTKTCLDSYFAAYGIDTTNGAPEFLYFMDLTNSVIQQYGTSGFDIYCDFETTLETCLGSLMTTPCMNSEAFTKMYGMNETEAVNYATSYAVEAYTCQNLEITKQYYPCIATHQDDIAPAIIDCTKKMMAEFEGATDVCVPYDHYITCLENHYVDFCDEGIRSYICSTEEIAINFTMNGQCAASLHKC >PPA13412 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:790611:791352:1 gene:PPA13412 transcript:PPA13412 gene_biotype:protein_coding transcript_biotype:protein_coding MSESAKDPEAVCPVPLPPRSAHPIHAVTRAATIVGSATMPGSPASEHRVTGQTNNV >PPA13366 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:515511:516189:1 gene:PPA13366 transcript:PPA13366 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWEYHRELFCLTDGYPDSLSSQYSLVYLIDERLGELKSLLDTPNAKSQERTNTAEICFVEKREVNGKTVPFLCLFKDATNVEEH >PPA13341 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:434933:435302:-1 gene:PPA13341 transcript:PPA13341 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAVAKACLVCGDSTKYSHYGVDSCRACADFYKRTISTGKQYACRQGNGQCKLSKNVASS >PPA13397 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:697074:698417:-1 gene:PPA13397 transcript:PPA13397 gene_biotype:protein_coding transcript_biotype:protein_coding MRELNAAVPKSAVRLPGLGGVGKREAKVPEGAPKPPTRAPGVGKRSVEDGSIGELDAAVPKSAVRLPGLGGVGKREAKVPEGAPKPPTRAPGVGKRSVEDGSIGELDAAVPKSAVRLPGLGGVGKREAKVPEGAPKPPTRAPGVGKRSVEDGSIGELDAAVPKSAVRLPGLGGVGKREAKVPEGAPKPPTRAPGVGKRSVEDGSIGELDAAVPKSAVRLPGLGGVGKREAKVPEGAPKPPTRAPKN >PPA13466 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:1175433:1176505:1 gene:PPA13466 transcript:PPA13466 gene_biotype:protein_coding transcript_biotype:protein_coding MNYHSDCLRRNYPNVVATFDAHKSFMLYDTGRLTGFLETDIALFIILSLHRTQMSTATRKMHKRFVRQLGLQAVFRVISRRRESHTEVRPISVSSMRATEK >PPA13372 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:563576:566771:1 gene:PPA13372 transcript:PPA13372 gene_biotype:protein_coding transcript_biotype:protein_coding MQNGQKQLVIGNSCGIEYKLTGDAMNPIEATHHNARLFRKAQARRLEINGWNEPATELVTDIIKDVQYIRLSAEIDCQQESAQNLILAIIKDRDLTTAQLILSLNGFNSDNRLPCTKLLLELPKTNMFRLNCNLPMGNGYTRDEFEMVVDEVLLHLVKNIVCAELRIVAYTARGLLAAFEELSSYPRNKHFSFYVHESTARELLALPETSRFEKRDGGCTLLNDKRGFALAPMRRELQ >PPA13304 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:186759:190003:-1 gene:PPA13304 transcript:PPA13304 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGSVVNRFRETAPEQWSFELAVIFDYWASACMPVKPGAKQMANGNRWMLEKDERIGDEVHRFVGREKVFRLSIPQALSPNQTDVGPYRFGSDGKDRVEADGVVFDFRRSKFSVETDVKLEYGQIPEIYFKLQIELGDIKLKYQQQQAEVEELKEKLIQAWLAQFPHLIFDSSIVRFFKVSIADSILYFFRENTTPKLERLNEKVVVVETPVFDGQLSYYTPPHSDYIYVANTDQNILITLNTTNLHVAQHSYEPPIDSTYHSIVGVHNGILTMVFEGIVGRCLMTTKLSYIDLSP >PPA13451 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:1029263:1031783:-1 gene:PPA13451 transcript:PPA13451 gene_biotype:protein_coding transcript_biotype:protein_coding MATEWEKYTTVRFNHSELISIVGCENGFSEQRARAWMADHWYFVVQASTAYFFLIFGVKLFMKNREPFDLQRPLNIWNAILALFSTLGFLFMAPDFFGTLWTGGFRATYCNLNGIMEGTPGIWTFVFFLSKLAEFTDTFFIVLRKKPLMFLHWYHHILTLLYGFYTYPVNPAYNRWLVILNLFVHSFMYTYYFLRSIHVPIPGAIAKSITSIQIIQFILSIIVLIFCGVEYYLLRSMGDCTIDMNSFWFATIMDLTYLVLFVNFFLKSYVVKGGKDKYKNVADGKKKE >PPA13447 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:1013976:1014660:-1 gene:PPA13447 transcript:PPA13447 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGPTDSYPYAYFLDQDTEKLFVVDASTIQWLAHLPITGLRDHCDISRIVGVHNGKISDEGEEKKEIVMTAKLPEGYYLNQSNPLSREDFNNMKILSEENAPKNEYIENDFFKVDLKKM >PPA13444 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:983418:984643:1 gene:PPA13444 transcript:PPA13444 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELAVDEVNEAVDVAPAFELESDLPEIKLFGKQNHHEVNIADISLVGYFAVKEEVRPQPNQAFPQGSCPIVERLTCSLMMPGGSNGKKIMTVRIVKHSFEIIHLLTGEVWHEWCAGPGISLGGDPLEETDAYVYLGRELRSDSTMHTELMRRKRAAWAAYGSIQEVTRQLQDPKLRSSLFDSHVLPALCYAAETWPLTNGFLPLISFNHRDDHQPDGATRSWSTL >PPA13321 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:325474:330285:-1 gene:PPA13321 transcript:PPA13321 gene_biotype:protein_coding transcript_biotype:protein_coding MATASALVWRAKNGGLPLDFRSLKRGDEDDEDGRQSPGYTIPMEEEVSSTKELITTRLRSRTTDSGQLNEELEAQRNKAECSLEADRVMYVERSAAEIEERLRARQQGRKSALSRALDNADSAAAAAGPEAAYRAYAVHDADGQAMGVFLCLTSREYWRDDMQADLVGKVQLETR >PPA13294 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:143190:144059:1 gene:PPA13294 transcript:PPA13294 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFLIFISVASLKFADIPKEKLAGFEHINAYRINYQFIMLISAITTTVAGSARPVHQSCEKRIATCERHCFAFCKNKDTAIVEARVKTLNTTKPAVDEKDCIDRLCTANCGGGECGAACQGLCTSQFSYDDRKEYESEFLELLGRLNKLTA >PPA13469 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:1187638:1188779:-1 gene:PPA13469 transcript:PPA13469 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMARAAPQGAVVFAIWRTPPHRQVRALHLLTRKRRGRLLPLVTKTSAWLSQIPSQDDGNDYDLPPQSPVEKEEIKDHKEIKDQREIKDQDEEDRPPPLPKSPPPPLTPPTSPRLQYLEVPPLPPPDYDDDFIVPPLSRSTRSLDLRETDNRTPVRRKKFPLGATDEERGAAAAPLHLEQDQEALSRL >PPA13332 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:397602:399007:1 gene:PPA13332 transcript:PPA13332 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSAINDDEWPKPVDTDKTGGFVAYNYTRVTDWRGTLMECGLGNDFLIQNKEAMAYRTWWVGHFKNEGSNWSEEGLRFYNLAGKDVKVSCVQGPAPILFRITNPWGGAELRKGTILYCTPGSWLGAPSTWTLTDGTNSTWSDVQPYVACVKVGKSQVAVSSFVTLSRHSSGRESA >PPA13382 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:610146:611629:-1 gene:PPA13382 transcript:PPA13382 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVLELTTKEKIPKETCIFQVEDGTIFYRNYKYPERLYVKWQGNEIEVKLAAPVLFNLCTFENSLYFQTDETEQKIYKAEFMPSGVLDVAIVRHAEDERSESHGLMSRLVDGKRYIYRMCDDPESDGILVDSSYSGLIHRGVHRGKIINLLETSSSSNLTGPNSDQLKCFIPGEASKFVYVAYLGHLSGCSTMLWALDTEKLEFFGPWGLRGIDTLHEIVGVHNGIITVTGKKDKIDYLMTGQLQKGYVS >PPA13312 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:281339:282228:1 gene:PPA13312 transcript:PPA13312 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFVLLMTLPTLTLACIPTKHIEKGIPAVPGGPSGPGTMPPASPCGQLTALSTQECNTRRPAVSPPFLSLSRASALIGRS >PPA13354 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:481345:483615:1 gene:PPA13354 transcript:PPA13354 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNEIALFGLISNLTVVAFLRVVPSLNNPFGSLTLSQAIGDCGHQFFFAFYYAPCLFVRDAGFYSWSPHIGFVIMIFYEVIAHSTSPKVSGFSLMANPNSARMFDFIKFIIYVVIIGVLDVITIMKINYLRVRQAVFQGAGIFLELITYYILQGIARNKWELFLMTSVVWCTAHAMDGFVFL >PPA13281 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:101830:102978:-1 gene:PPA13281 transcript:PPA13281 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLIFTSFIAISSAMILPHGFASVIVRSGAATCVDTQTPAICIPSTCKTMPNLAYDSCRENVKKASDWQLVIFALLTAHCDKRTRKSCGWCDLTQAPCQNVADDKTCDAYKAANQCSMSSMQANCAKSCGVCTDLAPPVNPTCKNAAADATCDAYKAADMCAVASVIVNSSIVNF >PPA13425 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:892023:892431:1 gene:PPA13425 transcript:PPA13425 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRSPPTSEVDREIPLEGARLLIARYRSQILAQGRIMISADQPTADAFESAVAEIFDGKAKRSGNCNCGMFYMTKAQRDELTLTHLHDLHVT >PPA13346 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:450107:451182:1 gene:PPA13346 transcript:PPA13346 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLYYQCRLAVYAFFRYCVDNCLSHSPVHSLIHIITWPSLTTATPSMLLL >PPA13353 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:477227:478928:-1 gene:PPA13353 transcript:PPA13353 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFLLKNETVSTSGTLKHRFAWGLVISDSIVYDSTSGNTGASEAYMCKVIGIPYFAVISDNLEEEKVKQITNFGGEVIMPSKEYFLPTKKGLAYLEEIFDEKSGNYPLESTNMMHEIDVQLNATNDPLPDYFIHSSGTGGTISSVGRYIQKYLLKTKVVLADSEHSLFGDFVLSNKYSNLSFNDPGRPAWVKPGIPGIGYGYNTEPIVFGRSTSLDRSVIDEVARMPDIATVAAMRSLHRFNAGASTALNFLVSLSKAIQHKQGLLSIPSTERISIALVMGDPASFYASSYLNDSWVNSNMGWAGGMKALNCWQKKIDECV >PPA13343 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:442964:443568:1 gene:PPA13343 transcript:PPA13343 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPGRITKEVFCEQCPEFIDFFLSNAGEEEAVFQQLCEKFIKNEDNPMLKVCVAGFMGEMFYVRDRLAGRTDEEICNWLGCPNVYTTNAPDQ >PPA13278 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:90501:93159:-1 gene:PPA13278 transcript:PPA13278 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSRIFLACICLVTLSSSYVLRSCNDNGRLVNGVCECFESFSGANCEHFLAHACVKGECKAPGTYCSYGSSTNYYFVAQSDLFFGSPSAPRAFRQGLAAADPVGIVPRERLVLPIPSFFGSPSAPRAFRQGLAGSLCSPESESGHGTLVRKVEAKAIITLDIRNENPIMEFEIDKEFEESTTDGRKNSAALTDEGMLVQRQIYLEDSHKNTTITRYMEDDDTLVIMNVAEL >PPA13406 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:764048:765645:-1 gene:PPA13406 transcript:PPA13406 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDIACLLIFSVHRLTAATRPPIDGCNKITCPCGQLSCYVCKAAVDNYDHFQDRNPSSGKCALWEDPTARELNREIAGAVGAKLGK >PPA13475 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:1214681:1214924:1 gene:PPA13475 transcript:PPA13475 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDSANIVEVEKGISGKDVAPPKPGILQRFRLPFVILILILIAAYTTFLIVQSYLTWSKSMDQKS >PPA13271 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:67365:69889:-1 gene:PPA13271 transcript:PPA13271 gene_biotype:protein_coding transcript_biotype:protein_coding MKENEVLVIGIKCKESNLDSSFLPPSACGGVPDTMACTDDQCYCLDGKQMSIHVLHFDNTYFLSGMHATSYACIDLVSGICFNELLPLSTRSRRNIVVPTLIVANHDSCKKKCWQLKFRGSIITCDKASKQWQMVGPTDESASYLFPGSTLAGACQSIDPLSFPACMCSMPFTMNSIRDGDKVCGNKPVDVWFAVGARNNIPRKSPLTCTEKGWISGGKVVKP >PPA13292 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:138724:138978:1 gene:PPA13292 transcript:PPA13292 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFAPKKCRYAYCHDQKTPKCNDYCGFAARVYDREFVNARVKKTNGTKAPVADQATCRKE >PPA13357 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:490336:491817:1 gene:PPA13357 transcript:PPA13357 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLIPEGWGNAFLMGAHGYVTGKLAVSWFAAVHSHSFIVLVFHFLYRLLAVRGSYYIQYFKKFWCFQAQMMCVAAVGAVWFCSNGTFSGPRWKPICGFFIMRLMMTASASLSKKTVSLQKQLMLALMYQTILPLVTAYSPPLIAVSAPFFGISLSVTNLTSILCGAHPWLDGCVIIWAITEYRMHLLHFLRIRKKSDTVEIISSKNSINSKY >PPA13386 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:634734:636436:-1 gene:PPA13386 transcript:PPA13386 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRARMNRHAMRSHPKMKIIMTSKMINNVEEEEDLDSDDSTSDISVNSKGKSIIRNGRRLLTEEENREK >PPA13459 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:1131559:1135156:-1 gene:PPA13459 transcript:PPA13459 gene_biotype:protein_coding transcript_biotype:protein_coding MRNACESVMRALKEPDLAAQTLKTADSLGLYVYGGKSLSKRLWSTGRTSSELDKAWRGITIQVGSRRSDVEKGAKRALPKLEAAIDGSRDHARQFTAYNDASAIVTAGHEALLELAKAFAFQVARDVRTGGRAYYTEELKALNTAPSPSIRESYSEIEKYIIYQSQNGSTEV >PPA13306 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:196829:205585:-1 gene:PPA13306 transcript:PPA13306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-53 MNYFLASSIGSLLARLEAVGTSRNPRSWSQQRLLRFELSRPCHCASVPASGPDHLVPDLAPQPWPFAQEGTPIDLPPRLNGLASNGYAGLHSLERKSRVAQLYRDDPHYSDRSALSALVSPRRMPPPVSSSDRHSLSAGRLSCSGMSRSMVLLDEDVPSASSRTRGRVYAACASAAASPRRTRDSSRSGGGSSSARLPLSIAAPIGSLKRHENIYANAAALRDGELSLHKSSLPCSPSHSLRQSAERDFKGSQLSLASSCAFSTVDSVDVERLVRDLEGYHRKVNSLQRSNHNYEAVMDTVNRRVEKIARVVGRDDTISSDEASRLRAEIEAVRLVISRATSGGMRVTEPVQIDGLTRQPSMESVASGRSSMSTASKTSALGFGVKGQKKSWVDVQFRSSLTKAFHKNGTKKEKKDEAKEAEQVVVSHLKKQLADKDRNQTECTLNDVRLDAVTAANSVQELQEQLHRLKSENQHLRSELRYQQSARSRASSRASIPVDEDICYDIPPSSSRSSASSKRSSGCGAVRVSVNVDLHGTLTTTVSPDNEISIGFVALPATDVSWTQLDQQLFAIFQEYLSRIDPENTLGLHASKSVIGYQMGEVCRYLQGKPNPASAPFETITTSSTIRMFLRGAHQQEVDSLVLSTLFPRGIADKLLKDLSANRRLLLCGATGIGKSMLARQLAALLAARMGSAQGEGVHELRMPEDAASAAQAQLALDRLLSSTAPSVILIDNVPKARMTLIQSSVAAAKLRSNEGPYVIATVNRACQSLDRGDGQTFNTFIVTSRNEGVRGFTARFLRRRLVEQEYRSCSTVPSEMLRVIDFMTTVLAAVNTFIEKANSLDVTVGPRTFCACPLSVEESRAWFVQLWNENLVPYMTKVANEGIKVLGRSVSYDDPTDTVCEAWPWVEGAPGESVLIRLLSYAQVAIKQEKPTNFNAFEALTKIRSREAETC >PPA13429 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:908259:911218:1 gene:PPA13429 transcript:PPA13429 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNLSVSAEKKGRLPNVAAYLSFSQSRNIKAAFKNNGHWRNKKTWKLYFDPAADGYWRIDLYKHDKRHMSVFFQGYFLGLHIFSLDSVKSLTVDSSDNTATKIESLSSFPVGSRIEIVAKLSAEGTASHLFMNNMETNDMVSKYTIVHNNMHF >PPA13361 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:496314:498693:1 gene:PPA13361 transcript:PPA13361 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEKEATLEEAKAHEEKQLKQEEASKKPVVKRVGLGDALTMLAKKPKMSVLDKSIRNETSSISPENIMKADQNRLTGDRDRY >PPA13327 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:365837:366545:-1 gene:PPA13327 transcript:PPA13327 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRETHHRDAYDEVHVFATPRLDMEHEWAVNHRPSRWPSTASTPISKSL >PPA13295 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:149031:151734:-1 gene:PPA13295 transcript:PPA13295 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSSKLIVIFDWLESECISGKERALDPMNLSRTRILKILGDNEDTAEEVASSNEVNRDSPSYEISRVSFKNPVELSAFGSDGKDRVEADGVVFDFRRSKFTPKKYGLIPEIYFELQIELGDIKLKYQQQQAELQIELGDIKLKYQQQQAELQKKYQQQQAEVEELKEKLIQAWLAQFPHLIFDSSLKTTDLIPFENQPLYFIEESREWIVFHYHENYTKMEGEKFDISELRSIRKGILYFFRENTTPKLERLNQKVVVVEAPVFDGQLSYYTPPHSDYIYVANTDQNILITLNTTNLHVAQHSYEPPIDSTYHSIVGVHNGILTMVFEGTVGRCLMTTKLSYIDLSP >PPA13262 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:17988:26175:-1 gene:PPA13262 transcript:PPA13262 gene_biotype:protein_coding transcript_biotype:protein_coding MQVATTGRLGPYITCPTSSELSVQPQASIQRFLCTGKKQLIGGSCPMCKLQLNEWREMKEHVKQCRRTHQHPLLMRTSYCPYCLKRLADAYSLSAHIQRCHSSTLHDLKTEVLKHGPGVEVTGFPVCAMSEPFPFTCSACGIGWPSAIGIAGHYVEMEKMGSNVCGGYVVVNISHHAVVSDESRNRFTINRYDFASPTSCPYCTRVFDEAYQLSRHIKAVHEANLQEPECKQEPSSSTMNKTEPFCCTRCCSSFKSMEAWAEHYHRNIMEEPPEDPPSANGHATVAAATGTAAAADSQAASNGKAVVKEEPLEDWPSTSALGNGATATAIVKEEPLDEPSCSFTTKGASICEPPLKRIRILDKSICEPIKLSQERERAFGQAQACCYCDKMRANAYVLGNHMMPFRCSSCNVGFEDPRVLQNHFRLREEWEDMKQGAKVPETLPDDNDPSSDLWCPRDPAPRSTEDDDTQKTQCPECAEVWPRAQIKLHVKMEHPRYYYESAPFKCKKCKKHAFTCPWRYAEHYTKPCRSEMLPGPADVRELACASRYDVVISKALAKPSTQIWGLPGIPENMGNEMRRCSYCSLTCSHEKMLQHLKKEHPRQHFADAPYQCHKCGDVGFYKFSLFKQHNKCNGTIPPSLQNSIYAITMKDVESAGVKRKDIPSYRSLIDPERRKYGTFTAFNRPLKCPHCDMWCSSMLTLAEHYTDGHKQVSDPFLCGGCGQIFNDVTRLRKHLFNQNLLGTTQCFDMAIVHDVRMTAKKSAPLDPPAHVNTMVPARANYIKTEYPVASNWRTGTTTGTYRSDNAYLRQAEAKRTNLLLPIRPLSQMTKSPLLGERFVCTREMLTAQARAKQINHMIVQQHKSQSLREDRALLSAAYTSRIQAPVRTTPSVSRTPLATPSLATRQNPGSGPGSIVIRRPVQSTAPARPIARIIVPAQPSARAKYSIPAPPGLGWNWNLIGRARS >PPA13314 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:286730:287644:1 gene:PPA13314 transcript:PPA13314 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSKTTGAKIVASAMMVYDIISLVFVFVAPGIHVPPISEADTSNLFGKISAFTLDFIVRLQGKGGTIKIMLTFATVLDLITTVFVFTACRRNRSVLVVPMLIITHHRVSALVATEYTMF >PPA13409 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:775836:777766:-1 gene:PPA13409 transcript:PPA13409 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKTEDGTLFYKTYTYPNRIFVKYRGNEINAVLPFRYRELQCIGTDDNALYFESSGKVSHSHTAIHADDSFIYIGIGNKVHILETTTMHFFPCFTME >PPA13441 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:968611:971423:-1 gene:PPA13441 transcript:PPA13441 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLWMSAYLIAFLDARNVVKPVTMKGLPSGLPDGSILTIFFKHKGNNDKPEPVFIDFYDLPSVEMDHNGAWLNNSTNREQPLDELPPSNGFWRVDVYKHDEYHMNVFFQGFWSDVREFRQSLNGLKSVYVISDFVNHLSVQIRLKTPSYVSPMSVDEFKTNMYSKADRKIMSFPSFPVGSHIELTVVLRASGGAKYNQPAILFVPETTRKHWNNYFLKIVQQWHEGTEKMYIGSDFVHNPWHIRCGSEKPHGDLQHLRLERTAGDKVAMQLFYGRSTGPKGVICEYTIPEEFVADLDQPFSFVSQDGALILHMDHSIPRSIEIEKEVKRSTFF >PPA13439 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:939720:943080:-1 gene:PPA13439 transcript:PPA13439 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGERMDIWRLVGKTWTHLIDEHYNALPEINQMLINVDKDDVTVAIEVSEKDRKHFEPLLYSPRQFKDGPNENRKEAGGILTAARKDGKKYAYGMWENSEQVIIKEDEFPSKLTLDCLVGICAIVVVKTGVCGKESGMAEQLMKTRADPKVMCKQCKTNHPTTAVRLCMKKDCNMEVKEYQEIVNDLTNVLMKECDALEEEFDRKTKVGGACGPYLKD >PPA13359 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:494161:494830:-1 gene:PPA13359 transcript:PPA13359 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDHENSSIITGATYTLCGGVKNLNESRKRICNFFKEWIYKAIFDYPTVDIAYHPELDAIEVDIEPEAVIG >PPA13395 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:690626:692703:-1 gene:PPA13395 transcript:PPA13395 gene_biotype:protein_coding transcript_biotype:protein_coding MATHTAAVNATRDIEMLKKTLHGQLVNSICFLVETTSTLRVGYGSLRTTLVEDCEVACEADAACISFSFNDTQKLCVFQNADPVDQRVLPCGAARSYTRWVKATNGCPTSTTTSTTTTTTTTPSTTTTKTDCVSTFPQTDPADDTCIQQAYPELTSTTPLTAPCPRRNPDGSEGPLLVVRGYHEDGRKLSIPNFRIHNYKAAGCATQLKCSELSSEAVARGYMSPHARAHKPCDCQQEQRVSPRHWLSTLALYYDYDKNVNVNVVGSAWHHRCYVSKF >PPA13344 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:444439:445617:1 gene:PPA13344 transcript:PPA13344 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGYFDETKRFAKIADQIKMNRYYVNGAFWAFDFAKPGTLPGSTNAVQLIFGI >PPA13380 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:595129:595875:-1 gene:PPA13380 transcript:PPA13380 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQYFILNPFRQRDWVKKSNVGDLLPDGDLLCTGSHKAVFTPITGLTVSYEKDIIEIRANE >PPA13461 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:1139519:1140915:1 gene:PPA13461 transcript:PPA13461 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADCLTITMLSQSWLYNSLISFKLLIAASGVAVLLNQWKTHGARFLGHINSRIIFHCYYAIFAVHGTSISAILRFVCAQLDFRVRPRPNIAPLISHIFHYNVTGTIASSTFFIMWIGTNGFKIWAVLVPIASTKVQGNVDVYDIFFSEN >PPA13289 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:127145:127881:1 gene:PPA13289 transcript:PPA13289 gene_biotype:protein_coding transcript_biotype:protein_coding MPISQEERTTDIIVGRWSFALLYHLFSATYNNLQPTILRRSNTMVLIVDSHSQRGLQFGLLYS >PPA13464 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:1163826:1165286:-1 gene:PPA13464 transcript:PPA13464 gene_biotype:protein_coding transcript_biotype:protein_coding MAIERPQLYAFLLPFTVIIGVTIMAISRMMLVCHPLLKITKMLKQLSIKQVIFVHLIIPTCYALPMRTWSNDIYILATSFVLFNALCIITAFFITNIVVRLSEEYKVSVF >PPA13318 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:298005:299699:-1 gene:PPA13318 transcript:PPA13318 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDLNTSPPSSAPLSMSGAAQTLQEISSRYKDADKWKVLNVEMLSYAEGDRAVRLLNDGIKQRNSAVSQAFLHSSNGDIRPGAAADAAISFQRTLSSARPRKGAKPERCDNQVNLLY >PPA13391 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:655431:660651:-1 gene:PPA13391 transcript:PPA13391 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQHSLMETALAGLVSAVAWPVALLGCASVLDNPWNVCIARSAEVGEHLAEILLSRAHGKRPITLIGFSLGARTIFHCLLTMAKRGESAGIIEDVILLGAPVTASPKQWDQISRVVGGRIINGYSNSDWMLRFLYRTMSVQFSIAGTTAIDNKRNKKIVNCNLSHVVNGHLDYSRKLTEVLDAVGIKVAPHSENSIVDFTALDAARASEGMDGHGDTVRDDAGAADSTSHEQLLASGDMIDRLTLDVSEIRVKDVRMLDIELERGTMGCGSTGWQSY >PPA13302 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:178115:180596:-1 gene:PPA13302 transcript:PPA13302 gene_biotype:protein_coding transcript_biotype:protein_coding MYLTLFLFHILLISVSSVEFKDIPSEVLAGFKHINATIIAKSPNSRGRTFTEALVRNKLRAWNTFPKRRHGRTWTWRSNDGVTYHQIDFLAAPPSARVVNCGVVGCFEFNSDHRLVRMCLSLSGKARQKRCREKLDFDRASFTVNASLLASLPLASPTSATDAYCNIKAFADAAATNCWRKRPTPPWISRATRNLIALRHQLQANSQGPVAYAVACKSARMSLAEDIRKRKESQARQAALMGRSIVKEILKLQSTKKRLLVPDPASGALSQSATKAAVKDFYEDLYSPAVQIPLAVPTHSLDPFPPFLPDEARHAMSLLKCGHSPGSDGILPEMLYHSRDHLAHSIAHLLNRLVAGDTVPCELSEAVVSLLFKKGDPTNIANFRPISLLTVTLKVTTRCILKRFEAVLEETESATQTGFRRGFSTLDNLHAIKQVAERTSEYGIPIYLAFVDFKKAFDCVEWSACWNSLWKYGAHPTLIHLLRRIYESSTTLIRVNEELVPVTVKRGVRQGDTLSPRLFNVALRSAMDTIDWEEDGIRIDGRNLSHLEYADDVALIAKTRPELERMLRKLIDACSRVGLEINATKTNLLTSCKTTRAPITIQNLAFNFVDSTTYLGGRISLPLDHTDEIEHRIRLGWLAWSKLSHFLSSRLLPMKTRRRLFESCITSTVLYGSEVWALRSSDKERLSITQRNMERKMLGVTLRDRWRNERVREITKLRDWNREALRRKARWALKVRSMQMEQWTRATTFWTPYNRKRPPGKPRARWRDDLDRAFGNWWNTPHEDFAPILI >PPA13365 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:514246:515345:1 gene:PPA13365 transcript:PPA13365 gene_biotype:protein_coding transcript_biotype:protein_coding MKCYKDGLNGDILFKTNLPPNLVDDLIYEFTVDFNMEDHLVKQPAVDSYDEFMTAYGVRQFEMVNNKLNKEAFNPESMAFAGKFMTWVLGLFEDEERAKNLEFWCGKSPDGLLCFVEKRGDKQVLMVLKEVTIVEDI >PPA13374 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:567479:568021:-1 gene:PPA13374 transcript:PPA13374 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIESNNDQILKEQTETIGADSDVIFSESGDLDGTGLLEEVDAEIIAHQSEATDDEEVSRIETEPAIYDEPPLGSIDDKPLFYSDSNDSEIFTEDTEFERDVIVKREDVDAVSGWNDTPFDHLEGMGNAAMDAEEARYYEKK >PPA13388 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:641296:642420:1 gene:PPA13388 transcript:PPA13388 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLRGIRMIRHSQYPLSSSGGPIRLFSLLSIVVPFHVSAHNDSRHLSGQHKSPIKVN >PPA13417 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:858395:862257:-1 gene:PPA13417 transcript:PPA13417 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNAWPLTMDNWEIKCTKGMFFYLEQASPEHPSHKLTVIWSGKEVASKELTSKELKAKTGDLSHFNVIKFLGSGNFGCVFEAENKLDKKSYAVKRIGVDPRNKDNKLIEVQTMAQLDHEGIVRYHHTWIEDPPPGWQNDADEEIYKQIEAKNNSDGEVLLYKTDCVFIYVQMQLCNQSLSGWLDGNHDLSSRSIPRIRTWFKELLSSVAYIHERNYIHQDLKPSNILLSENGHLKLCDLGLTTVRKPPDNSKSTISRNGAGTPLYMSPEQMAEVIEKCTQNEPGNRASCKELLKTYFNDQK >PPA13463 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:1159563:1161242:1 gene:PPA13463 transcript:PPA13463 gene_biotype:protein_coding transcript_biotype:protein_coding MGIALDIPYIPVADVTVKVIVRRGCMFFGYRHPKSGHPLQESVSIGKSTYGEHKVPCQLTGELKGVIQYGMKGNTNQHADIYDGISRVNCFCDPTEATLNGHVPAPPPGKQCIEHHVYNDATKACEYWPYERRYDADHWNNITKRAENFHITEIIVRQHCWATLYWRGHHERFTLATSGYGKHKVASKFNGMLTNEEEGTHRVVCECIR >PPA13355 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:484961:486340:1 gene:PPA13355 transcript:PPA13355 gene_biotype:protein_coding transcript_biotype:protein_coding MYCIHGDCSFYLPQGVWMFAYTDKEFCQNFITYVVIIGALDFVTIVKISFLRANNMIGSTVSSLGATKPAPPKASTVRRNLQMNLVYQAFFQGSLIFLELITYFVLSPHARNKWEVFLLTSVTWCTAHAMDGILLPFIVYHHL >PPA13452 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:1032822:1034713:-1 gene:PPA13452 transcript:PPA13452 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDKKLQVLEVIKKESLPKGRKGRHATAAYQVDDGTIFYRVRHPPDTLFVKCGGDKIVAQLPEDCIYNCGAHGDAVYFETASHKLYKASFSPPDGITVTHLRNGLENEEFLPGALCTRNEDGTLYVYRMSDDPDVDAIYVDTSSDDLYGARLVGVERRQNVVVFEIRDDSLNKPSARRLNDSVILLEVPPSFSPPFTRDSFVYIVEGVKLMALNTNTMEFLPSVQIGNSMKISSIIGVHKDVVTFVFWLTNTDPKKRPTSDEILRS >PPA13384 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig25:623500:625832:-1 gene:PPA13384 transcript:PPA13384 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGTDQLLGNDLPWLLAVNIFPCAVAILIVLLIPETPKFLLIRKNDRQSAEAAIRFHHGRDVDVGSVVEELLHEADEESNEANSFKDILVIAKEPHLRKVVMLGACAMQLTVSFWGAIYNSTQFMMDLNCSNFVATWSSTFMATIYFIGTMIGSQLIDRLGRRTLLLPCSAVSILCWSSFALSFYLQKTNDNWKYMGIAALTVFGFLCGCGINAIAWFIPAELAPQRYRSLIQSVCYTMNTIVVVIFTFTLLPMYMSSVGPATFLILYCAPSLVSLVILIWKLPETKGRPTHEIQQELKNSSGSLSSMKDDIVFPMKF >PPA13300 pep:known supercontig:P_pacificus-5.0:Ppa_Contig25:170719:174169:-1 gene:PPA13300 transcript:PPA13300 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGSVVNRFRETVPEQWSFELAVIFDYWASACMPVKPGAKQMANGNRWMLEKDERIGDEVHRFVGREKVFRLSIPQALSPNQTDSKLTVIFDWWESECISGTGSVYPMNLSRTRKILGDNEDTVEEVASSNKANIDYSDSITRFSFTNPCLIPANEWHVITLMRESIGRTRFGLDGKDRVEADGVVFDFRRSKFAHETSVKLEYGQIPEIYFELQNELGDIKLKYQQQQAELQIELGDIKLKYEQQQAEVEELKEKLIQAWLAQFPHLIFDSSVNSKHSKPGILYFFRENTPPKLERLNEKVVVVEAPVFDGQLSYYTPPHSDYIYVANTDQNILITLNTTNLHVAQHSYEPPIDSTYHSIVGVHNGILTMVFEGTVGRCLMTTKLSYIDLSP >PPA13480 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig250:25373:27574:-1 gene:PPA13480 transcript:PPA13480 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLMLAAAFLPICDCCGKLVKWDKYECVFCYQQVHWECTGMLEIPQQLILCVRALDKDHLHRETLYTDEKETWEQGYTFLKDLVKERKVPVIINTQPPYRITSAIKVILAHMTALKESKEDQETAIKGRYFHKDAFDKLGTKCEKLTLAFMIIHWKRVLDNSDANKITVERLAQSIGHFVIRHVDCVEVTKMLLQAKTLPKDISKV >PPA13489 pep:known supercontig:P_pacificus-5.0:Ppa_Contig250:64190:65271:-1 gene:PPA13489 transcript:PPA13489 gene_biotype:protein_coding transcript_biotype:protein_coding MEREKASESPSSSTSSTIQKKSKPLFKEEWSKIYLGELVIKSFYFPTAAKKRIATSTIKGIYYRKQDFIPTIGDVEHWGMKTSPCWWACDFQRKWRVNAPDADRKGTAYYNVVVDCGESTMKGFTTANLRELRSVLRKECRPDLVVKEGFPW >PPA13487 pep:known supercontig:P_pacificus-5.0:Ppa_Contig250:52656:55082:-1 gene:PPA13487 transcript:PPA13487 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVLGSVAFAAVAVLVCGRYEDRYDRSKMPWDLRPVQNMIGLWSLQSKSGRIIDLPPPDQIDFAINPIPKFGARAINITHTYFHANGQVNRHDYGFMPVKNATRRDPRVHVAYLTTSSEGWSMMEQGQVRGSKLTFHLKQFLARTFGVGKMGDLDIREFERQFEQKDYNNIVMMVRAETARDSESYTAFYKRILG >PPA13485 pep:known supercontig:P_pacificus-5.0:Ppa_Contig250:39716:41148:1 gene:PPA13485 transcript:PPA13485 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFIIGLAALVAALNAQMVRQCLCSEVNTCANSAATSINQCADRCQAHFTALGANYAAARQCIVAHQGQITAAANCARSSFGNICANAPGATVPKRYPETVQIAAFREVQGMIARSGLTAQAQALMGPARKIGGCLAKCAQANSCAKLGCGLALPADNILVSTAKRCAISAGFNTPVAREICNCLANAGVSILRPMCDHIVIS >PPA13488 pep:known supercontig:P_pacificus-5.0:Ppa_Contig250:57694:61651:1 gene:PPA13488 transcript:PPA13488 gene_biotype:protein_coding transcript_biotype:protein_coding MESFDVIDTPQHQKEQFDVIVVGAGLAGLTTARELLKKEHGLKVARQWVGRTQKEIFSLLEELNIDIYEQFESGTKVGQLGTPDVRLLRSPVLLFGLLTFSLYESLDFVLSYFRMNRLIQKINILDLFSWNGAQSADEQSVASYGRSVALTRTVRDALSMSTRAVYGAESKRMSLLFHLLYCKSAGSFLDLIQARGNGAQAFRIKGGAQQISIKMAAELDDRLRLSTGVKKIETSEDGPTRVTLECKRLVLAIPPNQCAKLEFSPPLGYLKRRLFDSMEPGHFIKFVVTFATAFWRDDGLSGEIASTGRTTVPGEDLVRFLGEKALREFIAYEEKNWKHEPYNGGCPVDHVTPGNMDAFVTIRDMHYGYKCILPERKPPSPGWGAVESGKRAANEVLHALGVDHNYLKGSQLSADCKRPQAPRDQYEKHSKWTTILIFAALSAIPFAVYLKIMMKT >PPA13482 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig250:32001:33084:-1 gene:PPA13482 transcript:PPA13482 gene_biotype:protein_coding transcript_biotype:protein_coding MPEADRYEGLVDKVKEMYRRPHSPEKHKYLRRWNRIKVAERDDFKSLPENQRLKEPGDNHTDSFRGLTRPMHAHIRPRIPHVLIHCVRGLDIFHIHREKRSGRGKANPAERTITIYSA >PPA13478 pep:known supercontig:P_pacificus-5.0:Ppa_Contig250:5847:19721:1 gene:PPA13478 transcript:PPA13478 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFGFNITEHLHGRWCRFIIHRYCGQFFNKHLSLDQLSIDLSKGFVKIEQVSLNAEYINEALTSLNLPLRLVDGFIGSISFRVPWTSLMAEASEVDLSDVQLTFRGMDAFKMDDKDLVSSIQSVVESIVSSMDVAQSFRQEEKDEGEKESTEEGSVHALSKVIEAVVSRFCCNIDDLILRLESNASSSIDMATAVEFKIEKYINVEGVSLYTDVFSMADLPPAKDDSHLITSMSLRREHKKSLQQAGSPLASMYMSTNLADSMQMSDVYQSIRSHASIDEYHSLAPEPAALISNPVKFAEIAGETTVVISIKNSDGNVEDSKASKYEFDVYSRGINVLVTPSQVELIKNLTGLLIPVEEPLRKKTSGGGEPMANRDFDLVNRQLDDITTSYTSVGNALGRQGNWQGAQDFNSFKSISLKDDFQQRVDADYCEQEQTAAEGDNLETAMVSVKIGTILAILTHDDPLSAEFVGERAPRDAIDLLHKNAKRFFERAAELNIFHAMSISSMRKATDALYLKDHIRLIGSTTSVSYSIESGPGSRMSTKLAVANCDISEYLTAASTMEGIARYNPLFAFDKEDDDQDSQFTVLLKIEGGKSSTVVSLGKCSSEPTRTYSGVYEDLFAEETTTEEKSSSIEVNCANWSVDLKIPKIDFREESDEERISQSVRNVHDEFLRLKLNSCKVLIPSSKNNNGPKIVEISATSLTGHFIGVLEKLGCLEADTAFLYAGSKGKPVRISLEFDGSNKSLKAAKLLSTMPEDMTKSISADIIKNRPKRDGPFSQTQQSFTKSDASSDDEHIIRAGSREEMAAFARDCMEQSDVTLRIDLPILRLLVPNHQFLELLYNRLVNGFALWKPSAPVFTAKNNSLNITFDDGFREVKSSLRTVQEDSDDEDDLNDYSRTERISSARRAQSHAFALNLDVVKGTMVMGTDVAHASGDGTALQPAQVVSELGGTQLFVCSGFHGDANQTFFHMTTTNVTVAQRNEPTIPNNVLHREFGSHDRSEVHLRSLAAGSTLREGRRDDSLAVALHIVTSQAGRVQETTAAIALRLFELNLIPVKRIEENWMMQLKNLFALTDFEIPGYEMPVCNTYLHVHLDHVVLAYDHCRIVKGSPLKFKFVLGNVDINSTIVPSMKVNKFLCLFERVHLHMMKEYDEMRTVSYDDDEPKIKKAPNMVHIMEIGFFQLELLMLNELMAREDETRNSPLFELRCQNDQIKAWVCHDSLVTLVNSIVEVTQADMWKEETPESDLLTEDETRSESSAFTKSTASTMKTGILMSPDAERRLKLLVEDALEDAPIPPTPTPSTYEEHDEDSQTQLNLLEDAMRDLGMGQEPGMSRASSFSTDDSFVMVDNIPGCGITSLSGEPRIRLIDPDDMAESGEYYYNYIPEHIASGDFRSDRISLPPDHPLPLIRCQLKDISIQLHIFGGSDLGMSTLMLSSGHGPDLAKSYSSDEYKNGAGRGQRIAMEARGGTFRDHSVAVCLELDKISYLHQMFDKEATVRSMNFITIQDVTIHDKLTLSNIKEMLYQYSTTEHPRRTCAPMVAVRMVENQEQEAKLRVSMLPIRLNIDQDTMEFIEDFIQAVSRGLALPESATPRFINQPSIEVPSGIEPSAPPLSIRSSSRSRSRSSSSCPSLSSLNDQPMTSSAVYPALSPQPSIPNKRKDSTNRLDMDAAQLQVALDEIDRPGGDWASSTSDLLSRSTMEGSIYGGGLDDHDDGPITDEPILTSDRFAVNLNEDDEAGAGRYGEEEEDRETICSEAPRPRSLSENEEQIGGATFFKEFIFSPGCTIYVDYHGKNKYNIEKSGAVLGVLKGFGQLNRTEINLKPFECRNGLLGMGRCVSHAITEWQEDIVANIPYALSSVGPISPFFQIGKGICDLFYLPVSEMRKEDGHVVKGLQRGASSFGYSTAAAMVDLAQKLVGAVQITAESVLYELTPTHPSLNNRNRRPVQQLMHNPRDMQHGMQLAYDLIATGVQQTREDLELAAQEDRASGRSSVQSVLRHATPALLRPFIIGSQIGYHFLGGIKNQLRPDQFHDEQHKWRNERDRAGTGGN >PPA13486 pep:known supercontig:P_pacificus-5.0:Ppa_Contig250:49798:51475:-1 gene:PPA13486 transcript:PPA13486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nekl-4 MSMTTMMPILTAISQCPPNYLRLSTGVQCYTNEYCSSLATGYTCENNVCCMRTQTNGFCPPDQVSINGICYGMVSLNEPCAYSQQCAGSCRNPSDVVERNLQTQQLKNCLNEACSPGFVCEYTNAGRYQCCGQYNGNTNYNYGLVKMYPGTTLPLECKAINSCLFVDTPNCVYSQRYRCNVCCSTWNC >PPA13483 pep:known supercontig:P_pacificus-5.0:Ppa_Contig250:33772:34355:1 gene:PPA13483 transcript:PPA13483 gene_biotype:protein_coding transcript_biotype:protein_coding MNSALATRWPILTPCGAPAPLAAPLARPPAPEVAEVEVAPEGPTPAYPQSSAVEGSNIESTDISDWAEKFGKQIEENAAKKVR >PPA13477 pep:known supercontig:P_pacificus-5.0:Ppa_Contig250:1425:2740:-1 gene:PPA13477 transcript:PPA13477 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQSCVRIRRNEEENDIHCLCDTGDNCDRRLVHEQKLQSEAPGYFTLMSKYEMVVCKSDECNVNMKKAKKFVTITEPSCPVPASPTTPRPEPVTGRPATLWTGLEV >PPA13479 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig250:21634:22719:-1 gene:PPA13479 transcript:PPA13479 gene_biotype:protein_coding transcript_biotype:protein_coding MMIIEDIDIALEYPGMIIIALGAMEHAPELIEHEFLHMYFGNLMTPMTFDDSWISEAFAEWISGSRFFDSAQILDITHRMNEHIFRNNTKNILRRHSYGNIDGPSLFKEITVGLNETNEERLRQLITQVGPADKAS >PPA13484 pep:known supercontig:P_pacificus-5.0:Ppa_Contig250:36958:38619:1 gene:PPA13484 transcript:PPA13484 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYIIGLAVLVACLKAQMIRQCLCSEVNTCASTAASSINQCADRCQAHFTALGANYAAARQCIVAHQGQITAAANCARNSFGQNDAPKRCANRAGAMVPKRYPETVQIAAVREVQGMIARSGLTSQVQALMGPAKKIGGCLTKCAQANSCAKLGCGLALPADNILVATAKRCAFQAGFNTAVARQVCNCLANAGVSVLRPMCNKIIIS >PPA13481 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig250:29151:31876:1 gene:PPA13481 transcript:PPA13481 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEPNISISETKEHEIIVHLGGGLKLEASHKPMVQPRPARKKGLWRDLKKSILRKPTPSPTIVSNCFDQNDLAELVRIKNRLMKRAKVDTIHLEKIPFAWVEALLENCWFNKLSIMIMYGSITPDVIDFLRRHKEKVVGLYIAAFTNDASLLLSFNRMKSLKIDSDHLEVTYDQLHALLKKHHCDLNVPIVLTSLQQLLDVLETLLTFEGKQFVKMRINEETADCFCYGNSRYFYRRVFDWFEVNDGDYSDGDFIVVDFSMDQSMNIERSTSTGKKGIFAFMPR >PPA13492 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2504:78:757:1 gene:PPA13492 transcript:PPA13492 gene_biotype:protein_coding transcript_biotype:protein_coding VNVADISLVDYITVKEKYAKTPCRCSSTPLCRPREDSTRTGRAGTVRRQSVDVAPFRRVNQAMWLLGTGAHETAFRNIKTIAECLADELINAAKEYPNNYAIKKKDEPERVAQFN >PPA13493 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2507:320:1061:-1 gene:PPA13493 transcript:PPA13493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EUL1] MAQSVPPGDIATQPGTKIVFNAPYDDKHTYHIKVTNSSARRIGWAFKTTNMKRLGVDPAAGVLDPKENALIAVSCDAFAYGQEDTNNDRITIEWANTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA13495 pep:known supercontig:P_pacificus-5.0:Ppa_Contig251:2479:4886:-1 gene:PPA13495 transcript:PPA13495 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNGFISDSPDTLRILVAPSGIDANSSCPHYPEFGPSVGCAYPGWCLETRTPVMIQIIDVLLRSGNISHEFIVDRSEGYLDWGIYQDNGSFSGVLGRIDSGEVDMACLMYQKSIVRLDHFDFTVAVSEMFVWTAIGTVETTFRVRPQIVGQYFSWDVFDEMFNGGTEHAFYFLSGKLARLIFTVFQKGLLLQMYTALLLTALLAPGDNAPIKSQTDAINLIQTGRYKLISDKSKWFAQEIPRSSEKIFLGLREATRNNPIVDMISEEQAMRLVSEGDYIFQTQTDDETMIAAAQKCYTFIFSKDMPFRSAHFIFRKRSPWLNILNAEIMRNYEYIDQVQKRYFENRHFRQPKCEPGMFAAPGATDPLNFWSVSGIFVLASCGLIISMIILIMEFIVSNM >PPA13498 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig251:14745:15629:-1 gene:PPA13498 transcript:PPA13498 gene_biotype:protein_coding transcript_biotype:protein_coding MARGIREKSPCDAKEREKYYARRAKNNESARKHRDRTREKAEGYDKLKELCEKLKADLEQKTRRIEELEKENLMFRNVFLNPENMQSSNAPSSQLPMSNYSGSEAGMESSTVTTIQT >PPA13503 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig251:45524:45814:1 gene:PPA13503 transcript:PPA13503 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTISSKPCEDKADTRTITRIFLIIALLICCFAIVLIVSMILDMRKEKLRRENPQNLLAN >PPA13501 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig251:26701:31511:1 gene:PPA13501 transcript:PPA13501 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLLKVQTIILTVLLGRKSPHEKLIALHNYESTNVRKYLSFEKNDDFELRVKHLKSGRKGLVPSNYVAKAADKEIFEWVNLDFSAIDTEKKLRHGKYQSEYPGCLDQRLIYSVKKRIDAWEIDPSELMIDWEKEALGSGHFGEVRLAKLDGRNVAVKTLILGQGMTKEQFVQEAVTARRLDHDNIVRTLGVCTAGPYIVAEFLHGGDLKKYLQRMKADKMTLTENEYVAVAMRIASAMAHLEKNNIVHRDLAARNLLVGQSLNDIKLADFGMARYLDDAIYYKTHKELFPLKWTAPEAFVIIDNNDVIVQPGRSTSKSDVWSYAVVLWELYSMGANPYDGKNVGEIYGYLMAENIPLACPTSCPPNSIYQLMLKCWSLNPADRPTFAQIVTYLHEYSAGTAEEPNFNDTQFEEFTNWSVLDRLSAKGSRDQSGKFTMNFSSISYLNGHCIERTATNWILKADCPKHIDCSQQFTVFFTDVLPSIARPTLNVFKYT >PPA13509 pep:known supercontig:P_pacificus-5.0:Ppa_Contig251:57903:59736:-1 gene:PPA13509 transcript:PPA13509 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDQVQILDDHNHYRAKHGAQALRLDGNLNSSAQAWAQHLAWQDSGLPHSSGNYGENLFCCGGTAATDATHLWYNEVSMYNFHTGGYSTATGHFTALVWRGHYSSYTGTSSLGVGIAQSGSGRTYVVAHYYPPGNHAGQFQQNVRPAQNGVAAQQNGAANNHQQSASAQLDGQKFVRSHYGAYLRAWRGPNCGPNWLVDMAPHCKECEHWHIEDHGGKVVFRAYCSPAKYLRANQDGSVDLAGHAQAWEMWTPVRNANGTWSFRSHHGTWLRAQPNGVVSLQTHAKADEQFTIGAW >PPA13502 pep:known supercontig:P_pacificus-5.0:Ppa_Contig251:32928:33862:1 gene:PPA13502 transcript:PPA13502 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGAKSSWGVFHQFPIARSKSSLQRALGLPGGRFRLLEPSLVLLKSISEIQEETA >PPA13504 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig251:46667:47854:1 gene:PPA13504 transcript:PPA13504 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRRRKTVSESEDWEEKERVEDRRKRNRAAAERHRNRKRLEIEEGKEYKEKYEHLLAHSKRTERRLAKVEKENYLPMDPQINCALDNTPAPSSNYSNQNQSLDAYFPAQSEYATSEEQYAPNGHRSMLFTPHQ >PPA13506 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig251:52513:53304:1 gene:PPA13506 transcript:PPA13506 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEDSSANDIKYHSCFGRFHTLARFSILLTASIGASLAVLQVCLTSECGALFVIPLAIFGALAVFTEFRLALVAYAALNVIFNLAFTIYHMITRINQAIEQEIDGGDYPIIIAMYCIFLASMLIAPTWAYFNLTKFIKERESLQQLISSLEEE >PPA13496 pep:known supercontig:P_pacificus-5.0:Ppa_Contig251:5687:6585:1 gene:PPA13496 transcript:PPA13496 gene_biotype:protein_coding transcript_biotype:protein_coding MIIWTLIGRTEMRVGIRPPRIPGQYFDWEVFDELFNSGDYPFYFLSGKLTRIVFSIFQKGPLPAMYTSFLLTALLTPSDSAPDQDRHRFRRANAVSEGNYIYQTQDVN >PPA13508 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig251:56049:57265:1 gene:PPA13508 transcript:PPA13508 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRRRKTVSESEDWDEKEKIEDRRKRNRAAAERHRNRKRLEIEEGKEYKEKYEQLLAHSKRTERRLAKVEKEKRKLLSYVLTHNCVSPRNYPPMDPQINCALDNTPAPSSNYSNQSLYHYEISDQSLDAYFPAQSEYATSEEQFVPNGHRSQH >PPA13510 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig251:61818:62688:1 gene:PPA13510 transcript:PPA13510 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLESVVIFLLCTRCLIQAKVIPEQYSNNEIADQNDSVPDHASDVLSDASIARSAAKPAFATAFSHGDYFSLKVDYEDSILKVHFRIGRFESSVSLNGIVDFFKSLFPERISSSPSISSSPLSTKSPEYLGELIDIKMTMISSEYLADFIHVGAALGGTENEAAIIDNVSNVTAL >PPA13500 pep:known supercontig:P_pacificus-5.0:Ppa_Contig251:19446:22332:1 gene:PPA13500 transcript:PPA13500 gene_biotype:protein_coding transcript_biotype:protein_coding MKYYSHALPICLLLHVFAPIDARRAGSRGDSAARPQSNGSFPLPSQITNGTYLIHANPIRVNLTNGVTCDRWRIGVDYSGKVALRSNCTAYPMFLRANSAFSVNATSQPGADGSSWSPMATGNGAAWSFKSDYGTFLSVGMDGRLSLIGSIGANEQFAIGPFRERSPAPRPNPTTTTTRPPPPSPPQPFPGPTPPNLITTTTTAKPSPPKPPVLQQRIKTTSGKYLRSKPSFTNTLKAYCSSKSPVYLRATPDEKVDVSEWREGDPGLVWTKVDYGDRSYSFKSVHGTYLSAAPDGEVSLKKNVIDDEKFWMEPWTDTPRQPEKASGSSDDVKAGIFIVGLIVVFITAGCVCMCCCGGKSEKKDEKDLEPLTMTTESTPTTTPLDDNYCGRFQEPEINRPQLHYAEFAAPSAPMPAFASLSAQIAGQRSIRSVHNFYLRAWQGEEGQYDWYVDMAPHCDECERWEVEDRNGKVLIKAHCFPAKYLRANQDGSVDLADHAQDWELWTPMRNHDGSWSFQSHHGTWLRSSGDGQVSLQTHCMADEQFTIEEW >PPA13507 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig251:54485:55049:1 gene:PPA13507 transcript:PPA13507 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAHSGLFDAETLPDPTSITPSTTFLSIAAFTTQSKEISTEATHTNVIAPKHSSTSPEGQTISFTTALSNSCDKKADTRTITRIFLIIALLSCCFAIGLIIIMLLDMRKEKLRRRNPQNLLAH >PPA13497 pep:known supercontig:P_pacificus-5.0:Ppa_Contig251:10029:13342:1 gene:PPA13497 transcript:PPA13497 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTRPLRILVAPSGIDANGSCPYYPEFSPSVDCAYPGWCLEIIDVLLRSGNISHEFIVDRDTGYLDWGTYQDNGSFSGVLGRINSGEVDMSCLLFQKSIRPTFIVREIPVTLWSLILLCLRPYDTYVWIGMLIALIVQMFVWSAIGKTEMLFSWDVFDEMFNGGKLARLVFTIFQKGLLQAMYTAFLLTALLTPVDIVPIKSQTDAVNLIKSGRYKLISDRSKWFAQEIKLSTERSFVDLREATKINPIVDLLSEDQALGLVSQGGYIFQTQDDDSSMIAAAGKCYTFVFTKDMPFRSAHFVFKRGSPWVNILNAEIMKNYPYIDQNRHFRQPKCPPGEFATPGATDPLNLINSFLFTQQVAMPITLTSSDDKSFSVDRLVIKHAGTIETLISTMGLEDSEEASMPIPLPNVTGPVLELVIKWLDHHKEDPVKEEKEDDGERRSDDIPQWDQDFLKDKPQSVLFDIFLAANYLDIKGLLQTCCKTVANMIKGKSPEEIRQHFNIKNDFTPEEEEQIRKENAWCEN >PPA13505 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig251:50182:51243:1 gene:PPA13505 transcript:PPA13505 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVLIDRMVETVRCCKSRTEEEEVIAIENYKPLGSSSALSIKKDDRKVKSRSSGRVGFAPSRILAKIADIQHFEWISFDATRITEEALLMNPKLKNTVDSKTCNVLKEAIVKRLRRLN >PPA13499 pep:known supercontig:P_pacificus-5.0:Ppa_Contig251:16613:17585:-1 gene:PPA13499 transcript:PPA13499 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKDCEAGYSFVYCVKSGVFNDGIEEWQLSETVESFHHYIDEPRCIVIGDREIRVVLPNNDYEQISDPISVCEREMAAREPNKAKPRAKRFHFVAQPTTTAPVPDNSTTHDAIASSDLEEHFGLSYLTVQNVMFINAMAIFVFIIVIAIRRSRNNEKIPFYYDDIPAKSECDGAVYTPCVGKVNTISNSSFVA >PPA13511 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2512:427:1004:1 gene:PPA13511 transcript:PPA13511 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAQSEVKFHVTSSNKKRKYVVSTSKCHKPYQIIGDEDALDKHCPGDPQQALVPISTDQPERAADDATEHGHNPHIPDAGLEASQPTNFDDFPPPELDGDDLGIVPLNMVIA >PPA13512 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2516:277:1133:1 gene:PPA13512 transcript:PPA13512 gene_biotype:protein_coding transcript_biotype:protein_coding PNKADEWAIDGVNEAVDVAHAFELESDLPEVKLFGKWNLQEVKHLACSLMMRGRNDGKKLMTVRIVKHSFEIIHLHTEE >PPA13521 pep:known supercontig:P_pacificus-5.0:Ppa_Contig252:42720:44296:1 gene:PPA13521 transcript:PPA13521 gene_biotype:protein_coding transcript_biotype:protein_coding MFYCLIKNGSEYEIEDRLNVRGPKLPWGPVTVKRGKTWKDGHAAIFVSGGDMKEMEMEKEKYETGELKPPRSNTKRKPLFDIVPPCQDLPLDDDDDEEMDEDEPMAKRPVRGAVLRAVKMEREDSVPPAPLPAKKAARDRANSLPPIKKAISSSISSNHPPTVSTTRTAPKATVPVPAAAPSFSAQSNRLEDKVRDIYNDTVGIRHECTKQTEAKTDGLSTAVDKLRDQLPPPIAPQYGMYEGLTEQAVAALDCREDSILVFAGKLDRALFKRTSRPHQERDQVRLQWLIEVVLHRRRCSVGDQIKYWKSAILQRINLNASREDPTSTSGAPYTPPVLLSSSRVINHSTSRSFHPQPTSSQFSATPSHSTSSHFRATPSNSHGHFMANSSHNQPRIPMRQQGVTFRNRYDPDFDPDNFVGSRPNQLEM >PPA13517 pep:known supercontig:P_pacificus-5.0:Ppa_Contig252:26546:29878:1 gene:PPA13517 transcript:PPA13517 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVAQQGSACAIIPFVAAVCKFRQFSGHQAAFFSGGCLPTYGWYPMVPGGMRLEHVTKSHKGEQWAQVGKVNHVTAKIYNVCGQIERL >PPA13527 pep:known supercontig:P_pacificus-5.0:Ppa_Contig252:80851:82603:-1 gene:PPA13527 transcript:PPA13527 gene_biotype:protein_coding transcript_biotype:protein_coding SPRRGGTSSSISTIGKSEPTPKRQSINERLKNEFSLPMLSTLPPLPSLQSSSTTLLSTSTPLSTISMTSLPNEDVFAPAAPRIHKNRLSDTKAPNVGPPGVMSECPRPISLDSSISSSIPVIPSTTSSSFFYS >PPA13516 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig252:24732:25583:-1 gene:PPA13516 transcript:PPA13516 gene_biotype:protein_coding transcript_biotype:protein_coding MKASFVSTMTNSHMINEKATRAVLIGFAEKQTPEETDLADLKTNNKDRDHFIAVARQKQACRLSGSVHAFVRRDLTSEELDVDRSLREAGKRNAEAGQLEYVVRDLGVVRLSNPRPLPPRSQQALDRAIAAQSAAPTSSSLPPALSSLTTLSHPAPSHPRSQSSSSFGRGRGRGGGGGNGGGRASSRQSRPLVSSPSNLRRREVVPSRTPPRCLPPSVLPLHDVFLRLSSQG >PPA13522 pep:known supercontig:P_pacificus-5.0:Ppa_Contig252:44416:45811:-1 gene:PPA13522 transcript:PPA13522 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMFNRAQPSTLSSGSSAEAKKARLQARAEARAAGKKEEVTKRPNMVRFGIQNVTRAIETREAQLVLIAHDVDPLEIPYAIVKGKAALGTVVRRKVNGPRMVGPRQARRHQ >PPA13518 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig252:30740:34199:1 gene:PPA13518 transcript:PPA13518 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVCGVIHETCALAATQLSLLSGESIGFESTRVKEMIHTVVEKQYGKTSLEKYPPVIERAHTRKQAAAEAEGLRWQLEKKENEMNELKKVIKARIDDVSNYKLRLEMADARLEQCSKVDSQRETHLQSRIDELNIELKKQKNEYEETLDVLQKELRNVEVENSELKERAKNMSKKALLQNQLEETLNTLKRTTLEMRKAKEESRFAFFTPNHTKTWKENEVLREKWNNDRELFLFRVQCCRTRLHEYWSRKYPGKAVPQMIRVEEKKAMIPEANRYETN >PPA13515 pep:known supercontig:P_pacificus-5.0:Ppa_Contig252:15745:19676:1 gene:PPA13515 transcript:PPA13515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dnc-1 MSLSSSGLTVTASQRMDEGTELEFMRVQVKDLSEKLETLRLKRKEDREKLVEYERMKVQLHGLNEYKNRAHDVRVDLERKLREKEQELDGLREWKESKSEELRNSNEEMEMLTLDKEMAEERAEQLQDTVEELKTKVEELEVNMGILREEMESGGGGEGKGEGNSVQMKQIMSQNERLREALIKLRDANGNAMEEKTNAEKEVDRLRNENVELLRMGELWKRKTDECEDAIMNLKEQVDAYLASEEVIQHLTDKNLDMEEKIRALELDKEDLEVMRDMDEQIAEEQKEVEKSLKMEIEGMQGSINELLLRQKQDEEHSEQLSDTIIKFRKKTSELNGEMEDLKDQK >PPA13520 pep:known supercontig:P_pacificus-5.0:Ppa_Contig252:41577:42495:-1 gene:PPA13520 transcript:PPA13520 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKTWKDDVLCWREEGLSYEEIRKKCLSYGIKTTKSRIGRIITKRYGNKKIYASSSISRDNFLPIKEFVKEAYLANAQRKATEIVDDIADKFDEKITVAVLRRIRISIGLEKANRRYGHSVRMANRQPRYTFCRLHLDLGTMFLTHCFTDESMVQSCVTGRFVYILKGDNSRRVQPRHKHPASLMIWGGISWEGATPLAIIRRGINEWWNTKLTKDHCRRLILRMQKQMEKVVQSKGAPVYD >PPA13523 pep:known supercontig:P_pacificus-5.0:Ppa_Contig252:52974:53602:1 gene:PPA13523 transcript:PPA13523 gene_biotype:protein_coding transcript_biotype:protein_coding MEELIEWLNSESTAEMDPVQRAAIAHYKLVLIHPFTDGNGRTSRLLMNLLLMKSGFPPVILPVEDKALYYATLHTANLGDLRPFVRFVAKHTRNTLEGPGHTNEVKFRKKC >PPA13526 pep:known supercontig:P_pacificus-5.0:Ppa_Contig252:72998:76074:-1 gene:PPA13526 transcript:PPA13526 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDWTGGGNEEGERERDNYSYEDSEQFDEDDMCSMATDEGGSRKDDWDGWDGERERSDDNQLDASLGVGKGMKAQSSSFPSLKSFAVKACARHLTFYALEASYNHAQLFSCLANGSVEEFNRGDNLYKSGAVQNVMQLGMPAILSN >PPA13524 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig252:58817:59337:-1 gene:PPA13524 transcript:PPA13524 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTWSLHFTPSEAAALADKAGNLSDPSCIDLGAELALSVLSQTHALSCNEGIRALEQCREKGITFLEKAITAIEEASTREEVSMKLL >PPA13519 pep:known supercontig:P_pacificus-5.0:Ppa_Contig252:39531:41409:1 gene:PPA13519 transcript:PPA13519 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVPGCAAPGRYGQPYVGSSRSVLTSPFPSPLSTTRIKKKDLHQNLSKIHDTPLFISNLSAEAKKARLQARAEARAAGKKEEVTKRPNMVRFGIQNVTRAIETREAQLVLIAHDVDPLEVVIFLPALAIPYAIVKGKAALGTVVRRKVNGPRMVGPRQARRHQ >PPA13525 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig252:69421:70645:-1 gene:PPA13525 transcript:PPA13525 gene_biotype:protein_coding transcript_biotype:protein_coding MWDSINELDIRKCRKFSQWLVNELPRKFIPVAQRLLDGLNDKHSIIFECEGAPDPTDGGHEPIARWFMSGDLNEKVANALYKFCQPSPTVHCDVNLLSTPPAIASDWSLMLQPLKSKKPEGIWNLLSIARALFKKRDANATSLLHVITDQVLASTHLKNHSSKSKTLNRD >PPA13514 pep:known supercontig:P_pacificus-5.0:Ppa_Contig252:2972:5803:-1 gene:PPA13514 transcript:PPA13514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ntl-4 MSCSSDDQSDKECPLCMEQLEIDDWNFYPCKCEYQICRFCWHRLRTDENGLCPACRQPYPEEPLNFKPLSTADMAKMKSEKKMKQQAEKQRISESRKHLASYRVLQKVAVGTTTSGVVGSASHTAYVTYMRVEEALRAVQECMYLHDMADADISFTKEDMHAGKHADYEKRLMDTLLKCTVSHTPAPQSIVPSQLLISSTLTSTLPAVSRKKTDVNGSVRDIKSMEEDDMKLEDEFPPVSAVILY >PPA13529 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2523:518:994:1 gene:PPA13529 transcript:PPA13529 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVLVKGADQLTLIDNSMITKGARSRGMTITYKTKKGLSSGTYIDIGTLTEMSGKKKQMEEETKGKKKRGRKRKEITVGFTVHPPHGGMMGDGEDNEEDPLGDNAMMEEEEDAMESKKILEGK >PPA13530 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2527:65:519:1 gene:PPA13530 transcript:PPA13530 gene_biotype:protein_coding transcript_biotype:protein_coding AVAAELIVLSVVKHERASSMLKNGKPILYKLFNSEDPNVKVRALVGLCKCASAGGDDLAKSTMQEGASLKLAQ >PPA13538 pep:known supercontig:P_pacificus-5.0:Ppa_Contig253:76629:77728:-1 gene:PPA13538 transcript:PPA13538 gene_biotype:protein_coding transcript_biotype:protein_coding MLRARAGSAAPSTRGRPCGPFAGHCESPPGFTLPSPISPSSPSPAPPSSSAAPSSFSHGVVHPWPVMIVCRIVWATLLPSYPFPQVHRHAAHSGLHKEGLLPAP >PPA13531 pep:known supercontig:P_pacificus-5.0:Ppa_Contig253:697:2142:1 gene:PPA13531 transcript:PPA13531 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRIPDSFPLRLLHQLTSQVVYQNEEFATMVMLEAIASMNRSMKEGLSSVHQRLDRIEGRLTRVETTTDEIAETLEMQRALVREVATATPRIAEAAQKAAIGTDAISEQLPPPPKEFHYRYLREVEVRRLDDRNPNLLSFAKALQDALFTPDECLLPLHKRNERKMNWLQECVLYRRRFSIGGQQDHWVAMMRRRLSTYASTQRRKGGPTVRRNQVEDYGEDDGEEMEDEEFEDEEEEEEQDGESSMGGRREELMESMDGEEDYDEGRIKRKEDVLEPRKKKGGRMSGGANLIPKQEIMDDMEEEEDMTDRPEGHINGEFHYEGDSVYRNLE >PPA13546 pep:known supercontig:P_pacificus-5.0:Ppa_Contig253:111945:117722:-1 gene:PPA13546 transcript:PPA13546 gene_biotype:protein_coding transcript_biotype:protein_coding MFKGLKSKLEDGAKKAAANVQAAASQYGEQLSQQFRDISANASDAGSDAGSTVGRRFFGANDSGSMNYSVGNALMEEVTDADLLDLDLPGTRQRRDSGGSTHSNESSLSALFASVPGLVGSGGLDTIDSDMESVTDGDIGFVQRTPREQGYKNRYVDLVKKYNQVVTENNKCRIKTILQQSQDKAINRIRKLKEEKRILSERMNEMHANGKSEESAALEARAAKYEELLEKAKAEIVKNRKELASITEECNVLKESMKDGNPEQALSELAANRVAAEWKERFEKVEEQWTERLNKAEQDAAITLATVKAEMHAALEGKDREIDVLRSKCRTLEVQDGQANERWQKKVDELKASCTALETEKETMIEKLSEAKAQGVKAVLIEEEAKRDALKAEMVEEKRKLSEECDERIREMEKEVERKIEELKAQLASSMSLRSSADDEKKKSEIEEKMEDAQREMRELREKLRDEEEKRTKQVAELEEAIEAQLQRGRTEMAEMIKEHEEILASSREQLEAQLRTANEKSDLNRVEAVQLRTMNEDLQRQLKGCEEREKREEAGRKGEMVELESELSTTRASLAEIEGRMEEMQKTG >PPA13537 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig253:73480:74219:1 gene:PPA13537 transcript:PPA13537 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIVDDEQFGSQVGGFIRSEGEKESSSDEVVQETPPESQREVKDEEGERMGAGKTHSTVGDGGIDGGRGGKALGKGYSMKGTRADQSRLAILRL >PPA13547 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig253:117928:118601:-1 gene:PPA13547 transcript:PPA13547 gene_biotype:protein_coding transcript_biotype:protein_coding MRRELRLDLESPEKAKVVCETLSVDKEPKRSNAVRELRQDGQFLLITVRSEDRRSLQKCTANILDMCDLSMSTLDMAIGKKWLVEPPKKKEKTDNSYGQAPTVKKLKLENGENTQA >PPA13533 pep:known supercontig:P_pacificus-5.0:Ppa_Contig253:16807:20106:1 gene:PPA13533 transcript:PPA13533 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNIQPHYSRKSDSRAPSAARVLQFGDTTGDEQQRQSKQSDSQMATTRRESMDGLLHEQQLQPTPQELLQNALGQLNNSFTGSASPMVDADRQLMNWPSSLGFPGYGAGGGGWQQQQPVQQLHPSLLQMLQYQQLPMQQPPMATVLMNAMHSGPGGMPQPLAAQNMAMALGGLPNMQYMAPPQFPQLQQLQLPAPPQPQPHQGGRSNVKEFRINSREFEDLANKLWKNLGAIERRYVMDILKNIAHLKYLDESWKEMAREGKEETPQIRKEGDEKITRRIPRQIRVRKPLAVG >PPA13540 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig253:82307:83522:1 gene:PPA13540 transcript:PPA13540 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVGERTRFGLYLTNDNNLHYDVVRYMDPVAPATDTCYRLLATVMHHGRTTENGHYVADVYDQASGDWVHCDDDKISKRTIIDVLEDAMNNGYVLVYGKNSSVMKKKGLKIE >PPA13545 pep:known supercontig:P_pacificus-5.0:Ppa_Contig253:104921:108297:-1 gene:PPA13545 transcript:PPA13545 gene_biotype:protein_coding transcript_biotype:protein_coding MIEERNGTVKRIEEEKENLEAELASAIELSAMHEHLRKQLEDAIESRKEAECRILEIERRAEKAEKELEEDRKRMEEETREREKRMEALPVQSSPSIPHSESLIDMGTDEETIQPSLVSVLASSPVTASSPHCSTPIGDTERVAALVADLTVERETRTKLEEEIKPLSLQPQ >PPA13535 pep:known supercontig:P_pacificus-5.0:Ppa_Contig253:35057:36793:1 gene:PPA13535 transcript:PPA13535 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGDTRVIESIQCIHKRKDRLTMSNARPVKITITPGKITITSLEDKFASFTLKTTDFVNPPKAPTRKTCIFADIGDEMVAPVHKPLRNSKQEVKPVAVHSSSGLNKLLREPAAKQPSKKSTSECLPPSAKKIKLSDAMEAVKEYKEIEKEFNLLAEKIREEGSRRYRKPGERDCGGCCEEQGVKERFGVIFSRFVT >PPA13534 pep:known supercontig:P_pacificus-5.0:Ppa_Contig253:25520:32398:1 gene:PPA13534 transcript:PPA13534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EUP9] MHAPISFHARTRKYDRLCGWYEWSVTSVHFDLLGVPLTVESLDSADGSYTIDECFTPVCSMDGVGRLGVTLRIDPPGDDEVTAIEIAIVEEDLAPIIERLVKLSIERGSSGEYPVVKSCGAYDVASPTGGVWTGEDDIMTAFADDNQQAQLEEAQSMLLAAWAECQSNLVPPMDPTPPVSESVDDEEMDEEETIENRIEMELVTFANADGEVRPAVSPPISPVKTDKSEKHNDGKKSPIQMKKNVTFKVEEEMEVESHKETEEDSENTRADGTVTHLRDLMIDMDPPPPLDHIVFRPVGDRWMNEKCILLGLQRDKLGSRRDFVTEAPDRKNIIDIDGDGNSLFRALSWWITGSDHGHLNLRRTIVSFLLKFPDKFGETMSGDAGQKCTMETNGRMFNEGEWGTECEIYGAATLLGVDIYVFSEGRWERYSPLFEWSAVDGFEVTRVIGEDERTRYSLYLSNDGNMHYDVVFKNEPCGKRVRIDEEGKEKISTQVRSLFTGPKINYEETKRKLEEVARDVSKRKNSISSSILSFTRRKNRSNGLSINQFPYPINIIFSSIPLFSSSFLHLPPPSLPRAMLWAVGPIAAASTAALALAAGCAKGRDDNKLAKTQRSSGKSHKNATSSGKSTRSAKSGRPPGQSKSARAGGKPSSSRAGDKSARSSKSGRAGDKSGRSSKSGRVAGKPSSSRAGDKSGRSSKSGRAGDKSGKSSRSGKSGRSGSKSKSAAVAGVKKEPTGKPPKAPAKLGSKEQLSSSSSKDKKEKALGAAAGGAAGAAVVAKTQPKSEQKNGDVKKGSQREKRKDGSQRSGRSGRSKSKRSSRSKKDSKGPSAVGVAADKSGRSSKRSKRSSRSKRSKRSSKDSKKAAAAVAPKSVAAAVAVDKAAAGAASAALATGPPTKIASAPRLDMRVEPMELHYQPTGGVQKVTINNTSDKRKAIKVKCSDNLLYRVNPVHTFVEPGGSTKVDVLRQNGAAKIDKIVVVAAEASKDDKCAKTACASAASTDMLILPLIAATAE >PPA13542 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig253:89302:90094:-1 gene:PPA13542 transcript:PPA13542 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLGSDYYLTSAPGCKRADVILSSETIYNENDYAALHDVIVHSLKEDGIALIAAKMFYFGLSGCAPSFSDYVKNRGVMTVREKKTIQASVPRVILELARVR >PPA13543 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig253:90281:91098:-1 gene:PPA13543 transcript:PPA13543 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNASIKNVIEVWECARDLCDLIEAEQELVKGKDVIELGCGAALPSIVALKEGARAVCMQDFVSQPANRNGAVIACFTHENVKLNGVDDGRSQFIAASWDKMNEAIGEKRREI >PPA13544 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig253:92804:93770:-1 gene:PPA13544 transcript:PPA13544 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRLEEARKEADEKGEMIDKMKKQLESKEKVKPQRSSASDYDGLSFAEPTEAEYLRNVLYRYMAERETLGKEIVSDNPCSSDWHGGQVQSSTIGSRYLGGRE >PPA13541 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig253:83744:84983:-1 gene:PPA13541 transcript:PPA13541 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIFIVPENISTRRPHFQTKRRVITRVSHVKPARPPTVHSIPSTKTRSVFRPAPLHRLNKAQWQQHSGSRERQTKTRKLGISRSRSRESEEQRGGQNRQSHSDQRVLARVARTDERQERGEIKMREEQIRTIKSDLQKILKGSEQIQVNSARQRFEYALDRNSPPPKSSPLPFHPLSSSSFSHFSPRPLLLPPLRTTQLSSLPRHHLNSHSPPILLHRLHDGDWQRLRLLQEPDKRRSEGARRRIR >PPA13532 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig253:3487:4278:-1 gene:PPA13532 transcript:PPA13532 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRIPDSFPLRLLHQLTSQVVYQNEEFATMIGRMSFVSRILLLLLLSSMVSTVTSQRLCRRRLTEALSVVCDHKYHALIGNYDQMLPEPTPLRGA >PPA13548 pep:known supercontig:P_pacificus-5.0:Ppa_Contig253:118947:125289:1 gene:PPA13548 transcript:PPA13548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-isw-1 MPDDEVKMETDEVQEEEMDEDSRESVKKEDSKENKFEQDSFKRFEMLLKKTENFSRCLSAGEASEFSAEAEASTSQPCKRGRGRPSKSANGPTSNRRHRKTEKQEDKEMLAQDEKMPAASIFDESPSFIKGGEMRDYQVRGLNWLISLNANGINGILADEMGLGKTLQTISLLGYMKHYRNQGAPHLVIVPKSTLQNWKNEFNKWCPTIKAVILIGDETARNEIIRNYILPQKFDVVVTSYEMILKEKSTLKKFVWKYIIIDEAHRIKNEKSKLSEFIRLFKSKNRLLLTGTPLQNNLHELWALLNILLPDIFASSDDFDSWFSTDEVLGNKNLVGKLHKVLQPFLLRRLKSDVEKSLLPKKEVKVYVGLSKMQREWYTKLLMKDIDLINTGGKGGEKARLHNILMQLRKCVSHPYLFDGAEPGPPYTTDEHLVFNSGKMVVLDKLLPKLKAQGSRVLIFTQFSRSLDILEDYCEFRKEGSSKFIFMLTTRAGGLGINLATADVVIIYDSDWNPQMDLQAQDRAHRIGQKKQVRIFRLITENTVDERIIERADMKLRLDSMVIQQGRLAEEKKTLGKDEMLNIIRHGANQVFAGKDSTITDDDIDTILAKAEQKTEELNKKMEGMEESEKRMVQKRAVQLTLGSRNFTLESENKVEGGEKFTVYKFEGIDYREKQKNVDSLGKFWIEPPKREKRKTNYAVFMSGKRRKMKEPDEVAASEPDEDWNSGEGNGSESEDDEEKNNQDEERPKKKKIKDDGENTIRRHRKKEKEGETAADLAKRAGELWKTISEDEKKKWEDKDAENLERYKKEMEEYKKTEESAAWEEAQKNKDDGEKRRRYRTKKKDPNAPKRGAKGAFMLWFEEARTSLKKEGDSYPEVAKKASELWKTISEDEKKKWEDK >PPA13536 pep:known supercontig:P_pacificus-5.0:Ppa_Contig253:37062:44792:1 gene:PPA13536 transcript:PPA13536 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGDTRVIESIQCIFMKKGASASSSSRPVKVTVTLGKITITSLEDKFLPIVLKTSDVVSKPREPKGKSGTWISLDIVQHVQAKYTYINFQVDRIKMDDIIETLMFAWGGNNMGNDPNSSGLFSDNADEMLAPVHKEPPNKQPVKPPVGGARRAKQGVISVDPDGSAAAHPSFPNDFNATKKNKPTGAPPLTSQMQGLFEDYGIDSKQEVKPVAVHSSSGLNKLLREPAAKQPSKKSAANNSYANQANRSTKNYSGQANGIVRRNIGEILLCKLRDVSPASHDDETGPFKCAARIEHEGFVGCKYEKWKVRVVLTPGIRDVQPKNAYDFDGDDENQNVYPTPQKSLTSLSAANSFDKAFKKPHNSSESSIINNLSTSNANDSRPSSRPPLGNSNSQALAACPIFIRQLQMAVDSLENKSVLIRRLQTSMLWLNNEHSSHGPVSDSFLRKLRECLRGLYSVFNEDNGTGQQDSEECLTAFLGAVSDECTGMGFRKRESTGHRSFGSPVKTPIKRLESDSPALEGKKTKPAKCDPVDIFEVKTAKMKKCSSCGNTSSTEDKDHRIRLALDHEKFDGYGKPAGPWTVKCVQQLLTRVVTHEEIISDFKCERCNQKVDLISTDQFIHFGEYIIIVLIRFMHNEYGRLIKMESPLRVPMYMEMGDYLNYEENRVKEEPLNETIMLDTDRSPRKASEKEESRTPKSKVTRVTNEWIEEQGIEMDCQSPPASPEHAAGDGMECSEVKEEEKGGEGKKGVMEGGDEPMEEEKERGNEAKEDKKKDEVVNIWNGIICAV >PPA13539 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig253:78253:80007:1 gene:PPA13539 transcript:PPA13539 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPDKMDGSVKKNEPVKDNKLDKMHDVIFKKSKLAEEMDGEVKPISSPYLSPVKGYEKKKDEEKKRPKKEEKKEEKEESEEETDDERRQRVRTKNDKQRKEWTELFTDTDAPPPRDHIAFRPVDDRWMKAKCVSLGVECDRDQLKTRYMSRVRAQSNICRVTDAPLRENIKDIDGDGNCLFRALAWWVTADEKHHAHMREKIVKFMFRFPDEFGQRMTGEEGQKYTKKNNK >PPA13550 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2530:573:748:-1 gene:PPA13550 transcript:PPA13550 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLKYQPFLYYLDVKRIVDLEALDKEDEKRLKEKLAEVENQVKILKNDWAHPSVGIT >PPA13549 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2530:56:460:1 gene:PPA13549 transcript:PPA13549 gene_biotype:protein_coding transcript_biotype:protein_coding VNKEFYVKHILQDALLPWARSHFGQSHWTYQQDSAPSHKAKKTQDWLKAHVPDYIPTSEWPPNSPDLNPLDFSVWGVLQAKVSTTKYKNRDTLKAALLKAWAELDTNYLRELATAYERRLKACVKAGGGHIEIR >PPA13551 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2533:380:1244:-1 gene:PPA13551 transcript:PPA13551 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLEHGTRTLVDVTRKIQTDVKSLATVLEEVKDRVPPPPQGPEYGIYADLTKEKVDEIDNTNDGLLIFAGKLDRALFGKTYVRHQDRDQNKMKWLIEVILHRRRHSIGKEVAKFRSLIYQRINANAKRVEDEVYLERLDQARAQSQPFTPPIHISSSRPTASHSQFDLATTTPSRPNSGRIQTPILASTSSSRSCVSRVPKRPNMISSRIMNEEDPIPPSEYYQDYPDNFDW >PPA13552 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2534:247:809:1 gene:PPA13552 transcript:PPA13552 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKGAFDLSFASLAWPNLGEQVLKEIEQCREENYKIRKDKCVTEQRCRSTMQTK >PPA13553 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2536:18:1317:-1 gene:PPA13553 transcript:PPA13553 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIEVNKEQKRIAAPKSEKKESLLYSMEWEKKEEKFLATGDESLLKESPFPEMKNKHEVVARLIKSVIVKMETEEKGIELAATHEMARIVKVLRFATKEEIKKMHKEICQSSSHEESVRSQMKDIFTDALALAGTFNTVEHLVEKIRAREVSPLKSASLLKTLTSIRVPSEKIVKSLVSLCKDSRRQPLEHQSCWLTVGSLMNGLCGEHRDKLAIESSEERKCPRDIKEDFVRELVEEFKRAETRYQKVLALKTIANSGMDLIVFPLEKVIRDEKEENTVRVQAIEALRKLRSVLPRKMINILMPVYKNILEHPEIRVAAFHQIMQTVPRK >PPA13556 pep:known supercontig:P_pacificus-5.0:Ppa_Contig254:50541:54836:1 gene:PPA13556 transcript:PPA13556 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQRRVHSDSSLLYPVAILTNIQARDDIRWELEQLQQSNGILKKENLNRAYMCIQPKVSVSPTENCPDIYQ >PPA13555 pep:known supercontig:P_pacificus-5.0:Ppa_Contig254:10540:11143:-1 gene:PPA13555 transcript:PPA13555 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGEALPMSKLVLLAPTNQVQELQTILLDRKTEHSDFVFYADRLMRLVVEESDN >PPA13557 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig254:85845:87079:-1 gene:PPA13557 transcript:PPA13557 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDYGRSSDILEVEEVKRSSNVVEGLGEKWDELKELTGKYKLRESHNNNS >PPA13558 pep:known supercontig:P_pacificus-5.0:Ppa_Contig254:87292:87969:1 gene:PPA13558 transcript:PPA13558 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSPSITIGRVACCECGVAIEPNSVRLTDAAFVWTEEHSKRVKVKLTIQKEVLSGTILQQSFIVEFSIHSQMCDECRRAEAKDFWRACVQ >PPA13559 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2549:4:754:-1 gene:PPA13559 transcript:PPA13559 gene_biotype:protein_coding transcript_biotype:protein_coding MQQYKEERWDDIMNGRNVHKYDRSEMIMADDDKKLSKKQREVRAKKRQEVVAAEVEGEEDDRMGVWNEERDQEEREPEENAVAGGENGDETGMNEEVVDDASLPGPVEMEPPAAVTLSEGAQLPSKPILSQGLQRALARSAPRPITDEDNQQ >PPA13563 pep:known supercontig:P_pacificus-5.0:Ppa_Contig255:64060:67323:1 gene:PPA13563 transcript:PPA13563 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKFTGENSKAVAAKARKDGAKEAEKEKKQKAAEDAYWADDDKNVAKKQARKEEDEKKRLETQRRKEENRKAAEEEMKSMQGKSAAPTKVTQATILARKEVEKREAEKRAREEKLAASKIITSPDNIEENVNVEDATVSSARNIEDALSLLTTSAPEMVRVVIDNETVENVPLLQINGMKADEVIAVCGKDKSWFVTVGGRRLEGSDDISTLNLIDRSSIRVVQDTRNTPQPLSPDFDAKLKTVYEHMNKIVGSNTRTFIISDYMTDANKMDQRQFLITKRMIRMKYPEMYLDAHIYTLLNDFYTLQAFFTERTPEKESLIRRNPFILDACISLVQNTMSKHRDTISRPRLVAPPLPPVVPIAPVAPLFSAESITAALRSAMEGNVRDGDAVARDGMGAPYPSHSMAAPPPPPPPPPPTDYDLRQQYRSQLIQLREFGFVHDDINLHVLVESNGAIDGAIELLIAMRESMEH >PPA13566 pep:known supercontig:P_pacificus-5.0:Ppa_Contig255:88868:91387:-1 gene:PPA13566 transcript:PPA13566 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTYNARNCEDQYRFPWKLLGSASFWYSSEYSDDWDLQKASGRSPEEELISPMEELKIRDGKGKKSIPNSALVPTSFGKRERAKHKSVKNDEYSIDCVQFDEYWEITGLGFVSDLEKKIKIDNNEERSENISDQALDEDVFYDVAYEFFDPHLSQKDATRIDSSERVHSESDPQTSSEPMYPHDKSIKKYWFQRKRLFSRFDDGCLLDREGWYSVTPERIAEHIADRMVKREGSVILDAFAGIGGNSIQFALKGARVDMDPIRLKCAKENARVYGVEDKIDFILGDFFSIASSWKDGNVTPRKVDAVFLSPPWGGPDYLTSEVFDIRKMTPDGVKIFDASYSISPNIAYFLPRNTKFEQLTTLCKSGKVEIEQASLNKKIKVITAYYGDLAQ >PPA13560 pep:known supercontig:P_pacificus-5.0:Ppa_Contig255:12349:16513:-1 gene:PPA13560 transcript:PPA13560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-73 MRGEDGDERRKDEEDEGDGNGNGKEMTTNDNDDDIIKEEKKEEKSPQELARFKRQYVLMELVDTEKDYIKDLGSVVEGYMAEMQEKDLPEDLQGKDKIIFANIAQIHEFHKTSFLKDIERCLEDYNASASAFVKFERRLHTLYVKYCQNKPKSDYLVSQEAFEQYFADTKARLGHKVALCDLLIKPVQRIMKYQLLLKDILKFTERAQDNTDILKKALEVMHVVPKACDDMMQVGRLQNFDGNLNAQGKLMHQDEWIARVSCQLDQQKTLLAALVDPKRYQSQLAGGVASVSLDGEEKKKGTSSGLFSRFKSAPSGGGGGGGGGGNVPSIPSPLPSVSHSTKPQSPKQTTKSKGSLFNFGKKSTKGSSSVVGSK >PPA13564 pep:known supercontig:P_pacificus-5.0:Ppa_Contig255:67436:68764:-1 gene:PPA13564 transcript:PPA13564 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pghm-1 MPKPQIGFDGLNEKHNAHHVLVFGCEEAGSDSPVHYNEDGVEEDESGVVIRFTDSPISKEAGTMLLVTGGTIPPFSTETGVDVSGWIVHEKDGVDSWTELGRRNPQLPQIFVPISNSSMVIRKGDIVTARCIMVNDSPSLISVGSRGDDEMCNLYVMYWSEGSTLKDNTCFSPGPPNYYWSSEAQLNHIPN >PPA13567 pep:known supercontig:P_pacificus-5.0:Ppa_Contig255:91662:92675:1 gene:PPA13567 transcript:PPA13567 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSDRRNYDFSRRYESIKEKLVDLNSNRESRSVMITMNGDVTMVTGNVDLAVAIAKSNFLEHAQNHACGTSSCSFPLIGDLEFDTSSEISETVNLRGAISLILDHELFPSKYHWKCNPPQWWPEDVEFKTFCPPIDQMRLILSSYKEFNSARTEAIHNGDRDKLIEFISMRDVVLRGDERIGRRNVRKNGWKRNI >PPA13565 pep:known supercontig:P_pacificus-5.0:Ppa_Contig255:73689:77228:1 gene:PPA13565 transcript:PPA13565 gene_biotype:protein_coding transcript_biotype:protein_coding MLSERNKTLIWRQLWIWLAEAERELGLTQVTEEAINEMREAKESIDWNELRAEERKLKHDVMAHNHVYGRLCPSAAGIIHLGATSCYVQDNCDCIIIRDSVQYLLKRMAIVLSRLGDFAERTKSEVTVGRTHYQAASLTTVGKRACIWSQDVLIAFKELERFVKEQRFRGIKGATGTQDSFMTLFEGDEQKVEALDDLVTEKAGFKNRFDISGQTYTRQQDVLLVNCLATFAAAAKKMCMDIRVLQAMGELWEPFEESQIGSSAMPYKKNPMKSERVCSLARQLIALPQIALNTMAEQGLERTLDDSANIVEGLTVRSTIIAKNVSEEMPFLALEKALMYLCQEGVDRQKAHATIRLTALEAKEKGDANLEEMLKDSFYDTIDSSYSLNL >PPA13568 pep:known supercontig:P_pacificus-5.0:Ppa_Contig255:92893:94252:1 gene:PPA13568 transcript:PPA13568 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRDDSVVRRDRDYSTVGGREMEDINGGYDCPIEKETIQFRSTRESRIGRGHGGYSLWSENMMMNDDMGRYEMRRSEDTMVSRAPYSFTPSPSMKNEPKPKFINRSSMAKAWRKYLDGLELNESQFTCSKCGQYPSVIIADGICLGSRADIGSSLPKRGSTTIATVNPGWMGTKRLRQEIRDFANGKTTIPINVPAPFLPFVESTSLADGSINGDYSDLLLLLFSDSPSSQPFPSSELLAAQMVVGADG >PPA13562 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig255:56167:56694:1 gene:PPA13562 transcript:PPA13562 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIKILMSPFKNDITGYTPVGSRSLEELRGSLIGKSIVIGKWTPLTLIFQ >PPA13561 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig255:48016:49568:-1 gene:PPA13561 transcript:PPA13561 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIGFIRTMMTDYAYSLYRIGFVYAPIGLFWMSIAFIILSIGLSIVGCALMVKRIRLLNAQKDMFTDM >PPA13569 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2551:466:987:1 gene:PPA13569 transcript:PPA13569 gene_biotype:protein_coding transcript_biotype:protein_coding MSAENRAYASIVRALEVLNKSGKYRAALVTASVKRYPEIVLHGDVDVVRGLACSNMQETIGIISDDHLTVTLPLVDFSAVLEDGTGDDLVRAVTDGLEAESRPRRNLFQREKDNALPPPHWPSSVTFASLNSG >PPA13570 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2552:45:594:-1 gene:PPA13570 transcript:PPA13570 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAPEQGCLKYNNKVDIFALGLIFSEMCIPAEQKPNIFYCIRYNQPLDTEIMNADTIEFVTWLTKIKSEERPTCEEILQHAYLKEDS >PPA13571 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2553:338:652:1 gene:PPA13571 transcript:PPA13571 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLANLFPSFQAEASPADLSAVEVRLWLASSFPVVLLETMLLKRARPILPLYRFARWLAPIAPPHDA >PPA13572 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2554:201:1073:-1 gene:PPA13572 transcript:PPA13572 gene_biotype:protein_coding transcript_biotype:protein_coding MVESMRIQEEDDRTPAEHLKTLDWSAGHPDRAKHLLRYEERKRFDEWNAKPHLLHRRYIRHLSVVLTMQTYQCELSKKEPGKAKSAAIVQ >PPA13573 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2557:68:856:-1 gene:PPA13573 transcript:PPA13573 gene_biotype:protein_coding transcript_biotype:protein_coding YGAHPTLIHLLRRIYESSTTLIRVNEELVPVTVKRGVRQGDTLSPRLFNVALRSAMDTIDWEEDGIRIDGRNLSHLEYADDVALVAKTRPELERMLRKLMDACRRVGLEVNATKTHLLTSCKTTRASITIQNLTFNFVDSTTYLGGRISLPLDHTDEIEHRIRLGWLAWSKLSHLLSSRLLPMKTIRRLFESCITSTVLYGSEVWALRSSDKERLSITQRKMERKMLGVTLRDRWRNERVRGITKLRDWNREALRRKARWALK >PPA13579 pep:known supercontig:P_pacificus-5.0:Ppa_Contig256:21763:24752:-1 gene:PPA13579 transcript:PPA13579 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-srgp-1 MGDTEGEVVANDGLGLELGQRHTQIEKRLDGLRFESDEVWKSLEASEKQLLALYTNQDEDTTKWRNDLTVTFQYYLKKMEYFMLNGNLIERLQTRSKVIMNALGGSTNHLSTLVDHTRTKRRTRRIAPSINGKPTPKLFGSSLEEYCEMTGDEIPVIVTSTIGYLSRFALRHQGLFRVSGSTSEINKFKEAFERGEDVVSDLIDPSEINSVAGVLKLYLRELREPPFPLYLFDQFTACVMNSERDEKVFVQRVHDLICRLPPSSIRLLRFLFAFLSHLCEFSDENMMEPHNVAICLGPTLLPIPEGKDQVFYHNFVNELIRGMIIHHESVFPSIDVLPGIVYDKFKIAREEPFSYAEDGEGEEDTGDEEESTTSMIEERTPRCSTDYSNRYSSIASPRPLLISPSPSSAHRSIIGGAPSSSSASPSPLLTTHTDTSHSSYGSGTRIALPGMRREERGGGDRMDRIEKKEERGENTVWEMTPSSSGHPVPIFTSLRGQLHHLRREEEPKLDARMSLNNNSPSPIPPLISRPSVLPPKSAELSSELNKLFS >PPA13590 pep:known supercontig:P_pacificus-5.0:Ppa_Contig256:74101:74490:1 gene:PPA13590 transcript:PPA13590 gene_biotype:protein_coding transcript_biotype:protein_coding MKFYTSKQIEYTWPLIEKADLSETEFVVLITIMVTSIRCPLRSVLSERKFFVNENGQFDVIGTCDNRSELSNGGRIHCLFTYGSIPS >PPA13582 pep:known supercontig:P_pacificus-5.0:Ppa_Contig256:37377:41429:-1 gene:PPA13582 transcript:PPA13582 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mak-2 MKFHSRHEYFFRPNEERGTPILGVGINGKVVECEHRATGEKYALKVLRDVPKARREIELHVAASGHAHIVAVLDVYENAYNDVQCLLVVMEKMEGGELFAPGVEENHLTDFGFTNTTNESEPQGLETACFTPYYCAPESGHYTFPSPEWDCVSQAAKDLITKLLKTDPAERYTIDQVMAHKWTQTLTRLPDTPLATAVNLEKTEWPEMQDEMEKTLASMRVDKEDIHIKSLAESKNRLLEKRKAAAKGKVQMSMINNSEEE >PPA13589 pep:known supercontig:P_pacificus-5.0:Ppa_Contig256:68989:71308:-1 gene:PPA13589 transcript:PPA13589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-224 MRSEQDHDVVLEADHAQIVIGRLFGAREIFEGRLTSATPSSIVTSHSLHEPSYAVPFAADNNSARKAPTAAYNVSVESYAPESQNNKATTEYAWVPMENAQMVEKYMESLPVEERPVTGSQGEKNRKQRLAQQLPLFDFDINNQKINDEIEKESLAKFIDNVRSNVVGVGEVVEVDRDGFLDRATRNMTMNGDNGEMNEDGQKTILPTDCKTCSGRLDQGDVGVTTSHGHKDDAWHPKCFRCCVCNELLADLIYFYHKGDYYCGRHFAEKQYPRCSGCDELIFAKEYTLAEGKSWHFEHFACDKCDLRLGGHRYITKDEQPHCLECYLKHYAKASDMCENCEKNLIGEKFLLKEKTLFCSAKCKNEHTQKN >PPA13588 pep:known supercontig:P_pacificus-5.0:Ppa_Contig256:66050:66714:1 gene:PPA13588 transcript:PPA13588 gene_biotype:protein_coding transcript_biotype:protein_coding MMHRGSVVIMVISLIGSVFSAGGGMAELKSITGDAPYLGGGNAPAFIPQPQGFWGRPSRFGGGFGGGPYGGGPYGGGGFGNPYYGNDIGGFGGRGGYGADRITSRSGSPFGSGDWFSGS >PPA13576 pep:known supercontig:P_pacificus-5.0:Ppa_Contig256:12648:14398:1 gene:PPA13576 transcript:PPA13576 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQSGESIRRSMLIHLRQVAHSIGHVSQVIAIKDEDESGRLLLSFCTPEMESLLNDHITSHLISISSLKTVWELVILLESEFIRLILLFLPSHPFLITKLLIQITRRIRSVNNELHECHSRLHSMSFVNESKNEKKSIKVDESTLVLQLEMIIECLRSSTLNVDGAMRTLRDILFECFGEGREDRKGLEGEEGGRRDERSGEIMSELKVRLEEKAEEWKERERRIRREMGREEEDEEEDDEEVQEEEKKEEKMPSLPLDERSNDLQSQIASLIRRRKQGKEEIIGSDDSSKEDHL >PPA13580 pep:known supercontig:P_pacificus-5.0:Ppa_Contig256:25861:28143:-1 gene:PPA13580 transcript:PPA13580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-srgp-1 MDIQDIRNQLNEQVKALGERTETQVAVLAELEDFIKKRGEVEAEHAKNLEKLARGIIQRQKTEKTKRDAWTHHASCSVWQELVDSTRAEAEERQGMANLLMKKIAPGVAMRADDLQRMSKKCRDIAILAHSEMHRVMTELQTAMKTYQIVYGECSIAEKKMRTAQDELAKTDSANAGKSTLSRKQKQCQKALSKRAEKYRSVRLRCTKARNEYILCVKAANASLHKFYADDLSFLIDCADLGMDFWLQSLIGTVSEARKDLAMKEMTSLADLEGLRDSLDARADKQSFFESRPTVFMLPKPFEFK >PPA13592 pep:known supercontig:P_pacificus-5.0:Ppa_Contig256:78690:79733:-1 gene:PPA13592 transcript:PPA13592 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLETEVEINRKIVAAADRLAKDKNTNKSVRKKRQKDLAAAQSKLKGLETNLQKIRLSASKPDVSLREDETNALHQWSSSSLRSNGLTSKSCPTTPRGSMPDLAGTVSEGEEEEVDVVSRPASCSLSREGHSSMKMPSTISVSSSSAAPSGTSSSSLTSNSSMGTGRSVRRRIEEETDDPMRALPPSYDGYRSSASYKSSYRTVHYPTLQEQDSRRKTDDDDERRGFVNVKTGWEPYQVRIRVPFEYYPLVLLHIEMINSSPQEV >PPA13574 pep:known supercontig:P_pacificus-5.0:Ppa_Contig256:2631:4993:-1 gene:PPA13574 transcript:PPA13574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lin-45 MMQEDMYKVFLALEQKELWVHSEYLQTVSSIKHNFVRKTFIPQQACDVCKATIWLQGYRCEFCQFKFHQRCSSRAPLYCDLIAQVNRNEEMVARLRQVARDVGGENAAMAEDLLLTMNPTPIERDLTRKMAVRQRNTPAPIAATTEMGPYPRDRSSSAPNINAIVDDPSIELEHARIMKTLDIAAPLTKSVKGSIFKKTNYQRRAQGKGDHLNPCTISPSSSNSPSSTCSSPTSHSLHPPNLLANNLPLTPPQSAPPQKVSAAFFRGRSKSPGDRLDTLPRPRPSSNGKYEEWEVDEKQLVLQCKVGSGSFGTVYRADYFGTVAVKKLNVSEPTSAQLAAFKNEVAVLKKTRHLNVLMFMGWMREPLAIVTQKTVR >PPA13591 pep:known supercontig:P_pacificus-5.0:Ppa_Contig256:75687:76778:1 gene:PPA13591 transcript:PPA13591 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSLARNADLKDSVKFSKERLVQKITAEQQMTPSPIEEALILQNTMSNEPNEVAKDEEKPLTPASLPPPSLPPPSVPPPSTPIQQTLILPQSPLMTPTVTIPRYIPIDTLATSQSLERKTMNRIKQSYSLLCLVRRTSELANRRTPLYPLQAASGDITYVPATLSSLNQATRILVTALFDFASTAFDEFGTLDSNDKWLLVKNFTHSFSCIESFYRGNLIFPGKDTICMAGYTAYFDFNDIQTFFSDSPNPSNAEQAKE >PPA13587 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig256:62946:64201:-1 gene:PPA13587 transcript:PPA13587 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIPQGKGITNGRRKLRRIVIHFYLTAIVIILYCGSYAILGQLEIIDLPTSLRYVYYILYFILIFDLFKALYYPRLQILIQIGNLYLFLCWNLALFVYVFFTHALYFEIREFNLLIAKLREDESETLTDKIENAITIHIMNAKTVRALDDIFKLYDTRAIFCSNRYVWLPFRSETFSVMATFLALLLQFNDCRTIANSPYNSTSFP >PPA13585 pep:known supercontig:P_pacificus-5.0:Ppa_Contig256:58644:60259:-1 gene:PPA13585 transcript:PPA13585 gene_biotype:protein_coding transcript_biotype:protein_coding MKLERDEMEEGLKFRFVEDRTPPVSSNDKEVETLLGDSATNETDTVVDDLPVMIANPWIRTDRLEQGFENVTTWNSKSVVPRLFSDMGRAGFPPIESVMKWPCWNGVDDEAKDEFIEIFNETNAKGIMKKKLDEWIDKYNGTDIAEKIRWNRDDFVNRMKLFSINFESALSTFSTAVRTFNDVAMDDGMTIAQSNAVINNIADILDKNFVKARLSLKYLFNGNVMNGKKLQQMIVKVVTTTVKPNRITAPPHWGNVTGNGNGVWNGNWKRYTVVRRVTNKPVQTTPQA >PPA13575 pep:known supercontig:P_pacificus-5.0:Ppa_Contig256:6234:11058:1 gene:PPA13575 transcript:PPA13575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:H3EUT9] MTVPSHNASTNGQAEDMDIDQENGNPPKKQRLMSKEDLERVMDDIEKHSLDVNEKWYLISLKWWRKVEKKLVDSFGVEDAKRDVIERVVIKDKLRGNILEIYPKVVHVALARDRSKKVTVFLRNDTVGALRDRVLKELNVEAPLDKIRIFVEHEDRFEWLKDMKDDDDVSTLFSAEPTILVDVLDDNGKGFIETKSTLDSFDNKDENWSANPRYKRGVCGLSNLGNTCFMASAVQCLSNVPEITEYFLSDQYQPDINEANPLGTEGHLAKVYGALMKSMWSGIQESIVPRNFKSVIGKFAPRFSGYAQQDSQELLAFLLDGLHEDLNRIRKKPYVEERDPPEGMGQKEIAEEAWDNYKKRNDSIIVDKVHGQLKSTLVCPICAKISIKFDPFCFLSVPVPAKEVIFRMKIVVMFNPHKKWARFCLGITRSTTVAEVIALINDAFDEKIELVPFTVDVHGKPSIFIDPTQPICGAERSRYDTVYAWQVALPVEGNSLVTVQTMGEHRSKTLPLLFTIPSNSSEEFVKEMTEKEVIPLVKRVFMKGRKKMEDDESEEEINLSEKCVIEVLDCPEGWNKSVPEPKQEVALTDCINLFTKEEQLAEQDSWYCPQCKEHVRAMKKLDIWKLPQVLIVHLKRFQYSRWSREKIDTPVNIPGTDFHLRDILANDSHEDAIYDLIAVSHHMGGLGGGHYTASALNGNTWYYFNDAHVTKTHPPFGASTSPYMLLSTFTFYSSYRTSVILLPRFEKLSSLFFN >PPA13584 pep:known supercontig:P_pacificus-5.0:Ppa_Contig256:49538:53983:-1 gene:PPA13584 transcript:PPA13584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-elks-1 MDDPDGLYGMVDDSYLDPLSISTSIHPPPPSHLRTSAPLSNPISSTHHYWQQQQPTTAAAGFANSSLKGYSLEAEYEQLRQEYTATLEKLNQTMFSIKTFWSPELKRERQMRREEQTRISMLERRLTESGRHPGSMEGGLSLEVEEEMMTMQDTIGRLHESVRNKEEHIAQLCIQDQNGSRRALEKTLQRLDEANQMNVNLQDEILQLRNSMATKPRDFTEKGPTSHELVTLRMKMERSEVELSEKKSELMTCQTRLRNAEDELNELRSHVHLLKDTATSKEEQSTLLLGDVEALRTKLGHRHRELEQKEEQLMKMQKEMTDSKTESADRMENIRKLEGRASQVLGRLEQCEGQLREKEKEIEIMKTKLAAHPDTHREREWAARIEEGNRERARLQNAVDEVRISAEKEQKSQLETYQSEVSQLRQSVETLEKELADRDVLLESQNEKIGDLSKDLEGAKKKMEDAMVDKGTVEIRNELESARCEVDKLLKLLKTQEKEKATLTAKCKQLLGEKDAAGGDELHGVTGNTNVKQRIQELEEALAESVSITAEREVHLNQQKQILHQTSNQLAEARRENKELRSRLSNVSGEGHESLLKSIEVERRQHMEQLYALKQEALLSAISEKEAHIALLERSNAPPNEIEPIRRQKEALMRKLQEENERRSYLHRPSSSSSRHPPTQIPLSSTIIPGNVSGMGTLPKGTVNNPVHPSQVVDNGDDGIWA >PPA13583 pep:known supercontig:P_pacificus-5.0:Ppa_Contig256:44462:48615:1 gene:PPA13583 transcript:PPA13583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rnp-2 MDDSDSDDSEEDLRMVFQLDEDAEAPPIEEDDPSLPLYDTDCWLRDRSKAEDTAQFSMIDAVALEVISHADMDQDGELKKIAVCRVVGRVRLNRVMKSLTGLIFSDGVVTAPNTSQSFELATLSRADLALSPRKKEKQLHHVLSVNSRLVMQYSTSASIDRLFSVMTWIPNDVLEDIKKKEQWRVATFLMRSLPLDRTIHHILIKINSTDAQISILDSIDYSTLQGSCLSCDHELFRISDVVYLKPWASSAVFVNPAEYMFDTVILRHTIASPFGILDNSFSWFEGRMADVKPNHTIYINNLNEKVKKEELKKALHAIFTQFGEIVSIMAFKTLRMRGQAHVVFKEISSSSSAMRAMQGFPFYDKPMRIQFAREDSDMISKLKGTYVERPKKSAGRVQKKPKAAKKTVKPVAGGGGAGVTRGEDGGPSQPNKILFCTNLPDETTPDMLRLLFQSFPGLKDIRMVPNRTGIAFVEFDTETEAAPARHSLNNFKITPNQQMKVDFAKKSTLFCDKCGQLQPPSKVNLFEYVGIQARFDIDESEVKKKLIQLSSKIHPDKFSTATQREKDLSEEHTRLLSTARSMLNDPVKRGRYLIQLAKGDKHIHVEDDDVQKR >PPA13581 pep:known supercontig:P_pacificus-5.0:Ppa_Contig256:29309:37437:1 gene:PPA13581 transcript:PPA13581 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sec-24.1 MNPPQQQFQQPQAPKPFPPNGQPSAPFPAPNQYPAPTNGYPQQQQQQPQWGAPQPPQMPPSSTPSATPHPPPSTYGAPQPPQPQPPTHQQQQQYGAPQPPQGGYPGGSPRAPMQPAAVAPQGVAHSAAPPTIPSSHASGPPSIPGMNPSPAHPAIPSNPMGGPPSGAPLPPRPSGPPNPMAGMPPGPPSGMPPMGGPPSGMHGMAPGPPSGMPPMGGPPSGMMNGPPPTQMGAPPGPPMMGGPPGASGYPGGPPGPPMMGAPPGPPMMGGPPGPPMMGGPPGPPGYPAGPPGAPGAPQGYPGGPPGAPGYPGGPPGMPQQRAQRLDPNMMPSVVQLQEDDLVRAGLFGTGRDHAENPPLSGTPFYAQDQGNTNPRMMRASLYVAPQTQELLKVTQIPFVFALSPFAKLDERERAPPIVEYEQGPVRCARCKAYMCPYMEFIEGGTKFRCPFCTANTTVDQWYFAHLDHTGRRTDAEHRPELYYGAYEYGATKQYCKNGVPPKPPGFLFMLDVSYNAMNSGMLQTVCNNLENLLENLPKETGMEESLIHVGIAAFDQNVHFFDLSTIQPSMMVVSDVEDMFVPIVDGLMLPLSQARPAIRSLLAEIPKLFDGNRVTNTILGPAVQAGLDALKCADRSGKLFVFTSTMPLAEAPGKLKMREDRKLLGTEKEKQMMIPHTEFYTKLGESCVKSGVCVDLFLFPNSYMDIATIAQLSAVTGGSVYKYQYFEASKDASRLLYDLSHAISKQIAFDAMVRIRSSAGIRAVAYSGSFFMENTTDIEIAAIDQDKTIFAELKHDDKINDEKAVVQAAILYTSVSGRRRLRILNMCIPVTADYNGLYRTADYETIATYLLKNSIEVNREKGNREMREALAARTAKMLAAYREKCSVQSPLGQLILPESLKLLPLYANSIMKHDAISGGNEMSVDDKAWMIEVLRGVKVEDAMRLLYPKIVPVANLELQEGEDLTLPTPIRASVEFFHNDNAYVIDNGLVVFLWLGLNVSQQWIQEVFGVASIALVDTEKHSIPERDNSFSRGLRRAIALIDNGSRKKKMFVIREKDTLEQWMKKFLIEDKTGPSAMSYVDFLCYTWGVTYSSSELLIILICTFPCSKR >PPA13577 pep:known supercontig:P_pacificus-5.0:Ppa_Contig256:16457:18719:-1 gene:PPA13577 transcript:PPA13577 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSSAAFPLEKASYPKSATVTDSKGTVIARDFIVKLSNNYAYAIQGEKSSVIKNKTIRVSHEDEESTNLKLVSIENEREDRRLLPVYVQFHYHPKERFADSHTFDLLAERVLGRQNLELKKNVTIDLFEIPPHTRDESGFVVADKLQFVYESFNVPNLRNQLAPSKDAAIARFSSSDLEELIDYDHSVSGFDRTAYLEEMTAHTSCFIARQEGSMVGVLFGREGRVFSLFGDTRPIVDSLLDAFLSTLSSTTNESVMQLIKKINDYFDAT >PPA13586 pep:known supercontig:P_pacificus-5.0:Ppa_Contig256:61421:62814:1 gene:PPA13586 transcript:PPA13586 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPSDPIQESSWTFGEGGFGVLTPIGMEQHFKLGRIMRSLYVETGFLSHQYTSKEVEEDHIHHSLILFFSQIVRTQVSAVSNMIGMYGSPNGSLGGISYPDTPGWPIGFVPTQWVLSELTRLTGIPATLESLEKFYEPLMGESVHFPDQLFSWCSKELFDGVIEVFKVIDDLKAGVGLEESVEGVDLSFEVPFLHGGYFTEMMRDAMRKRTDGVKYFAVSSHDVEVSAFLNVFGIKEKLYRGRPNFTSSIVVELWTDEKREEYVKIFFRNGDTDDLRLVSSLIDGCDGEFCPIDVIDMYITRFRPGNLFELCARPLKIVKTRANRYE >PPA13578 pep:known supercontig:P_pacificus-5.0:Ppa_Contig256:19265:20127:-1 gene:PPA13578 transcript:PPA13578 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPTLLALLSFVLLVHSLGPTATTASGKITANLPGKPGEDPKEIQENIDRIQGEIKNLNVKVANLLSALNETNAIPFVRIDALMTQIQEQQTILNDVNTKITGLSTQINTKSADIKNMTGYVNCFTASGCGPESF >PPA13593 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2560:586:759:-1 gene:PPA13593 transcript:PPA13593 gene_biotype:protein_coding transcript_biotype:protein_coding IDKENCGPIITYEPLSSATYFQFKLNSVSSGSYVNKKGWQASPILTGENNVNPSHPI >PPA13594 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2562:285:1030:1 gene:PPA13594 transcript:PPA13594 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGMLYPELIHHAVKRQIPKKIIDVDLRKRIFSTFRTICTESSIPRFLRALLNFLEWLDSEGHHEFLSYIRTYYLGHSRLREWAPCYRMQGTWLEGDIDCKRLTHATKRQSINSVQ >PPA13595 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2563:573:871:1 gene:PPA13595 transcript:PPA13595 gene_biotype:protein_coding transcript_biotype:protein_coding VKISDFGLSRLGETYHMNPKNKVPIRWLAPETLKTASYSRKTDVWSWGVLVWEIFNNGVEPYPGMNTIE >PPA13596 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2569:28:2143:1 gene:PPA13596 transcript:PPA13596 gene_biotype:protein_coding transcript_biotype:protein_coding CFGAGLVKDDGGQEIFLFGLAQVADKSAQTAFDIMQKRLGSLSRGIGYGDAGNFIDRFFAAVSCTMSDRASTQQKFNSMIEEYRVTVLPKVVRGWDELSDTHQQELMKFHVFYCQLHVIANYTNVVLEALAEHERIVSGRDIPSFSPTVFTVVKEVARLFGDRSAGLHSCSMEYKRGSGRSELIKLGELLDLPIVGEHLQILGLLDQLVTGPLWRLAENVAHVLDTGATISLLLSWVRECSVTPVSMFSGNCSIPSLHSIAAGDEQFLEKLLSVSPSETSLEAVVLVMESSLRYFEHLFEDFIPGGKYSGVVDDVVVDRTRCASATNRFIESAFGFVDRLFNHSPHMRIYRREARLLIAKNHTMAWLSSKSSEERLAIVSAARASISTVRAEETHAKSLLAEAILQKSLEKEKGYNAKVALQVKKRNQAVDAISTFGFIISVNSISALLGSSSETARANALVAQIRFRERTLLQPPPEKGIYKLSNKGRKLPEDELKRRLTVLIEADQKGTLLTSSIDHPFIGRFVRRWNEEVSEDGKVSSVKKR >PPA13609 pep:known supercontig:P_pacificus-5.0:Ppa_Contig257:47667:49786:-1 gene:PPA13609 transcript:PPA13609 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSNEPLPLSDKHYLIFAVGLLSPICNPSDSTMAFYEPIRNKWTECQKLPSIRGRNGVAVCGRKIYAIGGNDSKERLKTCDVYDSETDQWTEARNLNSVRSAMATGVIDGKIYVAGGYDGRSALDSMEMLNPSDPEPAWTMAQQMSKLRGAPASCVLNGMLYVIGGYVGIHQIHKDGEFFNPATNTWTAIAPMRHRRCRFGATVLNGQIYVAGGFDGTAFLRDLERYDPVTNTWTVLKQMKERRSRASLAVSGGKLYVFGGFDALTLAESPSVAFPFLH >PPA13611 pep:known supercontig:P_pacificus-5.0:Ppa_Contig257:56390:57087:1 gene:PPA13611 transcript:PPA13611 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDHTVSHTVVEARVTRGMEATLDRDEGIEGFEYQMRSVVEGKDALGYKLADPAVKTKRDIKRIVDQYGEELKGKMTRVSEKYHALVETNNGLVEKEIEWNSVMEYVNARDMGDVKIRYDEIMTALIDRNAFVAQLRKHWNVEDENELFQMPMNMPEIGLMRRRMESAETKLRDATAEATNTIRILKERISVLESALLIGKKNSEIGKRTTEESQ >PPA13606 pep:known supercontig:P_pacificus-5.0:Ppa_Contig257:38486:39461:-1 gene:PPA13606 transcript:PPA13606 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDSIPAEIVERIIGDLPLNDRLSFRHVSHCLNDFMRPRLPQLERSDHCEIESLNVAKLSGCILISTSPLDVPIALHLVDCTDFAAKTWKRKSADTGKIECFLNFEFPPAREFVLFKEAKIGHLEMRKHLPLHVEDGNLQQLSSILTGCEIEKFTVVLDKKTVQNM >PPA13608 pep:known supercontig:P_pacificus-5.0:Ppa_Contig257:44214:46739:1 gene:PPA13608 transcript:PPA13608 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRCPRISEEEMDVVLAQTLWVAHSQECMELLEKAKEQRRNPSLEGPFETKERRCDEAHNLIFAVGLLSSVQNPSDSTMAFYEPIRNKWTECQKLPSIRGRNGVAVCGRKIYAIGGNDSKERLKTCDVYDSETDQWTEARNLNSVRSAMATGVIDGKIYVAGGYDGRSALDSMEMLNPSDPEPAWTTMQQMSELRGTPASCVLNGMLYVIGGHDGNHIHKDGEYYNPATKTWTAIAQMKDKRCRFNAAVLNGQIYVAGGFDGAAFLRDLERYDPATNTWTVLKQMKERRSRSALAVSCGKLYVFGGFDGLNNVTTVEMYDPATDTWTYRAEMTVHSGGIFVGCLPIPASIPSPVANLEADDIAAAS >PPA13603 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig257:23798:24324:-1 gene:PPA13603 transcript:PPA13603 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIDDLMKDLKVGEIVLNDLRSFNNDAQAAVLDVLRAHTLQRLVIIPRNVNTIIPDILHFGACAEFFAEASLAVDEVDLTVKDTITEDWSLDDIRNYWEQIRTKLEGSEMSVGLILNRLDEESVNVRITSERNDAPENSEEQS >PPA13605 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig257:30505:31714:1 gene:PPA13605 transcript:PPA13605 gene_biotype:protein_coding transcript_biotype:protein_coding MMTYITYVDLNDMESYFADADTVTDKQKAARLLRNNIKHCMVDRMSTLMERADLTHIERMAIMALLMFPTCENSLQLEDTSIRFANISAIQLCVQGIQYKVMEDMEIYQLLNIFNKKSSVYTVLKQDYVAPSRIHPH >PPA13600 pep:known supercontig:P_pacificus-5.0:Ppa_Contig257:14347:16625:-1 gene:PPA13600 transcript:PPA13600 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRASSTTSSERGNDKGTVCWPEVGTNEFDIRVAVSSFHALSRHSDRGTPLWCLAPLLRRVSLISSLFSRVTASPAASSSEGIRGRLTGDISINVSPNLFILTVLISLRSSMDRPRPSLRVRLPAIRLAVSLTQGQAGWATASRRLGSSGYR >PPA13604 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig257:26446:30348:1 gene:PPA13604 transcript:PPA13604 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDGKRLCLVCGGQSDSAHFGIDSCRACAAFFRRTVKLKKRYVCTQTTANCEIKKDNTWNCRSCRFNKCLAVGLLPENVHWKTNSSSVDDGDCAGPSSAKIPRKNSTPSSSESEIRPSVIANGFTNGMKMSLLMRIEEQYRQICVMRESSERMQMRARIGARWKVENENHPLRATWGSLNQRTNMMIKCISEFALGSFDCFGQLKPEERWTLIQHYLTAIFVFEGSFRTKQLFPGNKDVCVY >PPA13607 pep:known supercontig:P_pacificus-5.0:Ppa_Contig257:41704:43331:1 gene:PPA13607 transcript:PPA13607 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLAPSSLSSSSMATVDAPPVYQTAGKEVDLSSKPLPSSEEIYHEVPESYVQYAALRTELKDFDAVVVVGDQKIEAHKALLSARVPFFRGLFDVPMAESAAGMVTLENFNYPTAKALLDYIYAGRLIIDESNVQDLLMGANFLGIEPVQRACGHFMVKRLRVENALPVHMMCKLIGYHDIDDLVTRFIDKNFLSISHTPEFLTLSASELECLLKRDSINVDEEKQVFDVVTCWMEEDGDKMKHAER >PPA13599 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig257:9991:12440:1 gene:PPA13599 transcript:PPA13599 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYLENLAKPSFHTGCCEQSDIDVLLLLFCRSHTTLRAWALAGGDEAVLKEYCDLLRDTNAEFAAAVRKASTTGSDSALTPGGPSGSLATPRTSTEYCDLLRDTNAEFAAAVRKASTTGSDSALTPGGPSGSLATPRTSTVSSLIDSSIATSSYFCNHPRDL >PPA13610 pep:known supercontig:P_pacificus-5.0:Ppa_Contig257:52980:55157:-1 gene:PPA13610 transcript:PPA13610 gene_biotype:protein_coding transcript_biotype:protein_coding MTKWRVLFTVWEMSDDKATEFNFGNAKFTFVDKSTMKFTWNREGKESSSDFSMKHNADDTEDSFTSQNLTYTFTFKRYSFEIEAGGENLIDFFGPVVDYNVGEKHVILNDKMFPEKLRELNKAPEHRKLEPCPANRVCIIFVQLDTCTRNEEFPEPAGQYGMQIQDAGRQPTKKITQTKWKVVTILYEKPGTQTIDEIGFNFGKAHFEFINAKWIKVTWNGHEKTISTYHQMVHDSKTDIFVSENEKKSWTFKRYTFEIEADGKNLMEFFGPSVDFIVKGKFNIVLNDAVFLDELTRLQPESSGNTLVIALMIAGVIVLILIAVAMCAKKPKRNLFIELD >PPA13601 pep:known supercontig:P_pacificus-5.0:Ppa_Contig257:18013:22019:1 gene:PPA13601 transcript:PPA13601 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLLLFIGALTYVFLYYQHVRKYPRGPLPLPLIGNLYHINPEGMHEYLHMIGKEYGHCFTLFMPRPVVFFTEFSLIKEALVTQGEHFAGRSHLPPEEFLQKVDQTGITVCDGEVWREQRRAAVRILREHGMGKNIMEAKINLSIDELLKQLKETNDGVTPFDMYMPIQLCVGNIINETLFGYHFKYSNTAKFEFLTNCLRQHLKCLKDNVWVLVLQAWPWTKHLPIIGRKGYHDPIKNISNYHNFIEEEVNKIAEIYNVNQEPTNFVQSYVRDMKKNPQLDMVNLYAIVVDFWFAGMETTSTALRWCLLYLMKYPHVQDKVRAELLSVVGSSQDRRLEMADRPNLPYFAAVIAEIQRASNMVGFLGFHRCTSDTIVGSNLIPANTLTLPQVFSVLKEDRIFERPTEFMPERFLEADGKTPNKNELDRLIIFGMGKRQCAGEALARMQLFLVLGTLLRHYRFSPCDPIDLTPVFSTILGPKPCNYTILHSDSPQTVLSPLIHCTLRNALLDLGA >PPA13602 pep:known supercontig:P_pacificus-5.0:Ppa_Contig257:22539:23426:1 gene:PPA13602 transcript:PPA13602 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPASPSVSTTLQRVGATTTPDDKSNPTSPFRHIRNRHAPLIREVADGILQHNSPSPALPSIREVADNAHPLRTRLPSPIADVEELDQPIHKDAAHGRHPFHLEPVREVAESESAPAKKFHTREFLGRGTNIFSYKLSLWGNRDAIPDHRSLYPKF >PPA13598 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig257:5752:8162:1 gene:PPA13598 transcript:PPA13598 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSAVAELNEDKEGELTAAAVLMLTQLYKVCCGSLSSLLRDASHVLHNSTRLAKLRSSPVLQRLKLSLYEQQGHFETFCERKEKCIRALNAVKSAQKLSAIGGSTGSSTAMTLANGEVNLAKSPSQNCSFQLMLMCDSFCDILRNVKDSPGAAVS >PPA13612 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2571:441:959:1 gene:PPA13612 transcript:PPA13612 gene_biotype:protein_coding transcript_biotype:protein_coding MSAENRAYASIVRALEVLNKSGKYRAALVTASVKRYPEIVLHGDVDVVRGLACSNMQETIGIISDDHLTVTLPLVDFSAVLEDGTGDDLVRAVTDGLEAESRPRRNLFQREKDNALPPPHWPSSVTFASLNSG >PPA13613 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2579:253:811:1 gene:PPA13613 transcript:PPA13613 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGIRDVDITYRRLLALKKAHKKDASVAREVFKLLGRSPSKGTLLTSSIDHPLIGRFVRRWKEEVSEDGRVSSVKKRGETPLVSIQFPSGQLTIPVSTLESSLDEGSFDLLDDLL >PPA13617 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig258:16793:18350:1 gene:PPA13617 transcript:PPA13617 gene_biotype:protein_coding transcript_biotype:protein_coding MPDREWARLLHEFETLPDGTEKTEHEDEDEEEKEEDEEPAQVSPLAFLFSNRVTPQGEQLEYLHNVQKLAGSTMRIEPKNDWAWSLAAAHSSPHSPHAFQILVRLYNEQIRGLERVAEAWKDYKVLWGADPYEEENVPNQP >PPA13620 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig258:26799:29755:-1 gene:PPA13620 transcript:PPA13620 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDMPTSNTRMVNIEEVQSTARSQRVAAHSHVKGLGLHPESKEALPKGAGFIGQEEAREAAGAIIDLIKQKDVESVSNAMDTIKKWKDLPTLEAISAFSGTEPTPPYET >PPA13623 pep:known supercontig:P_pacificus-5.0:Ppa_Contig258:44095:49018:-1 gene:PPA13623 transcript:PPA13623 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKSLRDSIDEETDPKKISKRTFLISLVVIFGVAVSFTASSQFSKYALDIDPDSFNAPYFMLWFNTTWMVACFPVFLLYNLIRGSGFKESLKHSAPVLGGSVLWGFLRVLMFLVLWSGSNYSYTKALTFVSSSIAASISSCNAALVWVLAMILLKDKFMVPKLLAVLFAIGGVVLISLDDQINAPWLGIVLALASAILAALYKVLFKYVLGDATLGQVSLFMTCIGMLNLVLNAIPTFLLLFFNAEYLDVTTLPWAALVGSAALGLCECTMFNFLIAFGIALLHPLVIAVGMLVGIPMNTVVDIILGQVSATLLFILGSFDLPQIGF >PPA13621 pep:known supercontig:P_pacificus-5.0:Ppa_Contig258:32870:38026:-1 gene:PPA13621 transcript:PPA13621 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKWAIASVSTRYILDDSIASELEDWEVRWLRDAVRRGRAFVSKGIQRNGEIEDDDWESFVRSVQAKNAAASRPAAIPAAESSFMGSFGGAPGPSISIGPSGSGPVSAFSGSGPVSTIPGVSSAGQDTLFFGNFDPNQFMQPVVVQQQHPNLQQLQQSERPVPMTPPPSHQPHRPRPIPRRITTTTHRPPPPSRSEPTDEEYAQLNSLDSILRTLISRRQQIIADEDGLDLQQLQQPTAARTTTTTAAAAAARKRRPMRPLKNIITEETVKDRKKATAHHSNLPGHPPNEWVMMNPDDDDPEGEDSRVPDQLPVPTVLQEREQLPAVLHDQQEHVIDRVEEMNESIDSLHTADPEEEKIRALSNELTDLIMKMKRRKKEPKVKKKPAKARGTAEGLANRQYNLIATITLIRDGGKNILVDTGLATDINGRTEMIRRLESLGVPTPAVHVVVSTHGHPDHAGERATRISSPTPFTTMDGTCTSGPSSICPIYSM >PPA13618 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig258:19863:20344:-1 gene:PPA13618 transcript:PPA13618 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKRAATVKDAREGVAPKKAKSEQQRATSVKGAAKKGSNAQVMNPKMLYANANFEVYFIWGG >PPA13622 pep:known supercontig:P_pacificus-5.0:Ppa_Contig258:38706:42335:-1 gene:PPA13622 transcript:PPA13622 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDNYSLHVKSKDEKKEEEEGLPRRKLLLSLIVIFGVAIAWIASTRFSKAALIVDPRKFNAPYFMLWWNTNWMITCFPVFLLYNRIRGTKPKESLDESLPVLGGSLQSGIGRVALFLFLWSGANYSYLKALAYVSASVATSIQACNVSLVWLMALFLLGDRFVPPKLFAVFLAIGGVVLISLDKEIRASWVGILLSTLSPIFAAVYKVMFKWILGDATLGQVSLFMTCLGLMNLVVNAIPALFLIVFEVEQLQIEAVPWVVLVGAGLLGLLFNFLINFGIALLHPLVISVGMLVGLPCNTVIDILFSSVSPTTFFISVCTTVQILQIDTSD >PPA13619 pep:known supercontig:P_pacificus-5.0:Ppa_Contig258:20506:26093:-1 gene:PPA13619 transcript:PPA13619 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLSELETRKEMDAFRAVPLRQAMYGLMLCSVSAFSRLSHLTNEFPEISLDTVIESLKKLEAASFGAYDMLLSLVRVAFEAIKTILARQWRIEEIRGSLRILGKDVVIKKAFERDVEPYRGLTYGFLECLHLTLCLLVEREQYKRMHDDQPLSLPSSQQDTGPIDDATANHAESLAGDTASEATDDDSQAEAGDTTAGDEQTEANSATVADASAADGDDDESGSQEENAERRLREDTVDLCATDDESWGEYDFGPGMKLEELSDTGAVAEPPVDLFETYAKEEEINWDEVKLEVGYSGGGEEKEEQPYSLPSSQGAGPIDTSTIQDGAPATADAAARAAADAAASIGDSDAVVASAQGAADPEATLSSAVALLTSTTAEKVEALLCTRCEFSTPKVRLLLHHLEEEHHTDATKEHFFYRCVCGHTARNLNHFHFYTCDEKLVTIVKDEEVEAAALNCRGPAAA >PPA13616 pep:known supercontig:P_pacificus-5.0:Ppa_Contig258:12167:14891:1 gene:PPA13616 transcript:PPA13616 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDIIAPVPYWGLIDISGHFIERVHDYAHRIAYINNPINMLGIQPKLPLGMIPKAHAPLNCAPPLCNPYVHTFAIGFEQDEPTKQDGIHTDPVTEAPEEGGGEHGGGEGEHGGEEGHDAKASEHGETPTEHEEEGEGEEHHNPFAGLFHVDGYEGQFDMAVPVGKGIAYRVPVSDRDNITMTYGQHTGPIDSLRFMPGDYAADLDVNFMPGDYAADLDVSGDYAADLDVRKSIDISPCTHGCVPLDKLMITKIDW >PPA13614 pep:known supercontig:P_pacificus-5.0:Ppa_Contig258:3160:6004:1 gene:PPA13614 transcript:PPA13614 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYCSNGLVFSIQTAVSLFLIAEEAHARNDKKVQNLAAKVIQSWARFHLCRRKFPSSDKFIEICCQKLYAAKQKIEKQRQVAGMMLPAKRLIKRAKRSSLTRQRSGVLDAFASPPPAHALARKRGVSEPTVLSTPYRLRKSLPNQLHSLFSMTMATAAVFGNRVDSPDSAIAEDHDYSVTNQNTVDERFLRMHNKQAHRDSGISSISRCKTNREEFGPLVMMIQFLLFGHFKRRFRNTEFRKPPEQKVADEQRTMFGIVKSVENQFELLVTRHEGNRREQEHLERAATRISGLASKMTERMEEVDKLRKQECPIELSAE >PPA13615 pep:known supercontig:P_pacificus-5.0:Ppa_Contig258:6549:8888:-1 gene:PPA13615 transcript:PPA13615 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAIVALACLASAFSAPILAVDSDSVTAFLTQLALNDENRAKDGQVVVAYQDMASHKNFDHDNAKNPLFTSVDLALLAQPTYKSLKELIAKFPQQDGNKADPETEERKAASLAFIDDLSKTTVFAKAWEYLQSVGVSSADYDEFRTQLYTVWFSVFNRNSGSTVAGSSGFKGTFVGEFLKKEVVGLTNWIRFALLEKVNGVDYHGWFERQLVSIFKIHFL >PPA13624 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2582:129:991:-1 gene:PPA13624 transcript:PPA13624 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTNQPTVHILELTDEIVKFSLEDTDLSVANSLRRVFIAEAPVMTIDWIQIESNTSVLHDEFVSHRMGLIPLTSEISSKKWSALESDVACSSQLNPAIARETPHGEVMCLVCNMAVMPKIRTAHVVGKTHRSKAEKLKKE >PPA13625 pep:known supercontig:P_pacificus-5.0:Ppa_Contig259:284:15319:-1 gene:PPA13625 transcript:PPA13625 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sec-10 MSGGGTPYFATYTQDLEQDPFDAIDFVERIVWRITGGKPVTDPIGLKNKFEEEIASLQMLCDQFSQKLRTLEQQQSAEKRDYVAKMQRMHEQNSEAINKIKQLDATMQTVSSKVIHLGDQLESVNAPRQRAHDTQQLISHFNEFLSDQPLNSHLFTDPDKLLESADLIHKLHSISQELSRDKYAAVQARIAHRYAEVEQLLIDEFLQSQRNEKHMAAVAKMLCEFKGYSACVDRYIEFLCSNFGRADRSEKIYEDALQLVKSSKPRIESIFPQPQAIVQKLVLFIFTGKLNETIRAKLNEYKEAGDTERYLVGLAKEFSSTGKLCRDLEQLHLSCDAAFLKAVMRSIFGSYLQTYERDELAHLKRQCTSILGRFYDERKHVKKAIQTGDKLSEIKSYTQARFFAVEDYGGETFLSHDVVFVILQELKNAYARAALLCDAGEPSARQTEAIFDVMLQYLHHEHLDYAVELALAGISLAEPKVEPPAYIFAVVAQNTTMMHLMTKQYADSVQPALTESINEQSSVHKKWLNAMRSLESKLNLGIERQINAIIGYARFLLNQQKKADFVPESLALGGSQACTTICKFLGAQAAAMESGLDGENLGAVMAELAMRFYRLLLAHIQQFYYNMGGGMMLVCDLNEYRKVIAHWRFPGPSSTTGAAAAAAGGGGASETAVDPRKMFDHLHALANLLTVLPENVQSSSHSAQLDGLSDASLINTFLQLRVDYLMSASEGQTDKHEEAIKMKDEGNLAFKEKRYNKAIQLYTESLVLERNHLVFGNRAQTFIHLAQYELALRDINEALEMEPTFLKNLNRKIRQGCTHCERAPKLPVPTLTQTSPEQTR >PPA13631 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig259:58469:62103:-1 gene:PPA13631 transcript:PPA13631 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSIKKSFKSMKASQIPLDFFDAKMDPPKPSTLIAGRSRNTKSGQLQGGIVRFDDGLLLKCSQYDIQFKYADHLHYGSFGDLVTRAVHTTTKRNIAVKIIQKMETARAIQHENIVRLHGFVIEEMTCYMVMERMEASLCEIEAASGKYPYSGSIDFVVADQIVDPNQQPHLGGKFSLEMSDFVDACLLHSVQARKTKFYREHMQRVDRFESVKALLHRVQHYLEELRRE >PPA13629 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig259:51542:52231:-1 gene:PPA13629 transcript:PPA13629 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRNEYDNIGRKEKVKSNHLKRRVHVVDGLAFLRNNARVMHRDIKPNNIMINDRGQAC >PPA13627 pep:known supercontig:P_pacificus-5.0:Ppa_Contig259:31595:34484:-1 gene:PPA13627 transcript:PPA13627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-322 MALIPLIQANLVVPSSSSDALTSFLYDLSAFLAQIDCPYDSLCCGKIEQRFVTEEARGLLVRFLLDELKCARLSAADRLQMPSTLRVMHWASEEPSADSRLAVSVAAAAAALGMQPIGAQQTAEEYLKRMTDQVSRLCSSSPRPAPLFTAALEPHRWPAVETVAKRIGHDFRLVAL >PPA13630 pep:known supercontig:P_pacificus-5.0:Ppa_Contig259:54479:55655:-1 gene:PPA13630 transcript:PPA13630 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPSNDRMPLDLRMGQIRETERDEQVAAARTLLDSENGNFHIKGEPTVTFGVRDLEMRTGIGRGQFAEVVKRAVYTPRGMDVAVKIIYIIDRPSGNNDGRGNEEVSYHHHFTVGNRGTSKS >PPA13632 pep:known supercontig:P_pacificus-5.0:Ppa_Contig259:66142:73057:1 gene:PPA13632 transcript:PPA13632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar GTP-binding protein 1 [Source:UniProtKB/TrEMBL;Acc:H3EUZ5] MASSYNFKKITVIPTASELKEVCLSKTQRKTPTVVHRQYSIGRIRAFYARKIKFLQQTLHDKLTQIIDEFPKMEEVHPFYADLMNILYDRDHYKIALGQMNTARHLIDGIAREYCRLMKYADSLYRCKMLKRAALGRMVKLLRRQKSSFEYLEQVRQHLSRLPSIDPNNRTLILCGFPNVGKSSFINTVTRADVEVQPYAFTTKALYVGHLDYKFLRWQVIDTPGILDQPLEERNTIEMQAVTALAHLKAAILYVMDISEQCDKTLAEQIHLFESIRPLFANKPVLVALNKTDIITRAELPAEKEAMLAALEKDGVPLLEMSTVSKTGVVEIRDRACDDLLAQRVETKLQAKKTTVSEGGVLNRVFVAYPEKRDEVVRAPFIPEGVLHRRVKGTVHNAGRGDQIARGLGNMGKAPTKFNSNDIVEDSLAEMRDENTRRLEREIELELDDEYVLDLKKNYLLQNDDEKYDIIPEIWNGHNIADFVDEQVQAKLAALLEEEENLKAAGEYDEDLESEDEELAENARKIADKEEELRFMAREKKSLNGKRTSRSVTRKRERTMDKLEQTMGELGVEIAPKRMKHLQEMAGREHTVKKMKVGPSRSLSANRAESRDKLGIRDEKARSKSRVMAKRAQKPANQESRKGEGDRRFMDLKPKHLFSGKRGAGKTDRLVSVTPIVS >PPA13626 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig259:15911:20759:-1 gene:PPA13626 transcript:PPA13626 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRSATRLASTMGMPKARGPQVVERLSCDERRLLHAALGLSLQIECEDGGGKSMMMEDSEDRGEITKEQAKALFLVNGLPFIAFGCLDNMIMIVAGEYIDQSLGAWLALSTMAAAALGNLISDVAGVGLAHYVERGELVVMGLWKAAMFSDVARVGLAHYVEIAVGWAGIKHPVLTAKQLESGKSRFMTNSGRAIGLSLGCLLGMFPLLFYNNEEKKEPKDPDAK >PPA13628 pep:known supercontig:P_pacificus-5.0:Ppa_Contig259:49659:51288:-1 gene:PPA13628 transcript:PPA13628 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLLPRITVGYLTMVKICDFGVSKKLHTGISNSRAHTTTAGCISYMAPEQFSVDVATSGYGSKAGVWSLGISLFECALGRYAFDGQSDFVIAGNIQTKDPPRIPMQAGFSQDLRNFVDACLFKYEPDRSSLVPTDGNCLQNKKFYKEHVRRGDDARSITVVDVLSLATPHLPMLRRSLLDR >PPA13634 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2593:28:917:1 gene:PPA13634 transcript:PPA13634 gene_biotype:protein_coding transcript_biotype:protein_coding EKVDREEKAVSKVPKEEEGARLLKGTAARRLTRSRAKEHVYYIAKVPKESEEDENAEARASDSHPSNEPPGSAGRPLFGWLRSSGRTKRGDDHGPAERAPTDEYYALAKERYAGPLDGLDRRDDLENLPLGIRVALPSTDKKKRRKGETDDAELTGAASPSRTQRTYYLARVRHDGDEEIVEREHIKPESYGVATTSYDGPLEDTPREDDLEHLPIRDHATVYHQGQSWRVDKEKSPKKEKAVSKAPKEKKSKAPKGTAAAPTDEVTGKEHVYYIAKVPKESEEDENAEARASDSH >PPA13655 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:282053:287271:1 gene:PPA13655 transcript:PPA13655 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCGANSAACSGAPSHPWTSLFVPRDDPPEHSSKPPPHSYYKAEDLRAPALSHAGEEISEPEVHETIRLCDVEAFRLMTAQRALAGDESTEGLAEITDGIARLSISSSSIRPVIYRYIDAIKDSFALLEAIHFELFLPDRPDLRFLAVPFERVPSGTAEGDYFRVTNADLLPTPSFDVCLKKREEYPVVWAASEPQRMDFGGKLGEQSGWAYIVKKKANYLRSTIAESESEEEEVAMKDRYTEEEQIKALEGLSPRELAAVYKALTTVSPDEGFNVLEYLKRYYVGDPDLVEMLDGLGAFKAKEDEKDEDSNKGADKEKKFDPRSEYLKRLAGVRSSSQREGRVVSAVRALDATEAEWIEDRLGAFYGYGEFGDLALMDKLFRMGCQFRAAASRGTDARDVGEMRMDESVVLKTISDRGIGPLEECRPLFNCIYGRGNAADASDASDSDDDASDSSGPVRSGQLQLNEAQSRAVRLYADASGPGVFCILSPPGSGKTTVAAAMAAAVARGHAGGVQLLLSVQNVAVDNIGAALKKLIRGGGGVYNMKSNKKLSPHDPKPFDFFDQMCRYEREEWKAGNQPMERTICRAKITLFNCDFDAFYPRDEL >PPA13658 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:303882:304604:1 gene:PPA13658 transcript:PPA13658 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSESKENSASFSSSSFGGSGGSSFSGGSPFGSSTNGMTSIDNPFYIGNLSSSDQDKLRSAFSNAF >PPA13797 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1297682:1298205:1 gene:PPA13797 transcript:PPA13797 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPYPTATVLSKLPCRTPISVRSIDEEVVDFAGSDSDVDNVAKRETKSEEWSWEGVNAFLPKAVAKRGNAVAYGCAAGGVICTICGFICIAVATNA >PPA13732 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:890102:891059:-1 gene:PPA13732 transcript:PPA13732 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPRSSTTLFELRLIGNSSLFSQTGRVRCTDENKYFVYFYIDLSVLNYLSKCMGSVLEKVEILDWKNRSLFYFAITQLLGRKDIGQLEIKFEELSQQAVTELLKPLEVCMIDQFNLSVRDVTVSKPERLLVELSTFFQSIRITQLYLSNSRKNIPYFFGLHYFEWSPIILAMFSGKMDKLNICNFHYPQYLSAKSAGELLEVKVTAIPLKKALASCERSPAGR >PPA13709 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:753109:754335:-1 gene:PPA13709 transcript:PPA13709 gene_biotype:protein_coding transcript_biotype:protein_coding MHTWANSKNVDTKNRARIKDGTSIKDETATRISNSTSNRVARQNCGIPYISNGRVRGIAACLFRMRADRKKEEEESIVLLGTGRRKI >PPA13754 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1097590:1100893:1 gene:PPA13754 transcript:PPA13754 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVHCELPGVEFVTLHSSSSIRVTRAGGVKEYPLYASGSWKPFGNKNMDSGAVIFMEALALLCNTVSVSDNQPPGHSARARRPTTVFRPPLPSAPIDNRLSIDRPTDRQQPATVPRTAPRLAPPGKPQPPDYSIPPPPPMAGSSGEESASDHNGPATTMRRRKCLRRRMHAAQALRRSTSTEKNPTETPSHWPFWWLGAAAIAVFVTPGFSSAIMMSAIPQEHCLEGLIHEFW >PPA13690 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:586210:587330:1 gene:PPA13690 transcript:PPA13690 gene_biotype:protein_coding transcript_biotype:protein_coding MARCLFIVSSRLGCGSSSSSSSAGCACCGCGDGCTCYKTGKCTKEAGICCYGLDNCYKTGKCTKEAGICCYADKCKESGCACGRGDGSTAAVEGMNKCCH >PPA13790 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1260177:1261251:1 gene:PPA13790 transcript:PPA13790 gene_biotype:protein_coding transcript_biotype:protein_coding MCTFWAVLNRLGIPVVVRPSLGYIHPTHRGTGLFKIYAEYDIDFPTVIKQTGAKYYTTLATSRISKIWCDMLGETAIYTSPPTVTNVRGETVPMPHGENVVFVKDMRTNFSINVKPCWEKMRACGMMPK >PPA13694 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:602005:603661:-1 gene:PPA13694 transcript:PPA13694 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEYAQPTSTTPRRRGRPCKPKPSATDSGASLRSPPSSSSSSSTVPPITIKLSNGAVVGTIARPPPSISKSSPGLVAGAIARTASSITKSPSVVLPASKNPLSGRATVARPSQAVFHDSNSTTLLPPPPPQQPDASSPEDSNNDLSSGLNVAASSTAPSESSGCNTPTTLPRDQHKTSSSHTRRSFPWRDVDFHVIAQKCLGRLDSRQSIK >PPA13719 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:814272:815772:-1 gene:PPA13719 transcript:PPA13719 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGQPIAPDSRHRRVIEVKQKRPEVSIEIDAATIGRARPATTALDAQRAGHPATHALAPGRDDYGKRTAVAHQELTGTELTERLYERWVRVPTLAVRQLKSAG >PPA13765 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1161583:1166761:1 gene:PPA13765 transcript:PPA13765 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRCCMSQSNVVTIVLVGAALVLFLYTFSEHGQGRRELRETDVKEKERGRRGDGKHSREIDSEHASISVINSHLVPSRLPSPPRSASAVGPPHPEPADAASSSSRSQQSGLPLSAVSQPRLNARTADFFKQQAKERKSMFEAALASKNPTVPQVLLPIVAEAYCPSLVRVGSVGDGGKWLCNPWAMPKGGVVFSLGSHNDISFEADYQKATGQAATIITVDMNAASSSALAGLEAINAKFVHAMIAPKTNLTASPPHYTVADLMKKMGHDHIEMLKMDIEGAEYTVLPQFLSGNSVCQIMVEIHAIAQVPTLLRAVADAGFLLQKYELNAFWTGTGLCEYSYIHESCLEKYGATLLASYLKK >PPA13675 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:441638:448880:-1 gene:PPA13675 transcript:PPA13675 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWLQALLALAVLPAVQPMGMAPELYCGLESCYDVLGIEREEFDRNTLSKIYRKAAKANHPRSIQDQDGVDYFIPCCNHDLIEDKADAEERFRVVATAYETLKENDTKTEYDYYLDHPEERFYNYYQYYRRRAIVKVDVWPVALVTILVISFVQHISAKQKYEQAVAYVIASGKFRHKAIDVGVQRKVLEMDKAGKLKKVRGRDNEAIIKSIIEENMDVKGGYSKPDPMSLLIVQIVILPYTLLNYAKWQAVWFLKYKVRGEEYDDEAKCYIVRKWMKINQAQFDSLSDKEHEEVFRKQLWIRENFDEWKTVPNCATLINSAHETVKNRKSFDNQYILQKAKDAEELAKNMASGRYKQYKRYMKNNNDSMSFLED >PPA13742 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:996113:998490:1 gene:PPA13742 transcript:PPA13742 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGSWARVFTNLKKYIKKDFSERVYVGEDHMGHRYYEIRNSRQNVNRGFEPPKDVPRTIDYSGPSVEWQSWLKGTRRFPPSDQEIALNRAKQQAQLTEDAAREKRAPIVTSTGKGASESTQPRSYPKYEDLEINPGAPIPRDHEKK >PPA13785 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1248333:1250640:1 gene:PPA13785 transcript:PPA13785 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVSLALLLGLATAALGGCGIRPPPSRAKRALIVGGFNVTSPYKWPWQATKRNVVELKVHPAYIPDAPHPNDIALLKLDIIPYVYNEAVAHICLPSASQPIPDNANAVATGFGANNGRFVALPDDPMKIIWSWDGAIPRNTGDSGGPLAMMSSAGAWYQIGVASYLGSFDGGMDGETYPKVWTDVRKFCPWIKEATNGEAHCQDEEVLLENVKESEIGIGIETTKAPPTTVEPAITEEPVTTEATKITESTAETSETSDEPEISVVTTEEASDEPGISEGSIATDEPEASHVTAEESETSDEPESTELTTTSDDSDESDEVETIDDAIFAKFTTKGTEASDET >PPA13635 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:4765:8192:-1 gene:PPA13635 transcript:PPA13635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cyk-4 MEYSYAETRDIMVGYYTLVQSLRKKTADYQRLLTDFQNLIEAFDKSRKLTKMEVDRTAKLELRLKESEQSGRELQQKYDEKEREMRTMEAQLADVGSRLGYIRALEADRDELESRMKMVKENLKVNQLDFLPAEGKEQLAFIHEPKLYRELSRMEEDEERTPVRSMKKRPSPASRRALFAARPPPLIGEKAGAIARMSSVASRSIAKRRSSTVGVKTPATSKTEVTTRVEFNESTRELKQVKTTVTVNRKSCTPRRSKNWTGGQPIDRRQHRFEKYTGMTKKCVICNIGFGFLRGKPGFGCVDCSLHVHEKCKQKLLVSCVPQSLTPRAQLAKSSRLPMSQLASARKTGLAGLKPPLHEFCLPTAPMLPVPVVRCVIALERKYKGLEQEGLYRICGNKAKAARVLEDLCDMKEVPHFLHYMDSDVLTGCIKAFLANLRDPLIPKTSRREFMLAATNDDEVERDVQLRKAIDDLPQPHRDTLAYLCVHWLKVIALSGFNKMTLDNLARCLAPTVIGLHEDDDSRSGNNLAKMHDANPAKMKAEDLEKLQAANLAKMQAASDDVNNSIEVLTALLNMEAEYWSEYISFEDLNRSINTLPPPRKSATFRISLIYGLN >PPA13744 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1026047:1031073:1 gene:PPA13744 transcript:PPA13744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-bca-2 MPGLNKILNGVIRFRQTVRKDLVKQFEKIRDNPHPTAVFFTCMDSRMLPARFTQSQSARRIRAALFDDIAQRTSKSSTPSMVGDMFVVRNSGNMIPHANNYGPVGYEVSVTTEPAALELAVKRGKINHVIVCGHSDCKAINTLYNLHCCPKSFDPESPMDHWLRRHGYNSLRKLEKRLADDKAGPLEFVSSNPLFSFSAIIDAEGKWNVEDRLSQINTLQQLENIASHGFLNVSRRNRRHVVAEFLESRQVDLHAMWFDVYAGEMYMFSKPRKQFVLIDEESVAGLEDEVAKLKLPRNR >PPA13746 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1044533:1046177:1 gene:PPA13746 transcript:PPA13746 gene_biotype:protein_coding transcript_biotype:protein_coding MMFDPRKPDGQPRKCLPHQNNLCVNALPDKPDAETVCCYHNQVDYFCCLDATEEQCPDYEQVTVVIHNSLPHDPFALRSYFFKEGIEDQLVEEAFAKQKDAPTNEDGFLVRRQL >PPA13761 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:1138646:1138978:-1 gene:PPA13761 transcript:PPA13761 gene_biotype:protein_coding transcript_biotype:protein_coding MINGNQTKGTGEGRHSSMNYKGRNKKGDLSEETKKRRRESKNLMHRLVLIN >PPA13739 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:974752:975234:1 gene:PPA13739 transcript:PPA13739 gene_biotype:protein_coding transcript_biotype:protein_coding MQCAHPILLRDLGSESITDLLTWLLQYLPPLRPREIETEKEMDEFMGWLAKQDCKILKRNNSIIPTCQEQRVVFQDLGS >PPA13649 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:146598:162559:-1 gene:PPA13649 transcript:PPA13649 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDFFNGLSIVPLAPGDHWLRSAAACPAEHPFRPLVRVRASAPEASGKAPEAVRPPLTYKEHSLLRAPAEGKSRMSVVSRHAHGATEKSYTGGEVEVHETVRLQDVEAFRTKTAETAKRAIGVNGNDMDRQMADRVARMRLGQGGALRPVIYRYVDVIKDRFALLEAIHFELFLPDRPDLRFLAVPITTVPQTPEGDYFHVDEADLLEEPTFGVSLGREEYPVVWVARAPVRMAFPALKEKHALAYVMKKKQQSVAGDSALSVLFPVSNRNTSLPFQQSVGGDTALSVLFPAQFLLRSSLFREGEERATDTLLRVSMRAGASVHLYPVEPPLPPGPPQRGGGGAGAGDANGDARDADSYIARVRDVRTSAQRESRVVSRVRALTEVEEGMLNDRFGAFMRYDQPGDVELMHELFLLGCRMREVESKSERGSAAASDADETRIDEGVILKALMDDSLGNLNRGRLLLDSVYGRRGPDPVYSAQPVREASLGAARALHLYTADERPRVFCVLSPPGSGKTTLAAAMAASVAKNAKEPTTTFLNRNKPAKGGEVQLLLSVQNVAVDNMGAALKKVEYGGGLVYNMKSTTKLDPYHPAPFDFLDLAGEDSLKGWRRLRGPMEREKKARGKKYDKEKKVYEEQLTTARREYEKQISPKIVLSTVEMVLQKMFTKSKLCEALEKVTRVIIDEASLLTEAALFCLIRRFPEAQMVLIGDDKQLPPFMYDKDKLGSELAGRSSLTVAMKTGKVPVVKLVEVYRAPQSLIAPYNRLAYGNRLHSNVPHAESPLGVIGLVHSSQPQLLFVEVEGNQEREEKTMSLYNVKELKAVQRLLAKFDRDWMADVMIICLYKEQKRRSAVGLNLRTFQTASSARQRLQRAHGRFGAGQREADRDPADDAHGDSQAGRILQQQGEASIE >PPA13665 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:336539:341482:1 gene:PPA13665 transcript:PPA13665 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRSSETAGIFAASILLFVYYAYGKFFTSTAAADGWLSEPDQQFETRILQKELDALSVNGFTQAELNVLRLTIHIVLIVADSDNPEKARPSLCERVETLLKSMLIFYEGELHVHLLTNTKSVDTFSMLFRTWPLARVRASFYNVEAEQRRLEWVKSTHEVSGYGQLKYVITDILPRYVEKAIFIDTDMMVLEDLSVLHSYFTFMDEKGIMFATASDQYRRKDLEESFGKGGGNASLNSGLVLYNLKVMREGNWSHLWRKTGVWLMNKLGNLKCPQDLLLAVALLRPDTYLRLPCAYNFQIGPYTRPEKCLKSRADVRNVKIPHWTGKLKFYDTIGYTAVFSPIYRCVQKMDGYELEEGTKTTEYRTITNLRNTPDIKKDTVTLVGHTYYAEAIELMRRLNSTWPGPISLAVCGSSWQRAQLLAFIFANPLHDSFNVHFVQLRNGSCPAHYLRRTAINESRTDRVLLSDTITSLQVDAGLRKMIADARAPIVLRNGEILSTVFSVSRRCGG >PPA13778 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1220187:1221209:-1 gene:PPA13778 transcript:PPA13778 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNSRVQASKRWPPAGIRVERAYSLKRAMEPVQALPDLDHDQLSNEQNICCDWHILSPLERLPRELIYI >PPA13638 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:29154:43958:1 gene:PPA13638 transcript:PPA13638 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-xpo-2 MLLAQADTINTHDRMNTAEVAEVLRNTLQTDAATRKEAERQLARVQSHIGYGMALLELLSSPQVPAEIRVVAAVVLKNFVKTNWGENPEVEVGDVEQDQLRTALLAAMFANTGNLQKQLSHAVFLMAKKDFPERWPELINGLASQMNTANADLERLLAALNTMDQLLEKYRYESKSESLWRELKMCLLAVQAPLTTLYEWLVGFVDNLAQLSAEQANSLFELLNCVMRVFHSLCTQDLPEFFEDNLSRWVAGMAKLFTIEAPSVQSAGGEATPLDKVKTEMCEIVTLYAQRYEEEIMPHMQGLIGAIWQLLVNTNSETRYDGMVCSALDFLSAICVRSQYKDMFKADGVLKTLAEDVAVKNLMLRQEDLEQFEDEPLEYIKKDLEGTDSGTRRKGAVDLVRALCREYEGDLMPILSAVVSSFIADASDQFWRKRDVVYCLVRAMASKTETSRQGATSTSQLFSSSSLVSHAHYLKSEGGVVGCIMFDGDRVFRLLNHVPPPSKINIVDYYSSNVRGDLCAADVNSFPILKADALKFVVLFRNQLPPEAHQEALVAIENLFTSQHTIVHKYAAYAVERLLLVRVNNTPIFTAASVNVASLLARLIAAFDADPKAQNSAYLIKALMRVVCIIDASTARQAGEIATRLAAMVDAAVKNPADPSHTHFLFETICLLVKKTSGHVEGGIDRPLFPLLETILAQDVADLVPYALQGNQCESDIPLPSAGNNTLAEY >PPA13749 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1056619:1057082:1 gene:PPA13749 transcript:PPA13749 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAIIFVVLALLACMFVPSSAYNSRPRRSVDSHEEQEELFAEFREALKELANDDNLTEQQKIDKATKIAKSRIDASFI >PPA13679 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:498257:499274:-1 gene:PPA13679 transcript:PPA13679 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVPAISLTRIVEGPDRWRQLLRVVDQEELLADNRFDSDSTVQRALETVIASSRTENDEPTLSTARRHSFQLSDGDNFVLEVPSTTLDQYDDPWAPPNTPVDEEGRFLVDCTTTCSTMQSISPSASRERIAGEVAEYLLVKGGAWHANVNDSVRVEVTTPDKRDKDKRGAAERSRYRNPS >PPA13728 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:853316:860007:1 gene:PPA13728 transcript:PPA13728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cap-1 MAAGEGAISDSEKVRIASDFLLHAPPGEFNEVFNDVRMLLKNDTLLREGCAPVFAQYNREQFVPVKLEGVDKKTLVTPYNDIGGGRFVDDHSGKSFKFDHLRREAVDVADAPGIEAKLEPWRAALQTELDAYIDEHFSHGGIGCVFQSRGAGGGLVMCIESHQFAPTNYVNGRWRSEWHVPVGDGQSGVQDMKGQIKCQVHYYEDGNVQLFSDKPTTVKVNVSSDPSKTAKEVLRAISEEEAAYQTAIQENYTTMSESTFKALRRQLPVTRAKMDWLKVQSYRIGAEIKPQ >PPA13714 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:767196:767953:1 gene:PPA13714 transcript:PPA13714 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVRYLSKTRIRDRKLLATIYSESITRKRIELLENSPCAKDLLIVNNVYDAKTMLIIPFFGVAPRNTIAQKKVKV >PPA13771 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1198178:1198703:1 gene:PPA13771 transcript:PPA13771 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDRFFYADCEDYAYKMYRARTESDDVGFADNDKGCDAQCNMTLSFHIPTGYFHEANDFKKRTFHVGNVLTGDFIYQCYEFLKDCGHSFDRYCNGYMKD >PPA13652 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:179931:193657:1 gene:PPA13652 transcript:PPA13652 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDYFNGLSIVPLATHHSALSRIAAEEAHPWRGLYETRTPPPVHTHRPPLTTPPPTTYREDAQLIAPPSATSPLLAYGKQNSLDVELHETIRLCDVEAFRKNTAEKARSKANNDQINDLSAGLARLSPSSPSIRPVIYRYVDAIKNSFALVEAIHFELFMPDRPDLRFLGVRTASLPPGTVEGDFIRIADAAPLARPSFAVNLGMTEYPVLWEAARFEKIALSAQAERHGLAYVVGRSGIGCSEAIGEIADRELAVRDSVFAPGGPVGREHSLIRVQMRDMPTAHLYTVEPPRPSPPPTLMSRDDAIAALERMGSYDRAAVYKAFVQVAAPRDDAYVLQTLKGHAGNSRLRRALGAMGTSVMTCVTPANDHPYFAKKEQLFPCLQDDSGIPAEAGHSSSVRAFLVVLVEDFDGGDGNFIGRKGWRSEVLLIDVPLPLSCYDPVLGGSGDFYGGATEGREGGRSMEGKWSRRMRTGGVVVRGINSKESSKRYQRFAGGNKAVSDVLGAVLPPAHRVFLPNPVLPARAAPERRTRAATTCGMWQRWAVCTSSQHAGRVVSAARALSAPEADRLADRLRAFASYGQKGDLEFMDELFRLGSRYRVAASQGTDARDVETKIDESVVLLTQSAQIRDSETISDDKLGPLEACRPLLDCIYGRGGVEETRELGDKKPEPIFFCGMQLKDAQSRAIRMYADEDGPRVFAILSPPGSGKTTIAAAMAATVARSQYPDLGFDVDADYYDAGGEAQLMLSVQNVAVDNIGAALKKMWRGEVIIYNMKSNKKLDPKCPAPFDFFDRMKPEERELWMTGRQPMEKKAVCTEIIRGDPFSENAHEETVRFNTYDECLTTCRREKERKIYPKIILSTVEMVLQKMYTSSKLCEDLRRVRQIVIDEASLLTEAALYAIIRRFPRARIVLIGDDKQLPPFMYDAAILGHELAGRAALSVAMNTGRVPVVQLNEVYRAPPSLVEPYNRLAYGGKLVSRKPEGSLQPLSQIGFVASGRPQLLLIDVDGREERSERSSSLYNEKELQVLVRFLSKCPADWASGTMIICLYKDQKWRVQDMLNRIDRQNQYTVLTVDSAQGKEAPMVILLTTRTQKATDFFCSPERCNVAISRQQQALVILGKKSLLSTTYPWSTVVSNNDFTGVTAD >PPA13787 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:1253548:1254689:1 gene:PPA13787 transcript:PPA13787 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIERWENLPVEYSSTEEFQAVTRTWNGKQIVCASSYGHGTGTGDSGGPLVMPAADGRWFQIGIVSFGVPDDQLQLDKYPKTGGESNCQEEEVTLEDAKTQ >PPA13650 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:169463:170520:1 gene:PPA13650 transcript:PPA13650 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRPDLRFIIIPFTSLPPQTVEREWFTVHDADLLMEPSFSIELGETADLLMEPSFSIEFRDTAKDFEKMCFENEEAELEERSGMAYIVKKKAPGIRNRAV >PPA13795 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1284380:1285416:1 gene:PPA13795 transcript:PPA13795 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQDITQLRLTERHALNRDLVDDTCDEYTLGMKYQLLENRRAFKLLLLVSSFSSITVVTAESSPSYSSMMGAFFDAIVTMIVD >PPA13780 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:1226093:1227504:1 gene:PPA13780 transcript:PPA13780 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNLAMSSAPSTASSCSTRDKIRQLIDGIATANALCESAGVTFSRACRGCGSASPADPHASVACGHAVCGECARGATACPECKKRTRFVKRVDSDDRTCTICFASSPRFLDVFAGCGHSICSACSKQIRQARTLSTVLACPLCSVESAPFSVVESAQGVPPAAFPEAERASALFEAVERTAEKTKGIGPSTKRESIQLSPSKERADSPGTDRFDD >PPA13662 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:317831:322133:-1 gene:PPA13662 transcript:PPA13662 gene_biotype:protein_coding transcript_biotype:protein_coding MHATMALSLHPAATTDQRDACLDIEFADGDKSVYSRSRNVSNLLIINLDPSRAVTAEGAVMLAQDNYEKGAFRSSLEFAVHTSVAEFDIDGWKSEPSILPNFAVYLTTASGRTDNSSSRAIHTVSCSGGKCEANLTHYSFCLISPSEHFQSNLLLVLRSGCIPIVLSRTQPLPFQDHLDWRMVALRYPALSLEVLLEKLEMIPKGENRPKDSEVVNATKDILEMRRRGIIFRRRLDNAHALARTLLAAVAEVLQLQLPAAPLLPTRPLFHHFESNGTYLEGTRKEYRRIASPLNRHLMATTEQYSYDRWNSGRDLTFTPRVLYDAVDLPAEAEYYADSEIVRTAGSRNRQVFGRGLGLNREPEQFTVVMMTYNRDDGVREIIHRLNNCPHMNKVVGNFGFYSTHRVGLPSKIARS >PPA13646 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:97064:101305:-1 gene:PPA13646 transcript:PPA13646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dnj-14 MLLIESCGLWGKRNWIVAEFASSFPASRERWEAVRVGARRQASCCSGARVEEAATTDAARKELQLLQLFVYDLFVADQRLTNDVIFVHQEQKEEEGDEKRSKAVVRHRGEERTMGRRAELWGEAIKSQVKGMEKDTRVDKEEEDEKNMALYNTLGIGKKATDEEIKKAYRKLALKYHPDKNLDGDPEKTEKFKEINYANGILSNPNKRKVYDEMGDAGLKLMEQFGEDEKLLQIFLKPWFKWVFFAFGVLSCGFCFCCCCCCCCYFCFGRCKPKHPDEEEFDPAAGEEDGSRSSPVIATQPGADGDNARTTRGDSKMIYRLK >PPA13774 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1206777:1207799:1 gene:PPA13774 transcript:PPA13774 gene_biotype:protein_coding transcript_biotype:protein_coding MRISNPLIYACVSICFAIGVVCGILLFSIGVYGSIGIIASVAAIAASICCYHFHIANIRVLFGALFEAVNATTILVVIIAGQHSQWMWSHTLLLRLATRLGCFKRHALH >PPA13792 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1265690:1267607:-1 gene:PPA13792 transcript:PPA13792 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIRGVGNGEMIGWTIFSVFACFLVAFPLSYFFRLDDIHWRVAKGGKYEDYEKFIEMRNLALRDVFDRLHRDVTDGRGKADDDEVTLPYFTPEVYCPHLVPAGGRQLCAPWMFDSPVLLNYVDAERLKETKQRVILRKKEKGDYKKGHFEKGGFEASGCCAYSLHTALEQSDEDREWKGALSGCDFKTFDGSVNLYP >PPA13710 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:753200:754344:1 gene:PPA13710 transcript:PPA13710 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTRPFDMYGIPQFCLATRLLVEFEIRVAVSSFLTLSRLSDRVHVLAVRPCVHCP >PPA13684 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:553364:556179:-1 gene:PPA13684 transcript:PPA13684 gene_biotype:protein_coding transcript_biotype:protein_coding MDYITLNPQESGDHIMKTAKHVKVDLEKCKEAALLVMDAVVEGKIGEIDYSANPLHPSGLSDEEKMLWVFLLDVLNFSFWPDEGEEYNVTFEGQTYTGYLAMCAAFRKAHKELNAPIATVQWMLTVDVGVLDFILKDDKGFSIPMITQRKQAVKEAGEWLFWSHDASFLNVVRKSNCNAQVFLRYILACESFRDYGFYNNRKVSFFKRAQILINDTVGALIGSEENKHLVDFKDLDTLSMFADYRVPQALNYLECLEYSPELLDELQSNKLLTYGAEKEVEIRGASIYAVQQMYKEIQRVRAAGPYSECDVDTVSGEYRLMGATGGQN >PPA13671 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:414405:418407:-1 gene:PPA13671 transcript:PPA13671 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIATISQLSLRIATFTKMLRVLARSYDKPSSLSSSLVFFGGLVRLLAPSHRFAVMDRMRAEFSPSFLEALLVRNAQLGEEKKKDDGEEDGFGVEEWYLLARSGRSSTQTAAAPSPSLRPFARLVAATAAGMGSEDGSDPAANLVGRLAKDFEAKETFPLLDSAAAALRHAGVATVEGVEEWMETGEEF >PPA13773 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1203186:1204539:1 gene:PPA13773 transcript:PPA13773 gene_biotype:protein_coding transcript_biotype:protein_coding MESVRCIASAENTWDGDSVFDLIQAIEAVAIDVVLGKKKFRLIGTYVRGFDQHFDEARDIYKQLASAGERPRTNHPPSSLVTMRKSSLREAWSRNGFHDRLDPSWDTNRYKDWADLLIQRNAAEGVSRSAIDYVMTNVTSAVLVHRPIEPDLASPDGAQTPNVHSPIIFEIGEVGEGGPCKDPNCDICSHRRH >PPA13725 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:835001:838713:-1 gene:PPA13725 transcript:PPA13725 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVVHRRVSQTQHGIAYVLAQGEQKVTEGTFAVMAINAPAETSFEITFSRAAAHQPPPTGAAFDGILTKRVEQFEQRFERAFALAEKGRSAWVGWLEFGEWMSSGELSIVGCSAKAVDAHRVPLNETAAKVSVVQPTLEAISAFSGTEPTPPYET >PPA13704 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:665660:677001:1 gene:PPA13704 transcript:PPA13704 gene_biotype:protein_coding transcript_biotype:protein_coding MLLARAHLQQVRAASATRAVYKKFNRVLIANRGEIALRVMRTARKMGMESVAVYSEADRKALHARTADYAYCIGPAPANQSYLCADKVIDTALKAGAQAIHPGYGFLSENAIFANKCAEAGIVFIGPPAQAITDMGAKDISKRIMQGAKVPVVEGYHGEDQSDANLKVHAEKIGYPVMLKAVFGGGGKGMRIAFTPADFDEYNQSFKQYTSSIKLVKGQPPSISTIALIAEGICSNSQALASARSEARKSFGRDEMLVEKFVERPRHVEVQVFGDSHGNYVHLWERDCSVQRRHQKIIEEAPAPNIDHATRLRLGESAVKAAAAVNYVGAGTVEFIMDPRNDFYFMEMNTRLQVEHPVSELITGTDLVEWQLRVAQGETLPMKQADIPLLGHAFECRVYAEDTVEGAFMPSAESGIVVFLTNVDLSKMTALEYEKDGIRMWRPWEVGVGKKVPYKNLIPNDARLFVEKSGGALSDDAFWVKMGDSHYIEKEEEHEEEEDEDAQCPIESCSQEFLPERNLEQHLDVGRHLRRPERMNIQDISLNRYASFLEIVAPPKVCHVIDEAIGSLFDDTNAMERKLSERKKSERFPDSVKSFLKKLYDEGERTGAKNDAREAEQ >PPA13696 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:613538:615461:1 gene:PPA13696 transcript:PPA13696 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMNPADDQLPKEEVESPPAVSFDDDATRPYKDSTNLKVEKSLLGCIDNLVFLIIASIAHLPPMESWRAQERILDGSDLRPPWTRGRIQLVSTTSS >PPA13748 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1055697:1056176:1 gene:PPA13748 transcript:PPA13748 gene_biotype:protein_coding transcript_biotype:protein_coding MRFHLFLCLLVALFSIAQAQSSSDQTSMFAEFRKAYGEISALEPALSFEQKVGGCFTTSNH >PPA13776 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1210917:1212765:1 gene:PPA13776 transcript:PPA13776 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFLTGYEKKRRGYISICLLGFIIGASLAGALSKEFDIVRLNLPLAVFFDAAPDLQIAISTVVIVNRKRWSAILLSDKYTLSEKYQLEENRRAFNFLEFILFWGVVGTSASGTVLLIADLFMTDGHVVCVCGAAYEMFAMISFAGGATTIILTQAPMASCTEGQVETIAETPKQTMHTERKY >PPA13641 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:57555:59278:1 gene:PPA13641 transcript:PPA13641 gene_biotype:protein_coding transcript_biotype:protein_coding MESPEPKKPRMEETAMDTTEASTSTSSFSPLSPIETLPKELFWKVLARTPEIASAVRGASKSLRQSVDNFALSQSTMPLVDKLSFSGKVEALPGSDDLPNMTSDHEEMYYGLFIQTSKVNALQIAFETSSAKSFLFYLRLHLNGENCENGFKKQIRKLEDGAEDAGERLVENWNGPKDTDRELECEC >PPA13702 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:651553:664005:1 gene:PPA13702 transcript:PPA13702 gene_biotype:protein_coding transcript_biotype:protein_coding MTVWLRPRPSDVNGVVWDSALVTIYYIAKHEDVVRGKTILDLGSGTGAVAIACGVMGGTAIATDLPDRLELIRANIDANDSRIKGEVSVEALDWNDGYSKDTVIDLLLVVDCVYYKASIEPLIKTMREVRAKKTLVAYEVRDMGETIEAQQMFMKRLGEEFVIEEVPKEDLDEFGFITTHCEMADPSSFSNHLNVVIRSTKLDWKVDMAAKTIAGRVDHEVEGITEDSKEIILDARDLTVEKVLWKGVEIPFNYEKVNPALGDRLSMAIPALSKGDRATLSISYRTSPSARALQFLTPAQTSDKKGPFLFSQCQVSVPAGLTCLMSAISAGSDTAAGKEQWVFQFDQSIPVPSYLIAIVVGVLEQREIGPRSAVWAEPSVVEKSAKEFVETEQFIKAAEEICGEYVWKRYDLVVLPSTFPYGGMENPCLTFVTPTIITGDRSLVSVVAHEIAHSWTGNLVTTANWDHFWLNEGFTVYLERKIIEKVYGTARRYFDAREGWDSLPETLKQVIPEHSKLRQNLGGVDPDDAFSSIPYEKGSSLLLWLEQEVLSEDETRAFLRDHVNLFSQKALDTETWLASMLARYPKMKDREAVVNEWLYGEGMPPKQPKYGITVRGRIADRGVRGGGGGPAVVGDAVRREIRRPRFVAEVTCKVYVSRVFVMNALAEKAPLDVAKLELLAAPAFGIATTHNCELLCPWIKAGMESKWEPIIPVALEFAKTYGRLKFCKKTYSLLFAWDASRQLAKDQFEREKPNMHPITVQQVEALLNKYK >PPA13752 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:1080854:1083873:1 gene:PPA13752 transcript:PPA13752 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDASSASPSGSSAALNAEGAGGQSPPNNSGQQPATCANCTKKFLKRLIYWLKAIWKEYTFKIWGKSTMSAPDPDLPRPPKDDNDLSEGHGRTRNLMEFIGGATVPMDAPVCKECADSLQTGMSKQLEMLESDCLSYDQLIKDLSSVPLL >PPA13692 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:592275:593046:-1 gene:PPA13692 transcript:PPA13692 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLILLVTLIVGNAMADRNRPTQKMSKANDWKDLFMPGALRVVEHGQKYIKLCQGDPAIAGNCFTLGDDRDEGSEMTVDLESRTARKDEFLHIKIAMLTVISFPIVGMIGLVANYKAEKREHEMMWKRRQAEFEEEMQKRKRFAPR >PPA13667 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:347292:348377:-1 gene:PPA13667 transcript:PPA13667 gene_biotype:protein_coding transcript_biotype:protein_coding MFCRKTGVDDSNKNSMIDGSSNLHKVITPALEKETLEAFLDFLGISYIDGRVISTRNVEVYKDSTNDVGDYYVNIFDGFFHIRFNVFYNVFDGDFTVQLHKTIDALKKAKNRPIDDKHKRTRMKVEHSSRVIYGG >PPA13758 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1119770:1123152:1 gene:PPA13758 transcript:PPA13758 gene_biotype:protein_coding transcript_biotype:protein_coding MHERVLGLVCDAHQMAPERATEPLTLEESSRYEDLSKVYFEDFYSNRRFAGEFSASGKSLLRGTSTSASTSSSSSCSPTTSSGSTSTTSQSTSSTRTERTGSFTQDQSSSSPPPPNAQPTTSAAATGPRGVKQERPFPSDADVDALFEDLGKECGELNMLMPTEGAGMGCPINTGTMTPTTQSQQQPTVQPVPQQSQLDSVLGYTGPMPTANTLLQNVSLSTIAPYNPANMTELDFMVRDGTLEAVANQQMVLPPIVGSLSGVNATNGFPEPTQFWFESAPDGTASYQSRQRTH >PPA13647 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:111403:117756:-1 gene:PPA13647 transcript:PPA13647 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDAATTTLDDYFSALEKLPTELAWQVIDHAPEAALRMRLTSQGLKQQVDDYTRATRTTLVKKLSFADVRLPGSKKAPGYLGVMFDVCKPKSGLFYLRLFLLGLLDFKPEMKRLQNIKDEEPLFYNRNFFCIDLDIDDANFHKDVTILRKYLGKRIGRVMFCSVTGDKKHEEAAGLLEGIHLGQLKYTLADVMVDEDVRHLTSLIRAFRIEELSITVSYLNTSTDPVSVLRQLAAQVRSLFIYQTPNTNKDAAPRKYLFGANDVEWAEGTYSTLTMSSVSFVPPGPIDSSTSWSKNLSCMPPFSLCPVKVILELFDGKLDKLCIKNPSYPEYLSCKGADKLKQAIPELPKKVWFMATCNKYDKMDYVVLNYDMKVNPAPAPPAHGNQNAQEAAKQFLQIKHQTRTNELSMDPTISLRR >PPA13673 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:433293:434836:1 gene:PPA13673 transcript:PPA13673 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKKKVEKPWCWYCNRDFEDDKILIQHQKAKHFKCHMCHKKLFSGPGLAIHCMQVHKETIDKIPGAVVGRDSTEIEIYGMQGIPEDATRGAAEYEDVGGSSKRPRMDNFMMPPGMAGGGGGPPGGIPMPPMPGISGGPPGFPGMPPPFGMPGMPPGMPPGFPPPPGMPGMPPFMPPPPFMAGRGGPPGGPWGPPGGPMGPPPPGMPGEGY >PPA13642 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:59654:62999:1 gene:PPA13642 transcript:PPA13642 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVDLDVDEPSSTEAMKQLCEWTGRRIGEVQFFSVYQPAVQAAITDFLKDKQATKLAVMMDELKETLARPWREFHSERRDIGGGGEGVQAAITGFLADKQAIKLAVMIDELKETLAVWTLNTAIHNNVKHVRMYGRFETTIDTMLLLRQLAAHVNGIHIYQTPLSNNTDVSTAKNCFGLTNTDWAEVILELMANPNPLSKVCIVNNWMPEYLSASATDRLLDELPRLDKQIWFMATCKQYEAGYNTEAHKNFLVKINAAPRGGQQGGGQQPQRHKQFLEVKHVTRVNEPAMLAMDVHIDK >PPA13716 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:781695:789570:-1 gene:PPA13716 transcript:PPA13716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ndx-9 MRRSCALLISAAAAARGGLLQPAQKARGSTLKSARRALSDYVYHARMMDYYAQNDTAVANEFPRARFILMLDRKALVSVDREMGDTKLIEMSYEDLRSRLEEWGFELSLENSVFLDLQKAERRRRTLEGVEEYEGVFGTALHSAATPPAGSPVSIDAIREEMAASLNGRFMDLRKAMLVMREEHERNRLAKLHSLSSWAATYRRCPCCGGALRRRESKTAAECAPCRKHFYPTFSPVSITLVRDASDTHALLVRHRGSVPGVFTCVAGFAVTGETLAECAKREVAEEGVLYREMDKGRRSKITSTQVGLEASSIRQLDISQPWPMPDSSLMIGYEAVANMTDKVQVCPDELEVARWFTRQEVREAMDRTEKDPHLKGLPRDKSLANELLYVPPQGAIAHRLIKQWLDRPIGTRLPSTPGSGRPPGTSTPSAPSAT >PPA13713 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:766413:766640:1 gene:PPA13713 transcript:PPA13713 gene_biotype:protein_coding transcript_biotype:protein_coding MHKIIELVPDDVATVFTLRKTSRTMKLHGDTYVKMLRTTRPINKLKLSGLEYKRVPGKV >PPA13680 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:501471:517355:1 gene:PPA13680 transcript:PPA13680 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQCAALAAMRDLDDIYEHMSQFCMECIEVQLEEAANGEELIFTDGPPSWIAEQQAREAEERAEKERQAVMAKKRAALEKRNEGKEKKINWASAEDEKKATIRKMAGEFADRHLEYEIRKLMGVDDSVAAQMRLDLKRELANERKEKEKAKERVEVKEQIKQQKEELRKNPDGPREKNKFQNRPWQPQILRAVGICDERRSVLSAEEQKRYVAILSNFHKTDQAMRFSQNSMDLKVYDDRLTEERAIVNEIVREHFKDTTISMQEINQEVNTVLLRWSARYLHDQRRFERLKALTWKRESEKPPVPGVLKPNLEYVLMAAPNPKLVLPATMHDRLPVNYTPYRFDPSGKRREDIGRDTALTSLLDDVGCTIAMECTTAVHLMGRPGEPRNYAYHIPVTVREQFRGGTPTRLIFLDKPRPQSGITNHTLLHMVAKYRGKSTFTKPEDPHSKPGGPSRDTVAPKTDTVVMKKEEGGGGGGSLLDNLLGNLIAPASSSKAASGGSTAKPSTTSHSYGLFTIPSYTQPETKVIIRSSPCVRNDATGGTEYALATKVEMTPEAGVMHETFEEMLWTSMKTLFKGAVNSATVHMHPYMKDALQITQARAIPPGTHYNAPFFPLLSTRTEHLSQLLAELKTLEGGEYLLQVSPLSNELTIFRANPKGNTGFLWCYRNPSDNQSSTQELFFNKAPKRISGPLKAVDNFTGIDDKRVLHWQVMQSRAPLTLWPEGHQMLKTHEERLKKKTVKKPMKRKADMTEEERKAFNFNTNLRKKMNRIRRSQGEGIGGGRGRGGGRGGRGRGGWGGSFQDLDQPMSLRECGPQLGGKYKVLADKGDFFDLLGFPDEVIAEIFSYLPAEDRMRARLNKRLSTIEENSQYFIDRVDLRQSHRFERRCVTITNTYPFDFISRIAQNSSIGQLGIDFDLLGFPDEIIYEIFSYLPAEDRMRARLNKRLSKIEGKSQYFIDRVDLRQSVRFERKRTEITMLSSLRTT >PPA13789 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:1257409:1258526:1 gene:PPA13789 transcript:PPA13789 gene_biotype:protein_coding transcript_biotype:protein_coding MPARNYLARALQTATKFIPHPYSNYARTWEYAFKYRAVAENYRFEKMGQHHLPQLVDLMKLAFKDGSVTLRTNQPAGFRMIDPYYRDPSKAPFPVPKEAPRNKKEELFR >PPA13769 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:1189746:1190515:1 gene:PPA13769 transcript:PPA13769 gene_biotype:protein_coding transcript_biotype:protein_coding MNCKNTSQCFQENANARSYAYGGPIDQGRGYWIGLRCNSTTSKFYWEDGTAVEYTNFGVDTTACNATTGDLHFYMSFNDGKWYNDKDWSWYTRASICKKNYRPEGGILLLLLLEI >PPA13686 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:564644:565054:-1 gene:PPA13686 transcript:PPA13686 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVMINTFKGTIDDAIGKGAAKMLDRLWRIHPSGGPPTAAEEADEHDYADEHDYVR >PPA13727 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:850124:852878:-1 gene:PPA13727 transcript:PPA13727 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAAKASNRPRLLQQRPDKHAQQGGGRKGFFSGPFFYLFVLGAPIVLACLSLKFLADVPMRGSDVEVLLPPIPAPVNDSGLTWGTLRAQHYFGLRTRHPHSPLFGMMWFDQPQGGHPNIRHWCHDKEVNSYGWTQADGRSFGRQTINDTTVLLQTDWVNTKESTFTARVTSKPHKAKKQNGAAAPRVNFYFYLALEVR >PPA13767 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1170784:1176157:1 gene:PPA13767 transcript:PPA13767 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLLGLATSLLYALYRYYSMVARYPRGPFPWPLVGNMFQQDFARQHLTSIKFAPSFDGVFTLYAPVPIVFLTDYEAIREAFVERGDDFAGRPENIVMQEIFMYAPNSGVINSNGENWREQRRVALSILRDFGMGKNLMEEQVLSSVREYLEALDNIKNKDKVDLHWPIQLMVGNIINETLFGYRYKYDDCEKLINYVEDFQKWIGDLAKSPEIAVGFAAPALLKIPFVGYHCLYKHRDNMRKICQYIVDNVQRCMEGYKPDDEPSCFVHAYTQRMPNNAFLDDVNLISTCNDFFMAGQETTTTTLRWAVLYLALNQDAQEKLRREIHAVVGRDRLTRMADKNKMIYAQATVLEVQRMANIVGGNLTHRTTRDTVVKGHHIPKDTFINGDIHYVMARDPHFVDPERFNPDRFLNEDGTALRKDLVDRVVAFSLGKRACAGEGLARVELFLGLTATVQNYRILPRDGEPIDLEPLPMNILQPRDQYIKLEKVMSSIRNILFFFVTSWATVAESEEQVKSIPQLLPYEQHNSTKVVN >PPA13663 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:323357:324697:-1 gene:PPA13663 transcript:PPA13663 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDKSPCSIVLHNAQHSIPSRTRVVNRKKIIQLFVASFVLVLLWRYYVKSTTVKELCTYVDDDLVAFTCGCHTTVKSLDVEARLPYLRTPVLILRCTYVDDDLVAVSSGQQCAETQKVVPPAQAHREN >PPA13660 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:308850:312047:-1 gene:PPA13660 transcript:PPA13660 gene_biotype:protein_coding transcript_biotype:protein_coding MPFMINLKFAAETQNYWTKDKDFSSIVMHVWSLALEMQFYLLVPLIYWAVNRLEKHEHRLAAHSSILIASLLLHYLERSESRQFYLLHNRLWQFVAGFVVLELQEKIKDKRKLAPVSIAASIVLFWLLSGTSKPDTRSGHLLFRLATILCTWLVYAGDVSYILYLVHWPVIVLVHVWMMTMNSCAILLCLLISVPLSVLVHHCFEKPLLKRNFRFK >PPA13689 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:579781:585068:-1 gene:PPA13689 transcript:PPA13689 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRFIANYCVGREEHFASQVSANWLADSAAAIDTQIDRNIADHQETREAGPYTGPSGIAAALMQAVRVGLLSEERGAAIVRKYRNLIPTRVRQPVYLCGGLGDVVASLHLDADPAAGVQSVRGFLDYYLDDLLDERVADEILYGRAGALMAAKHAESITGQSVLGESSARRLIEKLLANGRKCARGDGACVGGGEDGPPLMWCWHKTNYLGAAHGCAGVLHALLLHWTALREEERVEIRRTAEWLRSIEERDCQGHWESSAKLAASGREKRLVHWCHGATGMVGFLITAHQVLGDAAYLEAAERAGELIWREGVLKKASVPNMKLMSFVSNCNFLRAETHLRSKIRDHSQTDLR >PPA13735 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:923732:928026:-1 gene:PPA13735 transcript:PPA13735 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPLLLFFALLNPSSGAEGFDANRCKDEMMCFVPGSCISENINSLTRWPDAPAVDKCPVVIAIRPYSDKKWLVAMLGKGTDLNGLQLKISDKLKFECKPDITPGNATINVVPATSKGRELSTVRADNYILCEFEAFSDHDIVTAELDKEINAIKTGCNPDDITFDGKSSGTEGTELYFEVDSRRFDVTCPKDSQLTFSKDGENYAGISGLNCVLDPSNNKGGRQYQVASEKPVAKGTKIAARCVQNRCALCPEVPSQAGLDKANFTKGSSGACATLTCPGNRFAIGDSKERLKGQQPVCLDGQWSIAGKHFATASCYVDYDCVPLKCAGCRNVTTTSVRCSGKLTLAHDGQSKVNISGLHCDGATGKWRAKGEGGQDREVDPATATITCARKAVRKHEVCGAATVQLVVGAVGTLVCGSMFILTLIVGYRMFTRVPIEEHTSDDSRLEEGGSAEQHHDGGRRHHNDDVSSGGVTGSTTSQASCGSIKASETSVHTGIPTRPEPAPPTPAAPSSTPASAPAASAAGPQPQSDPPPRNNNNDNNQAGARNSAEMLDDDHGYVIIDPEEHEKECKRQEAAKAAKAAAAAAAAAAAAAAAAAAAPGGAKTEKVGVLPPLAATPAATPAAATPAATAEQQQQTPPAAPTETTPPDMSPPQPEAKTQ >PPA13763 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1152423:1153956:-1 gene:PPA13763 transcript:PPA13763 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSVLATPARRQEVAGASRLHVQCCEHARDRLPRRLEDGPIPPSSPSPAPPSSSAAPSSFSHGVVHPWPVMIVCRIVWATLLPSYPFPQVHRHAAHSGLHKEGLLPAP >PPA13669 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:387600:388321:-1 gene:PPA13669 transcript:PPA13669 gene_biotype:protein_coding transcript_biotype:protein_coding MPISNLTSLMESNCNVNGDKGDFFDLLDCQDDIINRFFSFLDPDDRMRMRLNKRLNRIEAESLYFLEKVELKQSYYDESKCVIIKDCEYPVDFVSRIAHNASIGELQIEFSCSSEAHQFYNIIKEICV >PPA13781 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:1231060:1232440:1 gene:PPA13781 transcript:PPA13781 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNLTMSSAPSIASSCSTRDKIRQLIDGIATANQLCESSGVTFSRACRGCGSASPADPHASVACGHAVCGECARGATACPECKKRTRFVKRVDSDDRTCCICFASSPRLLDVFNSCGHSICSACSKQMRQSRTLSTVLACPMCRIESAPFSLVESARGVPPGAFPDAERASALFEAVERTAEKTSVLQRLSFASRIEKGSVQAQNAKVSNYLRQRNAQICREQIASTTN >PPA13687 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:567919:569967:-1 gene:PPA13687 transcript:PPA13687 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKHSSSVPPYGSGPYPHPAPGQYGFEHHHHLPPPPPGAIDEGWARRPAPMQRAPGWAGSRMSLAGSRVDLSYAVDPIHEQMIVLPPDPKMLKKIHKEQKKLLKKMRGLPVEVIVAPVGTSGRLPPPPLGHPGSAHHAPPPRTFSIDNLHRGHSISALSHFGVLSRRLGLTREHAKRGAYR >PPA13730 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:870728:873576:-1 gene:PPA13730 transcript:PPA13730 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHCPSSSSTTSRLLRSTSKTTTSSIKKLSSSKTPRLFPSIPSLLGKLPGLPSLLARIPGLGKTTKEESPRLYPRMFSLLDSRPLEPILEIDVDAPPTSPGSPPPSPADTRLDEPDSTVRLNAPARPSTPESPASLSPDEDSNGEDDEDTIDDSLPFTPVNVRDVSDSIFSATFTQQIANQWEELEELRKQKRTAKTVRETRHEERLFTIARGHNAYALVVPFGDKPMKAPLHEELRFPPTDEQLAVIHRALGRAYQGKFADSLMELKSFERTLHHLQFGGGNARRSLLETHAYLRAHVPSYGKRRKRTDLEDTLSIVRKLRPIPPASPESDESQSDDSSTDAPWEVQEFRRRSRKKTAAAQRPGHGGDPSSVGKSALTYGPDMIEVGFNRRKHTVGGAAAEGPKADNLADEGGRKGGDWQHEAKASEAAEAELQVGCPTP >PPA13697 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:617516:618186:1 gene:PPA13697 transcript:PPA13697 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDRPQHLSQPEVNLEALTPEQVAAYKASENKGTPLTKQKKTEPRKAKQSTTVKEPSAKKARVNRSNHPKKTAVAENIAPWTRRFNNWY >PPA13644 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:85448:87256:-1 gene:PPA13644 transcript:PPA13644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gob-1 MKNCVLVSDHHRPTMVQESVEDFKAELYQMQNARRAIVQAIISKGIPNESDTGILRNTLAILEDSRTNADSQRLIMSGARSIPINIRDEIIGLKKPVYSAIGMSEFASRFTRLSAVLTAGPLGIDPTRKNYSPHNREPGIVELTSLPIKSGPITFSGSWGREWMLNGKRVVYDDGIPDEGFDALGRLNDEMTTVLDTDEYSQFKLVGSGVQKKVDRLTLGVQTVFNQVDSDLSAKYQEAVKERMHRVDPNSRVRNSNRIGSGSMCPFRNRNCVE >PPA13750 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1057119:1074888:-1 gene:PPA13750 transcript:PPA13750 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKEPPPTTQSSGPVPVRQFRGKLLSKVFGASINAPEAVSSPSGSPSAVSQPEPFVTERKATSATENEPIPPHPPLPSPSPSPPLPPSRSPSPPPRPPPAPPSHQPATTTSHHTRKAPVEAPKSTLLRQVLEKSVVKASLDAQKASAASEELDQTTSSTNHSGTELHAFSPTPSAPPPPPPSSATPPPQSAVARKSSFPDADYWERLDAERKAREAQQSVEAPKTAVVAPFPPASLGQATSHEESKKEPEAVQQQVEAQKTAVVAPFPPASLGQTTFVQTTSHEEIKKEPEAVQQAISVHVQESCGPPPPPPATPPQLQQPQQPQPAVHKPFPVVSWERLDAERKEREAKQACGAQTTPVAPLSPVLFGQETFHEGKREPANAEQQAAHETPDDTSEYGKFRGFLAARLAGPREFVPSFSASSFVFTPAPLAPPPTPASGQISPTDQQEYIPSSSDFVLPPATHDAACSDEEFQASLGAQSGFLPCNAYFAPASCHHSEDELQSNYHAENAHHPAVDYDYASVWDDTHEQEVAAEAALDAQMEYGACWAHLSSDHPHAYGATGDHWDAMGDAGDDRAFPQYSPCQSNSAAGAYSPGGLWMAPHPPVGGAPQSASLSSSTRPRASRSLIRLVGDEYRAIGEDGGRDVNSAEPAAPAAAAAPAEPKEKEKREAKAEPAAAAAPTAAPEDKEEREEDTVIDWRETGILHGSSDDEEDEDDDDEGYGASAAPVARATSAQKQQQLQQSPPAAAVAAGVVPFPVQAPPELQGLGFAAQQPQRPTTPLLLLRAPPTFSGAASRSDSAMGGSSSPPPLATSTTQPIDIPAVAKQKDHHLLGSPPPPDPMPVQVSSLAHLFAPGWRREAERTALVVPVVQSVRPMQRVLRASFSSTPPSTPTSKKNLLNAAIKNMPPPKSHAQRMEEERKRENERMYDRFVRRLSLERRTTDGQMETLSRLEERDRLLTRREITVDALKKAVAEAPRTRSISHSGSWRMLEGDSASKSKWYKLEEERCDILDDLDVSGHQTDSLYTNDSLTSEPKERELDVDRSHLSWQRGFVIRAFLLSDTNTYELFLALYWKHRAKRFSGAADVGYELAPFGRLFYCPERTPLLRYSEPDAAEATPFSVWEKRGAQFEVFTLAGRCYVRTAQTEDLSTLRVKFALLPDGTAIVRKSSYCSSGLFSLNLRIGKLLKINSTAIVGYRHTGSTSDWIMWSDDIGLIMATDATVSKYDTDIRRTLPLMVPLRVVSIKMQAEHSSSRDRRIDPRAWEIHQIGEMTEESDLESLHDTAWPRLAQRVDDLQWVEMDGNKIYLRSPSFPERRIHCRIDQWMRTEKDEKNRIYRARRKFTGKEEVHGEYGRVWGYGRTLEKGVLQWAGVVRGNDQKNRIFSERRKFTAVMVPYQPHLSTRRDEWQCLLLAPISLVPEETENRIFNYHSLSKVLEARKQSLPEGWDPEASPAPYPFYPKT >PPA13756 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1112714:1113580:1 gene:PPA13756 transcript:PPA13756 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEDQSPTLKAPRGIWVETLRPQYRDDQTLHRIAMQQLHAYPVMVQERPRPDMVEQGGGGGESSGMRNDPTLEGLR >PPA13653 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:194251:197836:1 gene:PPA13653 transcript:PPA13653 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDYCNGLSVVPLEANHFILSPSAASAEHPWRGLYQVEFEIRAIVSFSPTLSLTGQSGERVCEMKRRRPVFLEIYYQERRESPPTAWTKMPSIDRPPCEYTRHDQLLAPQPADPTHDTYTSYYNYRVKREVELPETIRLCDVEEFRKSLFTSSRSTRNVIYRYINVIKDSFALLEAIHFELFMPDRPDLRFLAVPLSTVPERTVGGDYFFISDVALLPNPSFGVKLGGTLYPVLFAAKLAQKVVDGRTTNVGLAYIVKKAAGAADGEVVAVSEMYDRDFSIAGAFPDHSLVDVTSRDILPAHLFPVEPPLKQRPGVSDCSAAELVMVANNRPPPPPFDYLTRVSGVRTCSQRAGRVATAFVPSASEVERIEDRLGAFAGYGQPGGLALMRALFGLGCVFRSHASSRADAQDVGETRIDESVIIKTLADRSLAADAECRPLLDCIYGR >PPA13722 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:823451:826331:-1 gene:PPA13722 transcript:PPA13722 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRIVKRVTAWLIEIKSMFARFPAIVCFVSQFVNQHRATFSAIYFKAAKWYQWLITSQAGRYSDSLRWRGRNGTTKAELNPKTLASGLDDFPRASHPSDKYDQRSMPPY >PPA13737 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:948286:955113:1 gene:PPA13737 transcript:PPA13737 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDTDSAADSFKFSDLRRRFEGGGGGGANPLKPERKEETAGRDSRPPSRNALRNGDGGNDSRPPSRNEARNGGGAEGEGGPPARWNVLRPPSNNGQTATEGGAGRGSELRPPSSNNGVSEGEADRDLRSPTNNGATNGSAGGGGQEPPPRPLPPAKPQQSLQQLQVPLLLLPQLRPPALPTKPVPTDFGMRTRSARGPALRLRECPETSKDPWERRGSQETGVPRRKPPLAPKPRHLLADYP >PPA13699 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:633556:635972:1 gene:PPA13699 transcript:PPA13699 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLGSGIISDTIPLTGYDENGRTSTRPEATEHMSVAIPSEGEPPRDSGPTSGAPSSTGASGALEPPSRSSSSSSLKLRIRRGPAGTSPSETRIVSSSTPATVSIPPTPTASSPPSIVGGTSSAALTDGHLLRADVELDGQSAAATAASIIDDGAPASPHKASPSVPVPPTGQTRSSRLADAARASSAAEEDDRDGSMLMADLGMGGDQGQMKRQPRDAAVAGLAARLASQEDSSQADAQDDSQDEEKSSSKKTKNKDKSSDRMRKGGPGAPARGGTQPRKKMQPAAAADVPLPACAAAPTYPAGPSDAHWQQQIDRLLFGQQSAPVVVVVANDDGRHTRKQIDAAAPRSAPINPAANALDQPGSAAPSDPAGPSDDACDERSSIEVKPAAIKREPSEEPIIIVEETINGVHRNKRDMKMARREHRVDGPLTETVTISARDETLHRPLQLYLNVIPVTKLISFEVELKKRWVNVAKLHKMPAIGRKASNCGPTLTERQLSLPTTTAGIHRTVHKYMKQIDEPTAEFKAS >PPA13711 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:760171:761129:1 gene:PPA13711 transcript:PPA13711 gene_biotype:protein_coding transcript_biotype:protein_coding MWVKEKMARTVRQDQGLGSPLIRFGKRSAPDEEEIVEDDGDIMERYARAPASAPLIRFGKRSPDTSPLIRH >PPA13764 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1154553:1155974:-1 gene:PPA13764 transcript:PPA13764 gene_biotype:protein_coding transcript_biotype:protein_coding MRITIVVLLCLVGSVVSGRRPCNPRTTAAPATANCARCARNLITILTANTAAKPFRSDVIGTAGNCATRTLTCAGTMANIEINRGNGVISDADDGNTDGLASLTVTCNAAGTGWVYQGVPITHVECASGV >PPA13741 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:988609:995380:1 gene:PPA13741 transcript:PPA13741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-77 MYNSSGTVPARAAPPPPPLARPHSIVIAESGAAATAGGSKRNSSGLSEDTHEDEEDYARSDDVDDDDENGEEEELVTPVVGGLDPINGPSAEEERRASDPRKGPRHKVSVTASSSHSNSPSLEIGEQPVWINDQMSTKCLMDGCENAFNLLHRRHHCRNCGWLICKKCRGYAPVQRLRFEREIVCPECYDDIEYQYTKGLLFPPNFLIEVERGVYWLAVTKGKKGKGHSIEFIFHWCGFEKGVQIGIKYSTPAPFPQVGIKQAYVPAVSSTHFAYKERIERVNPATLFSPPHNRGLKRMQNIDQRMRQGGTVYGKVFLKTKRGEAEMHAHLADEGLVLAFYKAAFDKQPTEQHVIYGFSLTEEDPVTESGKVLSGKRFILRHRNQHGAESQHVISFRVVSDTATKMWSAALRKALREESLDEDED >PPA13707 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:711997:716718:1 gene:PPA13707 transcript:PPA13707 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLLRNWTGGLSRTTALTGDLLVPSSLEITNVRGRKRALKQTITRQQKLERRLKREEREAARKQFSFMERINIRRMKSLLSPSQQYPGRLNRDEEEALPEQPLTNVFIRSHVKTQFYSVAKAIDMHRELQHPSIYNNPKAPLRLRLELNMTTEKQTKMVGSSDEIVPVPHPFSHNEKRTILAFVNDLKLQEVAVEAGAEIAVGQDMIKKIIKGQFRVDDYDFCVAHQDMATHILPLRGILKTRFPTRINGGLGLDLPQMIEMFKNGVKLNIKADPVFPIWGLCDAVVGRLNMDPAEVEANIGAVVAAACAHRNAALGPFVNRALLMTIPGEEHYALDVAAWMPVPSEEELEKLERRRNKKGKKKDEKKTEGGEDEEGAETAAAAAAATA >PPA13738 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:958582:972561:1 gene:PPA13738 transcript:PPA13738 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNFCHWVIRGFMCSVPGTPIALVAPGLPTMHEGVEEGEEVRVEMDEIAISHHRPHDSDSEDAYADSDSEESRSTADTQSNQEFGRRSGRYASSTSGYGSSDLHSAIMRELASKGIAPGSNGSSLPHSIGKMRAESKRNQPRSVSGSALHASSPSKSDISSIASSSAHSYAENASGSGIVAISASSGPSFIQEAPDVPDYDTGDEKENSRLKKLHYAALEFLTVQRTFVEYLNMIINIYPSYLTEYGEHVGRQILAAPSGSSTMHVEGLHVVQRVQKLLIPYHEFHKLLLKDIGEMMEEWSSLTPRMSTIFARTAPFLKQCVPFLKKQSFLKQCVPFLNVRRREKSKIADEMTRLLKEDAEFAAATLSFEQRVFNRGVGAVIQQLDQVHQNFMRYKLLMQSYIKFLPEDSEEWKRTDGVISMLEKINMEVNQSMGLPTSDQLMRLATLFQGQFDVFKPGRRLIRQENVDKQTRKDLQPRILVLFSDTLWLCRVMSSLGSAGMFDMARSYAIPIDEVRLEITPHFDYDTYMEIRSKRKSAGLWFKTKIKE >PPA13791 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:1261695:1262133:-1 gene:PPA13791 transcript:PPA13791 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGGVCQLVMDMTSNIADTVKSLRKFGEQGYRIMSYEKIESNTARFSFIQSNCTDHFGAKYIKKYLV >PPA13775 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:1210064:1210545:1 gene:PPA13775 transcript:PPA13775 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVCDRNGTCFGIAYYGNEANLQPGIRSVLYRVFTTIDFVLMAYNNILVALVINIVRKVGVGEQEDHKVEV >PPA13786 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1252488:1253245:1 gene:PPA13786 transcript:PPA13786 gene_biotype:protein_coding transcript_biotype:protein_coding MRREFWQAGANSTDEVGKNEEIDKWKKINKVVCASSFLHAVHKGDSGGPLMMSASSGRWFQIGITSFVGCYTDACDTPDEIRGDLFPSAISCELFLRLNATNV >PPA13733 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:901113:902085:-1 gene:PPA13733 transcript:PPA13733 gene_biotype:protein_coding transcript_biotype:protein_coding MLKESVWFLRKGRVAYLNELILSFSVTEREGSKKQGRKNETKSTEREGTHRVVAHSPPPHLSPHRTALSPHPPPKKAISPLPRPDPACLSSTPDWHTYENCTLIVRWIATGIRNRLSTAQNVHIFIE >PPA13693 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:594354:594562:-1 gene:PPA13693 transcript:PPA13693 gene_biotype:protein_coding transcript_biotype:protein_coding MISHQISSINGVAQECLAKLDSTAAIAFKFRIAQQMVNKLGEVVGDEDALPDDLKTFIRE >PPA13651 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:173198:179076:1 gene:PPA13651 transcript:PPA13651 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMLTSHLYPVEPPLPPPVPPPITDNDMSADESSSSISEDESENGKKQKEEEKFDGRSEYLKMVCEVRTYAQRLSRVVTRVRALSASDAERIEDRLGGFTTYGAHGDLQLMDQLFRLGSRFRVSASRGADTKDVGELRVDESVILNTITDNKIGDIRECRTLIDSIYGRRGAYDSLPDAHIDLQTTSYNDGSVMRLNAAQSRAVRLYADAAGPRVFCIRSPPGSGKTTVAAAMAAEVARTIARTRRMRRFGPNRNPRIEEYDHYDSIQLLLSVQNVAVDNMGAALKKMDYGGGMVYNMKSTKKLNPLDPAPFDFFDLMNEAELEKWKKQQMILPPEVIARNKQKEKKREEEDKKNGKKGKDNKKDKKDKESKKEKEVEECITHYRRKYEKKVYPKILLSTVEMVLQKMYTESKLLSVYIFCDLKKVRRVIIDEASLLTESALFCIIRRFPEARIILIGDDKQLPPFMYDEKILGHEMAGRPALSVAMKTGKINISTGKVPVVELNEVYRAPPTLVAPYNRLAYNNKLVSMKAEGDYPLSEIGMIHWGLPQLMLIDVEGREMRNEKTMSLYNEKELKVLVSLLKKFPSWWTKDIMIICLYKDQKKRLQEILDKEYQANPIPEKQYTVLTVDSAQGKEKPIVILMTTRTQRATDFFCSIERCNVAVSRQQKALIILGKAPLLTTNKPWSTVVNGDDFTRIEADYFK >PPA13661 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:315813:317651:-1 gene:PPA13661 transcript:PPA13661 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVWNNIGRLPGGNDWPKIHVPIEFIRSERNSVNNRFLPFDRIETEAIFFIDDDMDVVHEELVYAFKVWRQNRDRIVGFFDRYHSWSGETSKYGKARSCEYSMMIGAFLVAHKEFFYEYSYNMHPAIRAMVDEVTNCDDLAFNYHVSHLTRKPPMKVQKLVGNWLSQVKAMPSIGVCVPGMH >PPA13755 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1107878:1108312:1 gene:PPA13755 transcript:PPA13755 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTPNYDDEHFFDAFKKPCPPAQAGISHDRDAISVVGNFCLRYAPSYGLIAASNIFRVTRKHCDTSAPGSSVTANSGANIFPGP >PPA13654 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:214136:230261:1 gene:PPA13654 transcript:PPA13654 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSIKAAPAVYEHLEQLVGPPPAVDNSAVKNCPLYPPEGGRGSRKARGGGRASRQAWAMYKADTTVSASSVPPPDAQTYRRPGEVELHETVRLCDVEAFRKTTAETARTGAKTNGLSAGSSHLPSSIRPVIYRYIDVNKCSFALLEAIHFELFMPDRPDLRFLAVPITAVPAGTIEGDYFRIAHADLLSNPLFAVKLGKTENPVVWEAKQIGKIGIPSQNDEDGLAYIVKKQSGAGVEAAAISSVADSDIPIRRHLLPDEQSAREHALVRLAMRSMHPANLYMLEPLHPPAAAPPAPKAADIIRTIESLTPGERAAVYKEYTCATAGDDETALRILKIHAENPQMVEALEAVGVMGAGGGDGKGGAEGRFDARAAYLERVDGVRSSSQREGRVASAVRALDATEAARIRDRLGAFASYGQPGDLQLMHELFRLGSRYREFASQGMDTNDAGEMRIDESIVLRTIADQKLGCLEHCRPLLNCLYGRSGALGGPISAATDNEEQVSVPFVNGAWLELNAAQSRAVRAYADADGPRVFCVRSPPGSGKTTVAAAMAAEVARHTVGESRLIPSGYGRVMDCADYSSVQLLLAVQNVAVDNMGAALKKMEYGGGTVYNMKSAKKLNPREPAPYDYFDLMNKAELYNWTTNKMKLPPQQLRNFQSKMKEENREAQKWREIEECITYYRKEYEKTVYPKIILSTVEMMLQKMYTDSKLISDLAKVRNVIIDEASLLTEAALFAIIRRFPEARIVLIGDDKQLPPFMYDKGVLGHELAGRPALSVAMKTGAVPVIELNEVYRAPPSLAEPYNRLAYGGRLVSRKPDNATPLSDLDLVRRGQPQLLLIDVDGWEERNDRSMSLSNTKELNAVVRLLEKFRESQIPDIMIICLYKDQKWRLQKMLSSRASLKKCTVLTVDSAQGKEAPIVVLLTTRTQRATDFFCSPERCNVAVSRQQSALVILGKAELLRSVHPWSTMTEDYFNGLDIVPLDADFSILSSTTISDEHPWRGLYQHREPPPDHSTKLPSIRGAPLAYRHDHQLVADCVRPKKVAISKFGAALRRPVQSDTSSEEEPETEEWHETIRLCDVEALRTKTAHKALSHSNPFDGLSAGIARLSTSPFSIRPVIYRYIDVMKNSFALLEAIHFELFMPDRPDLRFLAVSFTAVPAGTVEGDYFHLSDAFLLSEPSFAIKLGTVEYPIVWEVKRASKIEFASQSDKQGLAYIVKKKAKGGGETAISEISDGELFIRDRLFPDEESRGEHALVAVTMRKMVTARLYPVEPPLPGPPPPPPPTEEELLARLLALAPEEPSSPEKPDYLSMVYEEFVIGRPPPGFNIIAFLEKYLADAKFLKTLEAVESHKVAQDARDDEAVKTFQAAFGRSNTEVKKAKPKHDPRSEYLKRIDGVQSSSQRSGDVVSALRTLSAPEAERIEDRLGGFTTYGRAGDVELMDTLFKLGSRYRVFACYGADADDAGETKIDESVVLKTILDRELGSLENCRSLLDCIYDRRGALDSTISATATVGLKHLIMCDGKELQLNRAQSRAVRLYADADGPRVFCILSPPGSGKTTVAAAMAAEVARSIVAERLEKRFGYRGERVEVFDHYDTVQLLLSVQNVAVDNMGAALKKMDYGRGTVYNMKSTKKLNPRNPAPYDYFDLMSAEDLDNWKNNKVQLSPEMLRNLRMKREKEKETQRDKKKLKEWEELVTYYRREYEKTVYPKIILSTVEMMLQKMYTDSKLNTDLENVRNVIIDEASLLTEAALFAIIRRFSEARIVLIGDDHQLPPFMYDEKVLGHELAGRPALSVAMKTGKVPVVELNEVYRAPPTLVAPYNRLAYGGRLVSKKEETDQPLSVIGLVHAGCPQLLLIDVDGREERNENTMSLSNVEELRALLQLLQKFPRGWSRDIMIICLYKDQKKRLEEMLDRECKFGANLDKQYTVLTVDSAQGKEAPIVILMTTRTQRASDFFCSTESISKATDFFCSTEKGMVTGQTGKVNNAIALFMFL >PPA13676 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:450341:460118:1 gene:PPA13676 transcript:PPA13676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-335 MKALILAGGYGTRLRPLTLTQPKPLVEFANKQMILHQVEALAAVGVTTVVLAVSYRAEQLEQEMGCHAERLGVKIEFSQEEEPLGTAGPLALARAHLDGTEPFFVLNSDVICDFPFAAMIDFHKAHGAEATIAVTEVSEPSKYGVVVFEESSGQISEFVEKPQEYVGNKINAGLYILSPAVLDRIPLRPTSIEKEVFPFVAADGKLFAFVLPGFWMDVGQPVDFLKGQTLYLQHMRRTAPERLASGAHIRGNVIVDPTATIGADCVIGPDVVIGAAVRIESGVRLRGCTILAETRVQPNAFVTQSIIGRKCNIGSWVRMEGSSVLGDDVVVKEELYLNDAQVRYCRTRRSLRVSRNAP >PPA13757 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:1116730:1117945:1 gene:PPA13757 transcript:PPA13757 gene_biotype:protein_coding transcript_biotype:protein_coding MYIVAYDELSGGPAQVLKVVKVIQKREDIDLIDVLWREDIEREKSGGAAVATGVGLHPSDQVERDLQLLTEKSVQANLRFA >PPA13708 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:722160:724102:1 gene:PPA13708 transcript:PPA13708 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRVAKAEFAWSYDEEPHATRRREMLAKYPQIKELFGQDPAFKPVVVAMVMVNVLFAYLLRDSDWALVWLQAYFVGGTINHALTLAVHEVGLMGFHECRTIRRTAADDHSL >PPA13645 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:87765:90500:1 gene:PPA13645 transcript:PPA13645 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mvb-12 MSGEVLPITAICIVADRNKAPIGFTPILKTHDESVDADLWRESAFSIWSRPVRYIAVSREIKTAIPSSRSVITDLTVTKESEPVPHGYIAIDYTADSKEKALRKRFLCVKTEPLESCVDAVTDVIVLSKTKKPPRDHSLAGEVDGILICFKTGVIPPHYGMKQSHSDTNIGFNLGGPSRLGPSSAYPQLDMPHPSSHSTSDLNHVRNDAHNAFTIKGAVPSRINPIEGVNFIVNPRIVNTQKKSSSLPPIPSYDTYFQDEFNYGFQLENATISS >PPA13666 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:342059:344379:1 gene:PPA13666 transcript:PPA13666 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTRPVAQADGRGFSKLEMEESGDILSSWPSIGEIDGSTTRGEAVGTREGRQFTETEALNDRGQACRCSPNFATCEYMEDERAVGCLLQERNREEEAEFVSSVLPTLLAIVLFILSLSPRMILRSEILRASTIALTAAIIVLGREEKQQSLLLTNRPIVYLGGVSYVTFTDNYSAYFKRLSAYIPNLIRHNTSRPLCKEGPCWWYNRQNLHSYYTDHSHFTADGLELQKESYASILKELIGFDAL >PPA13731 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:880784:881604:1 gene:PPA13731 transcript:PPA13731 gene_biotype:protein_coding transcript_biotype:protein_coding MLKREYTGCSNFDNPSANRLDWERQFFDETEPTPPRSRLELDLRNEEHW >PPA13798 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1299873:1305894:-1 gene:PPA13798 transcript:PPA13798 gene_biotype:protein_coding transcript_biotype:protein_coding MQTCWRFPKFCPNSTCPFESHCEERVDHAVCVCDTDRCEVEMRALNRSAEMKFLDTATMIAGPTFEFIVKMIMQVLTPDRGETIQDSLQYYRSQFVSCSGIFYAFGGAPQAMKLDIAERRAMSIMFHLPQILVHILYALEVKHIDAVRAGRSDNLWKSSGKDKNRWDYGWTYCSTIILGSAFSMAIWYFNWNTHQKAYTSLGIADVGSLGMLGWTAIAYCICAIYSFFKSMDIYLDGNRKKLETMRRDNKYETSKDPKDQEIEDKVWRNLIPCMIGAPLQCLYSISMISILAFDDKILKYVNLVLLVANFIANIHQSLRCDQPFLAWQLKWRMMTFRPLKEEFNKYSYLTTSEHFAKRSKALYKKNQQERLELEEAQRKEEVDKIKDKIKKFQELGIPRQFHPHIPMKGPAPLKNYEYDPCEKDYIPEREREWKFCEWTKEYLGARVKLTITVDNAIKYVKNGLEDCGFLRGDDCPKKHAETMFKEWSDMMELQDGMDFAEPTDAEKVFYANIPAKFYNDMRGLSVACRVKEAGIDMKKQRLLEPVNQIFTFSCPEKEETGPGLWADPFGFLWEKKVIKDLPVITREQENTVWNAIMNDYRKHNRLRDMIYDDDTLYSTLTRREVKGEKEVKKKDLEKKRMNRKKKGRFGRKREMKKDVEMGEEEEKEEEEEVQEQPWEAALRKEMNVQLAFPEGPKATHSINPRKHAVNFAELKQNRPNFVGPRQPQFYIPCGPRVRRFWLENYYAPRRELIFRMLCEQAGVRRHNWITRNFLRGRQ >PPA13743 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:998803:1001796:1 gene:PPA13743 transcript:PPA13743 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLAAVVGLAVVAAASLADGKDKTERDTYEYSEAIAQANVDQKKAIAKFLAEVQAFQQREETTNVKEEKRDDKLVIARVDPDDATGMERRMEVVANELRARGAEEAIWVKHR >PPA13745 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1031651:1036240:1 gene:PPA13745 transcript:PPA13745 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRCTRRLASSASALVKKQRFYKEVSVVTESAAAGPPQYKIALDGRIIKTQLGRPLQMSSEPLAIAIAEEWAAQEKDIEQGHMRLSGLAMTAIDNPTNMTKESITAMIMEYLATDTVLFFAPENQALMEAQKEHWAPLIDSANQDMNTQLQPALDFVAEPVSAECRRKFESWLMSYDFWALTGLQYAVQSSKSVLIPHGMIRHRVGAREAVELALLEQRVQMKQWGEIRAR >PPA13700 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:639381:643338:1 gene:PPA13700 transcript:PPA13700 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYLDVVDMSSSSGSDSEVSERDGGPAPAAAGAGSDSDSAPSHRSASPPPQRAAARDSGSGSDEDSSPRKRRVMSDSDESSGDERKPKDIKPKEENLFGDDSSDGEANERRRTPRGSGSEDEEGGAGGREQRRDYDEEEQQEEEEEAPPTIIEGEGVAVNMDIGSRNPMFVRLPNFLSVAKRPFDPSYYEEDDDDDALDAEGRNRLKLKVENTIRWRSTVSEDGEELRESNAKIVKWSDGTMSMHLGNEIFDVQVMPVSDHNHLYIRQGQGLVGQAVFNTKLNLRPHSTESVTHKKMTMTMAEKTRKSGQVKMISNVGNNPDAEKQDIVRKEEEALRAATRSAMAQGRSRLKNRYSGMSSAFLEGRGEDSGGLLLCFVF >PPA13637 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:22877:28412:-1 gene:PPA13637 transcript:PPA13637 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKEESRRIMETYLSLVDAVHHKTRAYQALLSDFVNLTEVLDKNRKMLKTEMGRRKEADEQASAAEEQMRRQQKELECVNWPRSETQIPRKLDFSREKERTIRTLTAQLRDARGQVASQLGQITALQADLDQWEHRMEIIKTNLKEQLESLPSENKKEMAWLREPALYRSHSRAYAERRAGGMRRVEERDDEDDESVDYDRTEDDMDEEEEIPVHTRSGRAFGRSGSNLAHRRSVSAHVLPTKRSRGFAGNVEEALLEGETGLAPQKKRSRDGINLTSTNTEVTTTITFDEQRPVKAKVAIRRSMNRSMSESNLLEHAHPKARPAPMMGTTSTIDLRTPRGAESWTRGRPIEQRAHRFEKMTAFFKMTSCDVCNGGINFAAKPAVRCIDCNQHAHAACKKRLVVPCVPNSVIELRHVLVDN >PPA13720 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:816572:818180:-1 gene:PPA13720 transcript:PPA13720 gene_biotype:protein_coding transcript_biotype:protein_coding MPINYLLLRSLGEYAAEPGLDAALREEFVGTHRRLKKALVGNLAKQFADTGYLWEHYDDRTGKNPAPNLTKLKSMRYHYAHLKPEIDQLKRQGHAPDDWLDVARADVATKVSEKN >PPA13784 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:1244020:1247345:-1 gene:PPA13784 transcript:PPA13784 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLIGLSALLFYALYRYYSMVARYPPGPFPWPVVGNMFQQDVSRVHLNSMRFAPSFDGLYTFFVPVPIVFITDYDALREAFVEKGDDFAGRPDDVAMQIFTYEPNTVLVNGFALPPETRDQLERRKLEAATAHVLSSVREFLDALEAMEDKDRVDFHWPIQLMVGNIINEVLFGYRNKYDDCEKLMNYVEDFQNWMIEIAKAPEIAIGFVAPSLLKVPFIGYHCLTKHRNNMLKISQYVVDNVQKCLKGYNSEDEPSCFVHAYKQRMPNNEYLDDINLISTCNDFFMAGQETTTTTLRWAMLFMAVNQEAQDRVRQEIETVIGRDKLPRMADRHKMLYTQATILEIQRVANIAGGNLTRRTTRDTVVRELVDRMVAFSLGKRACAGESEIWNLSML >PPA13695 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:605606:606768:-1 gene:PPA13695 transcript:PPA13695 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDGDSVAQFAVGDIVMATYPKDGHDYRAKIISAYRVYGAGGERVVEYRVHYIGWPARYDHAIHRSNVHAVTPEQEAAFQRAEEEKKAKAAAAAAKAEAKKGIRPKNQNLDPPVAKRYKTGSSTRNARLSNERSQTPLSTWSETNSKRAPTNNDDFGSFSSGKSYVLPTISH >PPA13729 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:866280:870391:1 gene:PPA13729 transcript:PPA13729 gene_biotype:protein_coding transcript_biotype:protein_coding MADTAAAAAAVAEAAASSTTMSTLASTTAAGVINATTTLATTLATAATAIANGTTTLAPSTSSSSTTVVGGNDTTTVLLTTTTTVPITTTTVSATTTSMLTTAAEAITTALLPSTSSSDAPPEQAEGSSPALFLFTTFLFVSAAMVILKVVLSNKKNHCRDEN >PPA13766 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:1167204:1168328:-1 gene:PPA13766 transcript:PPA13766 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKLNVLPSIIPSYFPQSVLTLLSAARLSRSTMPLPFALLLLLVSFFAHSEAHSPTYVCEWVGTAPFCRGECEFPWKEFRKAEYVELEIKDQLPPIFQSRNSANNNEKGDPIEFGWSCWRGMKTLCCRENFKEVLDPEP >PPA13794 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1278320:1279496:1 gene:PPA13794 transcript:PPA13794 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEESGKEMPDGIKLLEFGERHDLSILNTHFKKRKSQLLTYHSGKHHSQLDYLLVRSKDRRLVKDTKVFPSECVASQHKPVICDVWMMKDDMSGIKKKELMKVERRVKWWKLRDKEERDKFAVEVAIRGVLSADPLDASTNVDSLWNTMTGRMIECAREVLGETKGMKRKSDDRWFWSDEEVKKAVKEKRNSYWQWHRRKSKESWEAYKEKRRDCRRVVAIAKMKTFDDLYEKLNGPDGEKIVYRITKARDKESKDIQEVKSVKDEMGNILREEKEVKCRWEEYFRELLNVEKGQTRLKEGDKVQGVIPEWNELEVNLALSKCKWGKAMGPDGIPADCWKSIGDFGVRWLTRLMNRILEDGKMPDAWRKSEIVLIYKGKGDSAETTAA >PPA13770 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1192632:1195649:1 gene:PPA13770 transcript:PPA13770 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSDSICEEYDLVSGTKTCVSIYSASKTTTDGEKRCVSTGGHLGSIHDNTVNDYIRRTAVSRNLLDGVLIGLKQTGSNGTALTWNDNTVVDYTSFNKDFPNNALGQCFAMQTGSIAGNWVNVICDKTTIPFVCTKPAYDFPDVFETSNCPTQNYSDGDMIYSPNFPKSDNKNGCEYLIVGPAGAKNVQVEVIFFETNRCCDTLTMYEGVAGDKKIATLAGSTYNGNVYKSSQGPAMRLVYNVQSGAHVRGWQLKVTAQF >PPA13796 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1291198:1294613:1 gene:PPA13796 transcript:PPA13796 gene_biotype:protein_coding transcript_biotype:protein_coding MADTDPIYSFIYKDPFHKIIIETTTAIALFSNSLLIFIVTTTKADHIVVVCVPKTIYCHLTSTGFYFFPRHVGGFFFGTPWASIFCWMFIVTYYQVFLILAYHFIYRFKTVTRGIGSSFTDGWKSTNWVCAAIITYVVYITAFVSDVAFGMAPSEQMRNDVPPEILEIYGMDLKDPRTGFIVIAMRRVNHTTNEVYWSAESVISIIICMILFLGTGAVVINKHYNGACYKTHATTAIPRVAHSDLHPMHILVLSSVYDFTVGRTDWRHFEDVIKENNADELTLTVIKCENRSDMVQTLLGLSKTLRSIHITKRQAKTINSNNSYLLGVYNLDWSKIILEMFEGRLDKLSILNAYTRYLPSDVAAVLLHP >PPA13682 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:537425:539121:-1 gene:PPA13682 transcript:PPA13682 gene_biotype:protein_coding transcript_biotype:protein_coding MKWRMDQEGKRLADLTQHLALVAARIQEVFPDPDFDGPAIFDVEEWRPTYVLNWGVKKVYREESVKLAKKRNPSLTDKQAIAYGAYEFDEAARAMLVETLRLARRLRPRAKWGYYGFPYCNYDAGQNAESTCSQQFQKHNDQWVLQISI >PPA13705 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:705100:709230:-1 gene:PPA13705 transcript:PPA13705 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAKRPIVVDESEWKVVKTKDKVRYWIKKEMRTGNTYGWEREILLDLDDVPVAPHFEPYVRTWFAGTAPVLPLTEPRPPRRGMSTTVVRILIAAAIEEDRSGHNSQASQVLVDRASAVCEEFLYEQTPPFDVCELNYVLSNDDDEQMVALDASMRLEDRIRRNSPLHPLRLMLTWLQSVNWDYEALVEMLFDDDSGRTGGFPLAERFYEWARVRRAALRAVARTWRYRIWETGTWQNMAVPCDDDEEDEEEMVVNTSFVEVEDDSPFEFDIFMANPGVEPEQPMDVEDEEEPEDEELTSSFMINITQMAGNLECQKQFLLPPDEEGLVNSRLPAGTIESVSEEPMIGVRVKQERCDGR >PPA13718 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:808191:811332:-1 gene:PPA13718 transcript:PPA13718 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKKDTLDRLLAAQAPGGRPIRGTGLRRVASAAEARRGQATTPMLTPDSRVRSGTANREVPVVGSVERRGPYLYTGGIGLDNPLLLGGSTLSLNALAGGGGGGVTERGGGGGSGGLMSPASGATGSGCEYGFHCGYGKGLEVAVL >PPA13672 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:423259:432909:-1 gene:PPA13672 transcript:PPA13672 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLAALARSGLRSIAASPRLCSSDAAAAASAATTSCSDEPVELAVNPYARPPRKCLLCKTGVELDYKNARLLQQFVSSFSGRVYDRHITGLCDGQQKKLIETISLSRRAGYMPALVKDPKYYKIVLAGTGHDYRNPAMEMDTVEPVFDKAAYVQRCAECTEEAAEGIVSELEDAPVEALPVRLQCLSLIAERSGKKVHSRLHALARKVLKAQSLTRADRKAAVAVLDIVPLPVCSDDMKELLEALEEPQMHIVIPFLARFPRILSMVDRGQLPFAWAEVVLVRALYHSNGWIRAWAVEQSVEMDMRMIRENYEDKLESFYARLTAIFVKIAEDEGRREASLFALIICEFATR >PPA13668 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:368226:385714:-1 gene:PPA13668 transcript:PPA13668 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCFKQLELDFEHDGITRETVNKILVDSFFLNLNGRCNSLSLKCNDEMQFDNSSAETLLQLYKFDLLDCQDDIINRFFSFLDPDDRMRMRLNKRLNRIEAESMYFIQRVELKQVASDVQEVMCVIIKDREYPFDFISRIARNTSIGKLQIEFPYSDEADHKFCDVINQFRCFRELSICFWNWKRAEIMRFFLDLSRRCESLDFENYHTINISPENLHDMYKVIVDDTTSLNFFSINELKLSTVTSFLNLLGISYNSGRVITNRNIEFDLAGCPDEIIERFFSYLSMEERMKMRLNKRLNKIGERFQYFMDYVELGDKPHFVYVDGRNYPFGFIRRIARNTSIVFLKITFPKSLKLAYELCSIIKEFKGITELSISYDLFWLGNKDNEIMTDSFFLDLLGRCVVLEFDSPDNAHLSPAILHNVYKAYKDYDDDQYIINIFDGFIHIAFAGFAEEDNGQGRLNPWESRKFDIILYDDLEALNSAKSGRKGWNRIRMDMSLSSLTALMESNCNVNGDKGDFFDLLGYPDKVIDRVFSFLPFNERMEMRLNKRLNKIHYENKCVIIKKRPYCVDFFSRIARNLSIGTLVVSLSSFDGLHGKFLDLIKDFKYFNMLALDFDYGQVTGEAVYKEYIPFIERGFFLDIFDGFFKIRFVDYGMEGQYAFFHYELFKNIDALNRAKNNPMVEGVTRVRMDFGSSGFPDKIIEKIFSYLAVEDRIRMRLNKRLINIESKSKYYLKEVDLKEVGPYSDELIHKFCNIIKEFRSIEELSITAWRRGNVDEGVTDSFFLDLLRRCKKLCIMCHNSESYQISPEILNNVVKAYKDYTDEDDGILVNIFDGFVQILFSYWPEYDDGYLGLRTDIELHENHDALRQAKDPVWQWKRFDLSGCPDEIIDKFFSYLNPEDRMEMRLNKRLSKIVAESKYYVERDDDKKYVMIKNSRYRVDFIHRIAHNLSIGHLFVTITQETVDKILVNSFCMNLNGGCNFLFLGCIEEMQFNISSIEALIQLYTSVNDGSTSIRKFFSHMKIDEFDLLGCQDEIINRFFSFLDLEDRMRMRLNKRLHKIEAESKYFIDRVELIQVSGLDESKCVIIKYHKYPFDFISRIAHNTSIGVLKIMELIQVSGLDESKCVIIKYHKKFDFISRIAHNTSIGELKIKFPDSVEVTRKIYNIFKEFRCIGKLWISDSRYTRADIMTDSFFLDLSNRCESLYLNDYTSCISHENLHSVYKIIADDSTSLHYFRIKELKLKTVREFLNLIGISYNNGRVSANRNVKFDLSGLPDEMIDRVFSFLDVRDRMRSRMNKRLDKIVAESKYHLKDVILDQPRCAHESIPHYPSHWHLAVRIMGSDPQRRAKEVNNHGNNCVVIENRRCLFDLISRLAYNASVGELNIFIPSSDELTNKFLDLIVEFRNVEILGLNFRTYRFDLLDCQDEIINRFFSYLEPNDRMRMRLNKRLHKIEAESKYYIDRMGLEQVVIFNLT >PPA13724 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:831236:832004:-1 gene:PPA13724 transcript:PPA13724 gene_biotype:protein_coding transcript_biotype:protein_coding MARVALSNMLGSIGVWHGSNKVKQFGGDRAVRAAHAAFGSALATLLPERVPVKRCVIAAVLLVSYREY >PPA13636 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:11438:16723:-1 gene:PPA13636 transcript:PPA13636 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAPLIHCVVALEKKGLDYAGIYRVPGNKAQVDKVLYELKTARAVPKLELQDVEVITGCIKEFLRHIRDPLIPKTSREEFVRAATSDNAVGLHAAIRDLPQPNRDTLAFLCLHWLRVIAQSTTNKMPLENLIRCLAPTVVGLHNLSNLGMASDDTNKAMAVLESLLRLDTEYWQQYLNFDTGTASGTKSSHGSTLGGTLNTLSITPKAPPLISMTTGGRTTTTASRPKHNLDFSEAASASTSAVDQSILGPISGDKKAPAPQPTPLIFDATRTRGGVTVKNKYFPSPR >PPA13678 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:469269:475569:1 gene:PPA13678 transcript:PPA13678 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLVGVVQGERDSSWVLNTLDNLHAIKQVAERTSEYGIPIYLAFVDFKKAFDCVEWSACWNSLWKYGAHPTLIHLLRRIYESSTTLIRVNEELVPVTVKRGVRQGDTLSPRLFNVALRSAMDTIDWEEDGIRIDGRNLSHLEYADDVALVAKTRPELERMLRKLMDACRRVGLEVNATKTHLLTSCKTARDYVVQSTKHPLVAARFHHAGAAKMVSAIDAQSPNSRGRTFTEALVRNKLRAWNTFPKRRHGRTWTWRSNDGVTYHQIDFLAAPPSARVVNCGVVGRFEFNSDHRLVRMCLSLSGKVRQKRCRDKLDFDRASFTVNASLLASLPLASPTSATDAYCNIKAFTDSAADNCWRKRHTPPWISRATRNLLALRHQLQANSQGPVAYAVACKSARMSLAEDIRKRKEAQARQAALMGRSIVKEILKLQSTKKRLLVPDPASGALSQSATKAAVKDFYEDLYSPAVQIPLAVPPHSLDPFPPFLPDEARHAMSLLKCERPSSPFHRPFAESTSCRRYRPIAHSRDHLAHSIAHLLNRLVAGDTVPCELSEAVVSLLFKKGDPTNIANFRPISLLTVTLKVTTRCILKRFEAVLEETESATQTGFRRGFSTLDNLHAIKQVAERTSEYGIPIYLAFVDFKKAFDCVEWSACWNSLWKYGAHPTLIHLLRRIYESSTTLIRVNEELVPVTVKRGVPRSTRWSRRASTTPAPPSWSPPSMHRRVK >PPA13783 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:1239542:1241429:-1 gene:PPA13783 transcript:PPA13783 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLVAILLGVSLATAAVQYAAALMKTQEECEDELTILQAAERDDCPNDGEDAADVAQNGGNSLRQPLLLSCLIIPVAATNYSVALAIKETSEPVSRGPVTYLG >PPA13772 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1200208:1202163:1 gene:PPA13772 transcript:PPA13772 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPTLPALIGAIGKGSAALSTTEIGLYALSVGVEAFACCVAGAWMVRRLLADPEDAAKAAAEAGAPTPTPDPPLPIPDPIPPPTLAAERHLPAGANDNPETDGDDGNIYYLLIGGVIVVVGGYLFYKWYNSESPAPPQPPSTEGEPRRPDDRISFNGEPGTTEGVMDRAFFEGYLQARTQSTNSASEQPSRREQAPIAGAAAIGAPEPAGAAACGAPEPPDRQPGQNERQEQGEDEEEEDEEDHKRKIKCLVWNSGGIGSNSGYKFSIDDVIKAETLDIIILIENKSNENVAEFARRHRYEIT >PPA13734 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:907660:910869:1 gene:PPA13734 transcript:PPA13734 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPLLLFFALLNPSSGAEGFDANRCKDEMMCFVPGSCISENINSLTRWPDAPAVDKCPVVIAIRPYSDKKWLVAMLGKGTDLNGLQLKISDKLKFECKPDTTPGNATIDVVPATSKDRVFSSGYDQANNYILCEFVVVQPIIGELVLKYSLTGAQDFEWRLGRGTFEDQVDSRRFDVTCPKDSQLTFSKDDSNYVEISGLTCVPDPRPNGGGGQYQVASAVPVPKGNKIAARCVQYRCALCPEVAPQTGLDKANFTKGSSDACATLTCPGNRFAIGDSKERLKGQQPVCLDGQWSIAGKHFATASCYVDCELVGVLPPLAATPAATPAAAPPASTAEQQQQTPPAAPTETMPPDMSPPQPEAKTQ >PPA13762 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1150730:1151776:1 gene:PPA13762 transcript:PPA13762 gene_biotype:protein_coding transcript_biotype:protein_coding MLRARAGSAARRLDDGFVAPSRTKARLPSAPFSSALWPLLVIPGSYQSRRLSLCVGRAAAVPSFPSGSSKVLPVYLLVLHNSKKSP >PPA13681 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:535090:537023:-1 gene:PPA13681 transcript:PPA13681 gene_biotype:protein_coding transcript_biotype:protein_coding MNWLYVEQTGLFPSIYISGGAQPDLNMLYIHAILAETKRMQRANLVSKLPIYAYTKIEYNPYNPTAAFYNKRDLCNSLRQLTDIGAEGALLWSTDKDLDAARCGRIAENLGKISGPYMDAVRSRAQFCADNHCSGRGKCVRIAEPLK >PPA13788 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1255768:1256950:1 gene:PPA13788 transcript:PPA13788 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHSDYDPVTAAADIAVLKLAEPLEFDNKVASICLPNPSQSIPDDGLAVVSGFGQSDIIINGTRVATFDGQLREAIVPIVNLEVCQELWSQANTTAEITEKIVCASSFARGIHKGSAQAFTAVRPYCDWIALSTGGEVTCYDSEVLLDDVGINE >PPA13717 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:790239:805995:-1 gene:PPA13717 transcript:PPA13717 gene_biotype:protein_coding transcript_biotype:protein_coding MPDHVIPSQYFSILPFDDFMDSSGKQGSFVTIFSLWNTMMGTSLLAMPWAMQQAGLVLGIGLMLSIAALCLFTAYLVVQSPKGLAMEVDGAQAEFSDVCRYLFGPWGERISVWFSVVVLLGGVMVYWVLMSNFLFHTGNVVYEALQPNSSTIPIMENKTFTCDIYCPDAQLYGHRDQEFSLQAVGDVLTSKWDFDSLWQLQLTVPIYLFVLCFPLLNFKSPTFFTKFNVLGTVSVFYLLSFTASKLVECGVNLDFVNKASIHYASMFSWKFPALTGTLTLSYFIHNAVLTILRNQKHPENNARDLTIGYGLAAFCYVFIGFTFYAAFPVQRSCIADNFLNNFGTGDVMSATARLFLLFQMLTVLPLLMYLIRTQFFYATMGTTWPGYVGSFSGLVYVFALPCLVYMKKLQLDGLLTKRVQYALYFIIFLGALNMVAQFVI >PPA13677 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:460884:468666:1 gene:PPA13677 transcript:PPA13677 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRSASLIDELDDTASWLGSIGDESIPTLSLDDVLKDCSWEEGGTAAGLLEGVSFRDDEFSLLDSGRPLSSALSSTPSLAYTLDCPVRGRMMDKLSVLLMAHAQQEGAPTAMARREARLGVCTSRGTLLLFSLTTENLERAVRCETAESAACCAFSPDGRTVAVGHAKGAVRVINTASGALEFATSTVVQMDRGILQIAFLSNRSLLVLDSGGSVYEVRQKRGMLGTRREESRCVFSGCNGEVVHFALPPPESKIGLLFLVSLTKVLVVSTRHGGAVLCAFPVRGPPDAPPLLDYRLDSPDVLFLLIARGDRLSLYRVVVSRLGSRTAAATLHRTVTVEPVPL >PPA13683 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:542032:542535:-1 gene:PPA13683 transcript:PPA13683 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDQIYWNSPTARCLSKFNVSIPIETYSIVGNKNQEFVGEKISTFYEYNIGVWPHFDKYNASQPVNGGLPQVKNIF >PPA13706 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:709776:711609:-1 gene:PPA13706 transcript:PPA13706 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAMNGGDLAELLKNSGYNFEGMGGVNQNFELLAKLPAKVKSRVSALKGLQVKSIEIEAAFYKKVHELEKQFEAEFGAVNEQRRKIVAGEYEPTAEEATRPLIHGSTEEEIKELNEKSEPDNGEKGIPDFWLTVLKSTENLGEAIQDCDEPILKFLTDVTSDVQLEPAGFTLFFHFAENSFFKNTVLKKVYKLEVKPDPEDPFDYDGPAVTETIGDKIEWNEGCDPTKKVIKKKQKKGANAGKFLTKTVKADSFFNFFDPPKVDADHKNEEDDEDDETQELLRADFELGQIIRDHVIPRAVLFYTGEQCEDDMFDDFGDEGDDDEDDDDDEDDE >PPA13799 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1306000:1311326:-1 gene:PPA13799 transcript:PPA13799 gene_biotype:protein_coding transcript_biotype:protein_coding MERSHLWFRIHLIWGLVPGNCTTWINVILHSGHHGEVTSKPQGDTTTPYRLTTSSMAESTHDTQNTLAPAAASTSPSSSAPSATQSTLTNSETNFETKRPTSSVPSATQSTLAPTQIPFSPSMATQSPVKNPQSTQPQLTQSGVGSASTSTDAATKTTPTIQSSPKTTTTTTTTAIDTTVSVGPSGLSTAPDQITTDRQPTSGITTGTPGTTEARYITSTTDWRSKPETTTPAATTTTTSVSIKPNDLRTVTTKAVSPTPEFCEKPCPDDFFESAQGCLWLYSVTSAYATGLGNCQAIPNGDMISELDIEANFDGIQALMAYFKFPSHNFYVNGFMGDLNRKEKKARVVYISATTALLTRNVTTVPRGEDQKDIGTICKVPKTFSSKHRNYRIPFANWKLDAPYIEFDTPLPNPPLQYLEPVKYRCKDQEVSTTRWDAYCTDRGVIGPAASLYNPSCAPSAKPKPIPPPDFIREELIPDLKCGQCFGMGTERCETGEKGQPDKCICKEEERN >PPA13715 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:769500:774065:1 gene:PPA13715 transcript:PPA13715 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSECLEYILYKLCTDINIRTWMHPERKNDQLVNGDAFHFLRTSWMRWNLSITDARSLLDFVMRRIAYLRDLDELITQIKKFYSTPYSHMPISFIDAATVSFTNQRESRFGRVPGPGYRCDLCGGAHLKERCPRGTYSTLTMSSLLRPAARIRLEVIGIAQFRCDSCGREWRSDRCGRAELMRSCIRCRRSSYRRWFVETCSETCDRHCMRHTLTHFDCCDPLTHGTRRPNPMTHLPGHAAPDAIVDCRHNVEPMVVASHESSVGRRFVPSSVAPAVVSYVSTAITALVEVAAPLPPVIQPPITHRQSHPPMYQGAAHHAVRNHRVFSSHHHHRTTPSRPTSLLTPPPPNHAQWHAANRPTLTASPDTPWFLPPYYEPTHTAPTGSSPPPAYSAAATPPPSHSRLESTRLDRAGQSERSRFASLFEEPLPATPDNSRLESSLDADDPTDRLADLLEFWDLHIEPCG >PPA13640 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:50295:53613:1 gene:PPA13640 transcript:PPA13640 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLADATTHVEKRICAIGLAEMMESGIGVLGDLFGPLSEAGVKLCEGAVVHLIARERGGRAGTASMYSEEGEFNAAYCKLQNAAPAEVLRPEITNWKKYFSDVVLKRIGGSQPAMLACLPEHTLVTLGVYSSRA >PPA13759 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1123841:1127458:1 gene:PPA13759 transcript:PPA13759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-skn-1 MASTTSALSIGTTFGGSHQSTVIMDPGPGSGPGLLRPATVIMDPANQLPICPLPEPALILLDPSQYYALLVAAQGLAVLNMSTLQLPPLLGLGSEAAMAADLRAAAADAAAAAAAAAAAEDMNRPPAHHELTTLTGRMPVYESRPSARTASMSSNNDTQSLGAASSSSSSSSSSPRYSSTSTQGGAGSDGENRAPMPQSRFYGKLAPSVRGHSAASISLFDDDDDEGDAHGFFRSPGSVSLHSHSGGLNSAVAPRRRGRQSKDEQLAAANGLPISAAEIADMSLPDLQKLLKADSLTEPQRQLIRKIRRRGKNKVAARTCRQRRGVARTDHVSPYENARVLGYSNRH >PPA13753 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1092486:1095325:1 gene:PPA13753 transcript:PPA13753 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTSRPSCARSSWRRKRFSLTRHVIYDSQTYAAFIEFVGFQGLAFPIDVFQSSANSLPIGCINGFRSVTRIY >PPA13721 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:818576:823033:-1 gene:PPA13721 transcript:PPA13721 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYTISICAAEFWFLEYHLDLRCWMAVASRVLARLAETFSTADEAESYRRKYTELSDFGNLVQRHWTEEGKQFADFGLHSLNVRLVQREKLVQGHHGNTKETWMERAVDEPPKERHVSDAMGYVGLFPLIAHIVPATEHAKLRAILGHLNDPEELWTPFGIRSLSTLSPYYQARNTEHDPPYWRGSIWMPINYLLIRSLIH >PPA13723 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:829203:830012:1 gene:PPA13723 transcript:PPA13723 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFNGPSSWSYNVHNAVFQADKSALLGVVMWFTPKYPLFNLRLLLTQPTCIISRLYRLRTGFLKLKFDLRKADLPVLEHIARYMGKRMDKRFVPTVY >PPA13782 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1232930:1238877:-1 gene:PPA13782 transcript:PPA13782 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGALVGKIGTIKIEMKYKTRLIAKESQLAKLKSMLNAAMDEAIFVQSQGTVFSPSSTLNALRDTIKEIQAEDDMKKFYNHELDYIAFAEKFAGFAQKLRGVRLRTVDSDELLDFNMAEFHPVRARAKPSILQRHNHTADDTLHSLLQAECPTADPRSSTMASTTSPSDPVRTPPSPLKAVRKEEARDAPSTSTATGRTSSDHSPAFRKPIAGATVQIQERIPLTWTVDGGHGRELCVPNIHGAVIRQPAKKRPRPSAAAVAPLPHSPPKMARVMIHNQATPMMQNGLDGQKFLEAKRAALAKTAAEATPTTSSGAVKTPTTSGPTKSQFNNGRMLVRCEFCTASVDERDIINHVKEKHPEKKAEAQRRRDDAKRLHDLASPAWAAMTAQAEKLKYWCPELACDFAAETTAVRDAHVKRIHRESYMVWMKMGRLQLKPGTRCPYCTKSTVKDVIGLCQHAIKFHPIKMLEKKEIYSCSTCDARFSRVYEVYQHWYQDRECLGPLRVVSEGDVNTCLPMRAFAGDPLQLVLGGRDTTGFIKLPPVVNPTVVNPTVGKPAAVVKPPVVKPPVAKPIVVKKEKQTAKK >PPA13768 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:1176535:1178907:1 gene:PPA13768 transcript:PPA13768 gene_biotype:protein_coding transcript_biotype:protein_coding MDYIYGQNGAVDNLRGGGNISNVIANMAVEMAVFGNIFRTTSTTINQMMMDYMNRFKTNKNLMKDCAGTPLPGPPEVATIFKRMHDDMGNYELAFKQSQCNKIIPIPFLSFLALTPFPLNTVRDISPEIDAPRKHPE >PPA13777 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1217612:1218772:1 gene:PPA13777 transcript:PPA13777 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKKIWFEGTCAQYSLVLNKCTIITECEVYPRQAILQSYDNASFLESMSMIIGAQSLSRSPNRKSEYRGADPLLNWVMHSDITLVEMSSRNET >PPA13685 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:560510:562808:-1 gene:PPA13685 transcript:PPA13685 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPSWISDLPPLRPSSGPATNLARSETDRLDWARRHRVVTTTHIERCSSPPPPRPRRLDTTPRPRSLWMDDPRRAQSSTAVHSTGREKVVTERRVDRSNWSSSAASSDRLGGSTGDIDFSWVREEEGRLRNGAAGGRGGAADAKMPECYFGREEEATPEPTKGETRKERTAFVYKALIHLI >PPA13670 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:394986:400610:-1 gene:PPA13670 transcript:PPA13670 gene_biotype:protein_coding transcript_biotype:protein_coding MLFMRSLKLRRQPDPRLAPAPAAAVVHGAEFRGAMHGDRCVQIDNCQQSQLISIDCRLTGAEPIYEMARAVGNFGGEPAGNSQKIVGNLMVDFYFATIDPERDLDLETSYDYGVSRINRYFALPGTGLPHDESIPVELMEAIVARAGVTANGKPGPLPIRVRCANDAALTAPSLVYNSLQKNQRCAPSFETDEGSGAGGEERGETDGTGDAPSGSAQKKIIPKEDGERERRREGKSIYVVASFIDKAANLGGLCRTSEIFTVDRLVVADAAIVNDATFKALSMSAESWQKIEEVRPDALPAWLAEMKRRGYALVAAEQASDSVPLHRYQFPEKTVLIMGDEKRGVPMAILRAVDAIVHIEQLGRC >PPA13703 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:664664:665343:1 gene:PPA13703 transcript:PPA13703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EV65] MTSPASAPPADILTQPGSKIVFNAPYDDKHTYHIRVTNTGARRIGWAFKTNNMKRFGVDPCNGALDQKESVIVAVSCDAFNFGQEDTSNDRVTIEWTNAPEGAAKVFRREWFQTDGMVRRKNLIIEYNP >PPA13779 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1224337:1224853:-1 gene:PPA13779 transcript:PPA13779 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVTPANDHTYFAKKEQLFPCLQDDSGIPAEAVNEVWFLVVLVEDFDGGDDNFIGRKGWRSEGEPTFSNSLLKWF >PPA13664 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:330099:333081:-1 gene:PPA13664 transcript:PPA13664 gene_biotype:protein_coding transcript_biotype:protein_coding MKLADLILCIKCLVHGEESDHGSPNCSRADCDCRKCELMALRREAMHKMKGLSKKNAIDFGVEEIFHTRYTCSKCRHHGVMAIKKFHVPCPFAVCEMSSLPDFFGFRGLTDGLRTESVSGSQGKLADL >PPA13701 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:644857:646302:1 gene:PPA13701 transcript:PPA13701 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEESLGAIKGRYQRGGRHGEYSGGDRPLIGASDSDSDSGEGERRLKDAKDSDADSDDSVRGGRGTIDEPGFAPKEAAEEADCDVGRGQRLISRPSISRIPEIPGSGSATNGVYRS >PPA13740 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:979438:986878:1 gene:PPA13740 transcript:PPA13740 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSQCQGIDKIVFVFAAAGQSRGQTPSYLRELALEMRQRVVIAYVDAADERMDGVREMFGVESAQTVRGFDARTERQYWPKRATSDHPLLPYEVETFVRDLAANKLTPHCKVEQIEPADNEGAAVLRLNTRTFEETALSPSVDAVVCFFATWCPHSRRFLEKFAKAAEESRGKRKVVFSGRRSVPELLKWLDEEMVKEPKVEVCAF >PPA13657 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:290623:299422:-1 gene:PPA13657 transcript:PPA13657 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKVQLILAEQEDSRCTEHHIVIVVGGHTTCYDALPLFKSILYHHRGPLVFHIITDAESRQVLPAMFDTWKLPSVRYALYDMDPYRSRVDWVPNKHYSAIYGLIRLTIPDMLPVDVKELAKNYSNGTAKVVNGLIRSTIPDMFQEDVKEVLFLDTDLIVLDDIAPMFIAFKGSNESVMLAMAENISPGYTWGRNKWPARGRGFNAGVSLLHLERMRRANWTEMWTREAKGLFRKRIVHKGNDQDIINALTVSHPEIAIQLPCAYNYQLGNTSTPLGCEKRERGVKLGNTSTPLGCEKRERVVKIAHFNSPEKMRLKSKYVVHFARFHDIYKAMDGYSFRQRERCEGDSEPSTSLTDLTEEDYECDDLATAIKTVYRTQLYFNGFTPSSESNDNTLVTHLSVDRLDRFLKLLDYWEGAVSAAIYCTDAELAQIDKKIQSGFDQNRTNVALHAVFKTGNYYPTNYLRNVALNASRTGFVYLADVDYIPSEELYENLRTVVGSSNMTNKVLFVPAFEMTTSCDENMIPRTREELLKEWNEGKIQLFGNNSAPDNQTIFDNWRDSDAPREIDRIPEFKSFVVVPTQSVPRYEERLIGSGWSVDLYYSTLRDAGFRFEMTPGAFTVRDPKYSHTMTDDEVSNLYIKCSRIFQEELKTDPKFEKDYNGMAV >PPA13688 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:575022:576098:-1 gene:PPA13688 transcript:PPA13688 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDVQRAQRTPDSPESLFEGWAGGLALLTGILKAGNGRKEALNVFPFMPIACSRDQRSPHPLYYVCFALVSLNDLHFLIRIAFISLRSA >PPA13760 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1133912:1136972:1 gene:PPA13760 transcript:PPA13760 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTSTSPFVPRLSTLLPLARAAQCASGPLAAAQAKEVPFGSLKYYSLCGAGGALCCGLTHVWILPLDIVKCRMQIDPAKYPSILSGFRTTGKEHVADEGARGLVKGWFPTAAGYSMQGFGKFGFYEGFKVLYANLVGDEIAYQYRTALYLVAAASAEACADLPLAPFEAAKVRMQTTVGHPRTFRECAPLIYRNEGAHGFFKGLPPLWGRQVPYTMVKFACFERTIEALYRHVVPKPKADCSKAEQLAITFAAGYIAGIACAIASHPADVVVSALNGKDAAGKGFVEVAKQLGFRRLWAGLAPRIIMIGTISALQWFIYDGFKVAMHLPRPPPPAMPESLRKKMEKIGK >PPA13643 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:65171:80990:-1 gene:PPA13643 transcript:PPA13643 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLDVKRKLLARSDRVKSVDLHPTEPWLLAALYNGNVHIWNYDTQQLVKSFEVCDLPVRAAKFIPRKAWVVCDLPVRAAKFVPRKAWVVTGSDDMHVRVYNYNTLERVHQFEAHSDYLRAIAVHPTQSFILTSSDDMLIKLWDWDNKWALKQTFEGHTHYVMQIAINPKDNNTFATASLDKTVKVWQFGSQSPNFTLEGHEKGVNCVDYYHGGDKPYLISGADDHLVKIWDYQNKTCVQTLDGHTQNVSSVCFHPELPLIITGSEDSTVRLWHANTYRRGLACKAEGRHRPPVARQHVQTGDHAQLRPGARMAQKGSNTVALGYDEGSVTIKLGREEPAVSMDASGKVLWAKHSVVQQVNLKTIDAATLDALQDGERIPLAVKELGSSEIYPQALAHSANGRYVVACGDGEYIVYTAMALRNKDFGQALEFAWATDPNVCAVRESSASIKIKKNFKDYKQIRLDMVGEGMDGGPLLSVRSATALCFYDWESAALVRRIEIAAKRVYWSDGGELCVIASEESFYVLRYDGEAFERASAEEITDDGVEDAFEVIGEQSEAVRTGIWIGDCFVFSTANTLKYYVGGEIVPISHTDRPLYLLGYMADNSRVYLVDKELNVCSYKLLLSVLEYQTAVMRKDFDAADRVLGTIPREHRTRVAHFLEKQNFKKQALAVSTDPDHRFDLALALGELKLAYDLALQSENEEKWKQLAAAATLNSDLTMAGECLGRAKDFGGLLLLSTAAGSRPLLGKLATESYAAGHHNVSFVSSLLLGDVDKCIQTLIEYRACSRFGKEHTARLAGPNQRNVGESLADPTKYENLFPGWADSLKAESFSRELASLHVPAAKRAPTIGEINLMEMMKQAEASGLNVAENRGNLMEMMAEASGALSFREDGSAVLHGVSRPKQPVQQQQPQAAPAPAAPAPEIQIPTARRAAAATPPALSPVAAPPPPAAAREPSPAPPPKKAPTPEPEPEDDEDEEPFGDDDDWGESSNGAGGGGGEGPDVVESSVRQPDVLPAPATGTAPDLVPGRAANQPAVVADARGGGGGFSDDDDDEEDFADPDLQLDEDED >PPA13736 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:929601:930624:1 gene:PPA13736 transcript:PPA13736 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGHTATCNRLGSSFAQPGRRLLSLPLSRLFSNGLKLISLWRPPIPFYSGSIPGRDTALRPTKAPERGIGSDKITRGLPQDFVCLEYGVTFTFT >PPA13793 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1273506:1276639:1 gene:PPA13793 transcript:PPA13793 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMFHNCGSFYNSSDCRISATFSVSSVVEGRLLYALYVVITALELLAIVVMAVLTRITLVDISKNQVLAELHFSLQEASSKFHPNMMRMISFYFAQGFVGNAARVALMGWQFGWIGETDSPVALLVLSELRFYWIFLCAYMFSAFVIERLFATLFIADYEAQKRTWITTTLFIGIFTICHVEAAFVIFGSTLGSSAMHSVMVAVGVAVVSATISGVVRYII >PPA13674 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:438196:440914:1 gene:PPA13674 transcript:PPA13674 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMMPPPSQPHISGQSTVPSSYSDRLAQERSDRAPIPEGPLALGGGGGGGYGNGTQEQKHEGDGDWAGKTSSSQLSAKIRIVHPDDHSISLEERRLNMIASRTSSHAAGSMAR >PPA13659 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:305536:308216:-1 gene:PPA13659 transcript:PPA13659 gene_biotype:protein_coding transcript_biotype:protein_coding MILYEVPTATRPYTRSCNGTTEKQQLAVIAFPRWTAYMMMKQNAGPTGTRIQRAHASRVVGQRRMSVDVAESFLAAQGNGTLSVLVLGNSVSISSFETVHRVLDGRYRTLRVLDAVWYLSLLLGQGHGRSGEDEAGRDTHHGISTPHERSARGRECVGAGAREDRLLECDLKFICQGPNIRKLSLFSSHAKAVMVDAQYLIPDFAHSSAMAHYLARGWTNTSTMPGLSMSLEQQFALKRTAYDRITSLRGDNLVVNNITFAFCERIRGMCEG >PPA13698 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:630250:631843:1 gene:PPA13698 transcript:PPA13698 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDDDDAPTPPANRPPHRPSKTRPVPRFVKDQKVLSRWLKKSPIVYPAKIISVDATTDPITYDLDWIGQGNYSKGIREDFICDFDSGLVEIHKEMARANEVKNKKRRERKERQMTKPSAAKKARNTRKANPATSDSTNDYARTYSSAPRGASSRRRGGGVDTIEESAVSVDSTNGQPRYSSTGPSIQQQVIASLHPSSETTIQAIAPPTLAVQATALDL >PPA13656 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:288849:290833:1 gene:PPA13656 transcript:PPA13656 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNSKLCQDLERVTRVIVDEASLLTEAALYGLIRRFPMARIVLIGDEHQLPPFMYDENILGHELAGRPALSVAMKTGRVPVVELNEVYRAPPSLVAPYNRLAYGGRLVSKKAEGESPLSVLGLVHAGQPQLLLIDVDGKEERNEKSMSLYNEKELEALIRLLSKFPVGWTKELMIICLYKDQKKRLQDLIDALLPPEEQFTVLTVDSAQGKEAPIVILLTTRTQKATDFFCSTQRCNVAVSRQQKALIILGKAPLLTTNAPWSTVVNGDDFTRIKAEQL >PPA13726 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:847766:849867:1 gene:PPA13726 transcript:PPA13726 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSEPPEISRTSAPSHYEWVGEAVVTVHGVYALIGTTLNCVLLYCMYRYTLPSFRVFGLLMKTHTIFDLIGTGASFCAMQRQDNPVRVIAAVHLLRTVWSRQLWHMLRVLHAHPLRICRYGKFFASHRARNARLAIDSPFYITLVSFMARLHIIKNGTITTQRAMLYLGCIASPAPLVFLISFLVSKSDDNVMIGIMKEKYPSYYQEGLIVTGNEYLLTVPMFLVMFIVTVLITPLYIVILKLRKSILNIVSQSNLTMSTRTKAMHTQFVHMLTLQAALPLVLILAVITFSFGQFKVLNHPALESASIIFGETPSLLSPIIVFYHIPAYRRAVKSMINGHVPTASEVSNPNSQERGPAPGTVITVRSNSIFKSP >PPA13751 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1078043:1079841:1 gene:PPA13751 transcript:PPA13751 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVKEVSTRLMRPTLPSINLDLLEQLVSNWNKEWEADASVKVFDEGLAQYMLVDELSHHKFYAAVILGKPALRCSLVEEEPEDRLDEEADNEFTLTNASKSSEHLEQLKEVLSKRVLDKG >PPA13691 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:590030:592160:1 gene:PPA13691 transcript:PPA13691 gene_biotype:protein_coding transcript_biotype:protein_coding MERATTTLASSCSNSTSQCACCTCADGCQCYKTGQCTKGKICCFGDKCLETGCNCGRGQGCKCCTCGDGCDCFKTGKCIKGGICCYGDKCKETGCNCGRGDSAGVKKSCKCCNCGDGCECFKTGKCIKGGICCYGDKCKETGCNCGRGDSAGVKKSCKCCNCGDGCECFKTGKCIKGGICCYGDKCKETGCNCGRGDSAGVKKSCKCCNCGDGCECFKTGKCIKGGICCYGDKCKETGCNCGRGDSAGVKKSCKCCNCGDGCECFKTGKCIKGGICCYGDKCKETGCNCGRGDSAGVKKSCKCCNCGDGCECFKTGKCIKGGICCYGDKCKETGCNCGRGGSAGVKKSCKCCNCGDGCECFKTGKCIKGGICCYGDKCKETGCNCGRGDSAGATKCCH >PPA13648 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:118560:145940:-1 gene:PPA13648 transcript:PPA13648 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDFSNGLDVVPLDANQFLPSSIAPSVEHPWRDQFVERAKPPARSVEPPSTKAPPFRYMYDNQLHGPQRRTNSVLDMLEEGRDRPLEPHETIRLCDVEAFRKNTAEKARAINGASDAPSSIRPVIYRYIDVIKNSFALLEAIHFELFMPDRPDLRFLALPWSAVPAGTVEGDYIQVPTADLLSDPSFAIKLGETSYPVVWEAKRVERMKMFGQREYTALAYIVKKKANAEGSLTAIVETFDRDVPIRETLFDDDDTRREHSLVRVTMRDVATAQLYPVEPHVATAQLYPVEPHVCKSIFMRMAMALDDAMSDDFSGPSVTEEWKRNERVDEVAEGDTKKREDGAQSEYVKRVAGVLTSSQRVGRVVTAVRALDATEAERIEDRLGAFAGFAPKPLDRTMVQLFLLGCRYRISLSQGVEWSDLRQTRVDDSIVLRTIEDARISEDCSRTLNYIYGRFPPARRLLIAAIVKRATRSVEAEPITAGGRLLNLQQGLAVRLYADALGPRVFCIRSPPGSGKTTFSGSVKVAAAMAAEVLKRGAANPRDPYYVGVQLLLSVQNVAVDNIGDALQKMEYGRGMYRIARCQLYNMKSTKLLNLYSPSPYDYFDQIGDGVEDWKEGGVSMWLEKRVGRGRFAQLERSKFKTREECLTYHRRSFEGGIQPKILLSTVEMVLQKLYTPSKLCEALKRVTRIVIDEASLLTESALFCIIRRFPKARIVLMGDDHQLPPFMYDENILGHELAGKSALSVAMKSQIIPVVELTEVYRAPPSLVAPYNRLPQLLLIDVDGKEERNEKSMSLYNEKEVGVAIRLLKKFPKDCTKDIMIICLYKDQKKRLQEVLEAQYARDPIPANLYTVLTVDSAQGKEAPIVILLTTRTQRATDFFCSTERCNVAVSRQQKALIILGRAPLLTTNKPWSTVVNGDDFTCIDAGEIQPEMSQDPNNNIVVDGTLYGISSSVQLPRSRSDPLFVVEKEGENDNDQSSFSGLPDEMIEHIFSFLPMKDRLRARVDKRTRRIEAQSQYHVGKMLIEEVSEKSIVDIESIDDDEELPEYDIIGYDERITFYKERSYSTACIRKIGLNTTIASLEVKSFDRLLSLRQPDSDKKRLDGCANYKQQLIGTDMPAIVALTGSDEFHHEVFEHITDFDIEDLYLLSEGNSELMRDSLLTDVSRTCKYLHMDNAENISAEAIKEVYENMKNGSAKLRTLTLGGIKRDECFHFLNYIGIALVDGRYVIGPNTVVGKGPNTVFFTKLVEGLPLPVCPSFFHGSLEITFSDNFLFSESVDMMVDFHETRAAVDMALVCKFGMGFLAVEQDEDAIASPAEHPWRNLYKKREEPPAESSVPPYTKFSPPCYYRKRVNEPRPKYKDAVHSTAKKVAQADTNNNAVAGLTAGIARLSTSSPSIRPVIYRYVDVIKNSLALLEAIHFELFMPDRPDLRFLAIPLSAVPSGTLEGDYFRVPSADLLLPDPSFGVKLGKDVFPVVWEANGFARMEFNTSYLHETEAGLAYILNKKADISGAVVAVSEFSDREFLIRSSLFPDEDSRKGHSIVRASLRDMGTAQIYAVEPPKPGPNPPKKKVDKDGVAKDGKGGKKDKKKGKGKKDKSKKGEDEEKEFDPRSEYQKLVWDVRTSSQRVGCVVSAVRAFSATEAERVEDRLGAFSGYGQPGDLQLMDELFRLGSTYREFDSRGVDTQDAGEMRIDESVILKTITDKQLGTLATCRKLLSCIYGLGPGELTSEDADIAVHKCGDISLNAAQSRALRLYAGASGPRVFCILSPPGSGKTTVAAAMAAEVARSTFARTIYRRRGGRYGSYESTTYYDSVQLLLSVDMSKTWPWTTWARKKKKMNYGGGEVYNMKSSKKLNPHDPAPYDFFDVELPEEAHLRIKEKEKEKEREGDKNRERKKKKMDKSEACITHYRREYEKTAQPKIILSTVEMVLQKMYTESKLNTDLGRVRRVIIDEASLLTEAALFCIIRRFPEARIVLIGDDKQLPPFMYDEQILGQELAGRPALSVAMKTGRVPVVELNEVYRAPPSLVAPYNRLAYGGRLVSKKAEGATPLSEIGLVHSGMPQLLLIDVDGQQERNEKTMSLYNEKEIKALQRLLGKIPDGWKDEIMIICLYKEQKRRLQSVLSRDYTILTVDSAQGKEKPIVILMTTRTQIPRQGSFFDSPERCNVSVSRQQKALIILGKAVLLTTNAPWSTVVNGDDFTRIKMADTDYLNGLNVVPLSKKSTQKWTRSAIASPPEHPWRHLYKNRKEPPEVGLPPDTKSMPPLYYRNGVFEPRMSYKGHAHYVKANGRYTAKSSGTVRPFTHETVRLCDVQAFRNCAAKKVAQADVNNNAVAALTDGMARLSTSSPSIRPVIYRYVDVIKNSLALLEAIHFELFMPDRPDLRFLGIPLFAVPSGTLEGDYFRVSDVDLLPNSSFGVNLGQNVFPVVWKAKCAKMKFSKVSFHETEGLAYILNKKADISGAVVAVSEHSDREFLIRSSLFPNDKSRKEHSIVRASMRDLGTAQIYAVEPPKPGSNPPMKKMEKKGVAIGKVDEKKGKKNKHKKKEEEKEFDPRSEYQKLVWDVRTSSSRAGRVVSAVRALSATEAERVKDRLGAFAKYGRHGDLKLMDELFRLGSTYREFDSRGVDKQEAGEILIDESIILKTIRDKGLGNLKMCRGLLDCIHGGGPGKCDAPKSSLHKCGDITLNAAQSRALRLYVKKNGPRVFCILSPPGSGKTTVAAAMSAKVGRTTVARTGNYKPKYGPRETYTYYDGVQLLLSVQNVAVDNMGAALKKMDYGEGEVYNMKSARKLNPSEPAAYDFFDLMEEQELSEWINGSIQVPEAARRRMREENRRRAREKGREGRKPMTELEACITHYRRKFERTVEPKIILSTVEMVLYKMYTESKLVNHLRRVRRVIIDEASLLTEAALFCIIRRFPEARIVLIGDDKQLPPFMFDEKILGQELAGRPGLSVAMKTGKVPVVELNEVYRAPKSLVAPYNRLAYGGRLVSRKAEGERPLSSIGLIRPGKPQLLLIDVDGKHKRNKSTMSLSNEKEVQALQRLLKKFPGGWKKKIMIICLYKDQQKRLESVLSKDDYTILTVDSAQGKEKPIVILMTTRTTVPGQGSFFDSPERCNVAVSRQQEALIVLGKDDFLIAKKPWRTVVNWDDFTVIKAANIV >PPA13639 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:45516:49496:1 gene:PPA13639 transcript:PPA13639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-xpo-2 MHIAALLLSECSSRGAPTDAYLAFLPHLLTEALWAKTANVPAALVVIESFLRVHPQLVMAQHGVTLMGHYQRLIALKSLDHYGFALANAMLPHVDHITGMANPLHVLLNNMFRRVQQSKTPKFAKAFVVFFFRFCVVMGAPAVANVLEAIQAGMFRMIIEKRYDNLE >PPA13712 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig26:763758:764286:-1 gene:PPA13712 transcript:PPA13712 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTMKAHADNYAIWLRTDPEIDKLKISGLEYKLIPGKALPAPPPSVADMT >PPA13747 pep:known supercontig:P_pacificus-5.0:Ppa_Contig26:1052635:1054773:1 gene:PPA13747 transcript:PPA13747 gene_biotype:protein_coding transcript_biotype:protein_coding MISTLLPFKLDETYTITLVVLGVFACALFLLSLIGIASLLSNNSFFLSLNILCQLGLIAAQFVVLMFTLSIRAKIHSSLIETWDDKDTKCVFKTYPTEEMMTGCSPLARFRYSEKLWYFWLAFNFLLQARNVALLICSTIVCERLSYQELRKDYENRVKEPEDEDED >PPA13812 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig260:27620:29077:-1 gene:PPA13812 transcript:PPA13812 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQQPKIVYLPCALALYMERKQSCYRIMLWLAIVDVVALAKANILIALATCYALYFAFFTPPVLTNSELNAMFYDPFIGDIPSEVGAMSSEEGRKRISTNGPIFIQASLICIFNVAASLETLLGRNKKIRQSSNKNTVTSPIQWSLSSPRKF >PPA13806 pep:known supercontig:P_pacificus-5.0:Ppa_Contig260:13037:14075:-1 gene:PPA13806 transcript:PPA13806 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKLTSSDGTTFDVSAEAVKASTMICDLLEACGDSATVIPLPNVTAPTLKKVIEFCEFHKDDPEEEKKEEEEQKEKRTDDLSEWDLKFVNAVEYSTLFDLVQAANYLDIKKLLDVTCKTVANMMKGKTTEVLRQQFGIESDFSPEEEEQIKRENAWCED >PPA13809 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig260:17397:19516:-1 gene:PPA13809 transcript:PPA13809 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNIACMVNGAGLAMATMDAIKLNGGEPANFLDVGGSVSDEQIMKAFEIITQDANVKCVLVNVFCGILNCATFARGAISAFKNATIPVVVRLEGTNVEEGRRLLRESGLSVISADGLDDAAAKAVAAAARQ >PPA13808 pep:known supercontig:P_pacificus-5.0:Ppa_Contig260:16051:17040:1 gene:PPA13808 transcript:PPA13808 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLILLIALVGVATVFGCSSSQQSGGCGCGGGGGGGGGGCGCNYVPANTALLQARGVLKLDGDSPVDEDFGEIFGLNIWKSPKIPSNVSIAQAHDPNFLFKSCCAGRGLSAACSARCNYDVYNQDLLQKMLIGADECPLDSLPEMHFCAAQGRDHSTCCRAQGVDSTVAGDKCLVFCDQVPDKFTPIDYTYAACFGKFDEMKMCFRSTVASKAKAYFHNY >PPA13819 pep:known supercontig:P_pacificus-5.0:Ppa_Contig260:56164:58172:1 gene:PPA13819 transcript:PPA13819 gene_biotype:protein_coding transcript_biotype:protein_coding MADAMVKTEMNDNLPDGNDLPIEMLPATFHHEIQYPNPYKDVLKMKKSMSFPCSPTDSCSSRISDPSSYRSGAKPTHCIMCYKKAEVLHYGVPSCATCKTFFRRSLMSEREIHCKNKPECSKPPEYWSDPAIARRLGQCSWCRLARFVSLGMNPCAVTPRDGRELLEYPNVAKLFETSRDRQGYSVLQTTEAYRAMAIAPSEFNPKQRNRFNWGLTDYIRNTLEMDREIQEMIWAKSESVKHQPSVYIKEEIDDDSEVNEAPVKGSDRRRTLPLFALQKDWTFVDTICAIEYVKYFHATFELGFDANDQIAVLQGSVIQLSLLEMTHFSWTAGFDRLTFPDGTRPSSEQYK >PPA13803 pep:known supercontig:P_pacificus-5.0:Ppa_Contig260:6971:7490:1 gene:PPA13803 transcript:PPA13803 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKTFAETKWICPVYCAAFVVPFALTHINFLYRFWSIKKTMESSTRKLSK >PPA13814 pep:known supercontig:P_pacificus-5.0:Ppa_Contig260:36467:38530:-1 gene:PPA13814 transcript:PPA13814 gene_biotype:protein_coding transcript_biotype:protein_coding MYAQVGTLLGVSVFMIVSSVFKIPVSATHAIVGATLAASLYLKGNNGIQWLEIGGIVLSWFISPLLAGFVSSVFYLIVKFSVLMRRHTFEAALNLCPIFMAFTLTVNLFACIFDGSKYYCYPRFSPDLGFDKLPWWAALLISLGVGCGVGLLMHFVLGKWLRGRAIRLYERDLKKKAKETRDVRDAEESSESSTETPSGSTDRVVDVSFTVINGERIQLPAGEIEPPPGPWYKYIRRNVTEDPLAAKVFNAVQMVSASLLSFSLGANDTANTVGPLVAIWLTYKTGWALTDASTRADMQYLLLFGAAAMIVGFVTLGHRTMKLIAKEITTEVSAPSGFTVELGTAFTVLFCAKLGIPVSSTHCAVGAVLFVGMTKSTHEGVDWKVFGKIVVVWLLCFPISALISFIMTVILYNFV >PPA13817 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig260:48223:49897:1 gene:PPA13817 transcript:PPA13817 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVLQAVALVTIVTISGARILDRSGEDSVELTDHSVTNDHVEFECKTRNFQIYELRHRAHNCRLPRGAKLVGDCSNRREDTIKVRISLTTDEVRYFMGKDAQDVVDCSSYRVTTIAIDDEDYEDSEEDDEWERLDWRRRELEEKAREEKVSEAGLFNRFRVPLPILHSRINDLEETKSRPLPSDVLLLPVTIDEQIEREYSMAKRFRPLDPRDIIIEGGESPPSPSFFPSSPSPF >PPA13815 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig260:39218:39477:-1 gene:PPA13815 transcript:PPA13815 gene_biotype:protein_coding transcript_biotype:protein_coding MTILTDLVDTPDWELNFTWLIWVAFVFAFAVSYGMGANDACNDWGTSVGAGTVKLWQVGNDPIVRLNKGQ >PPA13804 pep:known supercontig:P_pacificus-5.0:Ppa_Contig260:8346:9500:1 gene:PPA13804 transcript:PPA13804 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIIPCEKTLVYISYGPCIFFNSDLCYYLYSILLSMNVASFVSVLVAMGARYWILRFGFISKRRIITTLCLAALTPALVFLNYESAVVITGNTNSLAPPMLISQGIVICGPLPLFIAIWIYRSMILRGLYEKTKSMSIHTRALHKQFVSALTLQAVLPIFPLLGVGVSLLGILGVASDPALEIAPIILCELPAFISPLIVILHIRYYSDAVRLLFQRERKRRVIGVSARSPTTARSFAPF >PPA13810 pep:known supercontig:P_pacificus-5.0:Ppa_Contig260:20739:21564:-1 gene:PPA13810 transcript:PPA13810 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEQARRIARNLEFKGDLEEKAAVEIRRLYELFLKVDATQVEINPFVETDDGRVFCVDAKMNFDDNAAFRQKEIFEMEDTSDKVGGLMFLLDVLDM >PPA13816 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig260:44792:46769:-1 gene:PPA13816 transcript:PPA13816 gene_biotype:protein_coding transcript_biotype:protein_coding MIAYFDFVTYAEHRFVARDQMFVMVSTGIVNDARFVARDRMFVMVSTGIVNDATLIPFFALYVSAGAFMILPLFAIDAVWVANLAPLLISFFLPLDALVVLITMTDYRREVVRMIWRSKPEVSQGVFLVSHMPTKLHFERSTNIDLN >PPA13805 pep:known supercontig:P_pacificus-5.0:Ppa_Contig260:10034:12944:-1 gene:PPA13805 transcript:PPA13805 gene_biotype:protein_coding transcript_biotype:protein_coding MLKISVLVKLLRIRYAQHNCPPAPHSSLVDISQEKENEIEDINDDGNSTSNQDHSPPLSHSSTESDSRQEDNDVNAENDDGNPSYLARFSTWLFHLIPSQRDIKSFIKESPKFVISFIRDSPRNTISFFRESHREAKLFIKEGSTWGWIDQLDPIDKYPIDWEDWELPRIPYPKMVLFYETKKIKLSPEPPTVDNTKELVPIKPKSSIHDVLALLAKVDETLGVFAKPTPLEESEFREAVLRECKSRWQSALAATIVDEAVVAAAAALESVPVAVPVEDPTEMEDAAAAVVESVVSTVVDQEPTVVDDTTAAGDATELLSTAPAGATEETATDAMLEPVLATVTAQDTMAVEETIDEPTEEQSMDGPLVVDETTAAAALESAVTAVADQNSTTIGETSSHMSEMLSFIESLPVRDERRAAEDAAEVLTAPVEGPIEETAIAPMEEDAIEIAPDEEAAPVELVAAPPPMNYRRALSIVCHQYADKIYATAEGVMERELQYLKLDNCKMDGDGDGEHCEQRVHAVTCNGGLCRIRGNAGNGEN >PPA13813 pep:known supercontig:P_pacificus-5.0:Ppa_Contig260:32216:33933:-1 gene:PPA13813 transcript:PPA13813 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAPTTGEEVSEVPQWLPIWEWPSMPEYNCSRVRPVGAEWTESKGHPHPYLGYWSVFWGVTCEIFYVPCIYALFMERKHACYRIMLWLAIIDIIAILCNSIGFGLFMIEGTVFCSRPWSVWLVGCVGLGMWCGACVGCLLLVTYRIFELMNMSKRFESRTNILIVFASCYALYFAFLTPPILMNSEYNAMFYDPFIGDVPSQVYVNWPHTANNLLIVMTSASLYIFLIVVLLTKQGAMSSEAGRARMSANAPIFVQASLICVFNVAASLEYIYMNFFPTPQILIELGHLSWQISHGVPPFIYLLLNKTVKRHTKYMFGRKKKISPSKISLTTTFSQWRTRNREVWQEFIHQY >PPA13801 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig260:2179:2625:1 gene:PPA13801 transcript:PPA13801 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGKAVANVEQKIIDTTVLEVAIGELGDVCIRSPTVMMGYLNRPEETAETVDKEGWLHTGDIGYINDEGRTYIVDRLKELIKVKGYQVAPRCIGRSSSLAFTH >PPA13818 pep:known supercontig:P_pacificus-5.0:Ppa_Contig260:51842:54564:1 gene:PPA13818 transcript:PPA13818 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTPIAQNKDLLEKWRSTLNNEVLKSPCANIPIPEETLIARILNSLEHHSSKYPGKAAVIEAANEDRSLTYQQALSFAAFLASRGFRIGDRLTAALPNSIEWPVMHVGTWTAGGAVVGSNETVYQLRDSSSSFVVVSEQLLDTFIEAAKECSTVKTIICVRSSDRPLPDGVIDFEETIKIQPLKELPPVTLDTVCMIYYSSGTTGQPKGIIHTHRTFHCAVEMLRSHWLHEIYPVLGADEVDLYKESQIVNSACYHILGFAQLNWYLITGSPMILVKAFEGKLYLDLVEKYKPRYLIVAPPIFTYLAKDAKGKMASLSSVQIVDIDSLPPAKQGQRGEVCVRGAAQTIGYLNKTEATKELIDDDGWIHTGDIGYIDDRGLLYVVDRLKELIKVNYMNQSLQVPPAELEGILISHHRIRDAAIVGIPDASHGELVRAFVVKSDENLTEKEVENLVADKLAEFKRITGGVVFVDAIPRSPAGKILRRVLLMLRDNTKICMQQQK >PPA13802 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig260:4945:6250:-1 gene:PPA13802 transcript:PPA13802 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFCNDSKHPDEMDIRTQDYVAGFLMITLGLIGFSANALILNVLYKSGYPYGPYLLGLKFGHLTTLTYKSVVYVQLAIAFNRFVATFFTFKYPNICHRKGTFILLGIVWFITGLHCIPEFLPGCGYTFFYENLAWNFIDTPCSDLLGGPILYYPSYTVFLGSILLNLVLFIKLSYHTLVHSKEMGGQSRERHKKNVHCFIQSFLQELLYIFEMAFLQIMKPKDRFMEFVCYSLLWECTHVWDGVIVVIYRPDMRDRLPTCWGPKRKKTIVLQVSVALSNAKSSLNPILEH >PPA13811 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig260:23923:26318:-1 gene:PPA13811 transcript:PPA13811 gene_biotype:protein_coding transcript_biotype:protein_coding MFGISASVMVICSAFIIEALRSMKVSTAKKVQQRMLFRALLIQTLIPCIFSYFPLCIIWMFPLFTGIALGAFGNILTMTSTVFPSVDAIIIIIFIPAYRHSVKMWILNKIRPSDLTQLRLLNLQEYQSKGILDRNGCSVQKFVTATSLKEAEEKLKDFSVYEYVVKAQILAGGRGKGRFIGGPKDLGGVHISYKPEEALSAAKEMIGRRLVTKQTPKEGVLVEKVMIAEGVTIKRETYLAVLMCRETNGPVVCTSGIDKSC >PPA13807 pep:known supercontig:P_pacificus-5.0:Ppa_Contig260:14513:15538:-1 gene:PPA13807 transcript:PPA13807 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLTLLLALVGIATVFGCSSQKSGGCGCGGGGGGGGGGCGCKLNSSLPFYYQSSLLQARGVLKLDGDSPVDEDFGEIFGLDMWKSPKIPSNVSIAQAHDPNFLFKSCCAGRGLSAACSARCNYDVYNQDLLQKMLIGADECPLDSLPEMHFCAAQGRDHSTCCRAQGVDATVAGDKCLVFCDQVPDKLTPIDYTYAACFGKFDEMKMCFRSTVASKAKAYFQNY >PPA13821 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2609:38:828:1 gene:PPA13821 transcript:PPA13821 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPFTPASIGSPDSSEGITIWNTNPILDALLLVELKANPKSSVRDIEKTIHIPKTTVARILHDAGKTPKLPQVIPHDLTTAQLKKRVDVCQGLLHRRSNFNWVSHIVAMDEKWITYDNPERKLQWVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVRVPPKLLSEGKILMLMDNARPHHAKITQKKMDELEMEWLPHPPYSPDLSPCDYHCFRSLSNFCRGKKFKNRDAL >PPA13831 pep:known supercontig:P_pacificus-5.0:Ppa_Contig261:42880:44410:1 gene:PPA13831 transcript:PPA13831 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPLHINIVIITMVELFCISFTARLVMILFEAGFLFVPVDSMWILQSFVVFTNMAMPA >PPA13826 pep:known supercontig:P_pacificus-5.0:Ppa_Contig261:11848:15076:-1 gene:PPA13826 transcript:PPA13826 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYNEEIYEAEGLNRTHRTLPAIMTVMSAKPFTLTQPKYAMSGAKQTASVTMTGFDATNTGGVCKTFYHETFTSPFPGYTFEVNGPIITVAWDNHGGKVPQSEMVATLGISNIQQVAASGWIGSPGYHGCLDKQPYRSSLYDFHSDFHADIISKDELYIIYMTIETNANLLHQVHFTDPTTFIKYPISNSPADNPITLYMRIDDLAIDWKPTPDTFFLGRWNSSLTPERI >PPA13825 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig261:10623:11446:-1 gene:PPA13825 transcript:PPA13825 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFRKNNERRELIDVKQLRSVRACGVCREADPAQRVWATACDHAVCRDCAYGKDVCPLCEWGAFTGCGHTVCGACCLQLYLCKGSCMFHLNYEKTIMNTYQY >PPA13832 pep:known supercontig:P_pacificus-5.0:Ppa_Contig261:46655:48281:-1 gene:PPA13832 transcript:PPA13832 gene_biotype:protein_coding transcript_biotype:protein_coding MASHFESIPPDVMWMLLDHAPEYACALRLVGVYHEKTALCLDVLTMLKKIKFKSLTIEVHTISEQIAQRLLYPLIGVELESISLTASMVKINDPDFEEERALWAERLGSNNSENVL >PPA13822 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig261:308:3828:1 gene:PPA13822 transcript:PPA13822 gene_biotype:protein_coding transcript_biotype:protein_coding NGEPVNREPPPQLVAGALPPNVESVIVSCPQLKYVTGSLVLENANILVTDEESGLFLFTLDSKVVRHVTNPAWKRAASPVIFKDRLKSYILILMDCKDFLNILMDCKVSEDGAWVRHIVRFTENLDYIEKVECPKWIREKTLISDRLAVNRYENIYYCANGELFSGLYELAPTGKWTELLYRGCNSAALDSPASAFVFELKLQAALAYMFNAGSMREIACPVDSRTGVERILSRPLAATEAGLRQCSPALFSSFSGTTSPGVSSRELRNGRLMVSLRGSWPHRGLGPIQGRIPSSPSPY >PPA13828 pep:known supercontig:P_pacificus-5.0:Ppa_Contig261:30982:31483:1 gene:PPA13828 transcript:PPA13828 gene_biotype:protein_coding transcript_biotype:protein_coding MELEKAVKEMLTKMDDTEVDNIKNYCEISSEKVPIPSTQRSLIVMCQVLGYKPLIVQAKPKRSISQYLQRSSNWLRPSRY >PPA13833 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig261:49832:51300:-1 gene:PPA13833 transcript:PPA13833 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDATFYTFEFVSGKDPDSVLQLMKDMFGSRIGKGVRIRCNRSTPLHYVAKKHLLEPIRKHRVHHLALTVEKVTVADPVALLKELSTVVRSLRIHQKPLSGFQADPGRSYLFGVSGLDWAPIIVEMFSGKLDKMWIMNMAYPNFIPPQYYQHNGLIDDLINLGKPIWFDAQKGVRFATDPPPAQENGDYVFGGFF >PPA13829 pep:known supercontig:P_pacificus-5.0:Ppa_Contig261:34440:35215:1 gene:PPA13829 transcript:PPA13829 gene_biotype:protein_coding transcript_biotype:protein_coding MISPPLATFLEPGPGNSTRWIHTVVRIRYLTGLEGGYYAIVGAEQYLVGKLSGFVALNTSMYITLTE >PPA13823 pep:known supercontig:P_pacificus-5.0:Ppa_Contig261:4476:9446:1 gene:PPA13823 transcript:PPA13823 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFKHNLEGEAGGGREEGETGLQNREKQTILSTPVLESTGQTRARGAKRVGEKRLQLLNHKTQSESFIGMLAIQSESFIDMLAFAIIGPIQQILIVEDVDDAVSHDPLSSSLHSLVHSSMCSLLRAPLHLSLHASAHPSARTDAYATTVLCAGRRDHVLLFCRRDHVLLCSIRESVCVEARRMAICERPGALAKDESGRLFVMNRSQAAVQIVDTRVWAACRNMALVDKFVPHFSASFGMLVIPQKKAVKVHKYAFEWDD >PPA13827 pep:known supercontig:P_pacificus-5.0:Ppa_Contig261:16331:17601:-1 gene:PPA13827 transcript:PPA13827 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRRKDNEARVDFEHSSILDEYDFQGQASVTINDLCASTCRIYASITPESKKLAGNILIQQQKGFISVADVAARVDPATGQKLYLEMSNTRTLTVANTNAQNAAGPLVLYIGCRKYAM >PPA13830 pep:known supercontig:P_pacificus-5.0:Ppa_Contig261:37544:42063:1 gene:PPA13830 transcript:PPA13830 gene_biotype:protein_coding transcript_biotype:protein_coding MKNESDRPVHENLIHIALQQLAIYEVAIVARLAIILYESGLVGNEGLAFEPVPTLSILRLMHYTHVVFFNIVVYLERYLATRYVSDYERRRRLTIPIVINAVLMVVSIGYGFKVVYAQSNAYFWTGVSLIPNSLAVGGFCAILRANEQRLNRLNDHLSRQYYCEYTLSLKLQLKENIWSIREMRKSLLLVFTFFALAALLISLPPILLQANETRPMLEVFVAVGNLFMATAGLVIGVGMCAINERAREILMPGWIHRRFYRKQPNPHVYEVQELRHVVRDKSREKFPLNRAERALVTTVNWRTVAPLSTSISRSFSLCGTTR >PPA13824 pep:known supercontig:P_pacificus-5.0:Ppa_Contig261:9998:10351:-1 gene:PPA13824 transcript:PPA13824 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPISDDPRRPGLVRRIAQFRQRNEENRGPSWHRPSRKARGAEGDPKKDGMGLI >PPA13836 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2619:204:1027:1 gene:PPA13836 transcript:PPA13836 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCVSCRKFSPNKKDFHEFTSKNHLRPLWLNALTPDDTAKVELDFQLSTASGRQYVCVAHFSPDSFIEGSRVLKSNATPMSMQVSTLRISPTYHDDTVPHSPPPTPVLPLLSTPVARPLSSRPHPSFRLASAVVPPCCRCCCKKETAADVEKDPNWTPPSPTIQNLPEAEYFLVSKASLLELLTGCNSCSSGKNSLSFTEDAHALTCTRNARVAGTHPNGRTALCSRP >PPA13843 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig262:42312:43822:-1 gene:PPA13843 transcript:PPA13843 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPSKSKVYADVNPQKPREYWDYEAHMIDWGDIDGYQLVRKLGRGKYSEVFEGVNLKADSKCVVKILKPVKKKKIKREIKILENLRGGTNIITLHDVVKDPISRTPALIFEYVNNTDFKQLYQTLTDMDIRYYLFELLRALDYCHSMGIMHRDVKPHNVMIDHEKRELRLIDWGLAEFYHPKQDYNVRVASRYFKFAWSNRTR >PPA13837 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig262:11362:14228:1 gene:PPA13837 transcript:PPA13837 gene_biotype:protein_coding transcript_biotype:protein_coding MTELEGDDKNKEERESGQMESSEEEGEARDDSNEDEKREDVRDRKRKHSVGHEDDAKKKKKKKKDKKHKKDKKKKEKEKEEKKMKEASRQDKDVVESRQDRSEERKGKDEHEERSCTMYHNDNRRIPTNSRERRRDRTRSPRQDEGRDARDGREGREGKDTREGRADRRSPFRTTRR >PPA13844 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig262:48801:49980:-1 gene:PPA13844 transcript:PPA13844 gene_biotype:protein_coding transcript_biotype:protein_coding MLCIRPRVNDPICKQEVDDVPLSTVWRTFSAMPFDKNASIDAGRSRIKDLIKEDQGH >PPA13839 pep:known supercontig:P_pacificus-5.0:Ppa_Contig262:26725:29288:-1 gene:PPA13839 transcript:PPA13839 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSQNGMATSARNMAASGAEVSKILEERILGQETNINLEETKMPIVSSKSSPDKITHTGQGWDEKDWRLQRFDTATKVVNPNVAANLIAEKPPKDCGHERVIYCDGGHAALGHPKVFINLDKPGLHACGYCGNRFYNSHITKSPEEMAINHLNC >PPA13841 pep:known supercontig:P_pacificus-5.0:Ppa_Contig262:34721:36716:-1 gene:PPA13841 transcript:PPA13841 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGQERGMRSGTLPVPLCVGMGEAARIAEREMDMDKKHIDSLSHRLIQGITEKMSDVVRNGDGIQSYPGCVNLSFAYVEGESLLMALKHANKSER >PPA13842 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig262:38354:39578:-1 gene:PPA13842 transcript:PPA13842 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRISLRFASSKAWPIYLDMQATSPMADPREIIFTSGATESNNIALKGVAKFQKSNGKNHIITVATIPLDVNKMNVDLMSISGHKMYGPKEISNKKTQICGKIN >PPA13845 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig262:50336:52289:1 gene:PPA13845 transcript:PPA13845 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATERMAEVLVAMQQMMAAQQAELKALRDQQAQSATSSGDDPTKCRGPSVDSLEKQIRLFNYNADEGWTYEAWWTRHEGLFNSVKVDDKEKNLMLLRHVDDSVDRQFRDHIRPKKLEEMSFSEVQGVMTKLFGDKKTIFEKRLEMFNLKMSKRICNESVDLPRHTGAMFHIINGMKREENPTLEKLLEIADSFKEAQLDSQTVTGQNRSQVNAVKKHNGKGKDKSQRDQSSSTDSENDECGRCGRDHDDGRCPFVSAICHKCKETGHIRVKCPGKNKGAKPKFNKIMSERSGNSSEFDVSMKVNGMKVEMSVDSGSELTFISKHTWKLVGSPRARCTNVTPVCPNGSIFLVTGKCDVHLEMNGVITFGEVYITEDANFFFTLIPKRAEAQLNHSIGSIEVTEKDERLHSGTGGVKDHSRNYSIAIEGTEKVKDPFSGNGTEVNEVFHSGTGLVTNESRNYSITIEGTAMVKDPLTGNDDLSSGIAILERSGSSPRRSSLKEQVAVAYCALKKDREILTSESMAESLLAYELKDRVLTGSDSGLLGQDQMKVKKEHGWPTSTSCDALRRTDNRSPSCRDVPAVSGQHRDEPVDYNSSPTETCPAMMPIER >PPA13838 pep:known supercontig:P_pacificus-5.0:Ppa_Contig262:21208:26616:1 gene:PPA13838 transcript:PPA13838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-prpf-4 MFAENVEIKDMNPATALLGAMDGTNASLKDNWDDSEGYYRVRVGELLDGRYRVFGFTGAGVFGNVVRCADIERSTTVAIKIIRNNEIMRKTGVRELEILRKLNEADKEDKMHCLQLYCTFNHHNHLCLLFTEVKGAYPNKLIRKATFKDQHFDSNCNFLFHEIDKVTQRDKVTVLNNIRPTRSLDDELVGNQRLDKDSMALLKAFRNLLEQMLTLDPSKRITVGDALKHPFITGL >PPA13840 pep:known supercontig:P_pacificus-5.0:Ppa_Contig262:30990:31967:1 gene:PPA13840 transcript:PPA13840 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRVERKEERDTSRLEKTSELPIECPHCSSRYLNQPSLRQHIRHKHPDHNSMPRLNVSCPMDNCSVAGLRSREQLADHCRIDHSEAGDFELILRSFTSIAEFEWKHQIETLSSAVFTKDINQETRHYFWCSRGNKTLLSINSTRRIVEIVIKEVVG >PPA13853 pep:known supercontig:P_pacificus-5.0:Ppa_Contig263:32075:39117:-1 gene:PPA13853 transcript:PPA13853 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTEDLLAKNSELEKQIERMEKEHEETMTELFHNNDELTKRVAELEQRNKELFERCKLLNKNRSTTSKHECEMETIQQKFTSFRLEQDKKEKSSIDKINSFRDRNTQLIKEKNQLEGRLLHTEDRLAEVRSQCTRLSAELYDSKETNIQLTRDNNNLRSIKGRIIEERDKFKDHKKRLESELTQSQQQIGLTRNVAALLVATKKELDKSREDLENAKKDLETSKNDHETAKKNLEREVKDEKARSSTIAQEFTRMKSEKYSDQDYCSCSICCDVYGVVDETIKNIPKARCETCEKVFDASSEETKNENEENNLNESLNATVEDVLAKNDGLVQAMKRMEMEHEETMKELLESNGDLSRRVGELELRNTELFERCKRFNKNRTTNVEHNKEKRMLEQQIVEIKNMYDLKEKSMIDQMNELRSRNTEDRTKLEQTTYELKSNAEEPQIAVAESKAAKAKDEIQRIAAIYRNEIIHMKAAKNSSEVSPGNLSDHCACNICCEEYDSVLYVPRMLRCGHTFCGRCCNELRNNDTIKCPTCKAVCEYAMSEQPPVNYYAIGVSEGTLKSVQKAKCETCDKVKSEQTIKENEETEIAAETMEE >PPA13847 pep:known supercontig:P_pacificus-5.0:Ppa_Contig263:14303:15119:1 gene:PPA13847 transcript:PPA13847 gene_biotype:protein_coding transcript_biotype:protein_coding MLCEPTGGCAPLGLISQAELDAKWAPGTKTYLSPTITSTKAICVMGTGTFTVQIAGTTDIFAPYSVECEAGNTDWSVFGPGWNMNYHPHVNVICDV >PPA13852 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig263:30582:31411:-1 gene:PPA13852 transcript:PPA13852 gene_biotype:protein_coding transcript_biotype:protein_coding MDASNDELLAKNDELITKELFYNNEDLTKRVNELEERNKELFERCRVLNKNRSLKEDHEKEKQKIMQEMQEMRNQHEKKESSSAGQIRYLEYRCKQFEAKNHQLQVDHSNIAALSVANSNLRSATRDLNMKMAALQVELNMVTSFL >PPA13850 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig263:24146:27107:-1 gene:PPA13850 transcript:PPA13850 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLDKNDDLANQIKRMERDHEETVKELFESNGDLTKRVYDLEQRNNELFERCKLLNKNRFKNVELDSEKKRLEKEILEIKKMHELKEKLMIAQMNELRSRNSELLDDKNKLEGHIYELRSKKELFQLVAAESDAAKTAKDDTEILRIAATYRDQINRMKTSNSNGNSSELSDHCFCSICAEAYDSVLYAPRMLRCGHTFCGRCCDKLRTEDTIKCPTCKCVGVYASGVQPSKNYFAISVSEETLKPFSKANCETCHKLSFDQRRKEREENKMETEKIEE >PPA13849 pep:known supercontig:P_pacificus-5.0:Ppa_Contig263:21477:23455:-1 gene:PPA13849 transcript:PPA13849 gene_biotype:protein_coding transcript_biotype:protein_coding MADTIEDLLVKNDALTNEMRLMEREHEETTKELFYRNEVLTNRVKDLEERNKELFERCSALNKKRSENEKHEMEKRQLVQEMDIMRTQNGVKEWSSAAKIRDLESQINKLIGEKTGLETMNKQLRVIHNNVSSLTAANDLLRGALGEANSKIAELKTELRTQRSSHPLTVELHDQIASLKSENEALNISQEETERKADLMTAASPNIAAAASVAPIMTQCCAFRACLVADTHSAGVALKKYDRRGDQTSKEITGTHDSENSVNEDAKEDDSSMITALVVPKMLWL >PPA13854 pep:known supercontig:P_pacificus-5.0:Ppa_Contig263:52063:54490:1 gene:PPA13854 transcript:PPA13854 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQIEKDFALCGLTIRPAVTALTIVQIVASFLLGIAYRLFLTDLGTIISIVMCIHIFCGLLATVFLLFVTLGRKLGSFYEVILHAHLLGILFMGLTSLFCIMYLPLSFLQQAHSLGEGLHWLALSLGALGMFMLQFVQKNANEQMLTHIEHSFI >PPA13846 pep:known supercontig:P_pacificus-5.0:Ppa_Contig263:9017:13910:-1 gene:PPA13846 transcript:PPA13846 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVAGTYTTQCLDDMDVVTCNSGKINASLSATPGDTALFDKATCVHGMFGTSCTGAGSGYFISAAAPFFNCPDVVPPTTTPLITTTTPTVPTVPTTTPKAPVILPCACAYTDAGAALNLAAPVSFCADKVQKLQCDGKISATTGGDFAEYDSVSCTNGLWSGTGCDGVTQPLNIASVTVKCASEEHTVMAAKYIECSRIYVLKYAFDQIGHATPANTPIDKIECRDYGKVQPSCAVPMLKNAWYQDGKLRCIKGTYLKSVGIAQADNARIFVNASDWAMTDATCGESGWKVTGTPHTAVELIMFLCEETPASMGTCGELDIPRRNVQHTTLGANYFCWDALMTQGALRLEAITPTGEVYSGFRFQCVPKSSGPSILHSCARDGRSTLTPALFHPQFGESSSVSLGIPTSIAHLDHQEEDPGRILIVSCDLRSRKAPFKKTTSGPGEYRFTGIDPDTGTFTVTIPPGSKLSCEPFQTTVLPPFPTSLPLIPVGVGQIERYPLHSPYIETNNTFYQVISSVELMPSGKFNQTIISISCEDMGSKEYACTDPVDLGGIRVGNQYECMKGFYLMTAYWIDAAGARQSHDASTTDSKGLQCKRDGWAVEGTAFSALNIINVHCYPAHALGQAEGNCPENIDTEHYKLQFDSFRKYFTCYAGESLAYTLGGVRTYERTQRPVRENGSGHSWTERGRRPFLSEQR >PPA13848 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig263:19487:20682:-1 gene:PPA13848 transcript:PPA13848 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTIEELLAKNEALCVDMKRMEREHEETMTELLQNNDELAKQVHQLEQRNNELFNRFINQAQEKENQKLEQEMKKMKTLNCTFLGCFGAGTHMVEEAMTLQSKFNNCETCSSFTV >PPA13851 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig263:28960:30085:-1 gene:PPA13851 transcript:PPA13851 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEQLKLELKKTQKKLKASKLDTEYEIRRTSAIREVFMSIKEGMKAEKKCGQNDCCGNELIEYCCCNICCSDYDSVLFIPRTLRCGHTFCDVCIGKLEKHGRSGDENSIQITRPTFPERFPRIKAVDASDSRKRKFNEFINPR >PPA13855 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2635:3891:4644:1 gene:PPA13855 transcript:PPA13855 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVPRGAWVGREYRYGVGHHKNINYYGNSSLQRVQQGQQPHHQTRPYNGGYQSKTWERMGGGGSKRVFGDRKQSRSTEVLAEPALPPRVLTSQPNAAAAAAAGTPFDAALTTATTTLSYKRSDSAVSSCSTTVM >PPA13856 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2639:893:1712:1 gene:PPA13856 transcript:PPA13856 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHKMTSRKGIDGWLLQATKDPLIDKMLVIPEAAATLFHNLGEVFPSRKKLIGMVEHQMFDGNSGTMMVVLSNGNSLEEKLDELKEFALQLKNTEPLPRIPHARFLIEASPLTLRLVTDSHLQDEIEKNAM >PPA13860 pep:known supercontig:P_pacificus-5.0:Ppa_Contig264:41723:43942:1 gene:PPA13860 transcript:PPA13860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ptr-8 MLIPCTPAHRFAQVISYASSNITLRDDATGKDLSFYEFCHSFCLANEPIRQFAGGMKVFEQGLSTQRIKLNYPTSTVLGTSFSLQPNFFGIEFYGDDDKKDESADDTQKLLGGDSTNATVDRRQITNVKGVKMIAALLRAEQREGWGDQKVKEFEMKVVNHFQK >PPA13862 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig264:54434:55958:1 gene:PPA13862 transcript:PPA13862 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVCFLFLNNWFTVGIASLSVLSICCGILGIMSWLGVDLDPITMAAMIISIGFSVDIPAHVSYHYYTATARGGSSSPEDRLTQCLSSVAFPAVQAATSTILCICSLLFIDLYMAHKELTIAIIE >PPA13859 pep:known supercontig:P_pacificus-5.0:Ppa_Contig264:21553:26023:1 gene:PPA13859 transcript:PPA13859 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGSPPPYYPELPKDQSQGGSPLPSPPPYSDPTGISLIVKAADFAARRHRFQKRKDHRQTPYINHPVGVAYILTTEGRVTDPAVLAAAYLHDTVEDTKTTIEEIEAEFGKEVASIVAECTDDKTLHRDVRKALQIERAPRNSMQAKLVKLADKLYNLRDLERGTPVGWDKRRVKEYFKWSKEVIAGCKGTNDALEAALDDVINRNL >PPA13861 pep:known supercontig:P_pacificus-5.0:Ppa_Contig264:46305:52856:1 gene:PPA13861 transcript:PPA13861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ptr-23 MCCVSSFTVMLRAFYMHQENYFKIVLAITACITPILATATALSLMFLFGLRFSSILCVVPFLVLSIGVDSSYLMIHEWQRVTKHAHENPIKGDTVGRRMSEVLSEVGPAILISCLTNLFADLVGSFTSSPEITLLCGGNMLSMCVAFVYQMTFYAGLMCIVGEKEIERDDVAVSASVADDAAVTDSVVDNKTKNIHVQIHQKVARNHSLARTPSKFQMHTQPIVSSFMHKYVKIMANTVVSMTVVVIYIIYLALAIWGITRININLSTKKLFAGDSPLNDLEDLRVKYVLPHFSMVTVVVNEPGNFTHPERLRQMNTMVREFESLDGSWGPDGTKYFARDFQTFLSSWEDDYVNLEDEEAPVVLDPTRYNEEDLRYFLRWSEYVFWGGFVNTKNITRDKKEIEILDKFVFTAGYHGKQLGQWIPRGKKLREWRAVVDKYPQFKAEVYHEDGQYLDLIDNMATDTWQTSKRNHWLFLGIR >PPA13857 pep:known supercontig:P_pacificus-5.0:Ppa_Contig264:7695:16749:-1 gene:PPA13857 transcript:PPA13857 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSYGALVRSLKEHRRYRFYKRAFDAARNGFEAGGDKRMDDEIDLGDHTMLLSLGEEEVVEESYAERVPREGELAMRHGSPYLHPYGRMRGWPPTFCHECNVDMPSPSLARPGYSPQYALVQHVNRLHTPVKVNSCVFEPGAFEMWLREVQRCPALRLRRFQLIGEDFYYLCSCDARLPKSKFNHLGYCCTAYVRITDYREVSARRARLVNIEYSFDHSSHELTSGDSPLSPEKLIADLRPDAFLFQMERRKQATAQLVRNRQEMYSMSRKAGIGNPVPLRTMRTSMPARAPPENVFSAARSDSRTAVARHVASKPTGSGRASTMASAAAAAAAALKQPEQQQPLKVLMTRKSNFKDSSVFDAVKQFEQLANIAIRRLQNVKDCAVAEDYTAAMSQFAIGIGRLTNRIVSDKNCATEGSAQAIWRGGDEEGRNGRHPASKRPKEEEVEDIEEEEGERKRQRIGGEEGKAKGEEERQGDGGNEVGEVGFFITRDDITV >PPA13858 pep:known supercontig:P_pacificus-5.0:Ppa_Contig264:18521:20776:-1 gene:PPA13858 transcript:PPA13858 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAAGEPLEQVLEEEIEEETVWDEMDEMQVEHFIDSDKKMRMVYGGQGLQDAVATEEYQEVDVEDYEDAIHIEPVNLPDLISKKWKIGNDAFTLCFSKHGIHQLASHGYLYNLNQADGSPHYTWVCINPECTAQIITSQEIDSMERTNDIHADVCEADEGQLALRIAIYDLRLAAEFTDTSLDELYIRRALKRIKICPTNVNDILHGFIDMSIYCPDIHI >PPA13863 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2641:7:932:1 gene:PPA13863 transcript:PPA13863 gene_biotype:protein_coding transcript_biotype:protein_coding MCAKNLITVTNNVGLDAKDMDDDQTVMTGKCSERTFTCKGKDAKISIMSAGGPIAPVTDGGTGTVLYTVTCNMDGTGWTAGGQTITTVECSATPACKICAKNLITVTNNVGLDAKDMDDDQTVMTGKCSERTFTCKGKDAKISIMTAGGPIAPVTDGGTGTALYTVTCNMDGTGWTTGGQTITTVECSATPECKMCAKNLITVTNNVGLDAKDMDDDQTVMTGKCSERTFTCKGKDAKISIMT >PPA13865 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2645:272:2053:-1 gene:PPA13865 transcript:PPA13865 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYANNPDFDFEKINRASQACGPMVKWCKAQLLYSEMLHKVDPLRNELKRLETDANKKTKQGEEVKERIIKLEQSISAYKEEYAQLIGQAEHIKSDLAAVEEKVMRSTQLLSSLRTEFVRWSASRDSFSAHMETLIGDALLSAAFLSYSGYLDQQLRDVLFNRWTEHAQKAGIRFRSDIARIEYLSTADERIQWNK >PPA13866 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2646:667:2141:-1 gene:PPA13866 transcript:PPA13866 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLMPTGREWVATRMRMELGYATPALLLTHPDGKAILGLQMDDMPLPWLLESGGLAPEEEAKVHEEIRWLRCGGALLGPSVDHDEDGDEDGSGQGEKWDCLVLTAMNEKQK >PPA13867 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2648:109:2079:1 gene:PPA13867 transcript:PPA13867 gene_biotype:protein_coding transcript_biotype:protein_coding EDPTGDNVESLIKKHEDFDKAITNQQEKMNALKQFANQLIANGHYDAGAVARKQDEIQRRWDQLKDALIDKRSKLGESQTLQQFSRDADEIENWIAEKFQVCGVVTGKGRPVEKATEKEIGKRRPPSQIAQEENYRDPTHIQQKHQKQQAFEAELAANSDRIQTLINAGQNLIDASKCQGGEDAVSARLRALNEQWELLVNTTKEKSFRLKEANKQKSFMAAVKDLEFWLGEVEILLRSEDYGKDLASIENLLKKQQLIEADIDAHK >PPA13872 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig265:10909:13517:1 gene:PPA13872 transcript:PPA13872 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSMGHHFYIGTINARTLGPKDKQTEMELALDKIKWDVIAVQEARIVGTRLFLVNAYAPTSSYDDDAYDAFIDQVETALRSAPRGTMPVLVGDFNCRVAREPGNERFVGNSASQSPNSRGRTFTEALVRNKLRAWNTFPKRRHGRTWTWRSNDGVTYHQIDFLAVPPSARVVNCGVVGRFEFNSDHRLVRMCLSLSGKVRQKRCREKLDFDRASFTINASLLASLPTSATDAYCNIKAFTDAAADNCWRKRHTPPWISRATRNLLALRHQLQANSQGPVAYAVASKSARMSLAEDIRKRKEAQARQAALMGRSIVKEILKLQSTKKRLIVPDPASGALSQSATKAAVKDFYEDLYSPAVQIPLAVPPHSLDPFPPFLPDEARHAMSLLKCGHSPGSDGILPEMLYHSRDHLAHSIAHLLNLLVAGDTVPCELSEAVVSLLFKKGDPTNIANFRPISLLTVTLKVMTRCILKRFEAVLEETESATQTGFRRGFSTLDNLHAIKQVAERTSEYGIPIYLAFVDFKKAFDCVEWSACWNSLWKYGAHPTLIHLLRRIYESSTTLIRVNEELVPVTVKRGVRQGDTLSPRLFNVALRSAMDTIDWEEDGIRIDGRNLSHLEYADDVALVAKTRPELERMLRKLMDACRRVGLEVNATKTHLLTSCKTTRAPITIQNLTFNFVDSTTYLGGRISLPLDHTDEIEHRIRLGWLAWSKLSHLLSSRLLPMKTRRRLFESCITSTVLYGSEVWALRSSDKERLSITQRKMERKMLGVALRDRWRNERVREITKLRDWNREALRRKARWALKVRSMQMEQWTRATTFWTPYNRKRPPGKPRARWRDWTELLGTDFAPILI >PPA13869 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig265:806:1441:-1 gene:PPA13869 transcript:PPA13869 gene_biotype:protein_coding transcript_biotype:protein_coding MHSCGIYYYNYGVGVHAYCADSGCISVIQKNGIGYDMTNGKGLLGRAISESQVGTIRAMCQTGSANLRNLGFTKSGNKYLHNLNGSGLKQGWVSTTYMSCGASLPIKRWKSRYTDDMMYGENLEWNTWYKGMVQDGGKVQFYMWY >PPA13873 pep:known supercontig:P_pacificus-5.0:Ppa_Contig265:13565:17226:-1 gene:PPA13873 transcript:PPA13873 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDKKKKKRSTPMTRWSDIFRKTVGPNFLNEARKASSIPAPQPARQPSNWLADILETITVPAPTAPPQSGKESVEAAQFSGGGIDVDWNDNDQMLVRDNVKQTAFLTASTTRTTSAAAAAAGAKDIAVNRSTLAVEEGPRISLPDESHIDEIGLFAVPTTTRNHEVEEGGGAERATGKEMLIRGKEEQDIKGANRTAIDDIGVMAAAGPIGSGPQGGMLLTPEKIAVVKEMGGKEEQALKGAADGTTVQIRKEEFIASRQRMKAGKDVPVIDGKAVLPGKKAEAAVTHTIDFDSTSPRQPWGKPITNEDVVVPAAAAAASPPAAFSPAAAAAHAASANAETTSTTAAAAPIQAAPTQSSLAFTRAGNLTCSGNGLWHDSNFALRQSARVTCARQKSCGTCTAPPPLLAQCDERHDCGGSKIVSVDGENGCVRGVCEDGESFIAVVTPTMLRNRIVQLRAL >PPA13868 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig265:202:604:1 gene:PPA13868 transcript:PPA13868 gene_biotype:protein_coding transcript_biotype:protein_coding VLYPSQVGGACGFYLCNDLLDRGTTGAPLKPIKIANRGECLNMCVNLGKPRQEVPASKCARSSFHLKCPSDPLLLAPFPEAELY >PPA13875 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig265:22561:23099:1 gene:PPA13875 transcript:PPA13875 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPAWWIIATGWVSEKSFLIWGVLVMGALHGLLIASIIINFQMRRHVLVLQNFKNIK >PPA13870 pep:known supercontig:P_pacificus-5.0:Ppa_Contig265:4346:7358:-1 gene:PPA13870 transcript:PPA13870 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMAEPEPNQRCYSLRCLEGSRMLVRDDADTIRTATKIDCSHNASWVLTEEGTGAEIPVTGSEAAVTCEYNPPPCQMCPRLVTTSECPGAAHRPCFPLTQKGGIAEKSCRLNTCEPGDELWVKLPSGWSHPDKLSMLGCAGAHGWIGNDGVTRLPPDAQAVCKRASCDNCVAPTVSAFCPEEHGEKGCDSFAMRRNGTRYDEKTGCTSIICPNEGKIAFHNPATGSYVTTTKQMHCVNGQWLTDVGEPVPPTLHVTCADWRGNRSVCGNGGCTYSLEDPRRVQIAKMRFSEAGGCYELYCESGNILGFNPRYSSWELANQGHFSCSDKGWKRAYGVPISDLTDYKFHIRVKCQ >PPA13874 pep:known supercontig:P_pacificus-5.0:Ppa_Contig265:18689:21283:-1 gene:PPA13874 transcript:PPA13874 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDCLYRTGIPWRSTSSLRCNDGRHQPSTGSTLAASVAAVACAAAGKCTNCVASKADAYCHPDYVCRSDAMKVMETDDGCAVVSCTWGELMVIDTALPTTVPPTTVSSQTPTVLPTPGSSTTVPNTDHALQPFGPQEGSIAQSTVIQASPVAASALPNSVAGGNQTKSIPGGFTLPSPTSGTGSIPANSGTGSGSGAGSPQATAGSGSGSPDVMPKKEEEYYDDSELSTNPPERSTLPTHGTTPTTTTSATVSTTMKAAAALPTTTNAEAATEKAATMTIIATSAVSTAAPQVDLFGRPIASKQRTTENFSEPISLRMNSQLKDLKKTKKKQLKTENRNPCNLPIVVLFRSTSMTLYAPLLDMRALPSVA >PPA13871 pep:known supercontig:P_pacificus-5.0:Ppa_Contig265:8183:10480:-1 gene:PPA13871 transcript:PPA13871 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVIGESIEAVCYRPSTVQEVLATGACLSVGESPKFFYFVIGPIIIFIIDRIMGWRQEYKNLEIISADLLPSDIIHIQFKRPATFKFRSGQWIRISSKNISCPFNEAHAFSMASAPQAPSLELYIKAKKSAGYLGWFKSYI >PPA13877 pep:known supercontig:P_pacificus-5.0:Ppa_Contig265:43596:45871:1 gene:PPA13877 transcript:PPA13877 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIILLEANSCCDSLTLFDGYLRGDVIAKLSGALQNVTQTTATSNVMRVSWQPNGGVNVRGVAMQFRGV >PPA13876 pep:known supercontig:P_pacificus-5.0:Ppa_Contig265:36374:41679:1 gene:PPA13876 transcript:PPA13876 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSYRSIALLVCLGLFWIYVIGAFLVSKINWGWLDHVSDPWISVVMGLGSMVVLFGVLIVAAWGKWARMYSIGALVVMIILAVLGSDHPSRIKWRPVVSGILLQYALALLVLYWPVGQQAIAWAADQLVTFLGYTKVGTTFVFNFIPDPPNICGMEGPFSFTSLPILVYFSALCAVWYYLGLIQWVLRRVSLVLQWVMGTTAAESLNAVASSFMGPTEAAVLMRYALPSMTQSEIVATMAVGFSMISGSLFATYISFGACAPLLLAANVMSAPATLVISKIWNPETQQSRQKNMDEFEFPPCEDASLLQAISTGAYAAVELPLTVSPFRVVLAIIANLIVYLAMIEFLNSALQWLASLVGVEGFTFEVLMGYLFFPLAFIMGSSTQANWDDYIAETLKVAQLIGSKTVLNEFIAYQQMQGMLIRGELHARGQLIAVFALCGYSNPSNIGSVLAQFVAMCPKKSKGIIPAAKAGFYIGSLACFMTACVAGSLIDDDSLSCLPDNPEDSCLNPQDVVDYFNRTMSTVSTSFMNKHRF >PPA13878 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2650:116:1826:-1 gene:PPA13878 transcript:PPA13878 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDANWTPPSPKILNLPKAEYFLVSKASLLLTGCNSCTSGKNDLSFSEDAHALTCARKCTSCGEASKWSNSPVLETSNASSWEKLRKVNVDMVTGSACTAVGTARLNNLLSAVGLNTVSKRTFHRHKNEYLLPAVKEVFTKAQDVIFSRIKEGISKGRKLRVAGDGSFDTRGYSAEWCRYFLVDADTGEALVHVLMNKKETGSSGKLEMPCLKKAIEILAEKIGGLQYIDSVVTDRHPAIFAMMKAEFPSIKHHYDPWHYFRNLTMNLLKNTKTLYMAQVKATWSGIIVRRAYDAVEPSFTLFKKCLHAPPPPNFPYIQRDGRAFKNLEAHVFTVKNIEDIKHVCWNLKTSTCESLNSLAWRYAPKDFYFDRMGHELRTMMAMQHWNELRKDEAEGTRTI >PPA13879 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2652:156:638:1 gene:PPA13879 transcript:PPA13879 gene_biotype:protein_coding transcript_biotype:protein_coding SSSPSCSPCSYTRLPFLLERDYWTEATAVSLYRVRSSSLSTCDTRGEKRGRER >PPA13881 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2655:524:740:-1 gene:PPA13881 transcript:PPA13881 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPNDTVKKDSGGAVVNFLNCTLAECDKRRLVPGARPLSQCTPVPDPIPVEKKKAYLPKDVDHL >PPA13887 pep:known supercontig:P_pacificus-5.0:Ppa_Contig266:17390:18852:1 gene:PPA13887 transcript:PPA13887 gene_biotype:protein_coding transcript_biotype:protein_coding MCNGDMVNITPTMITCPKNKPVVVLTTVAGMLMLHQNTPLNSPTVICKDGAWYTGDPQRPLDNKPTLPLVQPVLLACFGAPGRSLRTLHAHLKMRTVALICILPALAYADCGCREVEKAIAKERQADTKYHGCRNCKAVKSVDISACPDSGYECNVDWAITSNMLFSDTFHCAEARCAGTARLAFEKTIVNKMRCNNSQWIVGDESAKPVVCAKSCDTGVCKVSHPRASADFKPLSVKAADADNRCARGVCEPDC >PPA13886 pep:known supercontig:P_pacificus-5.0:Ppa_Contig266:11443:14078:1 gene:PPA13886 transcript:PPA13886 gene_biotype:protein_coding transcript_biotype:protein_coding MREAFIEKGEVFIGRPSNDLNQKVLAFAPNSGVGNANGDNWREQRRAAISIMRDFGMGKNIMEELSAKVRSSIADYIDHLNDIADKDKVDMRWPIQVMVANVINEVLFGYRYMHGECQPLMDYVNSFNKVLDDMTESKGMMVAMGLPFLQNVPFIGWHIFGRVKAGMKKINQYVVDNVERALKDYNIDDEPTCFVHAYKQKMAKNEYLDHTNLLATCADFFLAGQETTTTTLRWAMLIMAKHPELQEKLRTEIHYVVGKDRLPCLTDQPKMPYARACALELQRFANILPTNAQRVTVRDVEIRGQTIPEGTWVNGDIHYIMANDPAFENPEEFRPERYIAEDGKTLRKDLVERTIPFSIGKRACAGEGLARVEIFLGLASTVQHFKICSCTGQNVDLEPKIVQMIQPKPQLLRLEKV >PPA13889 pep:known supercontig:P_pacificus-5.0:Ppa_Contig266:22096:22629:1 gene:PPA13889 transcript:PPA13889 gene_biotype:protein_coding transcript_biotype:protein_coding MANNNIERQSVAYTYCPIFRRIRRSPTVICKDGANSPTVICKDGANSPTVICKDGANSPTVICKDGAWYTGDPQRPLDNKPTLPLVQPVLLACFGAKMYEIIALKQEYGSSREAKSV >PPA13884 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig266:6911:7187:-1 gene:PPA13884 transcript:PPA13884 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFLLLIAFFLLSVPFAVGVYRAPSNTDRSRDKPHPQFNNAGEFWPTHGDRYS >PPA13891 pep:known supercontig:P_pacificus-5.0:Ppa_Contig266:37334:39830:-1 gene:PPA13891 transcript:PPA13891 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLAMADMCGLTCTGTLFGYAALNGMHFCSDVLLGTIMGAGAFCFWCVSTCTCALLVINRICELTERASYFQGWRSYLCMVIILVYSVVSTLWTRPVFPNSTHHTMAFYPFIPGHTPDEQKFQDSNTTQALQAKIFMQASIICCGNVGTAAAWLIQMFVPTPQFVITAGMITVQSMHGLPCIIYLVLNRAVRDEFWKMLGRKPNTAMSANAFTSMNSKSGSMSAALSTAE >PPA13885 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig266:8357:8685:-1 gene:PPA13885 transcript:PPA13885 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKVLLLSVFFLLSLPTADSFLGWFLGSMYENDVYGPVVEAGRIAIETTALIRSSITLDNFGYLAATAMNELLAN >PPA13888 pep:known supercontig:P_pacificus-5.0:Ppa_Contig266:19069:20626:1 gene:PPA13888 transcript:PPA13888 gene_biotype:protein_coding transcript_biotype:protein_coding MVALNADGSLLKHLPGVTEVACSSEGIWSPGGPYVMCNASPTCGPGRCAAFIDGSAMANGDVIPLTVKDNGEQLCATATCQYGYVSMKRDGSIFLPVPDGTFSLLTCVPDQGTWRSETGQTFLFVMCKTKPDPTTTLPTTNECPVYTQATTADCATAGAMCSSDAPDITTTKISCPKNKPVVVLTTVPATGLMALHQNTPLNSAAIVCEEGVWYTGDPKRVLDNKPTTLPLVQPVRLACFGTAGG >PPA13890 pep:known supercontig:P_pacificus-5.0:Ppa_Contig266:32351:34199:-1 gene:PPA13890 transcript:PPA13890 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFHQLLLSQAYTPAEWTEQFGRRQDILGPWSIVFATVCQLLLFLTYLIGIIGISSNAVLITAVRKHTPSTLRVYATIFLASALCDSLGLFTMIFTTGREVIYNGSCVMEFHGACTLFPDEICWAAWGK >PPA13883 pep:known supercontig:P_pacificus-5.0:Ppa_Contig266:5199:5527:-1 gene:PPA13883 transcript:PPA13883 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSFIALSMIMYQLVHASPCLVYMLVNQSVREESMRLLGMRKKSIEVAAVVLFTVSTSTVSLAQLPVTHY >PPA13894 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2668:89:1183:-1 gene:PPA13894 transcript:PPA13894 gene_biotype:protein_coding transcript_biotype:protein_coding FHEEFLLEQRRPSEHEPEHVIESHGEFFHEERRLSSPKDFAHEEEHFEERRPSGDQYSRPESAEEKHEPLTEAHVTETHEEFLHEQRRLSGDQFSRPESAEEKHEPLTEAHVTEAHEELLHEPEHVIESHEEFLHEERRLSSPKDFAHEEEHFEQRLASPKENVYEEPKMEQRTPSDEHFLESTEYHLRQERSTVAHEEGSMPSGQDSGDEESVASKLAGFAKTAGKIAGGVVLAPAALVAVGAAAAYDALKKDDVPEYEKLESSHVTEAHEEFLHEQRRPSEHEPEHVIESHEEFLHEEHRLSSPKDFAHEEEHFEERRPSGDQYSRPESAEEKHEPLTEAHVTEAHEEFLHEQRRPSEHEPEH >PPA13898 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig267:15884:17400:-1 gene:PPA13898 transcript:PPA13898 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQFGEMNNLRVPFEYAISPQHGGVYPVHTALFNAWKKVLPRQTCGLYTHTQLFHLYPGGIKKLTSLIEGGDLFWTIALNPVS >PPA13902 pep:known supercontig:P_pacificus-5.0:Ppa_Contig267:29000:31169:1 gene:PPA13902 transcript:PPA13902 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVSPDTVLPSSSLRVDTLSPPPLSAPLPSSSSSSPFSPSSLNDPLPSGHNKPPLDVSRPSKWLKRGSMPAVTSLSTRNTGEENGGGTRRISIARVEKAMETFRDRRSKSSSEKPPKGERITLTCGFASLTNNSDEDDGGGCWSEPVNSAMKEDKCEQLVAKSAEDYLDGLTEKQRNSLSVPQRPPRFWRLKTFSNWAHFVFVVFSDRFCVDSCEKN >PPA13900 pep:known supercontig:P_pacificus-5.0:Ppa_Contig267:20778:23973:1 gene:PPA13900 transcript:PPA13900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ubxn-2 MFTVVGPKSKKKEGEVADELFKAARDAGAEVDEGGVGGTSSDLTSFSGGGHRLGDNLGGTERVNATPSAEPTTVPVTMTAYENGFQLNDGELRSFDDPANAAFLNDIARGRIPRELTLQYPGKEIDMKMVRMPGNYEPPKVVVFGGSGSRLGAVVPSVVSNTSPVLSKQDAESALKAAQESLIVDESQPITNIQIRLPDGNRVVARFNQSATSFRR >PPA13906 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig267:59710:60643:-1 gene:PPA13906 transcript:PPA13906 gene_biotype:protein_coding transcript_biotype:protein_coding VRQRAEFKKTLFYLEQLLLKHSAHGQATGVKPVPTGIDFFYAKLQVSLSFFTFFSQL >PPA13905 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig267:57170:58646:1 gene:PPA13905 transcript:PPA13905 gene_biotype:protein_coding transcript_biotype:protein_coding MLKESGETSDEEDETEAIPPVPHAPIQSIIGDGQFEGDDAEFGESVPLPDEIGIEDTKRQLEECIREKLALEVELESLRIGRERKRNEEGLEMMTVVALVPGLGFLLLIFFPLFSRNVTVN >PPA13895 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig267:8048:9993:-1 gene:PPA13895 transcript:PPA13895 gene_biotype:protein_coding transcript_biotype:protein_coding MHEERKENGLEVDNATMALRQRLMIVQTGEWDGRVLITCAIALLPSHSADETRAYIGNSNLFGGTERIAFRSCPKLANRAARGADSSIVILSDVNVDNHDTRRALFLLLEGFTSCPPTAFILCGDFCSRPLQLDSNQLVEDGFIQLAKLIRMKDGGLTNILPRPPLPQYLQKPFSSLSNCIFTTNPARVQLLNQEIVIYRNDTVERLCNNAIHVPDNLDNLPNDVVRSITSNAHLSPLPPSIQPIIWSLDSTLRLHPLPDLLIMADRFENYHVEQIDPSSIDLSN >PPA13901 pep:known supercontig:P_pacificus-5.0:Ppa_Contig267:25191:26542:1 gene:PPA13901 transcript:PPA13901 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTRTGTLVGEDKFGNKYFEDNSYFMPRNRWVVYPDRVWLDYDATQVAPEWHRWLHHISDETPTTNPPKNEKWVLTHEENSSIFPTTLHYTLTAH >PPA13904 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig267:39380:42193:-1 gene:PPA13904 transcript:PPA13904 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSMEKGIFNRQISKNGLQQRVVDEQQVDANVTQRELANLLDYDESLDVKDRAHNVSKWNIEDDILKQVVLKESSLLTQEPFLHESLLLEREEGLSEEEKREALALYHREKAVDDFGITLNLESGRSYGRDMSNTTKSLLLL >PPA13897 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig267:13951:15807:-1 gene:PPA13897 transcript:PPA13897 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQQNYAHDRLAPYTFENVISFLECWTRFRLRWEDPISVADRYFHLFPTEKNPIWGNPCMDERHRSILPPTLNCSNLTLPNLLVVGPQKTGTTALSSFLQLHPDIQFFSGENYEKGFDWYRQLFNNGSSKIVMDKSATYWDSTEGPMRAFALIPFAKIVVILHDPILR >PPA13903 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig267:34392:35299:1 gene:PPA13903 transcript:PPA13903 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDSSPSSTLHDPVRFVNTIPTSIHPMGVIPSNPIEEKRNAIVICKRTRWKFEAQRLGENGMILSDEELERVLLQRNIDPRPLKLKEDEQRKAEEMIVNQLRENGFSVRLEDVINLPLRLPPLSLVVTAGGDGTFLAATSLVFDSTPVVGINTDPAG >PPA13896 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig267:10618:12188:-1 gene:PPA13896 transcript:PPA13896 gene_biotype:protein_coding transcript_biotype:protein_coding MCARGMPKYYCDYCDTFLTHDSPSVRKTHNGGRKHKENVRMYYQKWMEDQAQKLVDATAKAFAAGRGRGMGPPPGVIPPGMMGGMRPPMGMPPMGRGMPPFGGPPMGGMPPPYMMNRPPMGPGGHRPPMSGLPPMPIWPIEIV >PPA13899 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig267:17518:18641:1 gene:PPA13899 transcript:PPA13899 gene_biotype:protein_coding transcript_biotype:protein_coding MRAERIDLTRNLTHKLIPDFGSCATYNHSISLIFQIVSMEKECTRISKQIRKIRRDIMKLTL >PPA13912 pep:known supercontig:P_pacificus-5.0:Ppa_Contig268:42802:44016:-1 gene:PPA13912 transcript:PPA13912 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPTQILREIRLRRRDKAAAQQEAIEAGFEPVPNVARCHSLHSLAYTKSQFTPSVDLNESLRNVGIDYTPSGKSHTYKRDSYVGAPAPPPHRSSLDGHSIASQSPSKPIPIDGIIGSAVGI >PPA13916 pep:known supercontig:P_pacificus-5.0:Ppa_Contig268:67656:67965:1 gene:PPA13916 transcript:PPA13916 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSDSDKNPMVKVCVAGFLGEMEYVRERLQGHSDEEICDWLGCPQIATTTTVLQ >PPA13917 pep:known supercontig:P_pacificus-5.0:Ppa_Contig268:72312:72884:-1 gene:PPA13917 transcript:PPA13917 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDIRPLFRMIYSFCWEQASLRSLQHELRTPDGSTLSSKSFVDYHSFFREICWLDNERQPKIGGPGTVVEIDETAFSKRKYNRGKRMAAQQWVFGEGREHPPSSHRPLHRTGN >PPA13910 pep:known supercontig:P_pacificus-5.0:Ppa_Contig268:17043:20998:-1 gene:PPA13910 transcript:PPA13910 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQEQIEFLQKQNESLNEKLDEKEGDVKTLQEQLVTLRLEHSMYQQSCSSGSTPLADEETRSLVVGDVSRTPQSAHHQHGTGDSASVGGGGQLARVVSGGEGRGGEGGTPKSSGLAKSLSNYHLDTAGRNELPPSLSVMMRKTQKLSVDSRALAHCIKECAEKTMNGEQPDTNLLVGRMTGESMSDSSDCEMVATDCTKMSIETAEKTLRQQEVTLGKLHSSLEAMRGLLLQVYQRRFHDEMERGDESCRVHELAEYSREGGEESTGLRLHFEKGQEIDLPEDVNERFERVKSEERSPSAVAVLIMAISPVSSPFSHANCLTRGSIAFVQLSIGDLINPPRDLNGISGRILHELLHSLLVPHMRSGVMDDGRIPERRREGGECPLIRCMGNMEAVILTHHPIMIDIER >PPA13913 pep:known supercontig:P_pacificus-5.0:Ppa_Contig268:47283:49422:-1 gene:PPA13913 transcript:PPA13913 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTLSGGGVQSLQQPADCRSAGGCTCGAAAAAAGVAVEKVTEKPHRASSVRRTLSKAFRAIGKYLFCALWKPSVLSSSCASASACISPPAILPADERMTEMEKSRNSPFEMEKQMKMMSCSPQPIKAAKMVHPHSRSATTLMNPFAGDRSEIPPSTPILNRSSSGFSSMSSRTPPPFPQGAKIRRSFRVEVTSPMSRSLHIPSTASSQSPLYADVFTSKHAFYRNHSLEEEEDEESILASANGSVAALMRDFDYDDIKSVISTASTSRLGLEGGGRGGGSRRHYRVKTANDMRNFLKSPGSAIIKLHYRLLKFR >PPA13915 pep:known supercontig:P_pacificus-5.0:Ppa_Contig268:57811:65245:1 gene:PPA13915 transcript:PPA13915 gene_biotype:protein_coding transcript_biotype:protein_coding MCEQAIGFMNYDIYVPPYGNKDPIVDEDDSTYFTDTIVNELKTVNAAGACAYNTAILMSNRLFRAYDLDALNLEMEQIADVECLTFSQVIFGRGDDITQAQVDKLYGGFSDYQYLVPNVNCLDKISVRRRFPLSPPSEPQACIAPCGSMTPEECSALDLTTCDYPTLPTTPSSTSTTTPGPEFATYWHIIYVFAISNRTTNEQFNNIVEYIGNPIMECKELLVRFLAPLGEDSQWISDLSTVDSYLNEIRYDEIVVPGGPEAYDKETSDLMWKALGITTVFDGGSKTPRITLVSDFASQTFIDQYQTNFNNLLLLLGKYDVQIITFSEEVAEIYRNHSFKANDIHVDVDFDPSHPIPLCPPNPTVPDGPSTTTILLIIIGSCLGLMMLLVVATIVFRQKYIWMERLHAMKREGITFTDSHGHDDDIIDYWEISWDDLIVKNDRLGHGAHGQVFRGKLRGSSPGVEHFFKPEDRMNYVNCDVAIKMLPSAASDRAREEFLREIDMMKSMGYNEHIVNMLGCITTHKRLGLVLEYCAQGDLSRILKVKKADLEVTRSIDNQIDCTKQFLQYAWQVAHGMEFLQKQGIIHRDLAARNVLVDYWGNAKVADFGLCIRSDEHLSPPTTPTTPTDKERSLSVTAVEGRLPIKWLAIECLQYHKFTHKSDVWSYGIVLFEMYSLGELPFSDIDPSELLDYLLGGGRPPRPLISCDKMKKRSGTGASNRSGAEKEKDKFMQPWKKLAATLKARAVFAPSSSAATATPAPPQSTSQNVPTISIQEPSTSTGTDVISNRIAPDLVSRLRSKVSFRQANELSVSNHDLPSTSTENGAREKPPAITRSTPRLNLFVNSRILGKNLSAVPQLTNDTPEVNRVKFMPNGYDRTPSPPPVSICIDGHPSPIPISFPRSRGPTIKSVQWK >PPA13909 pep:known supercontig:P_pacificus-5.0:Ppa_Contig268:14925:16124:-1 gene:PPA13909 transcript:PPA13909 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFILDPLSFLYSFNMVSHSSNGLLTVNVPSIVTIIYILLICIQISAASLPVNCDTRNFITEFKLKNENDPNVRKLRVIESYPNRCPYGYQLLLTKIDIGKEKAKDKTLEELEKDKEDKRLWVDYLSCKEIEKGKEDKAWFIKLREYFDVGEYELDGKEGSPFGINPILSCRKPLLDSTPPKSSIDESSVQSDHAIIPCVIIIAAECITLHGYGRIKQCFAKKKKVVEEPSNEELVRYGKKEKTVVDVNEVDKQTQIGGETMAV >PPA13914 pep:known supercontig:P_pacificus-5.0:Ppa_Contig268:53472:55041:-1 gene:PPA13914 transcript:PPA13914 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSSIKNWWSKKRPNLEGNDAPVTKQPGKEDEEEEDELSSQSSTVVSSCTTVLSAQTVDSRVTVFIPEIEDEEVPPEDRLPSIQAYFYGRNERPPPLSGVGSDGSTLSELSGDSVVSVISEYEDEDKENIGVMGRMGMEDERWNEKLERFSHGDDSLDDLFHDDRPLFSEEEGEDDVSRAHPSLSHSTLEGSEKDCFGYEFERLTEADFNSLARRNAFFANARRSSGLSHLSLERNARNDRSSAESLERSS >PPA13908 pep:known supercontig:P_pacificus-5.0:Ppa_Contig268:8498:14687:1 gene:PPA13908 transcript:PPA13908 gene_biotype:protein_coding transcript_biotype:protein_coding MISKSQSFKQIHADTGKERKNEGISMSSPENVDPVDEMSSASPESQEPRGEDEIPKIRMKKKINKPEEPIKPKRKYTKRKIRTQPSDEKEVTIPVNGNHPVEVKKEIKEEEPDLYEMESEGGEHDDNNPPRQVATYNSNITATDQKEACDLCGMVMEAYKMEIHKLDNHPEHLCSNGRIGCDVNGEAPVYREVIEAAFFRKCYQPVECPYCRIYLASYFDLDLHFIAHGLAQFDAKFACTGCNVTFISVETLKKHLTKQNTPRQSLKCFNSARVLNRMEIDKLKLRFSDADRKDCIRKFDLDTYVLAPPKILECVQESTCAFCNKRTKAYAHLKTDLSNLFIYGLECCENTEKEIIEKISHCKEKFNDRVSVCRHHFQWRNWQTKKIEEVKPKVVERKKIAEKREAPPAENVVLAQKVIRRMEETMVKEENDSGVAFMDETRKDNPTCSDNRPKQVKLEPEDDYEEVLFDKKKEIKKEEPSWDEDINRDRSEMEYDVIDISDDDFGSQDDREAVSLPVFLNKDELEEKVSTRMKIGHKCPVCEESFPNLNDLHGHHYSCADCPSTNYLHPDEISKHFEEKMKSALAGFYSYKVNVHSESLSNIQSEKVSNDNDKL >PPA13911 pep:known supercontig:P_pacificus-5.0:Ppa_Contig268:39259:40296:-1 gene:PPA13911 transcript:PPA13911 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVVLVEWKRERERNSAVYANLSGSFHEFPLAATSGGEQRRPPIPHRSSIVSESTVSTASPVVMRQNSNQYASTPVR >PPA13918 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2681:240:2249:1 gene:PPA13918 transcript:PPA13918 gene_biotype:protein_coding transcript_biotype:protein_coding MNETLDKMLRVGYAGNSYPTFFVQETTGLARGGNVDALREIKKALGYAGLEMVAFGKQWDDEDRLFEGAVERGDVLTCAEGCFMLAKDIARYGYSTPLYLNPLRLCEPLQPDFTDGNSFIVFSYYVVALIVASMLARKVVDTLIRMYGVVKGGGRWSDVRLAISYCPVYSLAGQTDERVCRSLHAVDLGAYAISFFFILQLHGAGYAGNTLGLGMPRLVDAIVRLLKTGSTTLVISPQYQNFTEEE >PPA13919 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2683:30:842:1 gene:PPA13919 transcript:PPA13919 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFLHLFPAGSALLEFLEANDQPRPVTIRTNTLKTRRGELAKSLINRGMNVDPAAKWTKVGLVVYDTQVPVGATPEYLAGHYMIQGLCSLLPVMSLAPQPGERILDMAAAPGGKTSHIAALMKNSGVLFANDPSKERCTAIIGNLHRMGVNCSIVSSVDGRKYEK >PPA13920 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2687:1:849:-1 gene:PPA13920 transcript:PPA13920 gene_biotype:protein_coding transcript_biotype:protein_coding THGENAPSLHTCFNWFTRFKRGDYNLEHQPHPGRPSSRVRGRVLRELKANPKSSVRDIEKTIHIPKTTVARILHDAGKTPKLPQVIPHDLTTAQLKKRVDVCQGLLHRRSNFNWVSHIVAMDEKWITYDNPERKLQWVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVRVPPKLLSEGKILMLMDNARPHHAKITQKKMDELEMEWLPHPPYSPDLSPCDYHCFRSLSNFCRGKKFKNRDALVKGGIPGYRARIAL >PPA13923 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig269:23283:23943:1 gene:PPA13923 transcript:PPA13923 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKALHRRFDLNPLLVTTHLREELQPQRLNERYRNPIVCTTPVASDNEMDMDEGMGREASKNG >PPA13924 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig269:25512:26376:1 gene:PPA13924 transcript:PPA13924 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVAKPDGIAVSIDGQYDHPGFNATNCKVTVLDANLKVVLAASSLNKKEAEIDGKSIRMESVGALRAMRELVADGFNIKVRVTDQNAMVDKKLREHPDTASIEGAYEWWHVQKPLKKIWRTEMKSSPILSQLYSHFFNHLFYCHKKYDDMSDRPKALELVRSYLNHVQGKRTWKRGGDFVHVFECDHEALKRKKKGEPARPKLKVNETHR >PPA13928 pep:known supercontig:P_pacificus-5.0:Ppa_Contig269:41230:41960:-1 gene:PPA13928 transcript:PPA13928 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTFNGTFRNGTSAMAAGAGSGPVSAHTLRLALTVTHLSLVCIGTVNLLVIVLILLRPLNLEKRSGRHSGNATENTSVFSFSRSLFST >PPA13926 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig269:36495:36988:-1 gene:PPA13926 transcript:PPA13926 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKIPSFSVHLFPYVNCALNPLLYAAHAENFRLACRPVASLSAVQHPAAALKDPFFDPRFLPFADVFMKSTKEKLCLINDGL >PPA13922 pep:known supercontig:P_pacificus-5.0:Ppa_Contig269:20844:22658:1 gene:PPA13922 transcript:PPA13922 gene_biotype:protein_coding transcript_biotype:protein_coding MNREADNVCSSCKNCGSAVIGQPMAFPSHIRPSLKPTASSTPSTLVFKSEQSQLRSKSSLQGLAKELLREDYLKIVVDKIDAANKSITLDFVQIERLQVFKQKTLVFVASKTMTDTLEVFISEAGTPTTTTHGVREQNQLHRIGHTGRLGKPGHSTSFIDSEHAYEVILPLIRQLAKSPVDAHGEPASQEAQTNGGDSQREGTEGTTPREGVQECDQEE >PPA13925 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig269:27461:30713:-1 gene:PPA13925 transcript:PPA13925 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYRNAAFFGVVVQAKNGDDGKMMDYDGPSWTMMDHHRGEWTRSPWKTKN >PPA13927 pep:known supercontig:P_pacificus-5.0:Ppa_Contig269:37422:40246:-1 gene:PPA13927 transcript:PPA13927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-npr-10 MRSITNVYMIGLCLADFIYLTNLTLVAATQLSDKSWPFGSMICTLYHGTETTGKNYGVDVPKTTRKYASVLFVVLLASDRYCAMCRANWCARYRNYQTAIFLSVCAWIVAFLFSLPLYLYASQVYVRFKESGATVIYCIANWPSSDSARWYISISSICLFAAPMVFIIYFYYHILIKLREAVKGSKRLQRASSTRAPYQRVTRLVLWVVIFHVSLLAIQSLLFNIQDAN >PPA13929 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2691:26:546:-1 gene:PPA13929 transcript:PPA13929 gene_biotype:protein_coding transcript_biotype:protein_coding DSSYRPQLSSQSSQIEEDEKEIEEEEEKTAADFFLVAKANLEPLFRRCQDCGGIIDPISMEWIQIASALSVKFQCTECKVHFRWDSQSKKGTGKSQVFQLNQELPIAAFVTGTPFPRLLECCDVLGIATPKERTMRDAIRFYGSPAIDRVYEEWENDAR >PPA13930 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2692:3968:4519:-1 gene:PPA13930 transcript:PPA13930 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLESYIYMQPNLVLVQSHHLATPAGRPPLHHHHQHAAPIRFLPKGEADELMMALEGGASNRPNYDDNALVMDDRMDYYLNVVMAKRGPFAREPNRG >PPA13931 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2697:123:744:-1 gene:PPA13931 transcript:PPA13931 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIKLTDCEEGFDTAFAWIEIPVNLWALPSGRSAAAAAVEASTAQEPSSIACLQQ >PPA14019 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:408112:415125:-1 gene:PPA14019 transcript:PPA14019 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCMNIYSILLRWAVQRKSDTEVESCWDKFSTLKGRIMFHIDSENEGIRTRAIKFLEMVVLAQSTGNDKPDEGPRRLMSLGEIPRDHRFISYRKMSSEAQHSMQSLIDQTSLPHISSQNLLTVLCAICNIVRQRPEFLPRVVDAIDVLQVNLPPTLGTSQVKAVRKELKMHLIRLMKLPSIVPHVNKISTLLSDLGASQAEIQKVMPAEAKRKPSAPLKEDEPAMKKRRDQPGTSSAVMDDDEEEEDEEGKKERVTMDSAIDVTQNYIYSRLNHKVVTNLVLISLATLPDVMPPAFQSTYTPIASAGSEEQRMRLARMIATQSNSKGIGPGAEMLKEQKLRAIQERAVARNEGALIPPTPIHFLKTEKGKVPPKRLPARVNVPLPPPTAVAPKSKVQTNIVSSCKEMTAEERDRLFMITLERLMDTERRAQQGGAAQAQHKILVRLVSRFQEKNHILEDKLIEFIIAQHKSRSELALLWVAEMYAQYQGFTLCFAKSHDDGRLTQPERLARYNKVLVLLLRRLFERELHKETVFHKILLEAPLLTPDALSYLKLACTDPVFHPFAIMTLRELVLSRSRQRSELLQLLFHLTFYEKIEIRTQSVETVKELFELPYMKNCVRSEVESQLEDCTKEYPPPHFIVEEGRNVWSAALFKAALTLPLATLIHDHSLIHLMAKVFAKSTNNDFKLAIMRQIDNSVKSMGQGSEELLKLLEECPQGAESFVARIVHLLTERAPGTPEIVHRLKKLHDSRQTDVRSLLPILPGLSKEDILILLPKFVIHPTMAKSFGQAAKRILSTKNSESGQYSITPTELIVEYHRINATTPEEKKLLKEHVRTVLTAHRSITKEIISSAIEELLRVNPVPQLLFHTIVVVYELHNHLHAFLSNVLHKLITRELWKENGEYEKDFFAAIVAMPDVSYQVALTKLCLDDLKRLMESTNEVDVGRLLKNALPTLSTHQQKEVSDEVLEVIGVSERPCEVRERERKRDQKEREDRREKEGSRIRDNRGDKTDRRRGDREEKKGERSSSRRKGRITTLGLTARDKTEKKSTSCPQGHQRILVGTSTGRVYIHDPFGEVSEWNQEHEEYNIMYKDEERRSITSLGGYKASNWDTKEMEYFVFVQCMDLLSDCPVIGAEDGTVAIYSYNASRADGSLKLSVMEKIFDSPVFSIIVYELFNPSIIAGSVGHPIKVLDTKTLAIKQEIPFPARRVYIPFWRSIATKWIINEEIISVREHVVLCVTLGSAPKRTRSSSSGVSGMVLSEFIVSRKMEVLWRRMSSRANK >PPA14150 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1105290:1107956:-1 gene:PPA14150 transcript:PPA14150 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cpz-2 MAISPTFLLLALLAIGGTQADLFKALPSLAIRASEHFMHFDQLEAPGSGKEKQEQADGDLIDLIKKADEENELFGDGGIRVENSEESSDEMIDALTKLEMHPEVARAVHSVKVDDTPKRDIRIVKQERGVVVQDYLLSSMIDEQEENSDDDAVIVETVAEDDFENYDDFQQLDHVAVKHFNVDAPSMDSLEDSIENEEEEDEEPTYDDSEEDGEWEQEWNDPDYKPRFLHKCLKKTVKGQKEFKTKPRFYELEDWSPNDLPTAWDWRNVSGVNYCSPTRNQHIPVYCGSCWVFGTTGALNDRYNVARKGKWPMTVVSPQEIIDCGGRGNCGGGEVTDVMEHARTVGMVEEGCNAYRANNGACTEFYRCGHCWPGNCYGVKNYTRYYVNEYGKVATREKIQAEIQARGPLACAIGATQKFEFTYLNGVYSERSNLTSNHIVTLTGWGVEEKTNTEYWIVRNSWGEEWGEKGWFRVVTSLFNNGTGNDYNMGIETDCWWADVDISNID >PPA14201 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1343116:1345050:1 gene:PPA14201 transcript:PPA14201 gene_biotype:protein_coding transcript_biotype:protein_coding MWCGKLTLLCPFSISSIMAPSTHSHHHFSSGDLLRVLHTANPQCVAAAATARRIVAATDASMGRATCQGRVWHVPAEIVGLDSKKSKQAKIKFHPWTLETARADSTLARAVEKAIDDIVTIVTMDGVWRKEKEVPKKEIGSTAAKLRRLFGAVKPQLVVNKDILLLTWPLHSTPSTSKAAAKAIKEACAFLGRQDTMPLRARVRMSEEEKREMGGGVVMEKEEKRQMVEVECTLGGGSNCVQLWRMTMEGEGYLVEEGDEKWTAPEIERKESIFLLVFLPIPRLPLWTIRERRANLW >PPA14073 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:667443:668717:-1 gene:PPA14073 transcript:PPA14073 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGFIRSFFFDCQAENCHDKVSLASLLFPLNLKPVAFQSMGISFPSVLLLSERRHIELLNIFTIITLWLAKRCDATVVNIGLRMGKAKRRSLSWDCYDYARVRLRVG >PPA14199 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:1332026:1332751:1 gene:PPA14199 transcript:PPA14199 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAKASAPRNTPNGMWRSPTSSTESIEALRAEAAGRVTITHSRDQPTGEPPGYYAGVLPVTETSGMYAMQVPNYTVAQNNTNTSSLRDPRMFGGLAGIAAPPPYPPPPAYSQVRSLISLRCRVGIPLHQLPFLPLRV >PPA14029 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:438374:438775:1 gene:PPA14029 transcript:PPA14029 gene_biotype:protein_coding transcript_biotype:protein_coding MYYLEKDEPYTDFYSIPAGCWWVTMATVGYGDAKPVTTLGKLVATATSICGIIVLAFPISMIVEKFASAQQRSLEEAQLQQGKSSLSFRFYLTNH >PPA14098 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:794200:796251:-1 gene:PPA14098 transcript:PPA14098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ncx-5 MSFYIFALALLVVFFADEKIAWHEALSMLIVYILYGIFMKYNEQLENAVKSRLFRLPQITQGEIAASPPPPTLGPRSDSIRSSASFHSSARKSIPSFKFIHGGAPSIRHGILSMAMAPTDDEESPSPRSEEETSMQCNNNVKVKPARSSVSTVSSTANDRNGLTPVESVKSNGLSVNGSSRYLEDRTPIKNSQGGENGPSQSNGHGNGSLNGNGRPSRRSIEKAESISSHDESPLDMSWPETLHRRIVYVILAPITFPLYLTLPDTRSLEMRKFFPITFIGSILWIALYSYLMVWWANVIGETLGIPTEIMGLTILAAGTSIPDLITSVIVARKGLGDMAVSSSIGSNLFDICVGLPIPWLIFFSIQFFKAPFSEIPTVSVISNGLICSVGMLFLMLLVLVIGVALSSWQV >PPA13946 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:55611:58934:1 gene:PPA13946 transcript:PPA13946 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPIERLHDFFDRILDSAYQKYAGIVLGWPRLTILFTLSISIGLSVWGGIWHWDVIDFTPSKGFETRGTHWSDIRLALTNFPDFTASRKEMIESGNTRRKRAAIITSVKNYSDVEDVEPFTIDYSDYGVNSNPRLEDVQNDPCVQYGGLGTDLPYEFIDLFAKLTFEYKSLDQLFSLEPMRSLCFLDATVSGIDGKDSVSTKLSFSFTLPLYSTCLNLSSYKTCDALNQKDLDHLRKTLFSCKDGTNPDKDLCAMKFTQQPLNYLLPKDLSIPYFNVILPDREFMEVLTFDCILGGLSALFVFFVLLLIVQSLLFTLSVLLLLILSFTIAFFLYTTVFGLPFFPFVNLISLALMIYLAADDALLLVVFYRHERKARPHLCEEEVFVHSLPHSLGSVTVTSVTTAIAFLVNLTSDVLIMSFSVRLTLKNGPIFSKDLHFVGYFFGFPSAFKWSLDWDVLRVFFDDMVKLETKVREFIPG >PPA14176 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1238888:1241260:1 gene:PPA14176 transcript:PPA14176 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLLLFLLFFTTVEAMVKDGIRRFLHEERTPHWAIRDDPNWGPVHVQPEQVHLSWAGLNAYWVTWMTFDDVLEATVQYGNTKEGRSLGRSVKGTISFFKDGKKSRYVSRVKIVLDGDEDKPGDIYRYRVGSHRGWSSIYEFRFMKRREDGGYEIAFYGDMGNENARSMGMIQRMVQDGDIDLVHHVGDYGYDLNTDNGRVGDEFFRQIESAAAYVAYQPTPGNHEAADNFTHYFHRFTPPNGGDPIFYSYDLDKIHFISFSTEVYFYTDYGYELIKRQFEWLQKDLKAANANRDAVPWVIAMGHRPMYCSDFDGDDCTKYESIVRTGIPGIHSYALEKLFYEEGVDLLFFAHEHSYERLYPVFNRTVYTGPNSPYVDPPAPVHIVSGSAGCRENVDPFIEKPEPWSAFRSSNYGIGMLRVFNATHLRYKQIVASTESVEDELWLVKSKHGPYGKQDKRRMEKHGIPIPYTYNASEDQKFFI >PPA13990 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:235685:237139:1 gene:PPA13990 transcript:PPA13990 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTVEYAKQNQLHEEASELQAQINAVDSKADELDKKRAGGITAIDWINKRNRNTMKEQFLGDKKVIGFMDKGDDPFTRKSGKMKMVSGTAKGFVKTEVKMEVDDPTSGGLSASSSASNLSSTPIASSSSIPLKSLSSSKTPDLFSFHSISVGHDLDLNSLRSPVTEDTTTRALNASTPASGGRPLSLADYKKRKMEAKALQA >PPA14138 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1046153:1050630:-1 gene:PPA14138 transcript:PPA14138 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDCKCNKTFKPLTQLIEGVLDLTFGAALDGGYKCEGRCLLHITDYRYHANAWESLPIMPDCDVVEARCRRNGSTEVMYEYMHTQIKPIKSNFTKTAKGKNATSDKKSFKPSVYIVLFDSVADTQSIRSFPKTVAYMQKRFNATRIRHMNKILVTPGKYVGDNSRPNGYAFLMGEIAYTINKEIFGVPDLRGARTYEQSCNNALDGEDKSVFARYSKAGYRTMVAEDWARGVFNYPKCIGYNHKPVDHYMRPFHLRLEEKVSPLLLKDAMGIHGCREPHMDLMEYMSKFIGAYPGMIGSISIGPFNPFPDDPKFGFIWMSVLSHDDPNLIFHADDYVQKFYEKNAEEFDNSFVFFMGDHGLRFGRQRNSAAGRREVNNPMLHMIVPKNIRKDFQPRLDANSHELVTMFDLHATFVDIVDYQPKVNFTGHNFTHIPASTEGSSLLRSLNPSLPRNCRTLPIPFDYCPCEFATKPVKESFTAWKMANYVVELMNKELHSFNLTECSTLAIDKKPDVVEFSPIDETNLYKIEFYAKPGKGRFEALVRAHDPSNVTSYTLASPYFNRLNAYGHDGDCITRAPQKPFCYCKSNLLRKTGHETKKG >PPA13968 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:154056:154958:-1 gene:PPA13968 transcript:PPA13968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-scd-2 MIGQEGEKASIDCRECNSTPGGGATVIKKRHEDESISRPILVAGGGGGISRKNIGRVNVDGGSLSSNISEEELASKFQNWRPGDGFSHAFFPRFTFHCNGCESMDIGSNRGGRCPSPFSIIISGGYGGGGPSCGDSPGPGGGFIGGTRDGNETGGTSYVYDFELMHHVSFVSFRVLSHVQQIEVVYFDLRIEEMNRI >PPA13996 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:279389:281774:-1 gene:PPA13996 transcript:PPA13996 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRPLLKLFAASPWRKKILTGGAIIVGTGTAVGLYRSIDCLEDVRQVGIIRFGRAARTVSCIVADYKYSLYGVPYPSDEYVHVMKSCHLRCAARLLDLAAANGGVFVKVGQHVAALEYLIPKEYTKTLSVLMNKAPESTFEDVRGVVEEDLGMKLEEIFSEFAVIPVGAASLAQVHVARLRETGEKVAVKVQHRRVKKNARTDINTMQIMVNITDFMFPDFKLQWLVDEVKKNLPLELDFLHEASNAEKMRSLFGHLPFLKVPTMKLSHSSSRVLTMEFCEGEHINKIDYLKEKGIDTHDVCRKLGRLISEMIFQKGYLHSDPHPGNILVHKKDNGQVEIVILDHGLYLTIEDTFRRQYSQLWLALLNPNQDEIKRVANDMGVGELYGLFACIVTRRSWKSVTSGVSKRTMDDNEKKELRAYAVTLIPQISQVLQRMPREMLLILKTNDLLRAIEHQLG >PPA14130 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:996356:998953:-1 gene:PPA14130 transcript:PPA14130 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTSLFHPYTDEDYQEDVSDDVSDAEDGGDDSKSDEQIIDDENKKDISATTLQQTIKEALKAAANNRASIAAAKKRKINGSPSTHQPQPVIPSSLLAPFLMTALASSPEEQPEVKPKVVRDQKGRSVIFSGPQGVVYAPDVNDDDGGAAASALLAGSKNEDNQRLLSAFPFNFNLQQLLESAALQAAKAEMDIVTLM >PPA14194 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1308251:1310258:1 gene:PPA14194 transcript:PPA14194 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRPSTVTTKKSTLTGRVTKATTKTATTAKKNTVVKAKADKKTPVSPKKAKAPTKATKAITPKKTSKAKAKTVNSTKPVKKKVTKKSPSTAKKTVKKCPAKKKATPKKKTTGRRVQFAASVKDEEEEEEDTDDEDGGGVCPEFRGRIKYSPPSSKPKAGSIEEKIHLIAKEIDRPVEMLVRVIGTVGVEALKKESIAKIKKMAQIAHKMETGEIRQQIIATFGICMGTIPLCYLRFTTTHDILKTLTATSSQVSFVDPYESFKEGGQFGYFLYVDRVRRLMSYDPAVQNRVHMNGIGFGVR >PPA14126 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:964140:964927:-1 gene:PPA14126 transcript:PPA14126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-94 MNSVCIVLVALVAVICAQYQQQQYGQQTNQMRQYTTPTNQYQQQQYGQQPQYNQQQQQMYNPQTGQYQSTQSPYYANTQTSNLNRQYDQNGQVFFNSVSPVSASLALLSSAAAMLLL >PPA14218 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1451240:1451610:-1 gene:PPA14218 transcript:PPA14218 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRDGAVKEETTKTEQSKTEPTKSEPKDDEKDDVSAKDSSIPFLPEESLKKVFLQSLFPFLLGGVSSIGTGQVLHYAQSSQSYV >PPA14227 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1479774:1481113:-1 gene:PPA14227 transcript:PPA14227 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPVPPSIALYRSNPGIPSFAIPPQGLQLPSRTSIPGWSEPNLVPVSQLTRVVKQRHAKKFTLEKPRPGDVEDFSFVDTGNGVVVEESDIDRKYKSFMSGSPPSASIGEPAEIVRTKPRSLLETLPPGFIAKVANKIASKLPPPKFSFSITPSKSSPSFH >PPA14030 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:439774:442327:-1 gene:PPA14030 transcript:PPA14030 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNRDWLIELCMVSKPIAEALINYGKNHPLFRNRVLIPVGRSLVHLTTRLRMKKLGLGSPTTVATVSEATALEQASDFIQQVVLFSYSVGVFAGYYYYTKWFTSETMKVDEFEKWKEENQRENSDLMQRIKRLEDALVAAKWKLPPLPEVPKKEESPPPPSPPAAAPAATAAPTVAAQPVATETKKEIASPSTALTPSPSQSSSTSSLTSSILRFPRISSLPLDSASKIVLEGEPYTFCKRDASISFERGDPDLRFLRFRPKENSQFSSGFVGLSERALGYITGCA >PPA14047 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:502689:505583:-1 gene:PPA14047 transcript:PPA14047 gene_biotype:protein_coding transcript_biotype:protein_coding MIYNVFKELEAEADAYAEKKKKERRGELDDMPDFDDLVMQYNKFKMNPLDINDDEQDEICVEPKEKVTKKKVVEKKEVKKKSDVKGGSNKKKKDDKEHSDVPQTEEDKEKKVLAEIEKKKADATEKATRMKQQKIENKERKRIEWENKKEEEEKVREAKKLKKNHERNIMGRKPKSRAEAARRTKALLAMEKGTEKSAAEEDEEDEPKRKKRKYRKRTKPVSFIRRIRDADDVESEIGCGKYIENPCDMCDEDEWTNLPYEDEDSDDDQDIDEVLSVPFIWTSEEDKLLEGDIGPLNFDPDEQMKRHVNETEKFSNGLNVEYHEMVKHLDGMKLNLFADTTLRRLGLVRVRPLHKKKDQMTSKTIDEMSGGNIETVTKNDIVTNLEELILSKLKKTSWTPLPSARNPFDIPEISTRNESRAVVDYHSVPYVHKLNVQSLPCEYNNKKRKKTRKEVLQEIATKPRPDTLKREGIYIQEEEGDEEDIYKFAWNEMGEMIENPMRDNRMEEDPFAAANPYEGMEYDDEEVASGGNGMMEESHYHHEKEDETQLGEAFSFMAAHIDYNR >PPA14137 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1044623:1045476:-1 gene:PPA14137 transcript:PPA14137 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLNALFTPELLFAYLNASSPNSLDGEIRVQSECPVDRKRLSDESISDKKPKTAKKEVTRPHERYGDYDPNRYHPEMRPGKYIEGEKKAYWYFILKLLTDPTKKDMISWTGRGYEFVCVSQNGLKELWRIEQGKEKESVILLSHCQCQWDSLRRNFRSCYKRRIMIPVNARRHIFAFIIEASSHIGWTKQQLADYIKENQLVR >PPA14148 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1095713:1098819:1 gene:PPA14148 transcript:PPA14148 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKTFSLQDSLPSLTVPTIDETMDRYLNTATVLLTPDEIARTKKAVEEFRKSKHVEFMQAALEDKAKKEKNWLEEWWYDAYLEMRLPLVPYTSLASINPAGLRTSKREVQVLTAAESTHHMMKVWQKTRREQIPIMKSRGTVWDMKQNYVLFNSNRTPGKPKDRMDRYFKTDSEGKCPSHVTVLCRGSIWKMEMEVNGEIRSPDDTVRILRHIQKESPHVAQSPITLTTMDRDQWAEALRYCMMGRSEQAWVDKNMNIIIMADSQILTQGEHSNLDAIVMLDAGNQMAAVMRKGEWKVEEAAWLSDLPERLNFQLTTTLVNKINDADSQFEKLKQSFRAKVVRFTGYGNDRLKRVKIYTDTVVQIALQLAFYRVHGRFGPIYETASIRKFFHGRTETVRGLTREMKMFGEAVKERKEEKEQLRLFKDAYDAHNKLMAEATNARGVDRHLYGIRKSLQSLNSGGKCQPKLALPSIFTDDAWKKSGGDGNFLLSTSFIGYADGVYGYVCAMREDGYGTFYLTQKDQILLTITDYVGTKSDLNAYGREIEWALNHLGKLIEFMEKTSKL >PPA14053 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:563680:568360:-1 gene:PPA14053 transcript:PPA14053 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lin-25 MGDLEDDDEPMNEPIKQKGKSRINDKREDQADKISREVVDFIATAVGDPFFISVWNHSIVDTTISVFVSARMNVSNREMVQDIRHAAEMVGVGQLWMWKSAVRSAIQLMALSKLPVHVWDLFQDGLERHGYVGGRIAVDRFHTTVPDCELITRSPIALRAIEGIKNDDIISILPSLFDPSCIAFNSVLAHLSIDGEIHRKTIQLADVNRLMEVPNDEVDGELRWKRFDATFCLLLHTYYTVNRMTLRRIVCGGGPNLENRNGVFYRWVTRFGRRDTTPNDKRDMENTLDEKKEERTEKAKGFIRLLNSQCPLTENDSNYSDLFDILPYLAQLLLEEAGKNTFDISTALSTLSTSPSIVYSLLMWLYCLDHSDARVSLVKNMDRVMREMHGTDNTWKFMIHSSSILVKEMMKGARTMDCVNSSPMVAAFMIKPLRYRHELWVREITKLIVMDEMTEMGELLMGAAMIAPLQTCTQICQKLGDTILNDSNENDYPPRPEMAPCLFVFLSRFTAQMMMMGMWAIAHGEARIAELAEELGEEAVPKKKLRFYVDDLEDELEMTRAHKDEMFETITALFNRMLKACQKGYLRNSVSAVSLILKEIAIGPDIECSVALKKMLELLAVIDPLSVPFELYCSFVSDKDKRLEYLCKWKNWKGVEYPEMEYEDDDMRIDDDIRVGRML >PPA13939 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:32365:33795:-1 gene:PPA13939 transcript:PPA13939 gene_biotype:protein_coding transcript_biotype:protein_coding MALAARLSTRTLGVSKRFASFQAIPTNAGKTPPTLEEFDPLNPGEWQLGAGGKILPRLPEGTKVGKLVMGKYGLYDPVLKKRVDTYSKALLDGKKSEEAGPFDRGVATIGKVLGTCCLIIGIYNLATLINGKPLPPYSDHKAPGS >PPA14166 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1179949:1180458:-1 gene:PPA14166 transcript:PPA14166 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDRPRAVARAANTAQECFTVESGKVLIGIVDQQIKNVKTLEKCMRACQDSKRKSDRVCKSAMYYEKEQECILASQNKGDSPGLYIEDENSIYLENACFAEEKETTATATMGRIEREKIGIL >PPA14210 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1401144:1404753:-1 gene:PPA14210 transcript:PPA14210 gene_biotype:protein_coding transcript_biotype:protein_coding MMNCNLSMSVVCMINTTETDRERATNDGGFSPANETGFIRLEDHADLVDWTPEEEGIIFSAFNLGLLFMLITGVLADKLNAKWMILGSVAIAVVATMMIPIMTNSFYWAVLARFLIGLSDAMLQPAVNSLLTRWFPVSERSYALSIATGGRQLGTLLITPIAGALCQSTIFGGWPAIFVLSALAGVVFIAMYLFLGADKPSKLSCISEGELKFITLANAAEDCGKKRNERKVPWKRILLSGSVWSAVIAVVCHEFPLMTMIMFLPSYLHDVHHYTATTNGLLSSLPTLALWIAKILSSYCDTWLKKHTRWSASNICKLLNGIGSLGLGVFLYATTLLDASHAWLAVLFLCLSMFFAGLHTPGCQAALVAIAPAYSGAVTGLAFFFVASSGIVNPILTKWIVQNQSAEEWNLVFYISTAFALLPCITFSVWGTADCQWWAKSEQQQQYYKDIKSSRKTSKESLDSETA >PPA14140 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1062706:1063961:1 gene:PPA14140 transcript:PPA14140 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLRSFLTRPRVLPLNISNPITSSFISFHCLPTECKLYILNMLFHQTPKVLDTCTHLGNDSVGNKFYLAQDARVYAAMKNDPETNLFEEYDGKTILHEAWGDLYKFIKTEMPRWKLVAENEQDWDVMEVKLTSLDERELWARMKSHKKAALSARNSSVNEKTRTKERNALAAVISRLSESEDMERRKDKIPDDPSPERAKKLQSKIERLEKELRSLKTGNTSTDTTNSSRKGIKKKNDLFDTRRVRVIKVKKDPAPEPFRGQNMDRKNDWTSEESEESTIEVR >PPA14113 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:911147:916053:1 gene:PPA14113 transcript:PPA14113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-xpc-1 MSSAPRRSLRLRNSNLPEDDKEEPEVTVKAVAPKRRAAAVNVTEKKKGTVEKAPRKKKKDENEEMDDEDMGDEVDKMDEVDTSGSDEEMEEMKTTKTTKKSVVEKEEAPTTSVVARPKRRCTLAAAKAFRKIANDDGNSDADDDFDIDKEMESVAEKKKKMKNGEKESSTTVEKKVVKNGARKAPAKKKKGKKVDMEDDSTTEDEEEEVEGKEEKPKKKETKKTVSKTSKEPLVMRPPSLDRPSQKVKLLLDREYNVDRDEMVAWEKRAVHMSREYRKIEAESYKNGSGRQKEIMTRVYEFVERCLKDRTHEMGEQESIRQCRILQGEFDEWERKRKMTVTQRIKEKVENEHKKLTAEDSDEKSEEEDEWEAMETVDGEGEVKTMQVHVENKEKNANWQTRWLKQEVNRAMRTRCENAHKAHLLSYMSHLRHLAAMCTNGEVPMAAIALSMIPPSKGKKIKKKREKERTEFIVWWWEQFEESERLVQNRSWPNFIDPMYDEEDDERSEEERMSEKIMKRRFTCHKEAAIMFFSLASAAGFTVRIVCRCQVITKKVPEAPDAKKKDEEKGPVKRRNNEKEQTRNHPIIDYWIEMWDEERDTWIAIDVVRPHPNLKNDDDDEEEENEKNLTPRYSEPLNSCMGKGEKVLYMLAIDNEMAIRDVSARYIHSTNFVAKEFRSRRANGEWLNELWEMDLWRAHSTRSRKEDDEWMTSMKVELPKLVGQYKDHPLYVLDKDVLKMQRIFPYNVPSLGELRGYKIYSRMYVRPTNTAKWYEKMGRQDDEPPCGEKKLTNPMTGESVMQGLFGYWQTDPWNAGEVVDGRLPRNEFDNIYMYQPKEMCPKGGIYIIPEGLQRVALEHGKDFVQAVIGWTYKGGNIVPIIQGAVFVKEDIPELVAAWKECEKRWKEEEKKVGRLPFEQIMYSCVQIRSEKSLAGWKKLIKGMLRLAAMRKEFEPMENKKKNKGTNMEDEAVRAEEAAAWPQQRYGGDLFE >PPA14188 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1279704:1281105:1 gene:PPA14188 transcript:PPA14188 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSVKHGGKKRTQFRALKNISKKRNIAKKKKERVQTECEQLSTAWNHKKTVKENMSAMGLAYDPNTVTPMVATKTKKVAEVEMVDVSEISKINAEQKKKFKKKGKKSEPSELDVKASNVVSELEKAAEQEAKDQKVGREFRLQERDIEFCVYMYEKHKDDYKAMSRDPRNLWQETPKQLEKKIRIYKNSPYYKVIESMETA >PPA14173 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1207639:1208872:1 gene:PPA14173 transcript:PPA14173 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQCTCDELGPCYDNIADILTQCADRCQNHFTSIGISYPTARQCILDRLPGFSGTLTCAKNNFGNVCAAAPGPMVPKRYAETLQLAAFRELSGMLNQSGLGGAAAALGKVARKAVGCIAKCVRTRGCAGTKTCGLSLPSDNQIVSTFKSCASSSGLLTTSSLQQMCGCMVGAGIPQLADSCPKLSLYQNRNGHWRSLNP >PPA14012 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:392493:393208:1 gene:PPA14012 transcript:PPA14012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ttr-37 MIRRLLILIYLFICTFSSPLPLQSISVRGHLYCGQFPMKDVKVKLIDIDPEETDDLLDSAYTDENGYFALSGATREEGIMQGAIKIYHHCGDRLALYGEPSYGQKNESNSSRKKKKKKRKRYLCA >PPA13952 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:78547:78916:1 gene:PPA13952 transcript:PPA13952 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIDWKTSSANSSIGKNMQNGENSLDKLYSYPSQMAAYVGAFNASIEFDRYPQIDRAYIVVAHENGQEGNLVEMSGDELELSVNY >PPA14109 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:900754:902264:1 gene:PPA14109 transcript:PPA14109 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYVVDSSGANEELKDASMIEKYEMNDKEYDKRENSVRAWKKKILEEKGETEGTVKVDNAEAKAAEGIKVDCRCEIRVAGQMTRRGRVAFVGETKFKEGIWVGVHYDEPVGKNDGSVQGVRYFECMDKYGGFVRPTNVTVGDFPELGIDDDMDEI >PPA13973 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:177027:177789:-1 gene:PPA13973 transcript:PPA13973 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYSPGGFVSRLVSQLGDSLSRLSSITIHIDVSYETIKNQILCCPQEDLFYALRSFTLSNCKVLIQISFGAMEYTDFDYVARHSLMTGMKETIKIIETTDPRAEVEFVIDPAQGYTDMTIELPNIEVKMIRWKVKMKNARISK >PPA14184 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1263907:1267229:1 gene:PPA14184 transcript:PPA14184 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFGHANQLRQAKKFGKKLIVGVHNDEEVTLHKGPPVFTEEERYRMVRGIKWVDEVIEDAPYSTTIATLDKYDCAFCIHGDDITMTADGRDTYEEVKNEGRYKECKRTAGVSTTDLLGRMLMLSRIHHSREDAIDQEIEKTRSLSTSKCAESPWTRVSRFITSTRTLMEFSAGNKPQAGDKIVYVSGAFDLFHIGHLCFLEAARALGDYLIVGILNDQTVNSYRGGCHPIMNLNERVLSVLAYKPVSEVIIGAPYHITGELIDQFNIAVVVQGSRVSHHQSPEDTEDPYTAAKERGIFTTIDSGSDVTTDAVIQRIFDRRLVYEARNAKKVKKELAAVEALQKMQNGNILTANWIRGTLL >PPA14044 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:491618:492157:1 gene:PPA14044 transcript:PPA14044 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIPIHDTPKSTSNNKRRSADFAGGDFDESLIVDEMKKLCHEDNDFDGEERDESVKTDSSESSVDDYKKKIASDSYWSSKLRAQRRVAKRRTAISQEEGMRVRRILSDEPSFSQ >PPA14159 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1147050:1149301:1 gene:PPA14159 transcript:PPA14159 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ret-1 MDSHHGVGDILEHAYDQAHQKVDDVIGHLAECEGAKAIEKAVHEVPQAVDDFVHQDHHFVDPPKQTHSAMDDLSDLMGDVIQKPSTLVPEPIKEMLVDAPISPSEDSGRDTVSKLLGDHSDSDEPDFGQQTPEEDTFQRDGPLRIPTDLIEQAEPEDLPLPSVPSHHDHHHGFETVARPPTPPKDISDEDVKPSTVHLGHHEPHHDPHRSILKHSGSTSPSGKGPWFNFKSVDPRGESDGLR >PPA13935 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:11506:12241:1 gene:PPA13935 transcript:PPA13935 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-spe-26 MPHSRADGAACVLGDMIYVSGGLSSNKDGPSGASLFIFGGYTGKERVTSVYKRQMTEGKWTEQPGMITARCSASVMQFHLNSIVVFGGNNGKGAYAEKWNGESWEIFNNGNIDREGSKVIHVPDFFHEIHEY >PPA13940 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:35079:37200:1 gene:PPA13940 transcript:PPA13940 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAPALFKQTDEEWQAKIQSFSYLFTFLTFKKHSQLKDAFANLIETGFSTDAPPYLQTSSCPGSLALLFVFRVVIDSPPLINYLVTHNIKYMSPPYFVRLAHHIFSLPHGCISNNLIAHVDQLSIHLDLEATGILMESMLRVAFDPSAFRGFDATKDSAVPILREGVLRALSRSVDNVVRFVHKNQTVDGCAALLNFSSGSKLQELIDWSLLHPPGRPPLLQYLHAISISYGVHKGAEIVARFIMRAKDEMDVQ >PPA14091 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:753442:754618:-1 gene:PPA14091 transcript:PPA14091 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAQLLSKILHAKIMITKNNAEFTISSIFKGASKTCDGRTILLLISLVSAAPQQKCGLNEVWGLCGNHCELTCIPTGKECEMDCKPAACKCDFDFYRHKDGRCVQKKDC >PPA14180 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1250996:1253622:-1 gene:PPA14180 transcript:PPA14180 gene_biotype:protein_coding transcript_biotype:protein_coding MLALLTFMSMVLSGLKSGCFYYLTSLIVSKMRKDLFNSVINQEIAFFDKYKSGEIVSRLTSDVDQISYRISHALGDSLKCALSLAGKILFMSAISWRLALINFIAFPIIIYVTKIYGDFYDKMGDQESQATADAHQVAEEFISTVRTVRSCAAEKKASKKFSDAIEHAKKVARKEALAVIGLHFSYDLYYNCIYVIVLVYGAKLVAAGSLEAAALVTFMMYQQQIGDHIVDLNYDIPQFMGTLGKSRKFCKFLVRQPKIKTDGEKEQAVKGELKLDNVGFKYPNRQLNQVLKDLSLHIHPGQTLALVGPSGGGKSTIVSLLERFYDPEEGEITLDGLPLNEYNHEYYHKKIALVAQEPILYDCSVRENIGFGCNATEEEIIEAAKTANAHNFVMGLEKGYETSCGEKGTQMSGGQKQRIAIARALVRDPSILILDEATSALDNQSEQIVQEAMLKCAVNRTVIVIAHRLSTIEKADRIAVIDKGRVVQILRDY >PPA13971 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:167518:175207:1 gene:PPA13971 transcript:PPA13971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gcy-33 MDVDQVGILAGRFFVRFLIYHGYGDLMNVMGRKFSDFLKGLDNIHEYFRFSYPKIKPPSFYCSMENENGLILHYRSRRKGYIAYVMGQLIELAKVFYNTELGATVIKLKEKGRFTFVTIRLRFDNSAVGADMKLRERVQSLNEFLPVDTISFLRMFPFYISFDKSLTIISCGEGLLNLMPDVMGQKMTDVFDLQRPCIKFTADGCHAHENCNFLMESLSPVYRKETKSITLKINDVTEDHVGVDTKETIPSDDGINPHSSSIILRFLPSEPLSYVVLRGPIIFLKHCDTFLLLATCVEIIMASIQKSDHLKTLLAEEKKRSQVLTSMTKEIREAKRKAKALLVQMMPPEVANTMLKTGRVDHCQAFDAVTIAFIKICDFLDLSASIVAADVYWMRSWMSMDVIRKGKSANSKVETVSESYVISCGVPYAHEFDAEIRSPPLKAGSNVVEIKIGGYSGPVVGGVVGHRAPRYCLFGDTVNCASRMESNNKTPQSMQIGQPMKDRLEMQTDNAFTIKSRGTLKFKGKGEMPAYEVVSKNGRPRYAKHPPAKTVDERPESEQTEKDEYLSDEHSRNTALSRMSMRLSSFERHEEVANKVNLDEVGKINRKESDLFSQVDRRLKKFKEDQEKKNRRESAGEEEKERIKVIPKDLSPIQSKKSSVGSLGISEEIPSPKQSEPKSPHLNLDRIPSPFTTSSLGVNEEAIGDLNEVEREEEEMEERDDEIDNAEREEEEEGDSETTQVIDGMHEAKVPEELSLPTTDSVKDERVESDEDLSGQSTPVVTTRERNKEKKKKEYSTFKRSTSKPKRQNSKPEHQHQHQDKCKCEEMRRDGRLKTKFIEHAICNEVLKSVADKFNDSQLITQRQQLGEAHRPSICFPRPSRMNQLASLAPVGLLVVAPVLSVVSVLVLTNDNFESALEVHPQYQAAGVLKDEGSEVKLAKVDATVHGDLDSKFEVRFADIPRSSSSVPASPPSTGRDADAIVKWLKKKTGPAAVAFESSDDLKAFAEGNDVYLLLPTSRFAPEFTDLTTENIVSFNERVLAGDLKQHLMSADVPEDWDTKPVKVLVGNNFNEIGKNSGKGQLVKFYAPWYVRTLQVARARLGGTRREVGHFRHDSHRQRRPYPERVY >PPA14116 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:928267:931451:-1 gene:PPA14116 transcript:PPA14116 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLFSLIFFIPSSDGESTIHPGHPEWASDSEMENYRDAPMDDNQTKLLVEFHEHNGNLFQDLMRTYHKELSPYYTNYHRPLEHGQVHPPPMRTSATLTWFRIVKLDQVEQVMKIIAELRLIWTDHRLAWNPADYGGIQHIYFTRNSIWVPELTVGDSEMASDVAPDYKQNVLVKYTGHVLHYFNVVSHSVCPLVTSNFPFDRQECEFVLIEILFNKNESAIDVQFAERLNFARAGNGEWDILGGHINRTTVADYENVEFDLMRFVFSMKRKSNFYVMVIIVPTFIITTLSITGVFAKRLSGEDFIGELSLGLTSLMTLTVMLGIVADSLPKTNSLPVLSIFLTVEVTLTALSVLVVILHPRVLYPKIKAMRSKMSLPGTHFALNHPEEEQGCLARIRSAICKKHMDFYLSCNLSPLLLSLISLDHGTISPSLEEKEEKGEETVDGEILPVNERPSSPNEEVNATKEEKKNITQDGEIVNIENPERNTRTSIKSHQILGPDGKLKVVFARCEKTVEENGKVITLEKEEHSSRTNPEWRKKRRK >PPA14084 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:722938:723973:1 gene:PPA14084 transcript:PPA14084 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIGCDDRKICSDRILVMMLLKLILPAFVFASPVFIFRAGTYFLMNDRRFEFFCNIITAVTDLWVALTGLNIQLIFPFVDYRFRKTANEIRIFRFVRKHLTGNKVQTADIYFHWLENDLK >PPA13976 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:190705:191762:1 gene:PPA13976 transcript:PPA13976 gene_biotype:protein_coding transcript_biotype:protein_coding MSATLDSPSDVLAEEATRIIAAEYGQWDAPSTSFDPSMIDSSPYDAAQEMYEYSDNLREDEDGEGVEGGTMTLLNYWKDNNYEDSAVPSTSRGWTEEDRRKRLIDRKEEVKDGASEDKRLRLSHMQSTHVERDENDDDKVGEEKKKEWKCAECLYWNCVHRVHYWAF >PPA14014 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:395053:396045:-1 gene:PPA14014 transcript:PPA14014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-snr-4 MVKPRSEMTQEELTKMEQEEFSVGPLSILTQSVKNNTQVLINCRNNKKLLGRVKAFDRHCNMVLESVKEMWTELPKTGKGKKKAKPVAKDRFISKMFLRGDSVIIVLKNPLAAPE >PPA14219 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1451725:1456360:1 gene:PPA14219 transcript:PPA14219 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIRTLLDQIQSFNSQTVNMMRNGGSDRYGGGSGGNYGRGGGSSGGYRGSSGGGYGGGGRGGGGSYGGGSGRGGGGGYGGGGGGGGGYGSGGFGGGGRGGDMGSAGSRLRDIDWSREKMNPIHKDLYEENPAFEIDQWISANQVTLEGRGIPRPIFQFSEAPFRREIIDLLYHNFQTPTVIQSISWPIAMSGRDIVSIAKTGSGKTLAFMLPGLMHTVAQTPRGHREGPSILVLLPTRELAQQVQEVSVNYCHALGLKMTCCFGGASKGPQARDLERGVDVIVATPGRLLDFIEAGTTNLRRCSFLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTMMFSATWPKEVRAMASDFQKDAAFLNVGSLDLAANHNITQVVEVIDEHAKQSRIMQVLNEIMNQPDCKTIIFVDTKRKADDLTRWMRRDGWPALCMHGDKQQSERDWVLHEFKTGKTPILLATDVAARGLDVDDIKFVINYDYPNNSEDYVHRIGRTGRCEKKGTAYTFFTPSNAPKARDLLKILDEAKQNVAPELRELSMRGGGNRGGGRGYGGGMKRAYGGSGGGGGGYEKRGRYCKYPNPLE >PPA13950 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:74628:75514:1 gene:PPA13950 transcript:PPA13950 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVEWDELMEGLISEDSSLVSIGTIVRLITMPPPQEQAEEELEKQYRDYKIQFEEWKEKNKNATTTDAYKAYVKQFETWEKDVTKRRRVIQDKVVYLVTRI >PPA14252 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:1630254:1632682:-1 gene:PPA14252 transcript:PPA14252 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDDGNETTPRPSSPDITLGNVTKIPFNSRAHEIQAGLIDTFLNLELVDNNLYLARHLLKGRKSSPAVYGGQVIGQALAAAASTVGEGFIPNSLHSYFLKCGDVNRPILYMIDRIRDGRSFCTRLVKAVQQGEAIFTMQMSFHKPEPDGIVYQQSMPSVPPPEELQEMRQIIETALIDTDLPAATRAMLTYKLNEIPPAFERVFQFRPVEPNKVLLKGNCDEPTSAVWLKANENIGELHKFKFTNFVQSGLSKQNFAR >PPA14025 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:425435:425934:-1 gene:PPA14025 transcript:PPA14025 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAAVQKIDPALFPLLLYKRILRLHYGLPAPARLMGDSYVKDEFRRHKSVPREQALVFLNEWTQYCTMLSKQLTGRGISKGVIGKDFDHTDLDKFSEEQLSQLLELKEEAELDQRRLRGETVEVKKESEV >PPA14160 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1153680:1154804:1 gene:PPA14160 transcript:PPA14160 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ret-1 MTGKVIGNCYKILDLIYWRDPKKSGIALGAILTVLFILTRFPLITVLSYSGLAVLTGTVGFRVIKAVEAQIKKTDGSNPFQVYLEQDLSIPQDRVREQVDVIVEHAQCLARQLRRLFLVESIVDSVKFGLLLWSFTYIGAWFSGCTLVFLAVIAIFSVPKIYETYQEPIDAQLAVVKGHIDNVTNILEEKLPFLKRAAVETEKKDQ >PPA14070 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:658395:661424:1 gene:PPA14070 transcript:PPA14070 gene_biotype:protein_coding transcript_biotype:protein_coding MIPASFLFLLLPAISSQQLFPGIKAPSSPPRLARPSASSGSFHGQPEEYQPSAFLSSSSSSPHSAIPRSAPISSIPGTSPDKYYSTGFAPAPGTAPATASAPQIVPALPTEIPSTSAPIAYLTGTQLPVASFSSYNTDHQSEEEQDEPEFGQFAIPTLPPDFTARLASVAGAVVNKVHKVVQQPQPHPSIANPMQPNPRVTQPLHPLNPVPVVPIARPLAPPPPSPQQPIQVINAVIAQPQPTQPYPEELPQQPQPLSSLHVSTEAPEDLAYELNFCDRTEYPDDLLAQYGLARLDYFVYNTTCSRTFYQCSIGKTFVLRCSSDAEAYDSFTHNCNFRHDIRYCPEYDHITHCRLIMRLVGNKFGIVSSMIHHRRIQ >PPA14212 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1414066:1416284:-1 gene:PPA14212 transcript:PPA14212 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSSAMIILLITLCSVLRTNMADEESLIESSSIALSTPILPTRKFYQTQRAATIEYQPKIRLIKKSSSNPTDNNTVNATLEKTDGRTKTGKTETKVDKTGKTEKGDAKTTDKAAKTSEKKTGGAKVDGSAGKSEGAETKVESIGERLEEDRADVNPKREESGRMRWWRRRKLLLQPPQHLQHLQPLPNLHNNSPTDSAIPSETISEDCHFYSSSFNSPSLRQDSNFHSPTISQNRHLHSSALNSETFCENGQMSLRPGLVIAKPSDPRGALVKSTPTPSASSTTKGSSSTAKSSQKKSKTPTDPTVELTEKTAVEPPAGPPAWHCGWLIAGVLIAIFVVVLIIMIVFVAAKGMDDAEDKARWAKEDRDSSSPNQRRIRDTQMVSGRVKFRLREPPDPL >PPA14110 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:902316:904278:-1 gene:PPA14110 transcript:PPA14110 gene_biotype:protein_coding transcript_biotype:protein_coding MSGALKKIIDAKTLQSLVAAGSINKEGIRLFDCTFFPTQYPDYRRFKEESYGKFPELIAAGSRAYSAYAAAHIPGAVHCTQGIATYPSRYERFTYYPADIFEQYIQLLGVHKDEHIIVYGRGPQGGMLFAGKVAWILKSYGQEKLSLLDGGLENWTKNKFELSNQPIQLPKGDWTAKDRIGDFNIPYEELLQKDADGKEFIEKIDECRFVDARVRGQFDGTEDSGLDPYVPATRIHGFTSMPSAELVNQEGLLKSEQEIKKMIPSGSSPVVAICNLGVQASLLSYVMDSVDSTTRPRIYPGSLKEMEKRNPKKISADKHAD >PPA14206 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:1382802:1385786:1 gene:PPA14206 transcript:PPA14206 gene_biotype:protein_coding transcript_biotype:protein_coding MNQHFILKEAISYLVINDNSGGIDMPADAFSQPVSSMYVYKRYINFGEDLNDIPRLHDGGPTTGKQTAVAISSKDTKVASKPTNKNTNMRDGGATKNRQVSKDNDSESGVRKEYWTEANLVKIVVENDEWTISCFGFEKLSGFKCSPKKVTDGEEATCVFQSGSMQVELSKLEDQLTISTIFNGTKSSRVYEKINKECESEERKRPGRPRGSISVHGDLTCHRCEMGSFTVYRLVDHLRNDHDTSVLMSEQRAHSKKDEPKEEDATGSPNMADEWAANEVNEAVDVAPAFELESDLPEVKLFGKWNRQEVNVADISLVSYITVKEQKKPAL >PPA14055 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:573189:575391:1 gene:PPA14055 transcript:PPA14055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pha-4 MQVASTTSNVSSTPTASLEIPSLFSGTPSAEGMSAATQEYANSLSSAYGNLGGYYSTYGTNAATLSSLPYYAATSYQNQMTNPYSFSMTTSSISPLTRPSVSSSSVVLSSTPSNVPSSSSSPPSRTPIARSSSNSSAGQGQMVPEAELAKIHNGNYGSSKPPFSYISLITMAIQRSETHMMTLNEIYTWIMTQFPYYRQNQQRWQNSIRHSLSFNDCFVKVPRTPDKPGKGSFWTLHSLCGNMFENGCYLRRQKRFKVRERGERDSTRSKKKKQSAISSDGLGNTNGGGGGSMGSFVVKEEFDGMGGFPVKDESVSNMSVGSLLSNVNLSSLTESKIDEKTIPIESSVIRKDKSSSIVQSIPSLQLSSTSATTSLPTSVITGVGSLSNQAAMANQYAAMPYNPNDFTFNSLPALTNFNQLIDPNTKFDAINYYGYAQDYSAYNASTLYSSSNPHDGANL >PPA14181 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1255031:1256458:-1 gene:PPA14181 transcript:PPA14181 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGLPDDWTIGDLVNLSAAAKLKAAKELLCRLKEDFESMRGTSQDRLDKMKELKIENEAMKKEKTDMIAEMKKVKKSLEDMKSEMQAIKDNEKITKDETEKQISKLIEDGQKAKTTFLKATDKFLENRRKWRKEKETLEEQKEEVERNLEEEIEKNKEMEETMNKMNKEFDDLRNGISQLKAKKQEESSSVKLWEYTKILFLGSVSIEGNSEKVLGATVEWVGHEPSGVRWENMDLQMEMEGRSREEK >PPA14101 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:801003:802420:-1 gene:PPA14101 transcript:PPA14101 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLFILPILFIIFSSSQAELRLGDTVDGLLNGLRPTVNGLLGTVDNVLPLGIRLKRDVDVGKTVDGLVDGLKPTVNGLLGTVDKILPLGIRQKRELNLGQTVDGLVTGLRPVVNGLLGTVDTVLPLGIRLKREVNIGQTLDSLTSPVKSPVNGLLSSIDQVIPLVIRMTKEIFLIG >PPA14250 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1610225:1616217:-1 gene:PPA14250 transcript:PPA14250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pph-5 MFLHGTHDFIKFTLGVVNGQTQGNEPSKMDIEVEKVLEAFIDVQDDIERSNKIKDRANDYFKNQHYDMAIELYTAAIDAAPSAVLYGNRSMAYLKKELYGSALNDADNALAIDNNYIKGYYRRATANMALGRFKKALKDYEIAKKHAPNDKDALAKFTECSKIVKRINFEKAIAGDDKKKSVVTTLNLNEMEVESSYDGPHLGDEITREFIDLMIEHFKTQKKIHRKYAFKILIEIRKWFMAQPTLVDISVPDGNKFTICGDVHGQFYDLVNIFDLNGAPSEKNPYLFNGDFVDRGSFSVETIFTMFAYKLLLPNHFFMSRGNHESDVMNKMYGFEGEVKNKYSAQMCELFTELFNYLPLCHLINQKVFVCHGGLFKQEGVTLDDIRKTDRVRQPPDEGIMCDLLWSDPYSLNGRAPSKRGVGCQFGPDVSEKWCKDNGVDYVVRSHEVKVEGWEEHHNGRVYTVFSAPNYCDQMGNKGAFITIKGDNLTPRFTSFSEL >PPA13964 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:131079:133568:-1 gene:PPA13964 transcript:PPA13964 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-uig-1 MLSTLCTLSSRPSVSSALKERQISLGHPLPLSAYLLKPVQRILKYHLFIENILRELESSNAVEEKRRVARKALDEMTSQASRMNDEKKKSEHAERVDQLQRILTQWSNQQTPDLTAYGELLLEAQFRLSGAKTMRQLFLFEEMLLIAKQRSGHLVVKDFIMCSNLMLNESISSDPLSFQVLSFDSPRAQYVFLANNTEQKKVWMRELKRAVLDHFEVEIPEETKRLMLSIDNTQVKIPFGRPEFADVKQSKKIPKYLEKRRKSVESRDRRRSLSTSRLAPSNSQNSITPKSSTPSNHKCTCGMEPMSSGITHNRALSSSTMDFNESLPAQLNATTPSPSSSFSFFSRKKSTPTSESGRGRFFQARKTRQLPPTGRDEPDRSPWDSPSPSSLNTSAPTDSITMNNEEIEKSFDEIYRNLQLFGAEQVLPNREDASRRLDNLVKGKSPPVDDREKRLRSKSLSRLDAVNVGLEEANLERFEKVGGWEPTERQQRIRMSRNLERRPLHWLDSINLTLHLIHLHLPSPSPFLILLLVLFSLSLVKDLLFHLNNVE >PPA13987 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:227122:227358:-1 gene:PPA13987 transcript:PPA13987 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSLHKFTFTSLFFFVKSGTDRICDGIIFGDIIEGAEVLDAINQSIELKRKVSITKSGLM >PPA13938 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:30115:32185:-1 gene:PPA13938 transcript:PPA13938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ubc-19 MASKEDSETYEIAEEGNCKVHAPEKLVLSSDQLLRHAIISEYAILGRKPIDGMFIMPGHNNILEWLGILFIRNGVYTGGIFRFCVSLPKNFPETDDLPVITLETEVFHPQISLKEKRVDLTRYFPDGWKRDKHHVWNALIVLHRIFFSLDVDVETSVNHEAAILWKEDKKRFKVLARQNVDHSRTIVYEDPANVDDVNCLRFTPWCEAEHQSIRERIMSGKSSLKSSHSSTPVSGYSWIDTDTLTYMTEPIDTKMDLDSSRNHSDRVAYGIERLDLSGIIDEAEEALPLEINGDKSTRRDNG >PPA14190 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1292202:1292780:1 gene:PPA14190 transcript:PPA14190 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTKWESALVLLRSNQDQTLSNSSHSLSTANSHSITRLDTKMIDLGTIPIPDGEVTQIQLSIVLDDHEQAIEYIRKMRFHFDVDVDSKN >PPA14074 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:671349:673282:1 gene:PPA14074 transcript:PPA14074 gene_biotype:protein_coding transcript_biotype:protein_coding description:KRR1 small subunit processome component [Source:UniProtKB/TrEMBL;Acc:H3EW75] MGKKNKPSDLDESEKTEEKHTSSSSAASFGAQKDPKWWDIATFNKDDNKNGLVAESSFSILFPKYREKYIRESWPLVDKAFGDQNLKGELDLLEGTITVRSTRKTWDPYIIIKARDMLKLMARSVPYEQAVRVLQDDISCEIIKISSMVSNKERFVKRRARLVGNDGATLKAIELLTQCYVCIQGGTVAAVGPFSGLKQVSSIVVDCMNNIHPIYNIKTMMIKRELSKNEKLKDESWDRFLPKFKKKVQAAATTRDAKKKKAARWKTKGDYTPFPPQQQPRKIDLQLESGEFFLNEKQRVDEKRRKKWEQQGEKTTQRNKERAAAFVAPEEKAKAKTTEKRSAQDVDLAKLKAKAKKAKIEQA >PPA14035 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:458123:461725:1 gene:PPA14035 transcript:PPA14035 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVGRVVLTVISLSIASHNINLGYASFLFINLFKVGTHSKNGIAFIIFSISILMSIGLAGNESSNVQSLKELLIRMSKTLSFCSLLNFSHMLRAVDDSAKEKITVAKKSSDKKKNKQKDPPRKKDSKKSGKGKGENDVDEKHMVKFMKKLKEISEDDFVVETVSVHLQTYYESKIKLTMENTVSGAPVCATTSIDLGDQVIAINGKKVSNREESRQLFKDIKKEVLRESGGKKVDFEVQITRRRLRRLKLSPMPKMLVSEDLPKGFEFYEALLVLYPNSSIGFNVKPAINLRCMVSSVDGGWKSVAKKVFQVGDIILYISGGKDGQEVNSVAAAKTALTKYAKGSFIRVWFARAKDPVCVRQVRAALVQEKVANHADPRMAADCMDIVKDELAAMDYRKNKKMTFPEVVRDYNESEKRRIDFRKTSKFAKIGVEAHVNPHHLSVVPAREKKKPMALPPPIVSVPPPSPPPPPILPPVVNPFEIIKKMKKVPGKNKSRDSKEEKDEKKKGSAESDQVDIPPSPPLSIYPFYAHHDVDSSRKNSKKNKSNEDDNKNVKSNYVMEDMNKNPVLGYKVDMLPHEGTNDGSTKKIDYNSRFERSRC >PPA14133 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1008059:1012620:1 gene:PPA14133 transcript:PPA14133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pap-1 MTGATGSDSTPLLGVSQPISMAKPTEKEVVMSDQLDGTLKSFNLYESEEEMETRLEVLRNVNSLVKRWVKEVSLTKMPADECEKVGGKLFTFGSYRLGVHGRGADIDSLCVVPRHIDRSDFFTSFYKMLSEDPNVTDLHAVEDAFVPVIKLYYNKIDMDILFARLALKEVPDDQTLHDDMLLKNLDEKCIRSLNGSRVADQILRLVPKVETFTLTLRAIKLWAQNHGIYSNVLGFLGGVSWAILVARVCQLYPNYAPSKLVNRFFMVFAKWEWPHPVLLKDIDSSPRPDISALHDLVWDPRTRSTDRYHLMPIITPAFPEQNSTFNVTTSTRTILMNEIQEGLEITTDIMEGHCEWKELFEEVNFFSRYKHFLVVVCSADSEEHHLVWEGMVKSKLRHLIGSLERNPRITLGHINPKTYKPNMNPCPFQIGYENPVAEMWFVGLEFNKSMMGTKNVVLTEEITGFHDTVNRATRYSKGRETFTDDMKMLLNYVARKDLIKWIPKSELTKGRGARKPPSATASSLPSTPRAVIERRQSTSTSVEATSTPSTAGEVSSTTPSEAGPSNGGEKKEGDDKEKEVPMEDGTNGEVMDQTCSSSSTSSEAVVTSSTVAETPPTSGLPSVIKLSHSTSMPNLGASATSDRQPAQQLPLSNPDEANAANPIPTETVKNDLISGIDSRLLNRKRSAEGIIDDDSSAHKARRMEAV >PPA14058 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:590769:591645:-1 gene:PPA14058 transcript:PPA14058 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLVTARSHRIDVVGIGITATPSTILRGGPFDPETRFQRTSDCCVIRKGSGRRGTVWTFPQAGSDSSTRTYDLPVKSETLPRRVPVREVTIRTIPQKRDAASIWKGEGPLRVMKDQSEYGPPPAYGSRSTSHPPSLPSTVNNNNREDKLDPQEIRKTCEVIRESLLEEPPKNMVRI >PPA14246 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1597493:1599052:1 gene:PPA14246 transcript:PPA14246 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSFLLLLLSPISFAVFDESESIRLFHLSAAAYGDKIEECVNKAHVTDHNWELWSSSHQICDILSHSCTFYIAHSETKNESTIAFRGTTSKTQLFVEGWQSLTPNIDFFGMGLVNRYFIEAHLSMWSGIEEYLNDPKYEHHSVAFTGHSLGGALAALAAARTVKQDLRHSGRVKVYTYGEPRVGSLKFARAFDELIPESYRIVHGRDIVPHMPACEKNETATRNNVSPCDSQNPDGSYHHGTEIWYPFGMVPGSVSYRTCTGFPKNEDFTCSDKLKFRIDDVGDSVWEHREYYGVKRCERVCKMKSQRSHFSSA >PPA14011 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:388770:392037:1 gene:PPA14011 transcript:PPA14011 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKELSSAMDESFDDVLDVLTNIDKSALDRAVEDEPLDQETIMKTITAFNYKPKLAIRIVKGKEESEDIVPQDAAPESECVKRTPVVTIMGHVDHGKTTLLDSLRNSRIVDGEFGGITQHIGAFSVFLPSSKRSVTFLDTPGHAAFANMRSRGARVTDIVVLVVAADDGVKEQTEQSIKFAREAGVPIIVAINKCDKPTADPARAKRSLLQHDIVVEELGGDVQCVEISALQSKGIDALQEAILTQADLLNLQAPTKGLAEGHVLESSVKPGLGKVCTVLVSRGTLRKGKILVAGTAYTRVRTMTDEHGKEVNEALPGAPLRISGWKDSLPSPGDIVLEASDSNRAEKAIKWRKRIEMEEKAEKDWMETEGSRKADREKYLEMRQALLNRGQRFGSTLRHIAHKKEQLRKSEDDGHPKLKILLRTDVEGSLEAILEVISTYSSTRCNLQLVDFGVGPPTEKEIEMAETAGAMLYTFNVDTPSSIRRCASESGVEIRPFNVVYRLVDALKDDLSKALPEETERVLIGEGHVLKEFLISDRNRKKQPIAGVLVDWGDFQRNCVFRFSRGGEAYYEGSIESMKCNLEVVSSAKTNTEVGLALDDKKIRFKEDDTVEVFEEKQVKQTIDWFPPGF >PPA14221 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:1460394:1461740:-1 gene:PPA14221 transcript:PPA14221 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDSNLQSNGDKETEKTNEIGIQSNIQSNRHEEETIGSALDALCLVPALLMTFPLLSLPDEIIGYIYSFLPIKDRMRARLCKRLDNVEMQHKYFAPRFNIDENDAKITLWRQLVVNDDNMEMFSLNKDEMVDKYLFLLIDACCKLKLGCTGKLTAAGLNTLYKAYNFKDVQGAPKFRAH >PPA14207 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1388871:1389613:-1 gene:PPA14207 transcript:PPA14207 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCCQAFFKEDINEHRIRVLTKAAEEKRSIKKAKQSLSRSRTPMDTVLDSSGAPITSRTGIEERVKEFYTNLFRSATPPSNDPLPILPSEVRNCIAKMKKDGACGPDKITGSMLRLGGPHLHSLLSTRFSRYLQCQQYPTQWKSSNSILILKKGDRCDLNNYRPISLLSIPYKLFTKVLVTRMETTLDEAQPVEQAGFRRRFGCMDHVHTLSALIQHHRESKLCSNP >PPA14121 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:945668:947082:1 gene:PPA14121 transcript:PPA14121 gene_biotype:protein_coding transcript_biotype:protein_coding MDLADDYPIIPIFLVFLNTFSSFPVILLIVLALKTQIHNNCSSGTDSIINRTACLPLRYIKLGGVILSIVDFSTIVINLFAVRFCKGRFEKMFDKATLNARYQVKEAYEMAQAMRPVYFYSLIVRTITINAACMSVVLIKKHERFRKHANKIFGRFGYDGLWETRQQ >PPA14245 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1582906:1589437:-1 gene:PPA14245 transcript:PPA14245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lpin-1 MDYAYRMYKNVRYYYEQINPATLSGAIDVIVVEQPNGDFHSTPFHVRFGKYGVFNYKEKYVDVSINGKEVKDIRMKLGENGIAFFVEETSGIVPDYLVTSPVPGFPRPTTDKVLAETSRTLEKHLADGRHGSKAIPIGGVRTPPPGSPPGGFATSPTSVIRRSKSMVRGRVAAKLIGEGRKAADDEVTIQQRKMTLPMLDSIFSMRRNRSLPNLSSTGLEPEPASQSSSSQSKKTPVAPTVSTTAASTTTAAPSVSVGAPPVSSNTPNAHKTAQRKTRYRGTKSHYQERKKQPKESDDKKKREGSTSSSDSGPPTPIQSGKPSAFTSSNPIAINVTREEDRGESSSSSTLSSPSNSLLDANDAARIAEGALSDTEVERHKRDGAPMEQHGSDVAEWSWGQFPKTMDEQKKEKEPEKPKSTSWWWWRSSAASSTPDKKAAGGQTGGAEESEGIYLDDLLKQSEGADPNKLQRYIGRPSSQSMDADSGNGSGLTTSPSSPSARSIPDDVAAVDGLHDKTPTQESVASALNAASMEERKAKERIASSSEIFAMSEDEDITQGSDEFLRDEKTGMTYFRSLRLSSDRLKTLGLQWGANECRFSITTKFQGTTWCACNIYLYKHTEKIVISDIDGTITKSDVLGHVIPAIGGQWAHAGVAELYTRIKSNGYEHAEKLVPLTMRVPRWRNPDGYKLVYLSSRAIGQASITKKYLKTVEQDQRVLPDGPVLLAPDSVLVAFRREVIERRPEEFKIAALSDLKKLFPTKKPFFAGFGNRETDTKSYRAVGIDDSRILIIDPSGTVKRSDCVGYKSSYKCMAQDSVDCIFPPILDERAQKKPILTALSFSRPQTDSSYTFWANADKGNVSDDELAKYEARRKKKK >PPA13994 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:266513:268096:1 gene:PPA13994 transcript:PPA13994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hot-2 MGAPKSTECYSCMSLSYEMRFPILSRTYIQPLVFTHRCSDPGNHNAMPTVRCSSVCATLFEPDIEGGVFLGYKHIRGCIDKILRNGFNETALRTHRFHQNNQCRTLSRSQLFKPARPSDPPSIGEVQLCSCYDVIWRKKSIEKQSAQKY >PPA14255 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:1642524:1643063:-1 gene:PPA14255 transcript:PPA14255 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQYLASQQASALPDYIGSALPSTSSGLGVNDAGRVLEAESSPDESGG >PPA14048 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:512635:518456:-1 gene:PPA14048 transcript:PPA14048 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSSIAEELPVSDPTAVCSLTAEAGPCFDYSPRWYFNPTSSRCEQFSYGGCGGNGNNFAERKTCEVRCGTAGGSPTLLSQVPERCTLPKDVGTGGGFASKWFFSMKSLRCEQFIFEGVGGNTNQFDSLSDCERFCTPTDIMEEANQPVSDEDDEEDYEGEGEDPSIGLDDASPPKSALPPLTSVDDSTDLTEKTAEIAPAVHGSKTVQKNYHTDPIRSVVDVNGQELPIVAPSPPETVNYQTGHRAAGIRTFAESNGRVSIENAADVDEEEDAPTHPALPSCPNSAPELKYADGRPVMCLPGKNQCPDKSVCYFNGLDYFCCPEDEDPYDKHVFGGYNGEETKQGYKRFGHLNIRRLRDSVIDHSRRKRETGLFSIDPLRFDGAPIRQISSARPAKAIRRHPGRRKTPCDYPLEKGECAEQHLRYFYDKSSDSCRLFHYSGCNGNTNNFGSIRDCQEMCVKTVKDTAAQQQKMASSLPPGTCPSGEPLGGSAPVLCGNTTESIGCPKGYFCRQGPPDVCCPNLSLNEILSAASTEGEVKEGVQTVPPTTTTTTKAPQHYCPDASDPLLTKAGKIRTCGSGFDGLKMCPKGFYCAINADQGTRLCCPISGSSSRIPYQHGVIPPYFGKRNPNSGEVIERGSLPDDHQKTIIADEEQVEMDKKAMDDFIVPKSPKGSLERFIDEAEVKSEEEEDDELARMMIKPTRHQEKQEEVASIILPSIDSESSPVFISNVPTPTLETESTVLPKETFDRSRCQLRPDEGRPCREDEVAPRTNLHYFYSEVDGRCKLYFYKTSSSARKSIVWGDKTTLETRFFYPREENDNVIGEFFM >PPA14066 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:641984:646620:1 gene:PPA14066 transcript:PPA14066 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKEDLNWMAKVKLDGKAKTTFYNCVEKQTITVRFKNETEYRIFGRAQLMVDFGIDFVGSCDIDSPKTLPATGAAVSNAVATTTASTAAAGAVVMKRGRQVEDGPHHCIDGGLWKFYTEDSTGLKIGPVPALVMSLHLLKGKARDKIRWHEGETKKMGLVLVSSHHAFNWTSCFYEPPVTPAVDPSTVDVPAVLYAARDALNNPGLATGKVAHDAKPKKVVSFDIFNITMALQSMNLRCEDGHEVVSSVPLSEHGLTCKKEFTLSRRTIARISPTPSDFFVKLQRKSPKKNQRLRCQRIVVDRRLLVIVKAKFFSDSAEQKIKASGEACVLVAQGTITCVARALKWRDSGNSPAGFRQEPDPSLKDGSGF >PPA14061 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:603628:604741:-1 gene:PPA14061 transcript:PPA14061 gene_biotype:protein_coding transcript_biotype:protein_coding MADNNEAPQEQIVNPEPEAMDTQTAAEEKPAETTAPPAEAPPTEPAAPAEAAPAPAAPAGVTRVQSTMPTRQYLDTTVVPILLQALGALAKERPDCPIEFVANYLLREKERFASGPSGATN >PPA13983 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:221397:222000:1 gene:PPA13983 transcript:PPA13983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sqt-3 MGGTRRPGTDAPNGLPGPRGPPGPAGEPGQPGPAGEPGTPAQSEPLTPGAPGEPGDAGPPGPPGAPGAPGNDGPPGPPGPKGAPGPDGAPGVDGAAGPPGPPGPAGQAGEKGICPKYCAIDGGVFFEDGTRR >PPA14020 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:415159:415751:-1 gene:PPA14020 transcript:PPA14020 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRVVDSSFLMDDVEIQETLDEQIASSLEAAQNAPVKEKLRHLKKTEELLLDREGGAHLIDNFLDEMLEFAELPSPAIKIFVLSFIEKACKKDAEVMKKAIHTLYRLLMTENENKSVIKKARFA >PPA13958 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:112313:113424:-1 gene:PPA13958 transcript:PPA13958 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cyn-1 description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:H3EVV9] MLSSIVSYLPFVRQASSAAGRPTVFFKIAIDGKPAGQVDIELFSDVVPKTAENFRALCTGEKGKGKSGKPLHYKGSPFHRIIPNFMIQGGDFTRENGTGGESIYGAKFPDENFQLKHAGAGTVSMANAGKNTNGSQFFICTADTPWLDGAHVVFGKVVNGMDVVRKVEAEGSSSGRTRSRVIVEDCGEIKKD >PPA13981 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:205480:207664:1 gene:PPA13981 transcript:PPA13981 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSIWFVLVFLSLVVGKGMFWAYLLLRTISSFAYAVVCSLGPVIVSDIFQGRARGYCLMALSIAPLIGSLLAMSISSWFLTSGYSWHAAMLISPSVVLPVTIALVFTMPKHEPILNVNKSSMVSLGFIEDIKSLLSVKTYALLVFGSTFSNLYQRAMSFWMPTILLYGYMANGSAFYFNLPFPVVMLITLLLGFTALLIGMPLSMWIAESWQQGKNLCSGRPFSRAIPLFVTLSTIIYSLISATAITMFVRAYPVYLACMFFNSLFTGGAAPLTTQIVLDVSPKWNRAAAMAIYNLFVGLADSPSSLIVGSLSDVFRGEATDPMSRYNAFVYALYILLGCNFISAALYGTATVFYPSDLAASHDDDMLSITSDEDAPLLKGALNRRASIVERGLLSRKATLDTTLL >PPA14028 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:436414:437680:1 gene:PPA14028 transcript:PPA14028 gene_biotype:protein_coding transcript_biotype:protein_coding MNLENEEGTLHQPSNMCLDRFKDELAFWEIPLDQLAPCCTVSTALPKEKSVDAGEDWETEFDGVCLSSIRLRIWKFMEDPQSSIPAALFAFFSVFFVFASVLGLILGSMPEFQADNTNASLYHMMHTGRRGEGDKFSSSLLSDSEFVYRPTDDPHPSLVVMEYVCIAWFTFEYLVRVTIAPRRYEFFSRTLNLIDLLTILPFYLELALPLFGVYASKFKEITGEEEYIEKWKKMQTWNTWMGK >PPA14125 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:960739:963272:-1 gene:PPA14125 transcript:PPA14125 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVDVGSPESKYLMSDLGPPPSELPLDLLEKLRQEAEKSSEGRVVGMDVRIIAGFSPQESGGKAAGGSSNSVEALKHFALNKIMEHLPRTFLIPEDKKKEENKDVFIKPDDRKFPFIYDIRYWKGKSAKAQQ >PPA14161 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1157581:1157988:1 gene:PPA14161 transcript:PPA14161 gene_biotype:protein_coding transcript_biotype:protein_coding MENARRVAHKTDSYSDGEILDFRAEWDHILASQCRHPPSTTIEDDGRECVVCLFERELKLPSLPEMIFPRNTLEIRLENN >PPA14007 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:346144:352893:-1 gene:PPA14007 transcript:PPA14007 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lea-1 MASGLFSAIGDAAHKVKEGAEGLTHDIGEAMHDAKDKVELAAANSILAKNSKSGIPFDSGQHLHDSDEETAGEYRLPSEHFALMGKVTADSLIFGIALWGKASGAYNATKDGVNRAGQTVSDTANSAYEGTKSAVDSAGQAIGKAGQAVSETAQSAVDGTKNAVNKAGQAVSDTAGAAVDGAKGAADRAGQAVSDTASAAKDGVTRAGQTVADTASSAYEGTKDAVGKAGQAVSHAAAGAYNATKDGVNRAGQAVSDTASDVAEGAKNTVNKAGESVFDTASSAKEAVGRAGQAVSDTASSAYEGTKDAVGKAGQTVSDTASGAYKATKDGVNGAGQAVSDTASGAYKATKDGVNKAGQAVSDTAHGIAEGAKNAVDKTGQAIGDTANAAKDGINRAGQAVGDTASSAYEGTKDAVGKAGQAVSDTASGAYNATKDGMNRAGQAVSDTASGAYSATKEGVNKAGQAVSDTAHGIADGAKSAVDKTGQAIGDTANAAKDGINRAGHAVGDTASSAYEGTKEAVGKAGQAVSDTASGAYNATKDGVNRAEQAVADTASGAVEGTKSAVSMVGEAVSDTASGIAGGAKSAVNRAGQAVGYTAASARDAANSAAHGTKDAMGRAGQAVSDAANSAKDGVNRAGQAVSDSASGAFNATKDGVNRPGQAVTDTASDAVDRTKSAVNKAEEAVTDTASGIAEGAKGAVNRAGQAVGDTASGAYNATRDGVNRAGQAVSDTTSGAYNATKDGVNRAGQAVGDTASSAYQGTKDAVNRSGQAVSDAVSSVGEAVRSSGDRMAQGIDNAAQRTGETVSSATSSVRDGARNVAHDVSDSASRAASSVNKGAEDIGKSAQETAQRVSEWTSKQGESAKAAVKGAGNAISSEAERAGEAASRKAEQIKDGIKRDFGEIERGVEKTGDNVGRAIDNTRDKINTLADNVTSIDVNQPSTSTGREASPGKAKSGGSNGNAQKKKCSIL >PPA14128 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:985728:991541:-1 gene:PPA14128 transcript:PPA14128 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQRRRLLSNSRIAVLLLAALSAVDAKDEEKSRKKRFCGLQAIQGVQYAIPCSQPATCHRYETPPPRAACPPPIIIVAPGFRPELRPQSFRPHNSYIRPQQLPNQFVQQPVPIQQYQPQYSPVYQPQPQPYYQQPPQPVYQPQYQYPQQGNAYVTAPTFPVPHGRPLPAGVRPPVSHHHIAPGGYATAPNHFPSRPHPPGSRVGWPKPGYRTNQKGFERGRPHGPGRQQIVTASPRPLKKVTPASKMIHEESDDDLVNRQPPRPTIEHTMKHIIAHTTVPPTPGRVEIISTTPFPETHERIDFTSGNDGDFGDGGRSGGQILVDVATMNPGGGSPTSTLAPFAPDGQDPTGGFTSTPRNSGRDGFDGPDGEFTNNGRGPNGGQTVGDNFGSDSSFSSGGELPDEFDSNGNRIPGGQRSHGGQHRGQGSSNDKFNVEFPDNSPFPGGDDRSVPGMPPLDREFTGQPFTPSGLTATHGFDEFGVPTTSAPSISPDDFNPQQPHEPQFPSIRTGFPGESSRGGAGPRTIVPVTTDRNRGGNGGSSGSGNRGSFPGFGPDRSGSGSDGRGGIFKGQGRDNFPPNDDRSRVSGQTASSGPLTPGFPSSSNHVDTSNPSPSSIPSSSSDDQPGIPAPTVAVNEFPLHTTTPFPDRSEQHQQIVPISPFPPNPIPPSSPPPLSFSTRHGDSRTRPPSLPDHSDTNHHSTTRAPGIRPPPKPHSTDPSPSDPIIRVSVAPDLPSNPTPSNGFNPVETTSDVPSRTTVSSLRTPSSHDNGFNGSGSNGRGGSSGSGTNGGSGDDSDRFPGSGSDGRHPGWNGQGSGPDGPDGRFPGSGDRFPGPGSNGRHPGSGDRFPGSGSDGRHPVSGDRFPGSGSDGRHLGSGDRFPGSGSDGRHPGSGDRFPGSGSDDRHPGSGDRFPGSGSDGRHPGSGDRFPGSGSDGRHPGSGDRFPGSGSDDRHPGSGDRFPGSGSEEQKPRTRKRSTI >PPA14163 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:1160906:1161272:-1 gene:PPA14163 transcript:PPA14163 gene_biotype:protein_coding transcript_biotype:protein_coding MKCDIDIRKDLYANTVLSGGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHRKCF >PPA14195 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1312395:1314422:-1 gene:PPA14195 transcript:PPA14195 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFPQFHDFICSNTGDCGEGYDEYMFLRETRVVLNGIPLPQVSHCDVDFTTCKYDPLFFRFLPSTEMEYLEKNCGKSLTPPSRQKKNKWHQFPHMAAIVNKGRQKENENICSGAFISQVHVVTVAHCLFQYNKVANIDEFLALRERQPGHYMNTDNLEVRYGSECYANNELNLNECSDAEMAKSIKISKVRNIIIEGLKASSTHWRYKYQLVLLELEQPTSKENRIQDYNCRDENVDVELKGKNPADGFSQSNFAFLGSQLSYYNATSEKRFLYGLAHYYSPHGKVPPKLYERGQSIVEDNRLGAFYPIWRNERFICWFTGVCYNGRPDTKEDRHFKIYTPEYRLTRSIMDAPVQRAGKTNVSNYETVMIEEMDTQW >PPA14242 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1568692:1569860:-1 gene:PPA14242 transcript:PPA14242 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDFAPLVAYFSIGAGCIAVAAVMNRLRAKRNRLDEYADLPERVKEPLQGKDSVKRMNSLDSDGEDALFNVPLNNPSTHEYLTVRISDADDIPGMYSAVATSPNEKTAMRSSKQA >PPA14023 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:423083:424297:-1 gene:PPA14023 transcript:PPA14023 gene_biotype:protein_coding transcript_biotype:protein_coding MISLFLLLFLPMIIPLISLCYWKKKQVKAVKQLKVTKKPKKRDDFLNRLRNSIANEHDDNSISKTAEGDEGETIGDGSKEVIPPGSIEKGGSASIEKLSNEKASGSKEKIKEKEKEPGTELRHLQSTQSTTKRTEYTPSSCSNTQHNSSTAKSHHAGEFKGIVKDAPSIQWILPISEIPKPRVTAMNMKFGGSGETIGSAEILKDVKMGDEEATQSTQRSSSDTAVKSQMTQVRTVSDPPTQMTQVRNTYKWILEETQKHECLR >PPA14092 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:755010:756101:-1 gene:PPA14092 transcript:PPA14092 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKGYRSVVTIYALQPPPWVKELVDTTSFLTKGLNMTASMVFIRVFRQSFRSLFHRGSHPIFVLSALIACGSAQNPSCGKNERYNVCGNHCEPKCGQYGGFPCILLCGPPACVCEENFYRHANGHCATKQECSKQ >PPA13982 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:208943:210354:1 gene:PPA13982 transcript:PPA13982 gene_biotype:protein_coding transcript_biotype:protein_coding MFWLFLIVRSLTSIGIASVNALSPSLFADYFSGSGRGFSLMIFFMSLPIGASSSIAFGSALADTDYFLWAMRLCPIIAFVLLILSFFFLEEPIRGGLEDSVEEEKCSVMEDIVKIFRVKSFWLSCLPTLLINFYLSGFSWWSATLVSYAMNSTDYDTSIYHGIQYDEWMGITSIASCCVGILGSFVCVNFAEALSSLGTLLGGGIFPLDVDILLMVIPPSRRAAALSIMNTLMCLTGDGPAPFITGLV >PPA14220 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1456542:1459122:-1 gene:PPA14220 transcript:PPA14220 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHVFDGNLEMIFGHDEDDTTGWLSMNYHRTKEDVVKAKSKKGLTEQLKKDLELKTKENNRLKTKNDVLRKELEWSKMEIKRVERMTEDPVLYNKYITDHKQYFVLLKEKVYPINFFKRIVQNARIGYFALNIYGREAFHWELCNLIKECDIDELKCHFKAYQFTEIIECDEEFADEEDDVIIIHKHVFDRNFEMKFGQDEEDRPTQGWLSLKWHKTEEDLEKAKSTEGFVRLDLTDQ >PPA14097 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:791810:793518:1 gene:PPA14097 transcript:PPA14097 gene_biotype:protein_coding transcript_biotype:protein_coding MREERAREAEARNMGQTEVPGGVRLNDDACWQTQHRVPAEAVVPQIGKIVIPELKDAHETQPTVIVRKPTGYEKVKWNEFPEEIQPERQTAPRTKAQEWVPVNNEVTEITRTGFASGKVNKVWPPPADEITKDAGQVNRVKCGDDLGWIRQEQQEVIKSVPPTSRISRAWPPPEDERGQFTVQTAHMPVVQWPPPEFEQMEQEKIEVLAKHIPTKKMGERQWPPPPPQYCIPGNENPVA >PPA14119 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:938926:939963:1 gene:PPA14119 transcript:PPA14119 gene_biotype:protein_coding transcript_biotype:protein_coding MAKESAKSAAEGKDSVKDKEKTATEVSKEATLGTKREGKTEGDPTGEVTASEAEPKQPQPPQIDQIVMIFPGADPTPNNWQQNTKISEKTSKDKEASKTDAKTEVSAKSKEPISQTEEAKTEVDKKKEEQSNPPPPPQPIIIKVEQPKTQPTSSDPPKSDPPPTPKKKKCCPLWCC >PPA14002 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:315724:317111:-1 gene:PPA14002 transcript:PPA14002 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSGRHIILVYYALYSCKTEGCHVRDIKKKDNGSFRRHRLSACYRGGAPLYLAQVVPDERMELPRDDSDFHSEFSFCSDTSPLRSATMRSPPPAPKTEDEASPLRTTQRIVLSLMHTAIRVNTTRSNDKSQKESKKSNRSGKREAAKARLMASDYRTSTNLNTAINLFGPTAHTGRDSALSPALGRPPSLFLSQRDPLRPQSNAVSPEKSEKEREQSK >PPA14064 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:626036:626648:-1 gene:PPA14064 transcript:PPA14064 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pcm-1 MNEDDDVSHGNLLRSGRIELVEGDGRVGWPIEGGYNAIHVGAAASTLPMELVRQLADGGRMVIPVGTAHQEFIQIDREGDKFKQKTLHGVIYVPLTSKDETIEEMKFAYSFFPNVFSLGNFTTA >PPA13949 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:67010:71050:-1 gene:PPA13949 transcript:PPA13949 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-egl-3 MLTASHKGWPPLGGLLLLSLISINQALEVYTNHFHVHLKDGGAENAHKIAKRHGFINRGAVLGSDTEFHFVQPALSHARTRRSVGHHKKLHGDEDVAHVEQLTGYKRTKRGYRPLEDRLQGQFDFSSVQSPTDPLYKYQWYLKIDGQAGGKPRLDLNVEKAWALGFTGKNITTAIMDDGVDYMHPDLKNNFNAEASYDFSSNDPFPYPRYTDDWFNSHGTRCAGEISAARDNGVCGVGVAYDSKIAGIRMLDQPYMTDLIEANSMGHEPNKIHIYSASWGPTDDGKTVDGPRNATMRAIVRGVNEGRDGKGSIFVWASGDGGEDDDCNCDGYAASMWTISINSAINSGENAHYDESCSSTLASTFSNGGRNPETGVATTDLYGRCTRSHSGTSAAAPEAAGVFALALEANPALTWRDLQHLTVLTSTRNSLFDGRCRDLPYLGLDGAKPPTENCTHFEWHTNGVGLEYNHLFGFGVLDAAEMTMLAMVWKPTPPRFHCEAGTVDMEYAIPEDGNLIVDLSTDACTGSATQVSYLEHVQAVVTFNSSRRGDTTLYLEAPSGTRFVQSLLPSLPSISYSSFRSMILSRRPKDDDSKDGFTNWPFMTTHTWGENPVGKWRLIARFQVRFNLTSSRDPANTLDSSRDSP >PPA14051 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:554606:555777:1 gene:PPA14051 transcript:PPA14051 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDFMLLNHDENAHILFNSLLGHASVNHLHLHSLPWPYDSEIVFRRCEPLAGVEGVFTIKPPQWISQAFVFQLTGKDHYDKFLDQLSICVDLLLSHEQSHNVFFARAAPIRVEGEEREEDRDGSKPLRVTAYFFPRINMMGPKPPTNFNPAAAELAGCLTAYTYKFFDSVTEQSALRVVTEEAQLPEHIFESLCSDLSEALLGRKVSIPRVTPSFEGLTSPEMDELRDSFSSFDPPSPSRLPQRR >PPA14112 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:906325:911035:1 gene:PPA14112 transcript:PPA14112 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESEERLKPGYDNGYWRYPTLGYNANLPADGPYRVYRYVRASDYRNRSFKWLDKGSFVIFDDVAYAKDAKTSLFQYGKTSVFYTLESILYLWDNRNLQHAIYVRNASGAGIMAVTRADRREMLEFLKSERTELPTNHDPLAPLSSTIPLSMFADLEGPERKKQKLDPSAMKAPRIDHLLNDGPSMQTQQDAGELRDLNEQLTADKIAALRMKRKNNQRKNITQTLDEGDLAGGDTTMQVDRGDLRDRERVWRTRDNCLEAATKSFASVLTLLNGIKTREEAQARTKSAPSAPSSAPRNDRDAARAAAAARSRTAGYSRYDQEQFTQGEAAEFGVDAAQATFVGTSLNLSRVGGPGAPATPVVKPSTPAVPTPTPRTPVSTVRTSQAISTPDSNKSAKRQSRTPIIIIPAATSSSLITMFNVKDILQDMRFMTTDEKKAAGVKRDAECLIQRRRGDVTVPYRVVDNPMKLTAEEWDRVVGVFAMGPAWQFKGWQWNGNPVDIFSHIAAFHVTYDDMKTDPNIAKWSVTVIQLNRTKRHLDKARIAKFWEVLDKHIVKTKNSVCDQE >PPA13997 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:282149:282616:1 gene:PPA13997 transcript:PPA13997 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPPGGSHWAKSVEEEDRVETLIKGSGCWDQHIGVVECMGEHGDWRKCQEKLGEFRACMLKAQVENKKKAEETATKKN >PPA14000 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:312479:313309:1 gene:PPA14000 transcript:PPA14000 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAGRMHGQFLAPYCTSKFAVEAYMDCIRFELRRFGVSVHILEPGAFKTELLSEEAQNARVDYIWNSLSAETKNEYGETYKKNFKEAWNIGVNIMASSNLDWVADHYTHALFSFWPRLRYYTGWDSRFLFIPLSLLPTFVQDCILSAMYRMQPGPSLVPQSLQSIETLIPQ >PPA14013 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:394122:394828:1 gene:PPA14013 transcript:PPA14013 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHLGSSSRALSVPARLSRRNFTHFPVAFYRPIDRQFDFMEREMDRAFRHPFWTSPFTSISSLDLSQLPPLIVTENGTKKFKLEFDVSKFKPEEVRVKTTAKENTLQVEAKHEDDTCKFEFSRTITVPSGTTLTDLKCRFSSDGTLTLEAPFVPPIEEKKEAIQDTDIPVEHT >PPA14087 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:731879:733109:-1 gene:PPA14087 transcript:PPA14087 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSNNKGIVQIATVLSGAALIGIVGFLGLMVNNISTFHDDAMMDLNEFKFHSNSAWTDMVAVNRPSVDVKAIFGRSKRSDGSCGCTAGPNTCPQGPVGPPGLPGSPGDDGEEGEAGKDGKSGLAVLAETLTSSSGNGYESGSACTSCPAGPPGPPGVDGETGEPGKDGDDGKDGQPGKDGENGIQGEAGDKGEDGRDGKDGRPGAPGRNGKRGAGAPGQPGKDGKIGRTGKAGPRGAKGEKGAPGPQGPAGPNGHDGAPGQDGIEGPTGGDGLPGADAAYCPCPSRSFEVKSEAASQGYRRRARVH >PPA14111 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:904842:905868:-1 gene:PPA14111 transcript:PPA14111 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNVTEGVSNILSAEKVRGWKLIIKTMPRIIDYGRSEGILQSKADGPGFNIPGIVLAAQEEAHAVKETKNGDKVNLPTNDITVMHEAIPFLPVPVALVCLFLNIVIPGSEFIRNEMFAVQYREALQQRRQEAVATAAIEALTKDSILHRRDVKTLVKTHKQQTKDKK >PPA14236 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:1541112:1542537:-1 gene:PPA14236 transcript:PPA14236 gene_biotype:protein_coding transcript_biotype:protein_coding MKRREKEHDVLLNADRLQTGMISEYLRRGTDYSIFDKISREAVRDRPSAYIAPKGSKIQERLDMVVAHDTTVRAEKDGGLWLKGERAVLKNIYDDIPKLIDKQTVPWLRHLLQMIFEGQPKKVYKRMRTMANLLQHKGGFKENSEKEIYQHIANTLLEAGTNSELGYSYVHVLAAQGTHQKTCSEEEHKRCL >PPA13972 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:175912:176475:1 gene:PPA13972 transcript:PPA13972 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFTRCIISIDIIEEFFDDEIGIEMLGVLAVGSHSTGNQLDTSSTKKTTKSEEEETKNDLPEEIN >PPA14135 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1030871:1031279:1 gene:PPA14135 transcript:PPA14135 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRWRCVVVLMMIAVMRVEMKEEKRCPEVCPSSCPDEDKLANCKEHTVIDECGCCPSSPITSLCALISPVS >PPA14052 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:556216:561219:-1 gene:PPA14052 transcript:PPA14052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphorylase [Source:UniProtKB/TrEMBL;Acc:H3EW53] MLTDHERRKQISVRGIAQVENVSNIKKAFNRHLHFSIIKDRNVATPRDYYFALANTVRDHLVSRWIRTQQYYYEKDPKRVYYLSLEFYMGRTLSNTMMNIGIQATVDEALYQLGLDIEELQEIEEDAGLGNGGLGRLAACFLDSMATLGLPAYGYGLRYEYGIFKQLIRDGWQMEEPDDWLRFGNPWEKARPEYMLPVNFFGKVVKDEDGKSKWVDTQVVFAMPYDTPVPGYHNNVVNTLRLWSAKAENHFHLKFFNDGDYVQAVMDRNLSENITRVLYPNDNMFIGKELRLKQQYFLVAATLQDIIRRFKSSKYGSREAVRADFSTFPDKVAIQLNDTHPSIGIPEMMRLLIDVENLTWEEAYDVCTKTYAYTNHTLLPEALERWPVSMLEQMLPRHLEIIYQINQQFMEAVGKKYPGDFDRMRRMSIVEEADGHGEKRINMAHLCIVASHAVNGVAALHSDLLMTQTFRDFHEFYPGKFQNKTNGITPRRWLLLSNPALADVICERIGDTWITNLDELKQLKALDNNAQLLDQIRRVKQENKMRVAQYLADEYKVEINPSSIFDIHVKRIHEYKRQLLNILHVIALYNRIKANPNIKMVPRTMIYGGKAAPGYHMAKQIIKLITSVGNVVNNDPIVGDKLKVVYLENYRVSMAEKIIPAADLSEQISTAGTEASGTGNMKFMLNGGLTIGTLDGANVEMAEEMGNENIFIFGMNVEEVEALGKRGYKAEEFINKSPALKQFNEPPEFNLPKQMAIANARR >PPA14038 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:465551:466098:-1 gene:PPA14038 transcript:PPA14038 gene_biotype:protein_coding transcript_biotype:protein_coding MERNYIESTDESVVNTQDQGEISTERYKKRFSNSSRVKDVVLWIETLKYRNPHLYTIVYSFRPTFLSCNDPVIIYALRGGEMPERELKKLEDSQRLCDVAGKSTFLPIVVDRSNMLYTE >PPA13959 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:113786:115548:-1 gene:PPA13959 transcript:PPA13959 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEIIVETIEKNSEDEKEKRSEGVIVEDKLKKQKKRKLEFTEEDWKGRCEYILPKKNRRCKMLVKPTKKFCGEHAVFEPTDDSRVRCPFDPRHTVLVSELTAHVEKKCNSRLVESEELKKNDEIRFVIDILDVHSKFLSSAVEDSIPSTTIESIQKHLSENEDLGSEKRKHLKQINNIVDNFLSSGLLGNETTRCVVDLGAGKVEEKEKSHFIAQLTYFTAIAAPANRYLVVDRMGARNKWDNRLKKERKDISMHRIRCSIEHLDLKKVQQVEGIRSVVGLCKHLCGSGTDAGVRCMMRLMEEEEEETKEKEEGGNDEKTMRLEGLVLAPCCAHKARFAEYMGTPFLSSQLGICSMEQFAALRHIATWATCGMEEKDNDGDKEGKWYGLTVDGE >PPA14232 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1530611:1533276:1 gene:PPA14232 transcript:PPA14232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lipl-6 MRHLLIPLLVTVVSSLVVLQDDVPLPVDPEALMSVPEMIRHWGYPAESHQVTTADGYVLTLHRIPHGRNETAESVKNTTRPVVFLQHGLMCSSSMWVLNLPHQSAGFMFADAGYDVWMGNSRGNIYSRKHVRMTEESDDFWRFTWQQMAEFDLPASIDGVLNATNQTYVYFVGHSQGALSMFAKQAVDPAFAPKIRQLFALAPVARLAHVQGAFSYLGKMYDQAKGLLALFGDGQFLRNNLLTRILADLLCDSSLSNPLCTNLIFSISGPDSHQFNNTRVPIYLAHNPAGTSIRNMVHFSQMVHNKRFAPYDLGKDINMKLYGAPFAPEYDVSQIASPTYLFYSDADWLASPEDVEGFLMRRLNSSVLRVATRLSDFNHNDFMWGLRARKEIYDPIDKIIRQDVRRQEIRHVLTSKDGKEEQSIKVLYNKKSSHSKPPRRLRRIIVRKGVHSSINSISTAALERAKMKRDEEKS >PPA14037 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:464345:465435:1 gene:PPA14037 transcript:PPA14037 gene_biotype:protein_coding transcript_biotype:protein_coding MIDWMSESFFILLAWIMIGSGVVTMITLLLGMKAHYGRYAPVNARSIPARWGWLIQEAPSFLLPAASFWEAPNLGCKGFYHAWYYTFEPGHFRQPISILGFVLFFGGMAINLHSDEILRNLRKEGETEYKIPRGGMFEYVSGANFFGEIIEWFGYFLVARSLPAAAFSFFTFANIGPRAIQHHQWYKEKFDNYPRRRKALIPFIL >PPA14223 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:1470224:1470581:-1 gene:PPA14223 transcript:PPA14223 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFMGFCCNIEVEDFYNKEYNPMCPNGSKPHKDNNGDWDETRLGTNCAHNFCPSNKKCQQGALFAFCC >PPA14120 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:940224:945130:-1 gene:PPA14120 transcript:PPA14120 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTNTVPVISQTKSLVQFVTGDKNGARDTQEKFLHECPIVAQATSIVELVADKNKEKFKDTNLRTVKVLSNVADGIPIVGHVKGAIHAAAGDFPGARNAIKSANRSVGVVSGGVVGFLTLGPVGAVAGGIAGGAALDGIQTGVESAIYREFRPNGSIAAVRRVVIHPSGGTVFDALALPIFDGLGGFSAGQLTAQIQSGIANAVPPPDPSTSSSSAAGHGTTVTTDGGVGTATSSTAPAAVPAAQPATGAAPATQPATAGAAPSSHGPSATSSSTSSTSATASAHGSTASAAPSGSGSAVPAASSSSTAAVPASSTAGGASTTATGSSTAGGGASTASSGAGTSTAASTSSIAPAGGSSAAGSSTASAAVPAAQPTTVAAAPSSHVPSAPSSSTAQVSNSTGGSTTAVSSTPAAPSTHGTTASATTGSAAPVAGTTSAIPSSAAGSAASTSATSSGSTAASSSTTTGAVSSSSVAPSAASSASTTAPAIPSSHGPSVASSSTAPVGSSSGTTATSSTSAAALIHGTTASGTSVASGSAAPAAGSTSVAPSSSAGSAAATSSGSTVGGGTSSASSTTTAVSNTATTAPASTAAIPSSHGPSVASSSGGSATATSSTSASASTHASTASGTSAASSSAAPAAGSATAATAPSAPTTASSSATSAGTGSSSTAASASSSSVAPTTAPTTATSTPAAQPTVNAAPASHAPSVAPSSSTGGSATVSTAPAASSSAAPAASSGSAAAPTSSSVGSTPSAGTSSVPSATTSIAPSASTTTAPAAPTGGPASAGSSTATTATPAAPSSHGSSATSSSSAPVTSSTGGSSTAATAGSSSTAPTASSSTAAPSAATGGNQSLLHHRPGSVIIVDMKHLAADIKNALQQLDGLHLEHKIASDIVQSTLDPSGQIAVHIPDILQPLLPALKWTTVSTNVQQLQLIYVQLKVVYDLVENFDATPEKYPKQIDSAAPDHSLAFKMILYEILRFIGPSQSRRQKIIEKTKKLGDRVYSLVHSKDTEDISSLFEKREHTLHCVHWNMAGISAPLLEHLGLVWYLVLDSPDVLLLSQVEASDYLITGLTRILGYKKFPSSSIIDDPLPGSALLFRPRDNFVCTGAGSEGEITVMESSGFEIVWADFMSLQTSKHFRLASLQYDDAPAQTLTDTMTALSVSLEIQEAGFQLSSSAAISSANSSTSSLKRLSSSITQSMRRRKKFDEIDSGILTMRGDSGHVRCHFPQNRMGRKRSPADPLHLPIPFSVGENVNPSRHPCTSKQCFYGCS >PPA14144 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1080597:1080792:-1 gene:PPA14144 transcript:PPA14144 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIWTGWLPDEYTREQFVPMLKEAYNIDLTASYKPGFLGIVYRVKLTV >PPA13955 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:98487:98692:1 gene:PPA13955 transcript:PPA13955 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISKNDEKNNGGCIQLICGTICFFTVNCGGWVVGAGLGYLVLWYWQVGCNR >PPA14139 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1060992:1061607:-1 gene:PPA14139 transcript:PPA14139 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAQLKSVIKSRFMEDSSMINDQPTPNKSLRSSATCPNFSFGDVTSIPCPSSSVSTTVSTAPVTPKTSTASSVDWGSREHYLKLAFLSDTNSY >PPA14124 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:959677:960387:1 gene:PPA14124 transcript:PPA14124 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEKGEMNREEQRRRGEIDRQRAAAMDRLKNIHLYEVSESTNKHQAEAAAAELERSRQEKEAAEQATIVEMQLRAAAAPSPPIRSPKRNAICSIRSFFCRSPKKTAAAAAALNGDHSAKVPLGCNGCNGHGNGMVNGQTVIGNGHVGSTILANGNGIQNGH >PPA13967 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:152227:153788:-1 gene:PPA13967 transcript:PPA13967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine-protein kinase receptor [Source:UniProtKB/TrEMBL;Acc:H3EVW8] MINVDMIDIEHFDCLDNLNKIKIPHIVRTNIFMKKLLGKGAFGEVYLAEIREEDEEPYEVAVKTLSLKLDMETQIDFTFETLTLHKLNHPNIVRAMGINTESDPYYLILEYMKGGDLQSFLKIFRSGTLNVPPLTMGDFFNLVADVAAGCEYLESNHYVHRDIAARNCLLTTRREGRRVKLADFGMARDIYCVDYYRKNGRAKIPVMWLPPESYMDGEFTSKSDVWSYGVLLWEVFSLGHKPYPGLSNFEVMETVRLGKRLLPPNGTPTSIYSIMLSTWKVDPSSRPTFKHLLTLMQTLANDEIE >PPA14215 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1433070:1433644:1 gene:PPA14215 transcript:PPA14215 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVEKTRRVEELRAENKKSLNTRFSRVCHCCYDWNHRVRVVLSHCGHAFCSECADEDGRMAKTAICTLCHKESSVIQLSENSGETSGASFSRVCGVCNAPNPAVRAVVLKCGHIACVEDLNHDNGVKCPFCK >PPA13970 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:162430:165308:-1 gene:PPA13970 transcript:PPA13970 gene_biotype:protein_coding transcript_biotype:protein_coding MWNEMDNEIGLFKSLTEDSWRDMVKLGAGTASNRQRRQSQYGGYGAVGTNSDPNPFPGSHGNSGFPSNVPSFLGGPSVNGGAGSKCQCNSENSCPAGPAGPIGALGNDGLPGLPGKDGYDGQDAEEFQQTGTEGCFQCPQGAPGPPGATGKQGMRGMRGARGIGGMPGRDGNPGLPGSMGPTGAPGNDGKVGDAGERGRDGEKPIGRQGPRGVPGPQGEEGPEGTPGRTAYPGPQGPIGEPGQPGYTGAAGADGDEGPQGPVGAPGKDATATSNDLWNEMGHLGAATPSTRQRRENYGGYEAQGVQAPQPGSQGAVSSLCQCNSGNGKVDDEHGTGGCPAGPAGPTGEPGNEGPDGVDGRDGIDGSDAKNSQNAPFNGCIVCMPGPKGEKGPSGRPGTRGMRGARGQAGGPGRDGNPGRPGKMGLEGPPGEDGTPGAAGDKCYEHSECDGGRE >PPA14134 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1020099:1023921:1 gene:PPA14134 transcript:PPA14134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-arx-2 MFIDKVMSLPRLPSSSVQPSPTTSMSSDSSGIQTPSPRSDTARSSVGDHHQFSSPNHQILSSGLSNPPTPNKTSNRLSNDKEIQRVHFSDDLVSTKEFQIHDVNDDDLVGMDSEGRKVIVVDNGTGFVKCGYAGSNFPSHIFPSLVGRPVLRSSQKINNIQIKDLMVGEEASALRQYLDIQYPMDNGIVRNWDDMGHVWDYTFGPEKLDIDPKDCKLLLTEPPLNPKKNREKLFQVMFEQYGFHSLYIAVQAVLTLYAQGLLTGVVIDSGDGVTHICPVYDGYALQNQTRRIDIAGRDITKYLIKLLLLRGYSFNHSADFETVRQMKEKLCYVAYDVEQEQKLALETTVLSQTYTLPDGRIIHLGGERFEAPEVLFQPHLIDVEKPGMSETLFSSIQACDIDVRLDLYKHIVLSGGSTMYAGLPSRLEREVKQLYLERVLHGNEEAFKKFKIRIEAQPRRKHMVFLGGAVLANLMRDRNDFWVSKAEYEERGVEHAMARLGL >PPA14249 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1606729:1608413:1 gene:PPA14249 transcript:PPA14249 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSRLHDQSLSLNNSEMSKMNQKQVFNSTGCSVARNNFPFTPISAIIHHLHLNLTGLPNRLIPLIIQTSLLLPPLYLLLLPSELFPSSLYRDTDRIIQEGFFLSTQNFGRKQPLVNRSTMWFFLLELLTDRTKKSVIAWTGKGKEFRIFNFKALTALWSRENRTSDVASVKKNIRNCYRERILRVTNVKLWTFEFITEPSIHLKNTTRKMMDIYIALNHINGPLTIGSPIDFPLPSWRFPRGKILKVDRVVPLRDDGTPVQVSHQQEYCVVDLVEDDFRSEFPMMNLRE >PPA14033 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:449938:450713:1 gene:PPA14033 transcript:PPA14033 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLDFVASRRFSFVTQGIIGYSSERRPIKFLRIGFPSRQFKPSLVIEAGIHAREWIAPATALHTIHELTTDGVYENLLKTIDIYIIPNTNPDGYEYSRLHDRLWRKTRSGPRGPQRCFGTDGNRNFPYFYAFDGTSRDPCSDIFHGDGPLSEPEAAGLSRFLLAHNYVTLAMGPRKHIL >PPA14156 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1124536:1124988:1 gene:PPA14156 transcript:PPA14156 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSISSSPREGSGGGGSTPQLTPKHSARGSGQHAQQMYPVPGQLLVDPATGQHFIVPSQGGAAPAPQPIYYQPM >PPA14043 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:488688:491356:-1 gene:PPA14043 transcript:PPA14043 gene_biotype:protein_coding transcript_biotype:protein_coding MWMTYTSSTEATARFYCNMTEESPKCEISLWTSQIFQLMGTILGIMGMYVTDKYGIKVSTRAGCILNLAGALIRIISSLPMVPLESRAGVLHVGTVIVSSAQPFFLVLSPKVAEYWFPEDQRALSNVLSFIANPLGVALGTIIPVFIMNKDTVTIDSYQFLILNVILTSVPLIAFIMSMIIKSGTPPTPCCASSDNHNAPDFCKALGMLIKNPHFYVILIVFGGAFGQLWAVYTASDSLLNQLGYSPSVTGYTVVVACAFGVGLSLLFGMYVDKTKKFKEVIRVCMCGFFLTSVAFNVITRYQPGDNWFFLPLIFILNALLGICSIPVFPIGIELGIEATYPVQEATSSGLLVIIGQLELFLIITVMNVARTNQNIDFVNIDRPGYQNDKNYFLANDIWCGIALVSALIALFLLNPPYKRLAFEKEHKEKSMSDRSNIEKGSISPSPSIKSITPSKNCKFIEH >PPA14129 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:994579:996094:1 gene:PPA14129 transcript:PPA14129 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTVIADCDRWAVPRSRSTFDLNEDGDEKKKGSLGRWFSTMKLNEEEDKEQLVDKENKTRARSNSRRRSSIKDDIVNFFNRRRGSVPVIQLRPPVKEEKPKPIPVQMPVQIEDDLDSDDFSLSSSPPLAPFMIVDRSLLPPPPVSSTRRFSLGNLLKKH >PPA14050 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:531514:534607:-1 gene:PPA14050 transcript:PPA14050 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vab-8 MADSSSVSSTERKKRCDHESSSEQSAAETVIYLGNGRRSSKDKILRESRIPTAEAPLKPLQLHLWPLHCKPSPSRSSHGLHSPSKEGHPGSSVAPLLKGYTPFFSPYSRVYEEMISPPGTSKGVIDDDDDDDESRPFGGVTNSGRIDFGVTIEGETKKDRQAEEEEKRQSILTWMEESNSIGREKLASDDEDDDYNDDDCFEEREREIRLPRPLEDIQEMDEDSLRESMRSGHSTIHANGKHPLSILSREALETIEFNDGGSFEGQDEDLERAMGASISSILSHDMLTRVRGEMLREVSRASSQFSIDEEPSEMDVYRRASHLEEYANDRLKELTDAEKQRQKKRLGLNCCQTSTSSTSTDLKNEEEERKEELRKRRDEIKEEQKELKERREEIDRKLGAPLLSKLTNFTLQAAGFRKVHHPSDSLPSTPTISSRKVLLSARTPSLSNCSSPVHRSKSSLPVRKERRSSKGRLSREREETSLKKPDEITPSHSNLSLRSPYSKVTPARAPSGAESSGRGSDDNGSSVVSGKKMNKRESYSASSGYESATGDYRYYYKNEKNDRFRVIEKRCGIAARESDRLREKQRILQMELVEAKERIGERVEEWRGDLEGKSALSHHTLLDTLVQP >PPA14105 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:809841:811575:1 gene:PPA14105 transcript:PPA14105 gene_biotype:protein_coding transcript_biotype:protein_coding MNMPTSIHWIALGISDNEIMELDTVLECVLGTNGTGTFRVSWNDKTKNEVISTPNGFITETSVARDDGKLVYDLSGSSKYNLMLVRGYADPVFDLSSSAHQSSPPRKIKQQFAIVHAVLMMSAWWIFGSTAILSARYLKNAESTCCTAPVWWALHRPLMLLSFLCASIAFFFIYYSLGWKTYLGIIHTLVGTVTYAFMCFQVLLGICRPSVDSPLRPCYNWLHWTFGTASWICALTFIATALICEYFQRFRVAEGNEKSEISSSLSPIVPLVIICNLLVSIAGVALITWMIIQAYLKYGFTF >PPA14075 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:673627:677127:1 gene:PPA14075 transcript:PPA14075 gene_biotype:protein_coding transcript_biotype:protein_coding MADVCNSNFREWFPELIKVIDSAAFFAIDLEFLGLPSSARDDLVPTLFDESEQRYKKIVQSVRRYPPCQLGIAVFTERDDGASYEVESFAIPLFKRLPHKQVFSYSLSAVSFLANNNFDFNKFIMEGVTYSNGRQTEDMRKEILSGGIDYDVFEDGLEHRLQILKINFQRAAQNAIRMSQHTVHRSPSARSYQTGLQLPHPILIELVRSGEFIDTDTVDQTMHPPWDRPLSPLEKAVIEYVFTNDHRHLEFSISHDGTLLYVAELPVLTLLHYSEEEWVEEKLEKLLQEISGVSEIVKRMLARRVPIVGHNSFLDLVYLYECFVDDLPDSYEDWKAATRKEMPTVFDTKIIACLLKNQLSENGVMDHSLKTLGAFFESASCGQILPFSYPSISEGPCSQYIFGVGEHFHNAAFDARVTGSIFIKMLYLYAYTKSAGHGDFSRLWQLRKLIFACRNDFANRVPIPLIDYLHCYLPGADPHGHRPDVLRVRKRRKWPWFGLLNGRTENIVDVIVDQIIGMEKMLQFTLADMIPLRGELKREMGAFRIDVKRSDEHEGIALVATNTPKTFARVARYLAAHKWIRPMDERTSEKASWEELLMGSEEMKRRRKGQRRRRKTTGDHLSVCITEEINDDRLGTIALLTAGVTSSLFIVGTVIYWSRA >PPA14079 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:698725:700460:-1 gene:PPA14079 transcript:PPA14079 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLLILVPLFFTALAILLSWRKIKDMSVMIWRSYFNLARLPGPKAYPLIGSVWQFKLNSADFAAQMLGWANIFAFYEGAPGIIKAWLGPIPVVLAVKPDFCKQVLESNTLITKATQYDKLSEWIGTGLLTSTNEKWFGRRKMLTPAFHFNVLKGYGEIFIKQAQIMMEQVESHADTGREVDLFPYVKRCALDIICDTAMATQVNSQIGKNSEYVNAVVRLSDMLFTYERSPWMWFKPIWYGCGLGFEFDRLVKLTTDFTRRVIAERRQTLIDEGLMEEPGDLTKKKMAFLDLMLLTQEKNALTDEDIREEVDTFMRVFTILLPS >PPA14062 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:605746:617642:1 gene:PPA14062 transcript:PPA14062 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTKKVLPEEGENEGDASSDDIADSTEVSEDEEDEDGSSEGSSSEDDDDEDDDGETEHLSGDSSDDTEYDSSNVWSEEEDEGDKETKEAAEAAILAKFQQSLELLVEGKKEKAKKKLRKILKQPAVSKYKVTEFLWEKRPVDNSHLSKMGRVFVAAHKNLATLDEENAIDHYLQVVGLAPRNDESWFSLGQKLVQKGDLNMAIFAFEQTNNLRADEALMSTHFLKKNYKTSLQLVAEREAQWGRFKKGEFLKKRIAAISPELKEYIHKLFGKTSFEVDLKYEQQTLSRISALEAKIKQCFDESKEKEMKKEQGMAPIKISFSDDQNAWDIMTAFCDLFDRIRAYSQVFTQRIFIEEWECRDDKLIVESIVEDMKEEVLIVEDVVEKMLDKLSSEEDQSKPMVSEGGRKRKAVEGRFISKRGKKEGEKEGMRGGDESTCDEDERPTSVDSIALREPTALEDVATYYLDARPIRPLREGISQAPSRMSATPGPIGSEEDLLTVMKEYLYEERYLTVFKALELSLYCTLRMDCLPSRSSHQSEVYRRWTLCSKELVQSHHRSIHALMVMYDEPTAMEYCMRMVHSTIDQSDDSKVMKFPEKRDLIRFTHKRVETIMDNEEELMKCLKFMTETIKEEEAFNVVGGIVSRDSIREIMEKKERTSRIHSLHHLNETENWTEIVSIIESDSQWSSVDDDDELSALIQLGRTEKAAEILNRMLFLLLSLPTLPASTIEPWIKLLNSIKYTSIPSNSKILPSLGYSLLRLTEHSHLAKNWLLWRLLHQVIKRIEESKPDLLGTLLNESLSHDDYDKSDDYNEFPTRSLRFLVHAHDKLSSNGGCGQDNGAFLKYSLSQFRGVMEKESVREILRYRKLQWLMNNVQEEIYQLLHCTFGRYSKRRRAAEDHQSGVELGEENRKIVKCAIALSIPNPIPEYNDKGTTGVDLIDLVKNRFPSFIKMSEKRLKAVKRLKKWVERGPPSKGNCDDEKTRSPLLEWPHKGGSKLESIVFYLHSLSYHRQSKNDEARQFAEYFLSSNHVRSFGEEVTKGAWIILSYATSERLFKLYDDDLLHELHHHLRPFRVASLLSSTDDATVSFLLACALYQLATRLARYFRSIPSFDPHQNESIVDELRSECASLFEKVLEIAPSMQEQNRGDAWDFQWISYFFLAKLYLKKGKSMDEEEESEEKREERGMYVVKAMDGFFEAACALQMGGTQYSQKIAVKNQKNVEPVEVHYQAYSTVWKYITRTVNPSWEVLVRLRSYTDAFSRHGVVKPSAAMDLYEMEPAISAVVVNLSFKASRYSEETDEEFVLNETKDKMELIEELKTTCKEAFKLVADRFPHRKAFHRLAQIAFNENDMQGAHDLIFKKIFPRRKKDEDLFESVVEFTSADIERSDSLSFHVSRSLRLGLALSIKLGDASSIMGVCTSLARTITDKEEVYVLKSCYLSTVKLATRSLSRVLSLHPSQWTKSVKAEILALHKSLIDVKDNIPLAYMRKEVREEMDKHGGVTRLEFEVAMTEKKPQQQRKRKADNVGSTDRILGNLGLVGRPPLPKKNNALPLSRQPQLNPFLKKNPTSPLSRPPTLQPIRPPNQLLYQPMMSAMKSLQSTLQSSPIRTPYSSLPSSSISPISDPNALIQMLIQQAQSRKHFSFTQMIPIAENIDPSMDCFVGAATGALKSISLRDSSFSNITTVTELDPKKDEITSMAFSGEDQTEILIAQLDRSLRLYDSLTGAFSTLFALEGEGRIRGLHVTKSQEILTASEKGTVELWEKNGDKKASVTVGDGNLLTMEVNDEGKIAVSGKNILLRTLDPASLKETWKSKNVRNDWLDLEVPIWDMQARYLKDGNTIVTSTGTHEIRTYDPRVQKKPEPITALTVSQTSDWTVIAGNTKGEMAQFDLRKMLPNAKFKGQAGSVRCIQSHSSAPLIASCGIDRFVRVHDVNTRKLVHKVYCKARLNTLLLRDELSILTKLKEEDKEDWELMESKKEEELVDEKEIKIEPEDDEDVWDAIEKDGEENDDVVEVKEEDDDSEDDEDEEEEEPIVKRRKITEKSKSKGKGKERKRKESEGEKNDEIEEIPVKRKKRIIGYSRSRLV >PPA14141 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1066403:1067422:-1 gene:PPA14141 transcript:PPA14141 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTRSMGQNATPKAAPMRIRKKKGRKSAKNVHVRASAGAEDPTPPTPAKSSTSSTRTANRTPRKRTAEEMELCQWLDITGRIAALPEGSNELRCIIRKRFEKKLKLKSQAASIHLGTVRGPVLCTRVDTHAVARDIVAQANGVLKSRISEDEGSTGFETAARAYKRPIILPGRIMIDWAYPIAGNRNFVSDLSRILEFKHFSPAMEGSFH >PPA13986 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:225482:226839:-1 gene:PPA13986 transcript:PPA13986 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIEEVYPVKKIAPKDHMKKIVYVRLMEIVGVMETSFRDYYSVKRNDERDPFDVQCIFRDMQNGHPTLVELWTSSLDDPPPETFQSEKKNLIEMERKSEYNPAMCCHYKLKLQNSEKPVIIYVKTPYSRCFSVTPKVDLLEPNSEKWLFFSYDSRSAALPDDFTFAFTIYQIEVQNSKLLETLKKEWETHSRKTLRETWQSHAHRPCTFLLWLPVVFHNKPTKGRSCTKRHADYLPKLLFDTKGHKNTIKVHCLQRRGSVRSG >PPA14131 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1000251:1001117:-1 gene:PPA14131 transcript:PPA14131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-120 MESPPSPTLNAILSAVDVSALMAAGSPTNSASETTSMSHSSSGLLPNGKKTKGRVKIKMEYIANKLRRYTTFSKRKTGIMKKAYELSTLTGTQVMLLVASETGHVYTFATKKLTPMISSEQGKSLIQQCLSQPDDADNNVAPNRSEFTFDAGASGSRKRRAPNSTTNSDTDAVS >PPA14153 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1112428:1113416:1 gene:PPA14153 transcript:PPA14153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-trx-2 MRFLQSALSLTSRILTAQPARTTQFIVGRAPLAMARRPFSDGQPVVFAIESVDDFNQCVINSPTPVLVDFHADWCGPCQLLGPRLEEKVASRAGAVLMAKVNVDDAGELATEYNISAVPTIMAFTNGECVGEFKGNISDEELDSFIENAIEASQIRVVFICN >PPA13945 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:52804:54992:1 gene:PPA13945 transcript:PPA13945 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVTNDTACVDKLKLVRMMGSSLLDMDLEDASIEADKILDTETVFFWIVEGVMSEENEEHDHYGSLFYCIITRLDTSGRVTLIVRYFNILKKIICNIFTRDVKIYHVFNITDFFGYEEMVGFVFWRLESLRCYQYQEVSASKKIVYGMDKYIRWSIPSANGLSSAFPRTDYDSAPCITLNACNLVVKVLRSVLDSPKLLEQLLGFDLRVAKHLSLMPRLFDSQADVFREILVKYHEFKKSFRERTERGLDGNPVANLSRSFPPKAPTEGKWYILKEEEE >PPA14015 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:397417:398902:1 gene:PPA14015 transcript:PPA14015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-aqp-6 MQGYINSSMDSILHAAFAHGLAIFLLVTSLGHISGGHFNPAISLAGALTGHLPLFHLPCYVVCQLLGGICGSLLTYAILSKEEFTAILGGATLLSSDTNTWYQGLISESVVTFMLAHTVLNAAMGSTDKALAPLAVGFTLTVDIIATGRITGASMNPARSLGPNLIGWAFMDSIPFGWYALF >PPA14182 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:1259092:1260505:1 gene:PPA14182 transcript:PPA14182 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPLNSVQPLIFNPLSDWTNPSHLHSYLLGNLGRRIQHHPVIVPPPPPPPPIPVRTPSQSDQVSISLVCASLLSKAFSEIKQKEKKESPSPRLSRSLPPIGLPCEGMEDDDDEMPYLLPFTPTQQLHIEISANRLSNSSEGHESDVESGNSVIYVRTQKRIVEISSDEDQKDSNDDESDMEEEEDSDDDESLSLEASPSQRLQ >PPA14226 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1476705:1477610:1 gene:PPA14226 transcript:PPA14226 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSLLVLTAISAFLSFSSAESCENGPSFDPFDEFHIQKSLDRSEAIRHHKAKAEKARKAAETAAINDKEDRKFAFNSHESFDEFAQYRDKFDEQNRKFDQSQQKSFADKFDVDNHLY >PPA14056 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:579500:585566:-1 gene:PPA14056 transcript:PPA14056 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKEVDELKKELKKKNSDYDDLKKINARLENEREQQRRLLDSRVPPDVQTLMAQKRELTHQLDREQNEKHELFMQINSLIAQVAGASDGKKEKDEIERLTREIMSLESLLENEKDSVRRKKEELELSAAKNEIEKKKLANEVESLKNKLSSEVETMEKELNMKSAALQSMMLAKQEPKDDGAKKELERLNEEIVEERRNAEILKRNHDNLVASSSKSARDYEEKVQILEEEMRELERKLDNSLKETAETREKVNSSDGSRRDLEDRLRRAEEESEMMKERLDREKERGDALERRLESTSGEKGEEVRLLESQLRDAREKERNLQEKMKEVEEKSRRLSEGSAVELALLKKSLEEEKEKGRLVATLEAKLLIAHKERDEIERRTKDLEKQHEDTQSEMKRAQSARGNVHDELMTLVKSLQESRQHNEELSNENAQLMKELNESREENEQMHEEMRAREDEIGEWKERSHRAETSTSTLSSSLEEEKMQLKNENERLKKKYEELLNEAEISQKAIEELEDEKQSLFDASRKKEERMEGEIERAIADTTTARAEMTKMGLRLKDLEAESRKHEGRAEELQRRIDSILDDSEKTAGVQALEAAEKATKMKEAEEFSRKIKKQLEELEKENTERLAEKEEVHRGNVQKLSEQITDLQSKLSTSSSLLIESELNLKRMHTELEELRRKDVERTKNEMQTSSHASEADRLREKAEKEKGELEREIELFAVEKAGHERELIEMKMEMEKLKSELEVEKNRPNHEPELYRLKELLILETAAKERIEREKENVKKELDEERRRPNQEAETLRLKEALIAEGAAKERLEKESRELKMELEDERRKPNHEPELHRLKETLILETAAKERIEKESRELKNELEEERRKPNHEPELHRLKELLVVETVAKEKIEREAREVKKELEEERRKHNLQPELNRLQDLLKAESTARERLEMEKREIEEEMKKNVVHSVFGYFWSPAENKY >PPA14177 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1242261:1243542:1 gene:PPA14177 transcript:PPA14177 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMSNYRGTVQFATVLSGAALIGIVGFLGLMVNDISTFHDDAMMDLNEFKMISNSAWTDMVAVNRPSVDVKAIFGRSKRSAGSCGCAAGPNTCPQGPAGPPGLPGSPGDDGEEGEAGKDGKSGLAVLAETLTSSSGNGYESGSACTSCPAGPPGPPGVDGETGEPGKDGDDGKDGQPGKDGENGIQGEAGDKGEDGRDGKDGRPGAPGRNGKRGAGAPGQPGKDGKIGRTGKAGPRGAKGEKGAPGPQGPAGPAGHDGAPGQDGIEGPTGGDGLPGADAAYCPCPSRSFEVKSEPASQGYRRRARVH >PPA14214 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1426952:1432604:1 gene:PPA14214 transcript:PPA14214 gene_biotype:protein_coding transcript_biotype:protein_coding MTANRRLFFPLLLLLSVPINGQQQGQGQFGGGFGQMGSGGQLWNAGNFPNPTAGQFQECRMKMSAHICDPDGVLDESSRYRLDHDLKQLESRTRQDMARTFCDKKGVTAAMAVARKVQGGSQEAVKAMANDMLRKWTLDPQCQKAIVIVVSVDDAKFWVARDDKVPVYAEEFTELFMKEKANFQQGRHQVALSNILSGTWEKALSKAGSPRQPSPSGGGGMGGGGMGDEPFGGGHGGFGGGGQGGGGMDDRGGKGGRGGQAPSMPKMPSIPSWFWVALVCFVIPLLCCCCLCYCCCCKGKGGGAAANGAGGPQPGMGGGGPMGPGGQMGGGGGGRGGGGRGGGFNNFLGSLGGVGAGHLISNLLRGGGGGGGRGGGMGGGGMGGGGGYTPAYGGGGNQPVYDDNQGQGGGGLYPSRAVKDEGGGGSWA >PPA14172 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1205755:1206587:1 gene:PPA14172 transcript:PPA14172 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLIFSSLLLSVSSQMIPQCPCSLVEPCYNNGADYITQCADRCQNHFTSLGLSYPAARKCIIDKVPAVTDAVECATKSFGQVCAARPGPLVPKRYSETLQLAAFRELNEMIFRSGLAGEMGVLSKVAKKALGCITKCMKQRGCAGSKQCGLALPSDTQVVKTFKQCGQQRGLLTTPMVRDLCSCIANAGMSQLYRICPRITIT >PPA14191 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1295156:1296124:-1 gene:PPA14191 transcript:PPA14191 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEDPAELRELEEESTEREMMKRATRSLNQEFEYAEGSEKNENNEEKRNENEKLSLALQLLLDEDGGKIFMGCIPPVPNSSV >PPA13934 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:9286:9536:1 gene:PPA13934 transcript:PPA13934 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEADEIHKSSSSTGSSTFVHPTSTVFTGAPVEFADQWQRVFGRGSEAGDKWLEAKSS >PPA14211 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1409402:1413193:1 gene:PPA14211 transcript:PPA14211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-asns-1 description:Asparagine synthetase [Source:UniProtKB/TrEMBL;Acc:H3EWL2] MCGIWALAGGATTSSEVRKEHTDAFMTIVGRGPDLTVLEKVAPTVHLGFHRLAIVMPGDQPSQQPIVGQGLSVVCNGEIYNHKQIKDKFPLASVRNGGSDCAAIVHSFIGNEGDLKKACESLDGVFAFVMADQDKVYIGRDPMGVRPLFYGFATDGALVVGSEVKCISPLVERIEYFPPGSCATFIPAAKTRALQIQKYWAIPTNPDKSVSMNQAEVLIRDTLVKSVEKRLMGNRHFGFMLSGGLDSSLIASIATKFLKHTPHAFSVGFEDSPDLEHARQVAEYLSIPHHVLVITPKQCIDIIPEVVYALETFDPLIIRCGIAHYLLCKHIAETSDVKVLLSGEGADELFGSYAYMQRAPNAFHLHKEILRRMTHLHQYDVLRCDRSTSCHGLEIRVPFLDKKMIDLVGRLPPTYKLIKKKMEKHVLRSAFEGWLPSEVLWRSKEGFAEALGKTDLGEIIHEHANRLINEDEYSGRHELFPDRTPETKEEFWYRSIFEQCYPLEKIAHVVHTKVYKTAAWHLAEEKENLAKLLVNSDDESKMSEEEMRELRRKAATTLNSGVVA >PPA14016 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:399828:400757:-1 gene:PPA14016 transcript:PPA14016 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGHFNPAVSLAVFLCGKMRAITAILYIICQLAGGILGALLTRGLLAEILTTFFLVHTVLLTAIDETKWHAALSIGLTVTVDILSIGHITGAAMNPARAFGPCVLWQIIDGGNGVAGFWEYHFMYWLGPAVGAFLAAALYR >PPA13980 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:202002:203782:1 gene:PPA13980 transcript:PPA13980 gene_biotype:protein_coding transcript_biotype:protein_coding MSILLIYLGDRFNRRFLVLLTGWIWLIFVAASSFIPSNFFILFLALRSLSAIADSTIIIIAPTMISDFFVGKPRSYALTIFYMFISVGISIGLVVGTIFIQIQADWQWSLRIVPIFGLPVMILSTIFLKEPTRGEMEEKIGTGLDQEPSSFLENIKVILPIKTYWFSTGALVCFNFVNRANSWWQTTLMQNGMAATNGTNAWHGRWRDGLYPFCCFSPPSSRSSSLTAAILSAIGVPCTFLYVWGTGHDVYLSMTGAILTGICNAAMIPLALETILSVVPPLQRGSACALLYLIQSATGDAPAPAIIGAISDAIRNGADDDESRWNALSTALYFSIGVAVFTSLFMFISAIFFPRDSLQGKKREEYEDVK >PPA14034 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:455231:457895:1 gene:PPA14034 transcript:PPA14034 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEVDDSMSTCTLMNSPIRWKLQRDCDVWAEIFFFVFILGLLGLVVSYHQKLHAKNECGMTYIYRPMIFNPIPVQGNNVDKYTLLRYHEGYRDESVLDVDIPVLFVPGSSGSGKQVRSLATTIINSTAELGDKFKYRFVFYACDFDELLLMGHSFGGTILHSLPAHPRADPKWMDLVITLGAPINAPPFKSDFYMEAFYENTMKAWNNRKDELSHITLISYSGGIKDFMVPDHLARNPFKYTKEMGVIAGTRDSRVLYRPSWSLRDVATDVDHNCLAWCNQLIKHISGLSIRYGMEWKMPKGGVMKASRQVVKEFYVQKIGAENKVESRKDPQPLFNNSIYIQEEKRKVKFTEEYPYVKIDLDLRQYDMIAYIRARALTCSQGITARHADLTFRSSENFTTYSIQEGEWMYMRIFPVFPETERLKGILRIGGTPDCEYEIESHYEVIGTMYRRLMDSTSLPFFVFYVLFIFAMIPVISLNRVPPPFDYIYGDLPDYFYTGLFLTGAIGSAYVFIHNPS >PPA14067 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:647780:649676:1 gene:PPA14067 transcript:PPA14067 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVLKSKLSFKAITTDDLPQSHGDDCEFLTGKSNDYITVPPSYTYETYVRFNGTTLQDVILKACFVVDETGMDSNDTRENPSIMFKDQIFTSFNFRRTFRFEYTTALPTGVAVSSAATTTTAAAAATAATARKGRVGHLPMNDSGIIRHFNSIHSTSLEENISLNSGWLENVQVMILTTLDVESSSISEKISSAINVPKRKIRIHQLLDGKNNGLYGTNSNTDISEECHVQFTVGQRQDSTFDFDINLEEKPVEDNEE >PPA14145 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1087224:1088743:1 gene:PPA14145 transcript:PPA14145 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQCTLTPPSSGLVSPSSPFNTSNGCTSIESARPRAASTMSEESMKSGVSSSIGSETKEKKQKTPPVMKKKEEKRRQLTPQEMLHAGVTLRALSINHCVDELEKLIASEFSDEVAGAAVGQALVTHAVETTTSSSRAVAKAANLLKDSACFPGIHRGFVLGLTTYNADRDSLRQEKFRSWLTVLSLSSDLFANVGDNEQGDLVSLVFDIFGFLLRAPVLENVKIEELENLISSLLSIGYELERECPDRLAELKDLIRDAFIEVR >PPA14222 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1465704:1469323:1 gene:PPA14222 transcript:PPA14222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-13 MRMSRFILLGVFILAASAAAAADESTTVALSTTTEKREEKEEVKELLLIPQQPQCVSACMPSCQPQCIQQQQIVIVPQQQQCAPQCMPSCQPQCIQSACAPQCQPMCSQQCQQQVILLASPQQQCAPQCMPSCQPQCIQQACAPSCQPMCTQQCQQQQIIVVPQQQQCAPQCMPSCQPQCLQQVSCCGSANCNCNQNQQPQIIVVQAPPQAQCASQCMPSCSSSCIQQACAPACQPMCSNSCVQQAAQQVVVVAQPQQQCVSSCMPSCDSSCVQNACPAACQPMCDSGCVQQAQQQIVVTIETQSAPQPSCAPSCQPSCTPQCAQQQQICSAACEPSCQSSCSSNAACVQACLPSCESSCVQQTQSSVVVVQPPSSGSSCAPACQPSCSSGCNQQVQLCSTSCQSSCDTSCSGNSQCVQACVPSCQQSCGQQQSVVVVQQAPSQCVPACQPSCTPQCNQQQACAPSCQSSCGGSSACQSACTNSCQSSCNNQQVIVVQQAPSMCGGSCGAQPSMQCVPQCQPSCAPQCVQQYQQYVQPPTIMMCNQMSGSNSCACNSGYAQCMQGVCCLRRRHRVRKALVDDEKKEN >PPA14059 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:595221:599367:-1 gene:PPA14059 transcript:PPA14059 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGGFGTENGGGFGSRGYRPGKDQYGWLPDPPKSAWTPQGEMNGETAQRVHLVNAFRRFGYLEAELDPLGLAKTRDMPELSPETYGLKWNASLNEKHSVDDLVDQLRSIYCGPLAIEFMHISNWEERDWLARHFESTISSELRTEERVKLAKTMVRVENFDHFLATKFATVKRYGCEGAEAMFGFFTELFDSAPERGTEQIMLCIAHRGRLNLLTELMQFPTVQMFRSTTHCTDIAKAIDCPVIHVNGDNPEEVVAATRLALDYRNKFRKDVFVNMICFRRWGHNELDDPSFTQPLMYKAIESRRSVPTEYTERLIMEGVITKEEIENEKKAHTDKLLESFRAIETTPPVANHLSANWTGMGQAPPSIERWDTGVDLDVLRFVGAASVQTKDGFELHPHLKKTHVETRLAKLTSGEGIDWATAEVMEEKEEALAVGSLLTEGVDVRFSGQDVGRGTFCHRHAMLVDQNTDECFIPLNSIHEGQKAFYEVANNLLSEEAILGFEFGYSLDSPRRLCVWEAQFGDFFNGAQIMIDTFIASAES >PPA14152 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1109919:1112178:1 gene:PPA14152 transcript:PPA14152 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dcs-1 MKMDAEFKNEAMKDLKMAVDSEVVAASPETAPDLNPSQTWLHNAKVVEVLGSDSSHKTICLLLESNGVKGILVANKTPFPEAVDQLLGLVPKTLSCSLIFPATEKHINKYRKEEKFIVRETPEDYETITKEWIQQSSLELDWVYNFIDGRSEAERVIFKDTDSHNGFLMAPDLKWNGEDIENLYLLAVVNRRGIKSVRDLTANDLPLLENVRDKGLAAIREKYNVRPDQVRIYFHYQPSFFHLHMHFVILSYDAPASGVYQAILLDDVINNIQMDSLYYKKATLSFLRKKNDRLLEMFRKAGRATE >PPA13954 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:97169:97922:-1 gene:PPA13954 transcript:PPA13954 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRLKFRSRSGSYDLLQYTEKQFEDVMQGTIMEERSPTRLKREQSLATLEGLRMKTQAAREDAALKARDGTLFACATSSDEMPDLFIPVDQMIKSTSSSGDHTSDGQPILPFYMAVRLTRKKRKVTKGQFPHL >PPA14036 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:462117:463583:1 gene:PPA14036 transcript:PPA14036 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sna-1 MSNEQAIYDALRDIDKGHSEHKRQFDEWINENKGLVGTSQYRTYVKNFQEWENDVLEKKKGLISQLPASTADLSLSTSLERVKPMEFLMAMMTMSIKDQSFLKAILTAHMQVTQSNEMKQSVLATAQPVHGPAFNPNVPPPSFRIMGNSSSPYGYAGRAELTAKTEWTNEPAVKRVFRPPSPIAEYKKTALPFRDFSQT >PPA14069 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:655805:657890:1 gene:PPA14069 transcript:PPA14069 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNKLPTELWDYIISYCDHPSECALSKVCHRLADIVNDRNELAIDKCRRKGITLPSEDIIALSFNNPGLPLDATRLTRHNPFGCPLSLRPSTVRGFEMDENDDGNLVFKTMWNEAGVMERGVLEFNLEFESSGIPRWIIDGLRPTMKVSAVLERGNGSSSGLRISASMGVWRLDGLSKDKKFMSKETILHAICTVDNECSESSFEVQIDPSSTIHGHPVVGGSDGIRIHLNTGNDVRISNVQISFQLPDKIPSQFSDWLEESRKLREHRSTTESKPCYMNNLNIGLFGL >PPA14046 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:500357:502371:1 gene:PPA14046 transcript:PPA14046 gene_biotype:protein_coding transcript_biotype:protein_coding MALDFFAGCVGGAAGVFAGHPLDTVKVRLQTQEAGKKLYKGTFHCWSTIVKQEGVFKGLYKGITPPLASLTVINATVFGVHGMVSKKFENPDSIQAHFFAGCAAGLAQSIIATPSERIKLLIQIQNDAAHTRYKSPIHAAKSLIQREGYGCLSRGFLATVLRDCPAFGIYFASYDWMARKMSKDGKMESLTTPQLLFAGGGAGMLSWLFNYPTDVIKTRFQTCNSYKSYWDVILKTYAENGWRSFFVGLNSTLLRAFPSNAATFFTVEWTYRLLIDNNILDT >PPA14197 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1316940:1319127:1 gene:PPA14197 transcript:PPA14197 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNLSAYIRSISTIEFAQSESHVMSIDISKENEIIPSSNEKHPTTNFRFDPENPFYESLRGAVLSAEKIIIHSLSEAFGHFDTLRCEERDCDAVIALLSERIPYFKNLLSHNWRDFTSSEYSITEIDCSSMEYILDYAYEGNLFISQSNVQDMIRAANYLGMTNFVPISHSDEFLELSIDDLSNILKRNSLHVYNEMQVFEAADRWITVEETRVQFGPSPRPTHVTPQLTRTGFSKQAEFNTMVLKRLEEARKDPSVLDSVIEIIKERNSILVLADKNPKLLDALDTAKAIEGASGASSSPLLQAMMIAQTLTQVQPQSNDRKRRAASPSSSGGQPFRYRTSAFPQAAGAHFTRLPSLAPHFAQYGPTPGYCPVSESRGD >PPA14224 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1470616:1472200:-1 gene:PPA14224 transcript:PPA14224 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPSIVLLIAIAQCVFSQVSRGPCHIQSNNLACTRNGYFEMTQCTSRDCFCVSANNGHIAEETRTGDGKKVPTCSKCHLFLREIFSSGLSLSSTAYVPVCDNKSGEFKPIQCHPARKECWCVETKTGEEIKGSRKSTANNEILQCAPSTGGKGGRFPTALNGKKIEYPVAKETCKKAVDRGQTCSGKKPQVMYYFDTTHADCFAFEYLGCGGNENRYASKKDCHSTCNLMDMFSCSGLVEAKGQCRAGDNFPPPPPPPPGQTAKPTEKPKPDCPEGYRCIFTSDIKNGDT >PPA13993 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:260349:264598:1 gene:PPA13993 transcript:PPA13993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acy-2 MGLAMSVKGRDQLLLSVIPPYLADKVHKSILENSSARVKRGKDNERENRRENRNKPHHHPKLFHELHVQVHDNVSILFADIVNFTVLAAQLSAKDLVRTLNELYSKFDRDAQKLQCMRIKFLGDCYYCVSGMPVNRPNHADMCVLMGLEMIKTIKQIRHATGVDVNMRIGVHTGSVLCGILGLVKWQFDIWSDDVTLANHMESAGVPGAVHITKRTRDMLLGEYCIIEANSDDPEICNIGEPTYHILPDKTSVLERTARQTLDNGVDPFMARGRTSTRVSMKNKVSKMVEFWGAETPFANLSRKKSSSTDPNIIVNNLINFSLNNLGSILSCHHPGEYSPYLLWPFFKRSIACRVPDTGLFFLLSFPNSIANLLLTRVYCPPSVQLKIVSILLICIFILGLFWFLERIFHPLSLDYIFFIILLVVYPVYNGTANPIFLGYVIILIIVSLTVLLIMLLFTDWMTNYERKAEFACNVSFENEEKDVEKMQDINKLLIENILPQTVAEKFLAPERNVEELYARSHDDICVMFASIPNFKEFWSQWDTSRKLECLRLLNEIVCEFDKLLSKPKFSGIEKIKTVASTYMAASGLGEIESESDYNENGNYLDANDSGNGRRRKNVAYRNASMIIEFAMSMHQILEGLNRDSFQNFELRIGMSLGPLVAGVIGAQKPQYDIWGNTVNLASRMDTHGEPSKIHMTTNLGEMMKAGGHRVKSRGKIKVKGVKEPMETFFMELDLKRNSAVSSHSNHNLNNY >PPA14118 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:935246:936403:1 gene:PPA14118 transcript:PPA14118 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNENTALLTGAYGQGQQYQQQAYQPQAYQQQCYQQGGQQYTQEQVQVQQLQLQQQCYAQQGQQQYAGAGYQQQQLIAQPQQQYGTGYGQRYLAPADIQAQLAGKPAFYDNIRGIYYDGARAWYYDSTGAPGARNSYSTGSVITAIEQRPNGVVIRLGNGTEGDYAVHRAHPSSVYYNTPLAL >PPA13963 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:128300:130197:1 gene:PPA13963 transcript:PPA13963 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSSDGVSTVSPLQYPPSMEKHRRSAFWQKEAAEKAAIEQESVEGSPPSAFTFTPFKEPSGNRVEIADPPTGTLKRIQRNPNYNTLQPQRPTLKFEVEQTGPTRSEISRTWGRPGGARAPQMGSIRARMTRYQSYCTLPRPEDPLDTENLVAAHLAAQQAQQAAAAAAAQHQNVFYVPFQTYSMPPPPPSAATLPRPPPPQSMPVPVSLPPPPPPEFRPPVHFINAQPESSVDRRSDLIPWEGIQLLGYMHILLSICIFTAGGYRIIQGSKWAIGIEMVYAILILSNSFLALFAVNRRRLQAIVAHFVFSSFNLLLAAPPILLGLMPWFAENHLSINPKWFISETEPFSVDYCLAVLVMFEVCS >PPA13948 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:63322:64820:-1 gene:PPA13948 transcript:PPA13948 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAEVRVPVPGIRNGRFYWYRVPLFGQYPYLIAILTSWAFCLFLTVTGLAEPGSEARLDKEASMNVLRNAPWFKAPYPGQFGSPKIHVGLLMGFILSALTSVFESVGDYHAAARVSQERSPPSHAVNRGILAEGLGSFISGWLGPGVGMTTHTENIGVIGVTRVASRWTMVCAGVLLIALGLFTKIGAILSTIPDPLVGGVLASSMAMVSGVAIANLQQVDLTLTRNMAILGFSLMVGMIIPGVDEIDQLLHVLLTLPMFIGALTACILDNTVGGATRTQRGLPERGVMHDGDHHDDVYSYPKPIQKLIDRLACSRFIPFLPKEKKGSRSRVRMEDPAVTSISIEH >PPA14065 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:638788:641155:1 gene:PPA14065 transcript:PPA14065 gene_biotype:protein_coding transcript_biotype:protein_coding MNGILATSTPNGMNKGYERKDCESSYPLASRRTPSRSNLRGGEELRKSSQALDKIAQTRKLRQSTENLSGDLDSSPISRARSIGNLRGGGHLEIGGGFDVDENRPRLSSPLNRISRNLARSMGQLNGENAIEGSPSTRMIPSSSGVSSGLLGAMSQLKKASNPDLADERQYEEREEFTSPILKPRRGAVQKKVDRYHPRNRGMGSRMESGSGESDSNASDASPLHSTYQRKESAPSRSFISDGLRIGRRLGESPRLTSSPSMTTTRRVPNYLSQKMQPQELEGPDLGSDESPRSSIMNGNIHHDWMGQFEGKPDEIKKLAHHLQQCTEEFAMAMNKMIHAKRLIREMEGLDDDDRASLLDVVNNAMDTSNVRERERMSGMEREKERIHPINGQTTLSSASSQSSSFSNSQFDSNSFVRQPRRSPQEQYG >PPA14041 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:476657:482906:-1 gene:PPA14041 transcript:PPA14041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gck-2 MKRRNWANNGEMKECNCRSGDLAAVKVVKLEAGDNFTVIQQEILVLRDCVHANIIAYNGSYLQRDRLWIVMEYCGGGSLQDIYHMTGPLSELQIGFVCRETLKGLDYLHSRGKVHRDIKGANILLTNSGDVKLADFGVAAQITETIGKRRSFIGTPYWMAPEVASVERRGGYGLQCDVWAVGITAIELAECQPPLFDMHPMQVLYLMTKSSYKSPALKEKYKWSANFHDFIRQCLTKNPKKRPTPEKLLQSHHFVLGALSSRMTRDLLEKVNGSSSGRKNGEEKSEEEDEEDEEDKVGRRLEPSPSFRIADRLEGLRIGARPPISPNSPSTPESSSSSVSSRGYQSDRCIPTRYLAPLPDVVSGDSLLHDNNGVIHEDKEGTLTGVPRAPPRTLRAAEKERRKKNGECGDCDDKISIRSAPVSTARPSSFFGLPITPKWMLLGCEEGIYGIDLNALHDAEMKRLHHRRCSWMFVHKNVLMAAQGNTSYLYRHELSGLTNKNLTLKMAKGMTKMQEKIVPKKLAITVRLSETKGCIQCTVLRVKEGARKGTLFLCCHIPNNVLLFQWYEPMNKFLNVRTTDLSPNIRFPLRPFQLVNVPGSDFPKLCIGVRRRRDEKNFAFDFVTFGDHFTKDPDGTLEAVDNDSVMDVISMVQLDRKSIMFTHRNKVHFTDLEGLQKTYSPIEFKFGFHIDYLVGLADSLLVFHANGVEGRLYSTGEKTQDLNDTSKIYRICDIRFLLR >PPA14127 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:965673:985895:1 gene:PPA14127 transcript:PPA14127 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGRVFTLARRCYSAVASSLPTSSTPQTLYLTHVKWVTGKGQIEKYFSRYGTIRSIDMFFDPQTGLHRGFASLTFDRADSAAKALQQRPHVIDGDKVDLQLYIPLHSKTKIFTTKTDRRQKQNAPTRQGKQNNEIISHKVKEGETEKPAGESVARSGMSAIGSRARESIS >PPA14083 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:720463:721754:-1 gene:PPA14083 transcript:PPA14083 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFGKDSRSKGNLKARRTVHHRSGTFNGPDVISKKQIGSQKTPFSSTTSDPFGVNDFNVAYSLNKQKRWEAVDRPADVMQKKLDVEKLSFISTSVPRTSGRFLPIDSIPLDMEDLNKYGGGVVRFVTCVNGEVTDRKSKKKAHFKSLLEQPGPGPQPRGKKQLREEEKNRKRIERSMKGISNENLNVTETEKIDPEIQYTAMTLYHQRSSEAESMWRKHQSVFRANAKKASARNRRLGENIYESDRRIGRRI >PPA14247 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1604692:1605450:1 gene:PPA14247 transcript:PPA14247 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMSLLSSRMIAHLVTLTLLISSSLGCAPHKPLQSPRPYVQPRPDPVPTVPKPEPNPEPNPEPNPEPFPVPIAPSVEVSSKLLPGPQSECSILSPDIHNCEEVFESLGESNPSSYCAPPIISTSTQFICPAEQPVMLVFDKNTVIDMFSSVECDSSTGSWIFTGETEKRNDELEFPITVTCVNPHHTGNH >PPA14026 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:426155:427402:-1 gene:PPA14026 transcript:PPA14026 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYDDFGLLGEGEEVEFDGLLHNMDDILEMPSTSFPIKGRSRMEHKRKDNPVIVEKIEAKAKKESDDNEEEKRRLWSEEYQRRLDEAEANVISDEMENIPSNDILGMAVHSLYDHDYVEMANECIDQIEEEEDDIPPPPQIVIESGKVEDCGEEEVIVDELEELDENTSGMPQLDAIYDY >PPA14108 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:897216:898240:1 gene:PPA14108 transcript:PPA14108 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVLSLEITSNATEFPYEKKFPSTITLLDLKKKLELVVGSLAETMKVDLRNSEGNFVSSLTDDAKTLGALGVKSSSESLCPLVGVIYSDKGRDDDL >PPA14209 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1397471:1400548:1 gene:PPA14209 transcript:PPA14209 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLTVTTISLDQQETTTVDPVWTTNENECDLVDTDFLTERFWLCVVIGGSVSIISIIENLFIFFLFATSRQHRNSYNLYLLLLAFFDVFMGISYIALMSVMVMINFTASVQLKFMWASYVVPMLTISHVAMTTSTYLITFAAIERYAITITHRTVDLLQKNRRWFVLLAFAIGLVTKGSYFFELEIQRNETCTDHLNEYKLIPSELMQNDVYRRYFRFHFRTITTVFAPFFFLFLITSSLVFKLNRVIREKNGQMLDVQHVKQKRARIRASTRTLVILIFTYLMSNCLGVVVALWEYVDYFSLITRQFIRMNSLMNQSVCSRFIYFYVVSVVANITRNVFSVTIQPWKERQYQPHKP >PPA14231 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:1505537:1509574:1 gene:PPA14231 transcript:PPA14231 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEKMAREDILRKEREEMRGRKAMKTATKNEIRRPSEEERKIDEMFHGLESQESAGERGTMASSRGTMSGGESVRTERQERQERGERWEEMHHPFHHQQQQLQHQHYQYQQQYREHHQHQHHHYQSHLQSVNQPSNAPHLQSIPSQQPPPPSAAHSVQQQQLQQRPNSPNYLASHLLPLGANGALEHAVVTRRESTQTMHQTTTNTQSSTATGLVYSPSVYRETGRALRGDVSAPANGLSSMIPMEKGRGDGRAATMDAKMTASSPHFSPVNIRGPETAGQRVATYESRDGRNPVRVPSRQVRPYALATSTPMGTVHSSNRGTTLVNEEGVVIPPDAVEDDSFLSTISNESRGSVVRSPANQAEYKKQISRLQTVQSMEEEKISITSKALAHARKKQNPSNELAAQRTILLARLRVAALKRELQRLSALAIVRNPPPPVNKSVLGAMDISDITLHLNRNLCARPGDGGSCSFGFIVVLKCGVEVEATAPVCILVPQRPAGVKVVHFPEHLRFSNLPVDFTIRLEVYVMKLREPKEESCTALLATKARNLLGPGTRRAAITAGPGGSSGSGGSPCAINGELPVGELVRCGNTALDRDSVGSMRLYLDAPEYPLEGTIELMSRCSRLPSSVEVDLRGFLTMYKVVGGYGSWVRYWAVLRRGVINFWKYPDDEQLDRGGPVASADLSKCTDSEIVPAPREICTRQFAFSIDMLVATTPSIVEKKRVLLAADSREFLKAWLAALNETLTAIRA >PPA14006 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:343406:346216:1 gene:PPA14006 transcript:PPA14006 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKGRWSDQRQVTETTEIDDETEKKEKEDIEKDLEMTMLSNAFSRTFSTRRRVAMVTGVDDIEYAPRSRKPVIEPSILRVTISSQDPSPCQSPLGTRKAHQIHQNNFVDHVNERVKSHLQPVPFLSTPPQNTQPPVENSKENGYASKKKKDDEISVDIQVVEKRIVKTAEVEVASVAYMIIFGSSANNFVDGMSMGAAFADSMLRGISIGIAVVSQQFPQELGTLAILVNSGLGLKKTLLLNLIPTTLSFVGFSFGVFMDNIDESFDTYIFAVSSGMYLYIFLGTLVPEIRENANELIRTNIVESLIVTGLHCAGD >PPA14057 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:587382:589012:1 gene:PPA14057 transcript:PPA14057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-glb-5 MDAASSSIDSEDGASIKEDLRSDDTDRCDSDLEADETHLARAHWILLHKMNKQGTVIQSTFEHLMTEFKHTRPIWQFGRNIDENVKDWNKELHEDFYFRHHCASVQAAITMIMENKDDIVSLTRVLNEVGAHHFFYDAYEPHLILFEDAMITAMKKVLKGVEELDEETERSWRVLLQLTRKHLIEGISIQRNGYLKQAITPQEHTEIMEAWSRVEEFGLEEAGVKLCETAFETYKSLLSQYELSLPIPAVPGSNSDVFRQFSHMTMAALDLTINNYDQNSGFASLPEKLSDYAITCMILDVCPTLVRKAFMEGKERMEDN >PPA14078 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:693871:698686:-1 gene:PPA14078 transcript:PPA14078 gene_biotype:protein_coding transcript_biotype:protein_coding MGWTIWLLGQYPEYQAKVHEEMDEIFGDDDREPSEFDLKKCVYLEKCIKESLRLCPPVPIFARRLTHDLQLDEYTIPKDITCLLIPMGTHRDPSEWEHPWVFYPDHFEPEAPDRGIVLVGQKFAMTEEKTVLSYFFRKYRVESAEPLPGNRFVPEIILKPEEGVKCHMYKRIPGPHALPLVGAAYQFKYDAADFGDQIGQFAQEYCRDDKNRCGMMKIWIGPVPYLFIESAEIIKEVMESNTLITKSTQYDIVADWIGTGLLISTNEKWYSRRKMLTPAFHFNVLKGYNEVFIMQSQILVDVLDKYADTGKEFDVFPFIKRCALDIICENWQWEPKFNSQIGGNSEYVDAVVRVSDIIFSHIRFPWLWLKPVWYGCGIGFEFDRLVKLTNDFTRKVINERRQTLLDDGMLSQAMSDEEIKKRKLMFLDLLLIKQESAALSEKTSERKWTLSCSKAQVHDELDEVFGDEDRDPTEADLKKLIFLEKCIKETLRLRPSVPLLARRLTHDTTLGGIDLPENMTIVACPMATHKSPQYWERPEEFYPDHFEPEAVAKRHPFAYFPFSAGPRNCIGQKFAISEEKIVLSSFFRKYRVETTVPSPGNRPIPEIIMKPSDGVKVRMFKRIAD >PPA14157 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:1125250:1126824:1 gene:PPA14157 transcript:PPA14157 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPQFSSRFAVPSYSPSMGGGRQQYQQAACSTDEMTRVGDDRLSSPKAPGSPHFGKGVTSFAAGYHQSRRDETDGRPSPPSSQPEDPEGPRDPFRRDVTRSSTEYRQMMSSMDINAAQSARFGGGPPQGMMVAPGSAPVLPQTDQSAPVWWASPSSRPRQGKGSFSGESTSYTDTESSSYVRRVTPPTLSSTTVSDSESSRPAPSNPYFQQQSPAAPTSFAEQATMNGQDSSPPMPKPKAIRMEIDLNKPMPEEEELRRAKEEKNKAKVHFNVYSVTVAES >PPA13998 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:282658:283759:1 gene:PPA13998 transcript:PPA13998 gene_biotype:protein_coding transcript_biotype:protein_coding MECFADFELDEVEQKEKLRWITPEEYKAEDHTDGWFMREEQHKLIDKRDVLSQRASYLNRLGRHDEALEIHEELKRDYGHKGGFRFMAEDACLSTALLIPSYPSTSLLSMLDTLRPTVTNYGDQLQYFNLCSKVYYRSGDWPLFLRSLIFLTSYLDMTDHWEDMTKLPKELCGPNFSIGCLVKTSLLINFQLGMSRGFVTKKLKKDEERIRKNIAEMKRDKVTFLIFKRDGRKERMRYGN >PPA14115 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:924276:925768:1 gene:PPA14115 transcript:PPA14115 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEAVRAEEAVAWPQQNKFSIGLLSRISSAPRRSLRLHSSNSPINSNEKQKVKVYDSNKLASVGFSHANLNSSIAMDGGQGKRELVKVNPETTKQSNIFLASVLEDDKENRNVPKPLKGYIFGSTGRRGKRSSGIGNFFGKDHPLNRSIPVDVHNSCEAEIMAAKVALENILKWKKYK >PPA14248 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1605580:1606224:-1 gene:PPA14248 transcript:PPA14248 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLFSLLSLLLVNLSHQRPHERTDRESEKPSQPNCPESDPFCEQTAIDPFKDQKKREEGSKPSQPNCAEGDYECEQKAIDPFGPPGKKKREEGSKPSQPNCAEGDYECEQKAIDPFGPPGKKKREEGSKPGQPNCDIGDMSCEGKSDPYDP >PPA14039 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:467245:469922:1 gene:PPA14039 transcript:PPA14039 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDSFAHDKCLDRCSVEIGGRRFDVSSTILASRSVYFYNCFMQPSFIESQTRFVDLSELEGITADDFHKFLRRAYVINEPIDGNSYQSLLKCADYFSSDQILKEAENFIIAHCCPEKWQANKKSDKKPFAINLITGIELSFKHHLENAKAHMEKMLGRLRFHTFLRDYPERLWIFDYYSKKIIANAPSTAEDKPWLNPWKKAIPQKEETHTSYEEASIDWSYVERIIPRDIVPDLPKHASYPTPSGWRPPLDPPPALPYHVSRRRDHLFPLYLERRRDLLNEKTLEFDYVELVTLKGIDGDVFACEADLRSFLEEAVAHPVATHVDELKGRIKLKTIAYAT >PPA14235 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1540464:1540712:1 gene:PPA14235 transcript:PPA14235 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDNEEPGINDKAESAKMETLAGPFQTMAIVNFCLALVFALVSCIFYTKYRSKGSDDKKKMRR >PPA14174 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1208895:1212780:-1 gene:PPA14174 transcript:PPA14174 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLFWLCIGFSSLFIFYGLLSTQIFSFDFDYLKELGPASLAIDEVGLFSTCVLAEFDKDGWTKDVLAKAQVDYDPVKKCDDKFMPYTILGANGTVWLNKNKDGVDYVNCWARAIYFKDDWHYNASGWFALERSASKVVFNSDFVHTSCQLIENITEVVEDFVHLQMWNAQDDKGGKINFTSKKPSPSSSTKFPKPTDLKEEEEIVVDEKPSVFIIVFDSVANSQALRSLPKTISLMEHEFDAVNLKHVNKAGENSHPNGIAFLIGKLITDMDRGIFGLGKETADWNETHACYRYFDDDPFILKEFTKKGYKSMMAEDWANGVFNYPNCWGFKNAPVTHYMRPFQIYYESDLKQSRILMGEDQCFEYHTFLFNYLTKFIKAYKESPKISMVWASEIAHNFNDGLFHVDGQLFNYFRDNRELFDKSYVFLMGDHGIRWGKVRNTWIGEREINNPMMFVSVPRHLRDRINPILKENSNQLLTSFDIHASFVDILNEPHLGAQAGPRGLRGNSFFRPLPPGERSCRTLPIPIQFCLCEWNKTKSENETINDEIGNGAVRLLNGKLRENQIADECEEFTFKKAKEVKTIDRTDGLTEILFAANECDAEFKTIVRARRMDNGRMNVTLAASDFTRWNSYGDTAACMNKRASLRPMCCCKFKDRPRSSSTVEPTTFHSTTQMKKKTSSTKKSTTIPSPSSTPKSSPSPSTAKSIAKSTTK >PPA13937 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:22644:23646:-1 gene:PPA13937 transcript:PPA13937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hil-1 MSAAVAAPAPTTPKVKKTVVKKVSVHPPYASMVKQSIAALADKKGSSRAAILKYICGHFKVGDNVIQINSRIRSALKKGAAAGALNQVKGNGASGSFRLGEKKTAEKKKATKSVKKPVAKKSTTASPKKTKKTSKTTKPKSPKKAKAPKKTAAAKPKKAKSPKKAATKKAPKAPKICT >PPA13932 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:3755:4281:1 gene:PPA13932 transcript:PPA13932 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSTPQTPSAETPLATRVALENGLRSKLTGMENAIARVASVKSRLRKNAEQARRDLSQTISAQMEALRFREQQLIRELEDAVQKKESVLASQQENLNLHIGEIEK >PPA14071 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:662112:662987:1 gene:PPA14071 transcript:PPA14071 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPLSKRCDGHMDCADGDDENNCPSCARDEFACVKSGECIPAEKRCDGVFDDCGDGSNMDEIGCSRNSTCIGKFNCHLSHTGPSCVEWDSHCDGKADCAGAEDEMNCRMSETKYLLCENQKQSVTKQQWCDGTAHCADASDEKYCY >PPA14093 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:758500:759094:-1 gene:PPA14093 transcript:PPA14093 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHAILPFLVLLPIYHAKIDESSVQVKGTVEAVTIPNLFPSIKENGTWLNTLDVRPGSLSGYKKVPYETSTSIDENGRTLYHTRVRLRTREADDTVSELDSFTGSDSRSRRRDSPSLGCSYH >PPA14243 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1570254:1574282:-1 gene:PPA14243 transcript:PPA14243 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFAAGVITVLVLLSIGTGIGYAFRGRAGPPEGISPPTPPDWLRKHVISVDKKKIKPPIPSDSEEGEEIDNYIVPKIEKNVTIFSGKCPPSLRFGKGPPKSCKGPSDPLKKSPSPLEKWFTKEMFEDLFPFANLGWGPNRCFPYSYEAFAIAARYFPEFGTSSPNEEYSSRENYRRDLAAFFAHAVQETGENNAGFHGRPEKEATDCFYRGGFYNWFEGGPTSSFLDPKSPGYAPEDGEKCMMGGRYCAESAELNHFFGCSKNKNDDDGKNVTERMYTGCYFGRGAIQISYNYNYGMFQDWLNEQVGILVDLLTYPNLVMTKLDPPLAVMASEWNSGQKNADAGYTGPIFGPTSLIINNECNGEDAHNPGGPGESRRIKAFKWFCHYFDVPAGEDRMLTCKDMPVKLDMIPQKMSYQPDWSTTWKQQTCECAPATYGGMIPYFDPDFYPARFVAFNAENKQKCEDSIYDNPRMYTLDKKTSPCLSHEGNRTKT >PPA14196 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:1315867:1316367:-1 gene:PPA14196 transcript:PPA14196 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKLILALTIFPIVSSEIVYARTDRLDKAEFDQWKKTCGLQSTSKKEPFKVTVHVSKNSGTKKCVGVILNKRFVLTDD >PPA14239 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:1550945:1552206:-1 gene:PPA14239 transcript:PPA14239 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQEASDGQAVTFFNIAKQIPKGVKRHCGMCRQHGVVAQTRNHACQFKNCECTKCNLVRQRRSIMSMQIRLRREQDKMFVRTTEPDQAEVGMEGRSKIKEQRSKINLQIVPPTKPSEAPTACYFCQKCKNHGVLKWKKDHKKNCAFANCSCGQCELIDTRRALDSHIKKGKKRAHNDDDSNGDFHIEAEMCASSMASSPTVSDGRTPSITEFEKGD >PPA14178 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1244968:1247680:1 gene:PPA14178 transcript:PPA14178 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKLPKTVKYLEEELGTIVMNGYNIVGDGTPQAFIPILTGATEEELPLTRNDSPNLPTSTTSIHSYGKTSPMQDTSLFSLRIKHILHWFRYIREFMNAYSDRPRFGVMHHCVYSHDDINLIGLIDDDFSEWLRSVNEEELLDDTILIVMADHGHRFARLRETHQGQLEERLPFYSFTLPKALRDTQEGAKMYENLKMNKDRLSSPFDIHQTLHDILEFPADLNTEQDVTSRSLSLLRPIPSSRNCDQTGIAPHWCTCLDWKDAMITVEDKQLSTQIADAIVEAFNVQLKDELKICSPLQLKSIEYAKKLIPKESLLKYKNVKDKDGFVADLSGKTKTAHAHYQIKLSTSPGRGVYEVTVLFDFLTRHLTLDLSSVSHVSRYGDDPHCFI >PPA14068 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:652152:654676:-1 gene:PPA14068 transcript:PPA14068 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYWAFILGVIEDQGSSAQLKDGAINALADLCDEQIYDKRAHIPDGVCERIIEACGREIVRRSAVDESPRVAICKVIDYMLFYLGKSHEQIRNDMISVIFNHEMIVPFIFEGVVRTENKADKEWFCFLLIDIMEKSSSSDRTALIVRYFEKLRTWITLIFKREILSTYDMTKTFFRSKEMAGVVATLPSELYKWAIELGTFDLQNECLFNVLESAADSPKTMEKLLGYGSLLSISHDFAHLPINQATLRHANLFRGILLMHPEFSQVFRRLTCHGVTGNPIADFGRTIPLRRWE >PPA14086 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:730274:731628:1 gene:PPA14086 transcript:PPA14086 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLGKDSRSKGNLKARRTVHHRSGTFNGPDVISKKQIGSQKTPFSSTTSDPFGVNDFNVTYSLNKQKRWEAVDRPADVMQKKLDVEKLSFISTSVPRTSGRLLPIDSIPLDMENLNKCGGGVVRFVTCVNGEVTDRKSKKKAYFKSLLEQPGPGPQPREKKQLRQEEKNRKRIERSMKGISNENLNVTETEKIDPEIQYTAMTLYHQRSSEAESMWRKHQSVFHANAKKASARNCRLGENIYESDSYQDALEDELERKYSKLLIN >PPA13989 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:232753:233800:-1 gene:PPA13989 transcript:PPA13989 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSDEPSHQVDLGRDEGPRSPPHSTPSSAPTKKIKFNMSSKIQIIPNNRQGQLNTGYIGTMAGVLKLAEIFLAFIAFVLSICSDRKSTTAAWTEHITFETMMVVVILLLGYVCFPHLTLHNEPTREGLIVVELLFYGVNTLFYFISIWLMVHLSASWGVDGKGSAIMGAVVCVALTVIFAIETVMKLKAWKGENAPQTTIVNGGNTQA >PPA14149 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1101651:1104736:1 gene:PPA14149 transcript:PPA14149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-moc-1 MEEAMTSIENSMPEGRCKFIPATLAKPAADPSPTRRVVGVQVAAPTTPDAHTLQLGECIRVCTGSILPHGADAVVMVENTILVQHDGNEEGVIEVKTTVKKGQDVRLPGADIKEGDVLLEAGTVLGPAEIGILASNARRDIEVYRRPRVCVMSTGNEILDCTMDEQLCPGQVRDSNRPQLMALFRSRGFKPIDAGIVPDTREHLLGGLITAFRYSSVVVTTGGVSMGEKDLMKEVLEKDLKFKIHFGRVWMKPGLPATFATGMVDDLPCAVFALPGNPVSSFVCAELFAVPALRKMGGYLKPHHTLIKVTLANSLKLDSRPEYARAILREPSASSASSSSSDSSSTVFLPTAYTTGTQCSSRLLSMAGGNLLLRLPARSSECTELPAGTVIDALVVGAL >PPA14203 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1358802:1362301:1 gene:PPA14203 transcript:PPA14203 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAVQCRVEDLRRRLDESLNAFQQKLTASRGQKNESLRQIHLLSTDRTETSIEIFEAGARRRLTAPLQVVVVDGSVGPENALIGAVAGSPTESIDSGISNSSPSSSPSAISPKASPFYQYSEESSTNAKLAMRSRSLSESASYSPIQTTPTIRGILKKTPLREYGKPMRGVFKRSLSESADSSPFFVLRSMTSFEDEPLEEVDEEDEDDVIEEAQEALPLQPRKKRVSFSENLVQKRSFRPQCSIVWHQQKAAKKSAKRELRMGGRTMSEGDAVS >PPA14114 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:916629:922665:1 gene:PPA14114 transcript:PPA14114 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVDEIERTRSYRAPPSKTSSSTSYELIKAVQRPNHGTKGELINLLFFPNPHGIIFDDYEFIFAQISNRFAHFNGGMFFVPRTPKAPKSMSVKLQELKLNRSSTMLPEQAAMFTSKLKDIKLKCDCAPGKDKDGIVTGRVERHYKLVELKPTWFADRVSLDVEDSQAPEIALKVKTKAGMRTIRRRCRSVRMDEWYAAQGKPLNYPKLPLCSVKSGKREDLIPMEVLFTHDQPQKYIKLLNFYARMRITKLLARPPEQHHKFTNKMIMEKLEYEKDPFMEAMKSESDESLVKLEVKKESGDFFLSRAMETSTKKIRFVVYKLTDAIDESEIRYDDIKIAQMMADDLALFDQSGKSDDELLVFLFFTKMVDELYGQIKYHCDILHGVVSQVVDEGTVKKACKTLQDEMPSDYKSVYHHLCLKLNAKLGGINQIVSESELDEGDEPEYGRMPSNERTMFIGIDVIHPSPNSPIRDLSLGAIVASMDKNAAKYAVRIKVNSRCNENVQHFDEHFSLLLAKYISINNFLPERIVILRDGVSDSEMVKAASQELNSIKLAWRKCANGKKCPPFTYIVVQKNHKTRFYRQPNNENGQVKNPPMGTVVDKDVVTPHMFDFYMGTTRPIHYTVVYDDCESSADVVQEMIFRMCFLYARCSKPVSLPSPVYYAHLACERAAFQHQYAIKIGEVHEIMDKKESESDDDYASRKRLENSLDSGAAEITVTIKEGGMTLLQKRIMNPPIFRCGDKFQ >PPA13933 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:5466:7215:1 gene:PPA13933 transcript:PPA13933 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLPLSTGACQQSLESLRRASPTCTQQDVDVQEMLFRLSAVDMEPRSDDYVALQADTVTMRGVISDWGKIVNEGDERRDMRKTGESLPVEMEEYDDSPLAHKSLMWNTHRKRGEEMKKDGGKTENVGSAVRDWLANTRPSAHQVLTADIGRVMEDVEQKSSGTSCDSTFEVIDPEVVFAAALKSSDRPLADWLPPVEKRDLNEYWGSLNLDPPQFPSMKREEGITPNTTPTEQPPSLPGLRSHPSLFAPADSSSAFSHPSLVSPSPSSSIPRDFTAMVYEFENVIGKLRNSRDEEWLVVGCDYLE >PPA14213 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1417574:1424521:1 gene:PPA14213 transcript:PPA14213 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRPYDAVIFDMGGVLMPAPMFFWKKLEKKIGLKEGSLVETIIGDEDANRAFKLLECGKLNAEDFEPLFISIYNKRHGTQLGTLKVFSGEKLSMDQILWPEMITAVKILKSEGLKVGLITNNAFLDRSHARETIPKDFNKLFDVVVESCREGVRKPDAPIYKKAIDRLRVPANRCIFLDDLGVNCKTASGMGMKAIKVTDRSSALRQLESIFSIRLDVPPETRPLITREKLDETALRQFLAKELGVVAGKPEDFVLRRFGHGQSNPTYYVRCGTKELVLRKKPVGKVLPKAHQVDREFRVMKALGNTPVPVPKSHLYNESLLDSPFYVMDYVRGRLYLDPSIPGVTVEQRKCLWKEAIQNLAHIHTLNVDKVGLGDYGRKDGYMERNFKRWTTAYEMSATEEIEEVNKLHDYLEKHLPRNGEVTLVVAVLDWELSTIGDPLADLATFLFAHYNSMWHKDFPCIGHLSADEQRKLGIPTVEEVLQEYERCIGRKVDERGETKSNPSRFQIPRQLWNTYVAYTFYRNACIIQGVYMRSKLQQAASTNAHLLAPLPRYFAKIGLEMVKEKKSEEDAGLMILPSNLSEKARKLYKIVHDIVMNDVIPLEPELIEFYSDPTNWKDHPKLNALKEKARSLGAWNMFIARFIDPANKYGAGLTNVEYAHICELMGRSVFAAEIFNCQAPDTGNMEVLIKYGTEEQKEKWLRPMLDGKIKSCFAMTEPDVASSDASNIQGSIVRVGDEYVINARKWFASGAAHPHCEIAIFMGRVATEGKVSRLTQQSMILVPMKTPGVKIIRNLPVFGVYDPPAGHCEILFTNVRVPVESMILGEGRGFEVAQGRLGPGRIHHAMRLIGHCERAIDIMKDRVKSRTAFGQKLEKFDSIRKDIAKSRIEVEQARLLVLRAAHSIDTVGSKGAYKEIGMIKVAAPNVALSVLDRAIQMQGARGVTMDTPLAMMWVWARTLRIADGPDEVHLETIAKMELKSRL >PPA14003 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:322005:324684:1 gene:PPA14003 transcript:PPA14003 gene_biotype:protein_coding transcript_biotype:protein_coding MNASEECLEFDHVMKIMMNHTGQLDYVDWSTRSDIKAFYSIIFFLIFVLGLIGNGLLISILLRGRSTVANLFLINLAISDLLLCITSVPISPIQAFYKQWFFGLFLCKAVPFSQALSVLVSTYCLCFIALDRYTSIVTPMNEPWSIRKAQCLMLLTWIIAAFFSSPLYITQQTRQFNTGLDDQPPVICGEFCGEYEWPEDNNVSKRTYGFLLFIFQSLIPALIITFSYWQILQKVRIDWIVEEGSMLTATQQAQTAVRKRRVMYVLILMVVVFMLSWLPLTVSMLLRDLNVVSLFLSLSLPLLLIRFIMLNHCNVIRRMESPSLFLAIKVGWSIPSPPSIPSPPSITIFFSLSQRHRRALRDDMFWLTNAKRTPTGILSRFAPSPSVSFVYRRTLERHLGAHHFRRGTLADPTNMMRESALNSAMQPNCFLLVPLMPLCQTIRSIHYN >PPA14024 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:424566:425339:1 gene:PPA14024 transcript:PPA14024 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTVFFDLVAGDEKIGRLVFELNSSVCPKTCENFRLLCTGEKGFGYKNNLFFRIIPGFVACSGDFETNNKTREGGKSIFDQKYFEDENFNLTHNGRGLLGMDNYGWPNTNSSRFYVTFGETPWMDGYHVVFGRLIDGFDVLDLLEKEGTLMGNGVQKGIPKRDVHK >PPA14042 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:485063:489043:1 gene:PPA14042 transcript:PPA14042 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGATKTIKKKKDPNMPKRPTTAFFLWMQEHREGLKKPGMKASDVAKAAGVEWNKLSDKTEWEKRAEDDKKRYEGEIAKYRSKYPGKNRTKTVAVSVASSSQSVSNEAITTEYKVYSERWLHLAAVCLLALSNATQWITYISLSKSTARFYCGITEEEGAGECSIMGLILGVGGMYATDHWGIRVSTLIGAMCNFMGAAIRLSSSLPDTPIEYRSIILHIASAPPFFLVLAPKVAEKWFPSGERATAHVCIFIANPLGVALGTVVPTLIIDQSKVTASSFDFFVLNAIVLSLATVVLLIASNTRNSLPPTPASASSSITDHPPFFIGLLMALKNKQLLIQLIPFGFAFGLQWGFFLYTDQICTELGYPLLRYPVTPSTSRFFTSSLIFLSSLLGAASSPIYPIGIELAIETTFPVMEATSGGGIATGGHIVMFSLVFIMDRIRFTRWIYSDYDSPTAIPKLTSNFFLLLDSWVIFAFFAAFVAFKFMNPRYARMEYEESVNLQKRLERGTTSPTTKDKA >PPA14063 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:620043:620552:-1 gene:PPA14063 transcript:PPA14063 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIFLLFIIAGLTVAYSSWRSEQNLVRVARSAESEESKETVEGSGMEEKKKETKEKKDDSSEEKEEKEEKEGEVKAAVEGSGETFRFKREIEGSGSSEEKKEKDSSEEKDVEGSGESLDIVNPNPEVLTQ >PPA14080 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:701936:707754:1 gene:PPA14080 transcript:PPA14080 gene_biotype:protein_coding transcript_biotype:protein_coding MWHLWAGPVPVVVCGTHESIRVILESNSNITKPNHYQILEKWLGSGLVIRTNEKWHARRKLLTPAFHFNILKRYIPIFGEQTEILLNIFDDHADTNDVVDVFPLIKRCGLDIIAETAMGTRLGSQTHQNSDYIDAVYRLSQIAWDYIRFPWLWYKPIWAISGYANEFNQKCAIAQNFTRRVIEQRREALEEEGLLEGEFNEEQVKTKKLALLDLLLMMQKANALSDEDLRAEVDTFMFEGCNTSYYASFSRSSDTNSSAIGFTLWFLGQHLEYQKKVHEEIDEIFGSDDRCPTEDDICRMVYIEKCYKEALRMTPPVPIIARQLSHDTQIGDVTLPEGMTVIVSPVSASRDARYWERPEEFYPDHFDSDKQSARDSYSFIPFSGGPRNCIGQKFAILEAKSMLAHIFRRFRVESIDIWPANRPLPEIILKPSNGFKTGAGKGGRTYIKYGRPGAQSYVESLNEITQPAVPLMAPTAGRTPSVNPGFGRAGIISNTVTETLSEQSGQTYVQAPKGSPAISVHTSVVPAAASPAAAVGPVASVPAGGPSGASAPNYPVAGMGKPLYLSNSTEYIARLHEKHTQVDPMMQINQQGSTYAQLGGGQFFQSLFSSKGGFSPLSFFLGGGNRGGGGGGGGGAPFFIPVPIVVPPPPPPPPGPACYTNKSGFLCCNVTLETTMEKAFTEFKSEGFSGCNVQKMASIVAEAAEKKFGTPFESIAAHKDFVAKINFAGDLNCKIEVDGKFILAYATPLPEKEVNIIDANSFFSGDASELIESGNGTDPDKPTYIVCHLVVEWVEEEEEEEDIHLEDIPHLQPDRHPIHPPLLIHQLLPHREFEVEVEQRRDRLHRIIPPQSLMLPSLLPFSHQWPYLQCFITQCLPILIDMSMSPKMKKLPSGEMKQMETSLDEREVPELSWQPLSRQHLISLEFATNVTASRDYYFGEENIPVDNCTEIATGLNTTTGDDTIDLILAQTTLLLENNSTLNSTCNICTYRIDDNSTDSEWSQIEFKDGSRPTTIAWQCKRDEICCGVDCCGQQTEGELKWYE >PPA14167 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1187729:1189793:-1 gene:PPA14167 transcript:PPA14167 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVKAVAAMEKAGSGSPKKATVTAPTRSIRAPIAAPSMDERFTTLDDRCASVQRRGKPPPDRHEIYHVKMPAGTTAKSRQILKKVEALKRSEVTSTTGGRVGGMHVDRLGGVRGGTGRIVKRVIGGSGVSSGGLFGAAMAVAGTGPVVMRKKLRVERIDGGQGRLPSVKVQLGNRVRMVGNGGGGGGHIQKQVVVGGGTRRGLAGRVNGGGQRGRVMKHINHSQVEYEDEEEEMEEEEMYEDEEGGVEYDDEEEIEYEEEMEDEDSTLVVGPSSLGSRVQTRFVPARQNRPQIVHANTRIESYWKVIM >PPA14076 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:678307:681869:1 gene:PPA14076 transcript:PPA14076 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVLSRLVSLNSRRAQHQFHRLREVSYPVHDKGPNIVSVREALKDIKSGSKIFVGGSASSPTPLLVGLHVEAERRDLRDISLHHIHLHGPSPWSAEGSSGGVRSNALFLGGGQNKDVNEGRVDFVPIFLTDVAKMFYRKRIPLDAAFVTVSPPDERGFCSLGTSVDCVRSAVTMAPSLIGVMTKNMPRTHGESLVHSSHFDALVKDDSFQIYERKMGQMGDAEKQIGKLIANNLVDDGSTMQMGVGDVPDATWAALSNHKDLGIHTEMISDGVVELINNNVITNRLKTTMPGKVVTSFAYGTRMLYDFLDNNPLFHFAGVEWTNDPRVIALQRQMIAINSFVEIDITGQICSDSIGRRLLSGFGGQVDFIYGSSISDDGLGKPIMAAPSTNKKSGESKIVSQLKPGAGVVTSRAHVHYVVTEYGIANLWGRNVRQRAFDLIRIAHPNHRERLEKETFERLGSFDKIMC >PPA14032 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:445729:447152:1 gene:PPA14032 transcript:PPA14032 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNSVPFLYETLEVCVPPLYWYDDWPRLILFVEYWKEEGASVSIYVNSVSENVKKSESQLNESFDALDSLEIIDVDGPSKVLFNPSSVLFLSTHFVNTHVDGFDGRTISTDEAFILHNRISFGDKERGNKMKIDEKLFGLLKEKVIETRDIVFGLNTPIFTLNVTNEVVRCTSSWRVDGCKTPIYSCYSHLMTIEKWIFMHSSSDSHFNIL >PPA14171 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:1204195:1204686:1 gene:PPA14171 transcript:PPA14171 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTILFVLPFFVSAALTNDELKGSIGDCIAGVCPSGYSCKEKVCIAASSSSTSTCAKDFGMCIAGVCPSGSSCVNGQCCASATAPSSSSCDDSLVVGQCMEGLCPPGYSCKGDKCCPK >PPA14060 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:600740:603414:-1 gene:PPA14060 transcript:PPA14060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rnp-1 MVKLFVGNLTERINTDRLKELFAPHCRVTECDVLKNYAFVHIPDDTNVDELIKRLDGSTLDGRAIHIERSTSRLRKQPGMSDNDLQALYDQYAESRQRYQYFRDRLLKEMQSRATGIPTMAAPTIPTQTTMGTQVTAHPVTYLTQQPTSLLQQQPLQQASHPYANAAGLKAPYQMGVGQQQPQVMTQQYAVQQTPIPIQQTPSYVSQAQPIYQQVQQHQYQQQQPVVYQQVTQQQTAPVAVNGTPGGYRWN >PPA14154 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:1113502:1114111:1 gene:PPA14154 transcript:PPA14154 gene_biotype:protein_coding transcript_biotype:protein_coding MKILRHSNGKAVVISIENVEDFTKYVLHSSTPVLVYFWADSNGLSRLLGSRLVEKIASRNGDLVMASVNIDWAGEVALEWNVSSVPLVIEFRKGMSERQIKK >PPA14005 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:332175:340772:1 gene:PPA14005 transcript:PPA14005 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTDFDLRKYFGGGASSSTPKVPPAPKSALSEIKAKAETSKDKKSPRKRSPKAVPTINLDAEDSDESPIPSSLKDKKRQRVVVLSDSDDDIPVVKKKSQKKEKTVSPKKSPVKKRSRVITSETPSPVKEKSKNRKKEVTPLSEESEEDEVMELDSEDEFVEKKKPKKKELPKGQKRLTFASQDKKIEPVSSKKASGTAIDPSNFFGGPARSTVEKPKEKEKVREEKNVLSLKNQKVESPKKSKTKTPEKKKKIERDEFSEDSFDDSPKKKSPVKKQTKKREKDEFSEDSSDDSSKKPIIQSKNEKKRDESIPVTKKVKSDPPKETPKIPAPVKNISKSQPSSVQSETKKEEKEVFVPWVDKYKPKSLQQLVGQHGDKSPMRKLMAWLEGWKTWHLGEGAKIKRSKPPPGVPTDGSPFKAILLSGSPGVGKTSCAHLACELAGYKVVEMNASDVRNKKSLDATISQLTGSHQMEEYFGRSAKDSHDDTEVHHVLIMDEVDGMSGNDDRGGLRELVDIINGSKIPIICICNDRGLQKMQTLANHTYDIRFPKPRAEMLLSRVMTICAQEKLKISKEEAMELIEQSGHDVRQTIYNLQMKAIGGNEKNEQKDYTINTFEAARRLLGQSATLVDRQQMFFVDYSIIPLFVQEHYPRMKGSEHSKKGRSELACLRKASDLIAWGDTIEKTIRSGGSWKLLNEQSIMSAVLPTMAMDGQIRQMITFPGWLGKNSNAGKRQRMLRQIEYHANLKISASPSSLITDYLPVLRDKLTRPLIERKNDGVPEVVETMDSYCLVKDDVDAIAELAQWPGTKDPYSLIPPTVKSALTRTLNKSSRALPFVIEEVAKGRKKASNTIDEVEVDEFGNLVEKKNEDDGLEEDDEEEKKEKKVPQKASTSTRGRGGGARGGRGRGGKAKIPNEVSLSDLLSKTLDIQEDLKESPIIIEKQEDQRWKIKCTVPSPSLGVLVNDMVDKWNEISLPFIPSSVSIFKDAFIATEKEKKPKWLPINLLAHLKSTWSNGKWKADQVITNVKDDAAWAVSDEGSLIVRVGYTKNRRFGEDWIELRPDGPTSVGSPLDAISYGSITPTPVLSVSNKGIFLSKGKRLIYSSSPLSGHRFVSQISSSLSSLDDFSLLSAGFHSMDHEFSSRSMERSSF >PPA13979 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:200308:201594:1 gene:PPA13979 transcript:PPA13979 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSMDTEGRILKETSHWEDLKGVLSVWGSTLLLNAMKYTNYDESIYHGISFATMQTFCSVIAIVAGLSWSLGRCLSIGRSRASLLVASLGTLFAIPLSIISLQSISTNLYVYMGANFVAPTVMGGTFPLLTEAILNVISPCDRAMASALFNLVMSVTGDAPGPWIVGQLSDIFGEGSTDSSAAFFSLQRSLLILACFSGFGVIFLFLAAWRYPEDVKRKEEETQSLLYDDEAKPIVYDVE >PPA13942 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:43414:45106:1 gene:PPA13942 transcript:PPA13942 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEMEKKKQRERFRRVGSELSLGSLSTMPLLSVHAYSNGHQTDHQIESNRPLRPKDVELTNEEQVKTVPMKAALLFFFLWLCVSAFVVRLWETDWSYFTAFYFFFNSLTTIGLGDVVTKTPNFIIFNLALTMIGLSVVGLCLAIVQAKVRLIFDRMVRSIDSQYRIRQIDPDVAVMTLVKDDYEGIKSATQTWPSRADKMIQTGNRVYDQPKDGEEGRGSIWGDSDEFTDESVSDESEDQDALNPKRYIYTVYD >PPA14216 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1434917:1437540:-1 gene:PPA14216 transcript:PPA14216 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRVYLLPIVSLFGLIANVINIRVFSHQKMRNQPVNWFFLALSVSDLAVMLTSFLVFQLPAYAERMDNLGFYNAAQQILLTFYPLARTSHTCSVVLTVVVSVHRYLGVCHPFLIRRISNVAVIRAIIVGSVIFSFLFNATKWFDLQITSCNSTIFIGQLEKVIGPSSSMLSEWSQMYQQIFEMFGYTAVMFIFPFTILIFVNVKIVMTLRSSHRLRRQMTQRNGVKKNVIDNCPMKAEKFKKKRLFKRNLSVTCEKEEFPSNGNSTPPNGPSPSIPVASGGKKESSQNISVMLLAITCQFLSFNLLAFFHNMIELTTAPNGEDPSFTETLLTEVSTFLVNLNCASTIVIYLKFGSKYRVVFLKMLSICPFLSSNRPPSPQCNALNDTTLLISQGSSIKNKLWPSARSQSVHRPSDGIPNDLRLPSPRRQNRAMSAQITLDHTINQFTNPIRV >PPA14008 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:381968:385272:1 gene:PPA14008 transcript:PPA14008 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYIFLDEDVGNIIIPFSQINNCFILNPFWKKQNWDNIKDELGYNEQDDARFFVGFGMRLNKEEVMEYVQRAKESNFNQRICRLGSSLIVKKDDKRCKISEGELKQVAKAMKEADYNISKAVKLLSSSWGEQWTSKAITNIIERMARFSGDPLTKKEWIPFYQVSSPKKKKKKTIVMEESEMIEENRDDIEKIDENSEEDDGAERKKRKKKMKKKIEENGEEKISGIGEHSEREEKERKKKMKKKKKIDEMEEN >PPA14089 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:738362:739388:1 gene:PPA14089 transcript:PPA14089 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKEVHARVEYIAMETGIMSKKERKEEILKCLNKEKGEDITPKMRLMIEQYEEVFALGDSELGRTTVVEHTIDTGDARPIKQKQRPVPYSLREPLQKLLNDMERTGVIRPSTSPWSSPVVLVKKKDGSLRLCIDYRKLNEVVKMDAYPMPNISDCVQELKGKKIFSTLDLKSGFWQIGLEEGSIEKTAFATLDAHYEFTKVPFGLSTSPAVFQRSMNIILKSELQKPIKEREVFVYIDDILIATEDSEKHINAMGRVLELLRQSNMKLNVKKCKLEKEEVYYLGHTIDGRGVSVQYEKVKAIQEFPTPQNADSLRRFLGISPIHCMD >PPA14230 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1489856:1495126:1 gene:PPA14230 transcript:PPA14230 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIYLGGSTKPIRSLQWPEASGTTTTNVEGAVVPTTLRVIVMATAAISYTKDVETSAITKASRLSQVIIERSEMDPHGRNTTEFNEEVHVPTRSRTSSLGADPNEGFLNPNDYALNVFGQNSQSSVRSRTSISNFEKAHCMSQLSIQTNQDEFVLVPGSGGHDGHASVRSMRSQASRQSANVAMSSRDDILTEGDETGGDELRDEGVRDRLDSRASQFSRGSAFGSPVEIPVEDQTVYRSRRQSENAGGEWESTQPAQDRRSHTSLYSHKSNGWPEGEVKKEYIDVPPQEAQNGYVHIPSRHSSRVRIHSRGADGWDQERGFGGDEVQVPIQPSRHASTHSRGADGYDQEGGELRRDEIQIPIHLSRHASRASVHCHGAEGWDEEGGGFREEEIKVPIQLSRHASKASVMSHRSDVPDNVGFGDVRGYGSRAPSHHASKISVASHRSDVPDVSEYVEGAKFIGGQGLGNRAPSHHASKTSVYSSMSRGGAHMEDQWKEQTGGDLVEIPSKKEKNGLSRSESKISLKLDAPEVDEWDNNEQVFGGGGHSHAGGDAGVAPFNASRPQSVHASRASIYSHAPDGTGSRAPSHHASRTSIHSHTAGEVGYGSRAPSHHTSRASIHSHAYCEEEEEVENEGMNGFIHEEEGRTNGTSGTSTRSRLSCIACADDTEEGLEEHEKLELPQLGDEIEVPPEAVDEWGEHVDEHRGEEERVMENGHFGDTGNEWRREDEIGDGFLPPAVNEEGRRQQRSAYGSRASIRSTMGGVDENDDGRHGGLQQTTFGRSGAPIPAIRLSRASLNQPPTMDWNHNSMSNLHGGEFADENQLHIPSHHASKMSLV >PPA14179 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:1247692:1248139:-1 gene:PPA14179 transcript:PPA14179 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAPRDTLSLKCPCGYIKRDVMCIGDAVDREQKTSSRLSFTCPFCALESVVILNKPIRGQAVQFFSRSKNPDRPPLTNHGS >PPA14151 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1108446:1109201:-1 gene:PPA14151 transcript:PPA14151 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRLFFLLSLGLAVYAGEECKIGSKKIMYYFEPSRMACFPIQTVECSHEATERYETLRECQRRRLPMDFNMCAANSPAVKRSNGESHCYHEGMPEYDLNKCPEGSFCNFGFRVGVCCDKKIEDAYNAEAHADCSDGKKAIRIPGDEFDRPVFGKVP >PPA14185 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:1268522:1269124:1 gene:PPA14185 transcript:PPA14185 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMVWSRTKFDGSYEYLNEDAVGCMSKKKVIELLTDPGMGDLLSAPDDIESALESSSFEINFEFLDYHFCTREFYTIMIIDLSSLYHLSY >PPA14027 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:427921:432357:-1 gene:PPA14027 transcript:PPA14027 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAERNSFFAHSTQRAPGKRQIKPTAAMEQIVLPADEDEDDEDFKAELSGSGSENSSSESSEDSSGDEDDEKEGGENDEDADYLKSLKDRGEENDEDAIPLCSLCLNLRPNCAKEEVIQCDKCGVAVHESCYGMDPEIVGDDNSTESASITEPWFCEPCIYGEKEPPHCELCPVRYGALKRSDIGGCWVHLLCALYTPGITFGDVERLAAISWQEIDYKQFGKRACSGCNDRLESRTGIVVECDAALCKNFYHPTCAQRLGLLVDRSDEINEDEEDYHDERFIHCKKHSHEDEVRKKREAYAIFIKQEENRYAMLKRKKLNDSRFREEEKLARMEDKSRARYRKMEGVTISVPDASWDKTEKKNRRARHLHTSSQFLEAFSEKADLAGVRRDEFEKAFIKVDGRTLSFLPPAFSPEFVAYFNHREKHVMNGEKNRLERVTKERDVMREEQKKKEAKYHELENSHKKAQVAVHASQERIIKIHSILTKLGAKKMPKINEIVKKEPATPRSRLLSRSSCHDVPSTSRPSNIMKNCATCSSISDQHLLVECDACHKHYHLQCLDPPLERMPKKKSFEWHCMECAPESSEDEGNPEKKKKKPSPDKEESHTDELNGARKLRHRSDSVKQRKQAEKEAEQREYRSAMVRGSNSKRVVKPNKRYSTETPPPHVVVAPLVISLPIHSPIPSDDEPPSKRGRGRPKKTPSLPNSPDKNGRHSMETSSISSKRSRPSLSPQGHGIRKVNGRVVHDSIENGEEEDEELEEIVIIKE >PPA14104 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:806979:809238:1 gene:PPA14104 transcript:PPA14104 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLLPSQQDADLDTVLECVLGPNGTGVFRVSWNDKTKNEVLLSTPTGFITDAVVSRNEGRLVCAGTWNHAARLLGDDFFRFKLEPMNLSATAHQAVPPRKIKHSFAISHGVLMMSAWWIFGSTAILSARYLKNAESTCCTAPVWWALHRPLMILSFICATVAFFFIYYILGWRVRTCNVACTTDDYLGQLHAIIGTVTYAFMCFQFLLGIIRPSLDSPMRPCFNWLHWMFGSTAWICASTACVMAIPLGKTGLQSFYQNWPRHVMMTVILAFIATSIICEYFHRFRKPEEKSESPSLSPIVPLVIVCNLLVAIAGVAIITWMLVENYLAYGFTL >PPA14162 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1158051:1159784:1 gene:PPA14162 transcript:PPA14162 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVPPHIIPPLLLLSMRSISLLLFLTLLITITSVPIKFDNNDPLTPLYIESKPTITQRTNETEMMEMIRDRFNDNVESLLNDTMALIHEEINPTSPWHSHPSAVLSFNTLDALKMVDANNLPGVQVAPSAHWQESRAQFPEFKQFAHPFDWTYTTEYAGTAEGLKAEETTDTVDLERLKKLEPILFYAQVPLYEDELADHGCAVLSVRVRVMPSCSFALQRFYLRVDNVITRICDTRFFAVKGESSVLVEWTMREASAAAISHLHSDIQRDQNQIWQHLPILKTRSVRYSPL >PPA14217 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:1448875:1449210:-1 gene:PPA14217 transcript:PPA14217 gene_biotype:protein_coding transcript_biotype:protein_coding MSILLYLSQICVHSMWRYKLDPDFHAIPFLAGMGDLLGTAFIYGLFAVLASVQTYDGEIIQVVKNATENIDPTRSAICHPT >PPA14096 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:771765:772713:1 gene:PPA14096 transcript:PPA14096 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTFVLMLLLTLTASSLPIDNDEEKTLCREAFLSASMDNLPSDAMCVRFLEGGIFPHWHIHPKSQKFRQESQKFTTLGEYCCTYKCKVAHFHDITCRHGI >PPA13985 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:223352:224010:-1 gene:PPA13985 transcript:PPA13985 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKVPKRQYSIDDPLQRMETPMFDKKAKKMKKGPSSPGTVLTMAGLFVVGLLLMISGMLVLIAQRETAFIITGCIFLGLGLAMLFVCGFLQRKNVVKYILDSHVR >PPA14022 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:422452:422850:1 gene:PPA14022 transcript:PPA14022 gene_biotype:protein_coding transcript_biotype:protein_coding MILIPDIVSVNQVQWKRVVDRVSQDCRSWQYAEFPPEPVVFIRIVGTANSANEVFHCVHLECPSSPSIPQDHSLDPTLPLHNLQLQ >PPA14225 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1474064:1475127:1 gene:PPA14225 transcript:PPA14225 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSLLVLTAISALLTFSSAESCDNGPCSFDIYIQKYLDRSEAIRHQKALLAAEKARKAADAAAAVAADEDEANRFAFTSHESSIDEFAQYRDKFAEQNRKFAQTQQKAFAEKPQTEKAEKFDVDHHLY >PPA13956 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:99187:102449:-1 gene:PPA13956 transcript:PPA13956 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVIQPGDTLERIAASHDCTVGEVIKLNKLVTRMVFQGQKILVPVPLTDDVFDTGSSSSGGPATSTPRHDGPLPNLFTTTSPSTNHNNGIIRGPGGAVPRRGSLAKTQSAPVGPRSETMSVDTDTDCLQRFIKMKVKQLTESDGTVSGTLLVTPNCLMFDPDVTHPLVKENGSDLYGMVANMEEIQSVSVYKDIGGLFGDRGEKKKDIFDPEHLRTAEKKRIEEASKIEEEDKENSLDSETEPGRVLFGMPSEEEEFEGLSPLPQSSLSGSCELPSILEGEEVHSKSVEERTRTASENELLPEGSLSSASSTSPESRSRLSSDVNGEEDGNKKNPFQSARARSVGGTVASGARTVATATVDGTKTVAHGVVTHTKSAADAVEKGVTTTAKVVANGTKAAAGAVVSMPGNIVTMGSSFVNDGMAGVNQMCDSMFAVERVELLRGLNPIFE >PPA14094 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:762032:763400:1 gene:PPA14094 transcript:PPA14094 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAFVGMIGRVITSKSIAELIGTLIGLPLLVWVAEQFHFGFRSFSGSPRSIPIVVTITSLLAATAALGQIYFASHSYNALLISSFLNSFMGAPVISLGNQVLLTVCPSEDRTSAIAMMSLITSLVTLPTEQIIGRISDTIRGNSELLKDRFFSLEIALFANWTTFFAAGFFYLLVAFFYPTDELKARKQENEDKIEKSPLFD >PPA14254 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1637404:1642426:-1 gene:PPA14254 transcript:PPA14254 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGNAMCCAPVRVSFLSVNTNKPEWTTSPSRSAPTPTLMNGCLADDDPLREAEVIPDRICFNMGREIYVYLYRGTTAAADLTHPIDKRVYKGTFPTCHDFNRETATMTSCSLLIGFSAGQVQLIDPFQKEYQMSRLYNEERLIDKTPVTCIRWVPGHPSHFLASHSSGCLYVYHEDVVCAAVAPVYQLVKQGDKYSVWSCKSKTARNPVYKWHIGKSGAIHQFEFSPVDQNILATVGHDGYLRIFNYQSMELLTFMKSYFGGLLCLSWSPDGALIATGGEDDLLTVYSFSERRVVCRGLGHKSWISQVSFDAYSCVLEEGSAAGPSGGGMSDDEGVGVKTRRGNSTVLSSASTSISRLSSASGNLCQPGTSTPNGSIHNTSANGPHSIGATTEQPTASKLDADRPSGRLAGKDSKRKKLQRKGLAAFAAVKLGGGSERRNRDAASSSSSGGDGVDGIFGGEESKLLGSRFCPRMSELPVIEPLICKKIAHERLTVLEFRKDCFVTACQEGFICTWARPGKGAPSMVGRDGPAGGSLSPNESSIAPSTYAVSSTRAQPPGAGGIL >PPA14146 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1089947:1091699:1 gene:PPA14146 transcript:PPA14146 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGLRPNANSPEEIKVYEEFCRNFEAGRKYIAIALGIDERNKACESKKLMLEAAEHYKTAMNYLKAANAVKIMECPVSRRSEVHQTREKADGYLKSAQDRFLELTSKLGLSLPSADSTDSSSSRSRTNGTAPASARNRYSPARVSVSRRPTSTSSSTRSTPTRDSLLKGVDKKFGEALLDEMLENTGVRLSDVAGAETAKPNDKYDIEISALVIL >PPA14017 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:402048:403095:1 gene:PPA14017 transcript:PPA14017 gene_biotype:protein_coding transcript_biotype:protein_coding MLENYQGEEAFETLSKMERTSDVEWRLASAIYMLTNDIEDQKLRRKKLTEGFELAKSSFEKDPKNGEAAKQAAILVGSLSECATSTMDQMKYGALFKKYLDHTIELEPEPDMVVLHMRGRFSFKVASLGWVERTMACKVLNTLPTCTYDDALKDLHAAEKIYPALDTLLFIGKSYLGKGDKEKAREYLKRVAEDEGCDAVDEENIEEAKKLLTDL >PPA14132 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1006278:1007287:-1 gene:PPA14132 transcript:PPA14132 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPHRVVIIGSGPTAVGAALRLNELIEQGTIYAEVLIVESANRVGGLASSVTTPRGPVCVMFSHQKILGNVSFLIQYRIQSPIFLPHFGNNEPSSSCANFSQFALSTFGETLTKLFIRPYNEKIWTVSLETLGACWVEGRVPRPAKKDKNENMEVNGKRSNAVVFRSLYMVNPKSDTSTIGCLL >PPA13961 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:118992:119311:-1 gene:PPA13961 transcript:PPA13961 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLALIVLIFISFAAVDAQFGMYGNGIYGGGYGGYGGGGYRRRMMMRNGMYGMNPMLGMMGMMG >PPA14142 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1070015:1071779:-1 gene:PPA14142 transcript:PPA14142 gene_biotype:protein_coding transcript_biotype:protein_coding MMAQTAVVPKTPTQRIQKKRGRKARHVPQRDAAGADPAPETPAKSSTSSTRTANRTPRKKTAEEIEIDEWLQISGRVAALPDGSDHLRHLIRVSLEKSAVRASKRNIKLNGGMFTEWAWPPAEDDIRGLFDLFLTEKIDVQSDGSAVVKFSTMSEADKCGEFFNGFTLFGCTIFSTQTTARPALCLPLFPPSVLYRTIIKCSPLIFCSIVCFGPVLVCYYASSSSNGAQMVDANFGFQVTVVCSLPINR >PPA14164 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1168002:1169000:-1 gene:PPA14164 transcript:PPA14164 gene_biotype:protein_coding transcript_biotype:protein_coding MFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVTHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDIKEKLCYVALDFEQEMATAASSSSLEKSYELPDGQVITVGNERFRCPEALFQPSFLGMESAGVHETSYNSIMKCDIDIRKDLYANTVLSGGTTMYPGIADRMQKEITALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHRKCF >PPA14200 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1334662:1340593:1 gene:PPA14200 transcript:PPA14200 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPINSEISKKLRAAIRAKLEELGVYVDDELPDYIMVMIANKKEKKQMKDDLTLFLSKNTDSFVDWLFDICHRLQSGAGTSAPPADTKVAKEVKKEKTVTKEKKKADVPTVKKTGVKKDEKAKKKVVSDADKEREREREKKEKKEAERREIERANRENRERRDREEREKREREERREREERDRRDRERERKEREQRDRERAEERQKERERELKREREREDRDTPPAKKRHSPVRIRRALSPDAPAPSAAVRRRVEERTIEKKKESVSSSVSRAISPPPVTVQSKVVVKRQVRTHNDKAGAKGASSMFLRAMNAASVSAGYGGGPVNRKRAAPAQEEDPFDDEEFANGDVEEEEEEEKVEVVQRKKAVSAVRRVVQPPVEKKVGFELATKERQQQSATTTPQCHDSERSRDALTARDSRTEPRFIVTFNKKENEDDGKRRAVKRGAKEDKSDDDDGAVRVSSPRKTMTVSGEKRRREVSAPREVEEEDSRTLSVSPPQKHSSPTDWDGQINLNDDETSDEEAAIDAMLAATRASRLNSRGGETERLPPTSQLSRGNSYYEQPYDPSQPEVTSFVHPPSQAYIPTPLCHSMIRSTNPNKIQERCKFYPNCKEGDSCIYLHPPARHCTNFPRCSFGSRCMYTHPKCKFDAACNNPACVFAHTVQKKPVALVSPRQTMAVAPVAAAVVSAAVPAQTAAAPAVVEEKKTKIEPDVPLVSAPLPSVRAGVPSTLMSSIPCRFAAGCTNPICTYKHPKECRFGQNCKNAYCYFYHPPAGRISAAPVAAAAALFVSPPNKLKWTAPAAAAKTETA >PPA13966 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:148517:149670:1 gene:PPA13966 transcript:PPA13966 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sqt-1 MKVHTGAFIASTLSAFSLIACMVLMSNIYSEVSDFWRTLDSEISVFRVATDDIWRDVIVLGKTSRRVRRQYPGEEPSNTGYPGDFPTPGGPIPPSSVPHGASPGTPHSSPPSTPPVFAGDSNPQNPGASCNCNANNKCPAGPAGPKGTPGAPGPNGIPGLDGKGGVDAEDVTPQQQDTSSCFYCPTGAPGAPGALGRPGPRGMKGADGAPGMPGRDGNPGLPGEQGPPGPIGKIGDAGPPGEKGRDADHPIGRPGPKGPRGDQGPRGPAGKDGLHGPPGLIGPAGPQGDEGRPGVQGPIGPQGDEGPEGRPGKDAEYCSCPQRADAGVQGQGYRQ >PPA13975 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:187830:190221:1 gene:PPA13975 transcript:PPA13975 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAARLAEAAECEKKAAECLKTSMPKMKFKPDFDGAASALERASVCYRNSSQPQKAAKALQDAAVNYEQCNNRFHAAKAREGAAMLLRDAGDAAGAFPLFEAAIDGYAESGSLDTAAMTVEKAAKVISEMEPEKAIKLYEKGLALVQQSDRSKMAGEFLSAITRLSLRLEDYPRACAAIRDEIEKYLEVRETLRIGQLAIAMILVKLAMEDSIAASSEYFKIVQECPEFQSTDDAAACESLIASYEAGNDSAFQNALKRGSLRSMDNEYLRLQRKLKVPVREQGDGEEDIC >PPA14238 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1548001:1549545:-1 gene:PPA14238 transcript:PPA14238 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAEFDFVATDSDEELSFRRGQLLKVLDMEEDEHWFRADLDGKEGFVPKNYLRMLPCSWFVGRVEPAVLTQRLKKKAPGSFLKGDYAISVKESAHDVVQHYRIKREQGLYSVWDTSFRSLNELIEYYSHCSISRMTKSILVKPRREPEPPLVLQQLQHLQQPLQTSAPPQPAPHPPPAIPTEESSQKSPPNSPLDDCDDDIVQAMFDFDGVEPDDLPFKKGDLIRVTGRDASGWATGQHFNGHTGTFPESYVEAFRRASSHRGMERRKVTSTSAGSGAAAKARKARLANGS >PPA14240 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1560546:1562938:-1 gene:PPA14240 transcript:PPA14240 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEKDETGAKMVIGINVLSSQFPIQAAKEEEPDYMDEAMKEDGKKAPAVAAAPKKSWDLPESDVPVAPFTAEDLHKFFNVERMRHLFVDVNMLDASATTEDFSIGISGNVYSSRSLGESPFVPPYPFRLPQNPTRRAAEVIVSSYTINSLLFHAHRTNALLFHVDSSTPGVGNLLKTTCSIDEICISDQIEEVGQRFPGKNLELIIRTLEEPSVEIEEGAVIMKFSGKTMFFVQGSRKKVGSISFTTVFEVQAQTIGGRLVGTLAIRRLVFHRDVDFFGLSARDLEGFRQSIRQALEKKANSTLKKGIPLSTETDSFISL >PPA14107 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:818670:820494:-1 gene:PPA14107 transcript:PPA14107 gene_biotype:protein_coding transcript_biotype:protein_coding MKNESFEFEDRPNCLPLDPYRLHGEAVVKAAVENGASHVDVSGEPAYLETMEMKYAELAKEKGVYVVGACGWDSIPCDLGTDFLKRNFDGTLGYVETFVSNTRGPSGYSFNAGTYQTLILGIASAKEDNLGKLRKAIMPTRLPSPKYKTPKRGTLWKVDEPALQGWALPFMGSDKSIVQRSQYYDAQVNGKKPIQIATYFSLGSYFWALLVTGWVTIFAILCMFKPTRKILQAYPDQCSFYMFKNSGPTKQQMKEASFQYFFFGNGWANGEAVDEVKPTKKGAAVCRGPDPGYIATSGCIVSAALAILEDKDTLPKQGGVYTTASAFRDTRIFDYLKSFGITYEMLPEKN >PPA13991 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:248128:249101:1 gene:PPA13991 transcript:PPA13991 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLKVSRLLSLLKSSSKKKSKKAPQEDKQIDLPSKAWSLILEYSSPVDVVKWRRINREIKCVIDDRLSRLLYLDVWRMDTTSILPRHKDNDGDFFRVRSSNLLLHMDSHSLVLVSSSHWTTRDVERLWAAINMFRTAAMTLTNLERRKE >PPA14256 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1646714:1646868:1 gene:PPA14256 transcript:PPA14256 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFAIINATSSFLNIYPICPPLLLSMLSIGLIPVFLLIYLVQQTAVHSNC >PPA14198 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1323511:1323947:1 gene:PPA14198 transcript:PPA14198 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNCIDDDKTTRVRNEEKRCAEFEKYSPLTNKWTTLKKMNSPRYMPGLIVSSVMIFALVSTGKTVERYDPERDCWENTPSMGKILSR >PPA14018 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:404555:405108:1 gene:PPA14018 transcript:PPA14018 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPLGKETLGRWMKHQHVNLETQLLLGVRYLHIKVASRDGDLYALNGLYSGELNNHLHAVHRFLVEHTKEIVILHFTDFTSFSKKDFTSFDRLIDSQFGDLLLTQDDTGKRERERGRERTGSDDLRSQ >PPA14147 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1093154:1095253:1 gene:PPA14147 transcript:PPA14147 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-spas-1 MKAALEEAVILPALNPALFSGLRQPVKGILLFGPPGNGKTMLAKAVASEAGQTFFNISASSLTSKWVGDSEKTVRSLFTIARNAQPSIIFIDEIDSILCERSSKEADASRRLKTEFLLQFDGAATSSEDRILVIGATNRPHELDDAVLRRFPKRIMLGLPDETARAVLICETLKRHQADSGLNDRDIRWIASNSEGYSNSDLVALCKEAAMVPVRAISREKLATAGTKVRSLKVSDFADALKVIRPSTNERVLDEMKHFATRADVRLF >PPA13957 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:111631:111964:1 gene:PPA13957 transcript:PPA13957 gene_biotype:protein_coding transcript_biotype:protein_coding MINSLLLLVLLVTLLAMVDAQWGGYGPYGGMGGGYGGYGMGGYGGGWRRRMYGGYGGMPYGGYGGMGGWGK >PPA14183 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1261554:1262793:1 gene:PPA14183 transcript:PPA14183 gene_biotype:protein_coding transcript_biotype:protein_coding MISTLVDYAKLDLSLQQAFDKEWNCVLVEKRTDCLAFKLMGGIPATQYLSLMAIGTGAMNCVLDTGYTMEKASADLLKPMMAIWTPPYQKVTKLRVKAVAMNKNKKSNTEIKNQLCTIATSVLTKAILQKTITTAKNKISNKKVWDCAAKYLPQVANPQYKQYTCYPAFNNSVARGK >PPA13969 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:156001:156884:-1 gene:PPA13969 transcript:PPA13969 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNCKWEASTRGKIKITRLQLMHKHDIPSFGLCDFSDSTIGSFCTNWTSSGDLEGISFGEASGRKGD >PPA14193 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1301833:1307259:-1 gene:PPA14193 transcript:PPA14193 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEEVDTRKALRLPKKAAKAPAPVQITAEQLLREAKERELELVQPPPKAKITDPDELAEIQRKKRKEFEDGIRKNRNQIANWIKYGKWEESIGEIQRSRSVFERALDVDHRSITIWLQYSEMEMRCKQINHARNIFDRAVTILPRATQFWLKYSYMEELVENVPGARQVYERWMEWDPPEQAWLTYIKFEIRYKEIDRARSVWQRFLHVHGTDVKNWIRYAKFEEHNGYIGNARAAYEKGMEYFGEENIQEQLLVAFALFEERQKEHDRARVIYRYGLDNLPSDKATEIFKYYTVHEKKFGERAGIEDVIVSKRKAQYEQLVQENGYNYDAWFDYLRLLENEQCPQDQVEDTYERAISNVPPQPEKRLWRRYIYLWINYAVYEEMVAKDMEKTRMVYKACLDIMPNKIFTFAKVWVMFAHFEIRQHDLAAARKVLGVAIGKCPKEKLFRSYIDLELQLREFDRCRKLYEKFLEFAPTNSMTWIKFAELETLLGDADRARAIFDIAVQQPALDMPELLWKSFIDFEIAQEEHEKARDLYESLLARTNHIKVWISLAEFEQSIGDMERARKVFERANAALETSEKEERVMLLEAWKDTEKNHGDSKSLERVERMMPKRVKKRRQIQAEDGVDAGWEEYFDYIFPSDQATAGGFKLAEAAARWKAAQAEREKAAAAADDQGDEWCELLRQGTSAADAELRMIEMACQLETYGFDPYTVKERPLGGDDENDKVREGDSDTDLESSSSSSSSSDSSSSDSDSDDEGSSKKKK >PPA13984 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:222802:223075:-1 gene:PPA13984 transcript:PPA13984 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSGYPYEIPIIYVPILIVATIIALEILLFCSNRFCDPDRTKIRAVDALEVEEEKDFIV >PPA14009 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:385692:386782:-1 gene:PPA14009 transcript:PPA14009 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSPYEIGGMGGMGGMGGLGMGGMDPLMGLMGGGMNGMGLGGMGMGGIPPPGMGGMGGMGSPYGMSGLSRMPSPINPVNTFPLSSAGGFPSPYSSPYASQMGMGGMGMGGMGGLGGMGMGSSQFSPGMGLGSSSIPGLGPYGKNLFRIILSGRYYVSYVSDLNPLLLKEVKKIAREATKEKMTKGKMAETIKNYLDKKSGGDSVWCCIVGGQFGGVVTYQNGSGASFFVGDINIMIFRP >PPA13992 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:251376:256440:-1 gene:PPA13992 transcript:PPA13992 gene_biotype:protein_coding transcript_biotype:protein_coding MRDETASRTFLIMSISIPIVIISSSFFIWDVTQHQQKDRQEFVQKLVKDAKQLGLFLIVPILGALFVTSLLFRVHSLRVLRIYQKREHPERYTIVAPSNWGTLKKMEFDRDLCISSFIEHENELARVGLLFSFGNMQVDRSRYIVDDDKFRANIYHWLVSRRHVTKDWQKKIGDVREKIKHAILDMPENERIVELLKGGYINFFHAVQIIEILKETEKDSKNFLGFYSSQRMKDWQEIESLYKKDSIGLGEASQLLQRVVQYEIPALRRNIQKADQAIQDGAKKEKEYLKQSFDAKKNYDKELSRMGIKGVMLRSELLNLASELPSFIDSIALLIQKLAPAKEYYEAFRDYVHNSSAPSLSLLPLLTLIFTHGSSVTVYEYTYGKAPVKIEKPSIELLIKADENKEEAEDEIDFGDDLDLDLGETGGEIDFGDGQISIDVVADERGLVLEDGVARGDEALGLLENGETRQGIKEELEELISFLSARSLDEDTEGSADIFILGSEVRPDKIRNVTVSQLKEWNSQASSILAELNNPQKIHLFKIRTSPQYVETLVDELIGKRDLEGRYEKMAKLMEDKQKRTDQISFHPLIF >PPA14031 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:442744:443792:1 gene:PPA14031 transcript:PPA14031 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGFDSIPLSACNVNRILVLANRFDLKIVEDRVVNFLLSSSHSISIHQRLLIADQNGINFLKMKLISQYTRQQVKELGESTVWVQLSGETTRAVMMKREQRARRDVN >PPA14170 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:1199501:1203993:-1 gene:PPA14170 transcript:PPA14170 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAMDDSDVPLDVPPTRDDSMLNQASRNELLDISSNAVFRAPADPIASGDASSSYQGDEADPQLRISAEEEDNLHVVLAMNEAMSELVNNMLEKAELSLKANLEKQKTLEERKKIFNSNAAMVKRKVPVVMFMPPYFKDQFNMCPPQNSEMKRKLECMIYDPLLKEEKKWSEADLRTLRRAVRDSIIEERLLTLNGKRDIIREKIRAADATTTAEERQEWNDKIEYYDRKIEFEKCLTDDTILTGDYSKVDWATIAMVHFKGHRTPASLKLKWCNEQSPQWSKAEWTATEVKKLNELTKTDFVSWSLIADKLGTRRTPWQCFEKYKSEIASEILKREWTQEEDDRLVKLVESLQLNGVIQWDKVTYHMAGRTRQQCRTRFLRTLDKSIKHGRWTDEEDLLLMCSIGRYGAKDWRKIAKGVPGRNDGQCRERWVNVLDRANRSEEWTMEEDEKLLYAVNMFGKGQWAKISRVLPGRNQRICKARFRSLMTTKMRICAAQLSKIREAQAQKRKGNIAGLRMYNRPAVVFKEFNALVGGDDETGEEFCNQARAASQRSGRGKNKWKVVEPEVRRIVEEGMQGISKKYQDRQSRWSNYSDGEELFNELEQLENPESRRKQPAWLPVQFDPEVTEEEKTRGLMEALCQVVGKQDQLRSVNTQQENDERARMEIEEKLKRILVDAVESRVEKALTSAMMDDDQRMTSMNE >PPA14100 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:799762:800863:1 gene:PPA14100 transcript:PPA14100 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTVSSLPPIICYTDGSCREVNGKGVASGIGIYFGPAHPLNTSKRLDGPRHESGVAEIIAVQIALTKIHKWSGFNNQSVIIRTDYMGIIDAMVNRNYGRFAEMYDDLRKSAEKFPSGVTFDHVVSHEGEEGNEEADRLARMAINLGVSIAKSRRGHSLIRRKKIRNRKKAWEKYGSPFILHYRSGPGVTDVRVVPSPVPNRRKVNKRKRAKANRIANESALRFMNNQKKN >PPA13953 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:79815:82151:-1 gene:PPA13953 transcript:PPA13953 gene_biotype:protein_coding transcript_biotype:protein_coding MEILPARAEGYPWVSIYNSEKHGFSLATLYRKMAEFDEDMSPVLLIIRDTKDHVFGCVVSSAIRLSDHFLGTGDSCLLFRFTGEVPHTRELRHYSWTGENQFFVHGQKDCLSIGAGHGHNGLWVDADLNHGRSQRCDTFDNEPLAGENEDFIVQFVEAFGFRI >PPA14106 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:812966:816167:-1 gene:PPA14106 transcript:PPA14106 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSFFPLKGPSIEMDKTVITINPQTTIRVADILRVDLDKDGKESSTVRILHYPLIKERRSRKEVSIDLAPEEAKELHEAIKKSISFKRPPHRPRNSSKRLLVIVNPFSGQQKARDLWRDEAERVLSDGQWLCEVVETTHPGHAVEIAKTIDLDKYAGILVNSGDGLVSEVFTGLLLRRDRERALKLPIGHLPGGTSNALAAAICFASNESFSPRYGFMREAAVMAARPTYRPLRLFKAEVEGSGRVPMFMSTTWGLIADIDIESERFRWAGMIRLHIEAILIIAQLPTTCKYRARISYIPVGDKELIRKTMLKYNAVRHLFGKKHFNFEPVEEYTGESQPSGHYSSMAEALSASSAPCSTAPKLPPLDQPITSSAFTVVEGEYVMANLTSLSHLGSDLPYLPSSKLDEDDIFFLTLIDWSLIKHRLQIGTLMITIDGSRHLDYACFQSIPTDSAFLGIVRHLLRHLVIPVRACRIEPLDGCGGNVAVDGEPKGKGKAFQVEALPMSATVIAREEVKR >PPA14040 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:472557:476177:1 gene:PPA14040 transcript:PPA14040 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAGCTACMTKYSLLNREEGCSGCALSFCKKCVQWKAVIPRIAPKPLSVCLSCFERIEKEKKAEERKSYTHTITSTPLYPSVPPSTGSDQSTSYFSSRPSPQSSIPFNQPLHPPSSSDPPPSYSSSVYPSNLNRPWWNENDLPPPSMRSEYGRGIVQPRPIARGMPPPSSSSSSTPFHPPVSAIAPPTTAEKDELSEMEERAKKLRDDADAPPPSLCSLEERLAALRGVDVELVRNPRSYFESKGGQPKGDTVEKLMEEARSMAIIEENNDPDRLLEERAKRLMERKEEEKKEEGEDNGEVRLSMAPSVSSRISEFSEATKEELADIDRVMAEAKKRVEETEGRMEKEQKEDEGKKDDVNREMGEAKRLATQLSLDVAKVNDEMAAFWQKENGKRNKRRESSSEDELDDETLANIVREAEMAPDEPIAEKRTINQAESSPEKKKGIFGRMFKKCGLVALTMGLRYLTGEEICVSDIMKNAVESGFTKQGEMFEASDLLSLSIHPSVSSSLLAPLPSPTTVVQSILNRSIILIPYDCDRNHEPSLRKGVAAHWAVIVGVLVISTESGDLSPFPDDLDSIISDLTADRLFVLAYHGKSKHLGVWSYSDVIASNLQMNQLGETRKEDDFIVKDPSLGGLRDNCVLITPSDKNE >PPA13999 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:311592:311842:1 gene:PPA13999 transcript:PPA13999 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYIFTSLLVVFYLVKRFILESLSIGAEGRWVVISGCDSGFGRLTSLRLISKGVNVFAGCYTQKV >PPA13944 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:48191:50220:-1 gene:PPA13944 transcript:PPA13944 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNLGWCDNYSLNVAAYFGGKDERTRMMKRSIARYMSLLQVLVYRDISVRIRKRFPTLESLVTAGFLTDNEKTTLDSSTGPHSRYYLPVMWSMKIIRAARDEGRLLSDFAVSDLYRNLLEYWNKEFTLVLFDWVPLPLVYTQVAYVTVRVYFLIALLGRQYIISDRYDALRGPVAEALLNPFGEDDDDFECNWIIDRNFGTAMKIVDSTEGGEGEARVVKDYFWDVSSPTPMYSSLFVPEKKPEPQGSAVAEVYESTEGSNNGAEDRSSS >PPA14158 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1136883:1138173:1 gene:PPA14158 transcript:PPA14158 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCLALHSHVIAEYSRGVVEDINKLTRQHSKTKDQKALSGLAKVVDQLSTKCKKSIELIRAQNKGCLSVSVEDLLATAVEPPRDDESLTDQLDRLSNAFDAVQRYLEQYSLDDKDLSSSEGEEVQSGFSASGRRGGPPSTFSSFSAYRQPRGGQQTTAGNRSTFTSINARPGRATNAYRAKLMGGHRSPSGGRPSKNN >PPA14072 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:663262:664862:1 gene:PPA14072 transcript:PPA14072 gene_biotype:protein_coding transcript_biotype:protein_coding MPKENHHVERLSGGYSVIPLATIREELLERQDEFGLDELQVSTFLERTDKNADKRIDMEEFERICNQMAKGLGRTNKMRKIMINMGNTVIARNQRLEMTSYLNEYTFWPPPIFIILISIAQFIVFCSYYIYESPVSSLFFACPGCFRDKEGTPGDFVFAPIPSRKELWRFVTYQFINQGFPQLILIGLPLEIVHKFWRIAPIYLLAVVSGSMLQYAIDPSVFVIGNSAGLYALLFTHVANLVLKRFIPQLAGSITGIFFGFCILYPVLDKDWKVVARAIAATFYVFYLGELSNATKIRLRYERLITN >PPA13936 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:17194:19924:-1 gene:PPA13936 transcript:PPA13936 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQFTEAPKNPRPKGWALRSTKKTGRYDPVARKLVDDLFEQYFSNGKKLRPDEDEKRMRERNDILPAQRMTFDQIRNRITTLLSQKKEHQRKEHGNRQRRYVKLIDDFERDLAEEGISLDDIEEEVDLERPLDKDDLIITSDEIYDLLRNRKKRFSAVKTSTSKTYRRHGMRRTDEVDVTIIIYPESEELGMMMR >PPA14169 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1190865:1198881:-1 gene:PPA14169 transcript:PPA14169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gei-11 MEDVVGEKEDEETEDEGRNKKTPAKSRKSVSRVKMEKKVEVEKKVSSSRGRGRPRKSNTPDDAIEGEMETGDKQKDIDPPKKEGEGSKEGEDPTGEEMELVTPKEEFHISLPNTVIDVIELSDDDEITVVEEVKKTKQWKISNTIVQQRLTRNMEKLSSFLRNMGIENTKTEEIQKNQLDYGSCTSNCKYPRKTKFMMIPAGMKQKGISKLIDSPVKKKKSKKTKETPKKASKQKSIKIRKRIRSPSPIFVQYSSDDDDNHIKNGIESIMKKELPSEGSKQVPIWKKKADQDSSNVSSLPAHETFVPRKEAKLRTTNVNDLLRNNESDRKEAERQKEKLARAERERREKEEELKKNKNEELPRVVAKFKIPRKEGVIPPSDKSPDISEPTKKKIRSDVPACMSSIDILGDITEAMNAGAKVNETAVSTTKINEDWVLPRTAMFGRSAEPPSCPPRFAMPGDVDDDDMDISMGISPQKSPRSAEDKKEKTISPVSSDEGIEIERKARMGDGVSPTTGGSNEALINDRSKNHLNLDEMSRDLDDLHSDDILTAIGSPVTERYLREDLYRLVEIDDVKKIRLLDRHLHPEPLITTKVMTDANKMSGGSDPRLPGPSPGSLSRFKNTRLVINVDGADKLLSDETVEDKSTKKKNRVQWGETATKEFNPNEDIGDLELSKKIETEEVIGKKKVGEREYNIVKKTLSDVPPSKSCLKPISIPSVPIDPLYPPGMGTTSRPGYIRPPMTPPLDELKQLEEEGIYLNIRPSIVPKLPDFVPPPGFEVDSKKKENEEREKREKREKEEKEKKDKEEKEKREKEEKEKREKEEKEKVKRDKEDREREEREKEERRRRYNSSNNSSSPEDGELFSSSITRDSGDYVRRTTNFGPGSSISMVNLQTAPPSTYSSFGAASTSLSLNNENNQHNEQDRYVHELTSLEKDWKDEGLQPVWLQSLPYHTQFSFDRDAGLYWPIVQATDRIEEDMPDFESIDVLRILGNNSHFATEKKALFGLDVRLPNGMTHKERFEMAFNGEPAEWIENKETKERFNTRRMYSRVFNRQTEDEAREIHNERWEKETQLLKEHHEEIMKKNAPQGVTISTSRMEIERPTFPAVPAMIQHYKNDDYENVVPTQDNDWYCNDSEYYMPYVERAAYLCHVINHYNEDYNDLYNRKSYDRRATMMDLDMAAFASAQIAFGRMDGWSRVGIPEDEYFKLMMRNRERMILLDSPSFSVEKYMELSEFTETEKPYFHFIIDWLSSIFKSIKPIYEWRLNGPLHLSFREAVMISEKKDDNGRSKDARQLFYNAFQARSKSLLPPNAKKSRSSTYN >PPA13951 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:76298:77617:1 gene:PPA13951 transcript:PPA13951 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sut-1 MQRQAAQAYADQQASYMSHHVAAMEAESRAPQPLMAQIMSAPVGMGGITGGSGADLSSSVIGETNADSVMAEMMSIVMGGATAALGGVDAPVVGQAPPQLHPLMAAPPKLWGNVKKTYDAKDSLFSKWGPRAAPAHCYVPPPPQVSATPCWLLIEETSKRKMMLTPQGAPVPPPGIQPVFMS >PPA14123 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:956890:959294:1 gene:PPA14123 transcript:PPA14123 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPIQPLYQKWSSGVDESLPVSEAESTPKRKRREENGNRTRKISHSREETDDDDDTLGIMPEFQDRLEYLDERYEHLKKHIKMLKQKMQSLEAIIITGEESDTAHLVREIIDDLKKEKMILRDEAAIIRGEFNQATYKEEGRLCMSGDKRRAEAEAAERERWLAEREERMAREAEERERKRQERLKELEMLEVSTFMGYCGMALT >PPA14082 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:718062:718994:1 gene:PPA14082 transcript:PPA14082 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGKDSRKQRKLAEEKKNHHRGQVIVGPGVVVKRGGDNGEVDPFGVGCSDVPHSLNKDKRWEKVGRAEEILEDIDSDSTFIKASVPITSGRYLVPIPASIESVKSEKGGYTSVTTVRNGEVETREAKSDKSKLHMLVDSDPYASSSTTYTSITVRDGDPKTVERAWRSIQAYGCPKDIQRRTERKRDEKEWNDRRQVGRRSGRSKIRCDFRTSWSRDWKNRMKSKWNQISP >PPA14085 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:724812:728390:1 gene:PPA14085 transcript:PPA14085 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKKNIRNMSVIAHVDHGKSTLTDSLVAKAGIIAGAKAGETRYTDTRKDEQDRGITIKSTAITMFFELEMKDIDFIKGENQVEIDDIDGLKEKFNGFLINLIDSPGHVDFSSEVTAALRVTDGALVVVDCISGVCVQTETVLRQAIAERIKPILFMNKMDRALLELQLGQEELFQTFQRIIENINVIIATYGDDEGPMGVISVDPSIGNVGFGSGLHGWAFTLKQFAEMYADKFGVKVEKLMKNLWGERFYDSKTKKWMNTPNDDTKRGFNQFVLDPIFKVFDAIMNVKKEEVSALINRLGIKLSHEEKEWEGKQLMKVFMRKWLPAGDTLLQMICIHLPSPVTAQKYRMEMLYEGPHDDEAAVAIKNCDPNGPLMMYISKMVPTLDKGRFYSFGRVFSGKVATGMKARIQGPNYVPGKKDDLNEKTIQRTVLMMGSQVMGIDEIPSGNIAGLVGVDQYLIKGGTITTFKDAHNMRVMKFSVSPVVRVAVEPKNPSDLPKLVEGLKRLAKSDPMVQCIFEESGEHIVAGAGELHLEICLKDLEEDHAGIPIKKSDPVVSYRETVSAPSNQICLSKSPNNHNRLYVSARPMPDGLAEAIDEGEIDPKGDFKDRARILAEKFEYDVTEARKIWSFGPHGNGPNLLIDVTKGEQYINEIKDSVVSGFQWATKEGVLCEETMRGIRFNLDGVKLHADAIHRGAGQILPTARRVVYASVLTAQPRLLEPIYLVEIQCPEQAIGGIYGVLNRRRGHVFEETQVAGTPMFVVKAYLPVNESFGFTADLRSNTSGQAFPQCFFDHWQILPGDPMENGTKPFQVVADIRKRKGLKESIPDLQNYLDKL >PPA14117 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:932350:934205:-1 gene:PPA14117 transcript:PPA14117 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLSILPLITADNDTFTPQELDMIEQIDHVNPLPSNWYHPPPMATQVVLTYARIVKMDQVEQVMRVIAEFRMIWHDYRLKWNESDYGGIKHMYVNRASVWTPEITVANSLRSESATDAVPEHKQNVLINSTGKFPKSFNIENRQIQAIFCTTTTKNFPFDKQECPLCLVETFFNKNESKVYAGISPDINYNISGNGEWDLTSLKTFRKTLPDYHMVEFDLMCFLFTLKRKSNFYVMVIIIPTFIITALTITGIFGKRMSGDDFIGELSLGLTSLMTMTVMLGIVADSLPKTDHLPVLSVFLTIDVSLMAISVLLVIIHPRILYPQMKKIKSRVFKPKEDEEENGKCFFGLLPKSMKAYMTANLFFMLIFQAINFGSLYYILSFWK >PPA14234 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1538542:1540414:-1 gene:PPA14234 transcript:PPA14234 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRTRVIREGRRKAVQDIVERPDCTTPDDHGSTPIVYAVIRDNLFMMRMLSWYGADMMQTCDKLGHTVLDWQNVTGKFPLGKTCKDFIQTRNEAFERTLKHWMGEITSAVVVKKGLSEVHTLDCRQPSDQMIEKIPINKDMVNISHWIGHTNKTVHFKLRPNVLNEDRSSMFLIIIPFTYRSSDFKCSADHPDIVRRTLYYMEDDEKMRPIQFIPTPPFMHVKDPLSTRAPLQKALVPLLVQPNTGTFWAYKIPSDNVCG >PPA14165 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1175955:1179294:-1 gene:PPA14165 transcript:PPA14165 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRVVKAYSMEQKAYACGLSSESSDIAPEFPQISKTDTESIQKVLLEAKSKINRGKLSISQHGQKLLKNVHSDVVELSENLQRVRSGQTTLSSILERRDARGCALRERRDDTLRSLTFQFDWVGKVFNKIESRIDSKIVQLKTHVAESEQMAKVIDMEVFTIEQELEQGESAMLTHKSDVQAVQDQLRKTAAEYDQLQNQLTNRNKAKECAESDQINSDEVVQSLEREVEDVCNELSADAIRADVMDNMFGSEINITPEEWRASIENAITVENSNNEFSGSHSSLLMELEAAKTELSTFHFQLEKALQDQKNEQEEHDASQSRMRNLKKRIDEDQESRDENLRMVESRIEEAMKELEELTNAKCSEATEAVVTDAIPTDAAANDAVAADAIASKAVADSFVTPNPARHSSLQDLRKASVFFTSSS >PPA13947 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:60148:61844:-1 gene:PPA13947 transcript:PPA13947 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cpb-1 MEFSFPFGGPLLDPAIARHLSRASQDYRQSMLSPAMISRSRNSLSYDSTDSSVTMSRMDNIPRFPFLLTPNSPVYSRKVFVGGLPADVDKMEVERIFKRFGDALIDWPRVAQHPKERRTPRCSLNGYVFLVYQDESSVHRLVNACTADKTSLFLLISSATTRNKPAQVRPWLLENIDYVSPEFRSKDGSPLNIDPRKTVFVGGVPRPTTAEELASVLGEEFGPVAYVGIDIDPDLKYPKGAARVAFKSHQGYHNAIKGKFVRIPHVDSNKKEVEVKPYVLDGQQCDECHGGKCDGRSAPYFCGDMQCLQYYCVTCWDDMHYNGPSASRAKHCPLVRLGDQTKAMNIVPHHVIPSSANGLTSMNLERQIDSILFPTVSLSTIIPSLRY >PPA14099 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:797221:798414:-1 gene:PPA14099 transcript:PPA14099 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLHASSTRSTRSLRRRGRTAVIAALFLSVILVYSFWEQEVNKDDEHPRVKRNLILFDDDCEDSKKSVKSDGNASHFPPDAFTLEQRRRGAVLLHFIGLVYMFAALAIVCDEFFVPSLGVLTEKASLYTHFLFIEILTLSISDDVAGATFMAAGGSAPEFFTSIFGVFIAQDNVGIGTIVGTLQIRTIDGYCIQPKID >PPA13977 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:193505:195507:-1 gene:PPA13977 transcript:PPA13977 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCKEVPAWTKFEEMYNIRKGDIQKTLFSIFKDHPELDRLLFQGHVTAEDIEEDFLPTYLERKIGVDLPRPFPVLNLWMGPGSKIPFNENMMRVVKNLKSRGMHTSILTNNYKMDKKGLKVRTPVDKKLFDLIVESTVEGKMKPDIEIYEIIQSRIPSSISPCECIFLDDNKDNIRAAQDFGWTAILVDPHNIDQSIIDLERLLKMDLSH >PPA14204 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1366596:1368886:-1 gene:PPA14204 transcript:PPA14204 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDTKSEKKRQKILGAVEKYRIRRKFPPPPTIWDGQETVYSFKENSRKYLKQFYKTNPYPSLEQKKEICRVTDLELVQISNWFKNRRQREKGEKADVYDSRVDELECVEDEVTAVEEGEERDIVTDVSVSSDVLAEVMNDFKETEGEKKEEEEEPIPEGDPEMVDLDWDFFIKMKDQPNTVVSSYLPELRRRYKVTERRSKATEKLLIDLHPADRSNAEDRQEILGELLDKIEQALDIIDEHENRKIPFGHRAHLEARLLKSMNSMLDGIHRIVAKFDVIGEDRDAANNEREGLRYEIRFLDMMYTEVIGTLSNDLWLYLRDRVEK >PPA14155 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1116329:1116573:1 gene:PPA14155 transcript:PPA14155 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSGGSNGHSPPLVMIRQGIAMHNPEDRRSMIELSRQTLWEPNQQVI >PPA14090 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:752323:753077:-1 gene:PPA14090 transcript:PPA14090 gene_biotype:protein_coding transcript_biotype:protein_coding MFNRFKALFYRSSNGEENGNIEGNLKDVVGEYFRKEAKRMNREQSLARVAEHERSESEERFSTFNKVCKEIMKTENCENDLKAAIIDYQNQREEIEREAVEASKYVEQKRRDVKAVKLRLKEGKRAEKEAKERRTQCWLLAYFYR >PPA14229 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1486939:1488196:-1 gene:PPA14229 transcript:PPA14229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-glr-3 MHLLFHCTRERINQIHTLMHVRKINDHKDTCNITTFSLYSPHDSKVNEIRSILSKEGYAKIPYQGLTTELVLLWDVITMIVETVERVGVHGEPSCDEHIDEWEHGEKMTEYAEQEEPFVMKRTPKDGEILEGNDRYEGYCIDLLKMISNILKFDYILYEVPDRAYGIREASGKWNGMVGELQSGEADLAVASLTISYSRTAVIDFTVP >PPA14136 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1037816:1043011:1 gene:PPA14136 transcript:PPA14136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-crm-1 MDGCVDVECPVSFHPRCPDDARLVIRPPEKKNDCCGRRGECVCNEEWCSVPSCDLSSGLERVLVREGDGVPGRCCDKYECRKKEAHCLTSHCPPSVLHENEGEEECPSDSYRPPSHRVDGACCPIQPGCRCRASICKPATCPEGKAVKVTKRGSGTPGRCCDEWTCVEETTTLMKGCLRAGVKYKDGEEWHENACDTCSGKGSAMNSPNHVLIRCKRGVAACSKAVCPKPPPQCSWIVLPEGECCPVCYGCQTERLEKKRMNETWQRDDCTTCTCSSHGESVCEKHMCRADCENPRKVEGQCCPVCDEPTILTLPSTCPSLEHCPLRCLHGLSRDDRGCFVCECLPPVMAEDGEGGGKGGDKCKSLDDTNCENIRRTFSSFVPNDLLLADNVLTDICVTRLVVQCVAVRSVRPSISVSNIVYMDSKQIQSDVPYASVEVREEKEKGRWNGCIAAMARIDARLSIADKLNRLSGKDKCVSVSPSGKLIERDGGEWWSDGCRHCFCEQQQEFCSLISCPERPADCPEKAWKHEDGACCPSCSSSILTTLMESSTALTTGKNLASKHEHTVCQSPGTGRLFTDGETWQLAPCVSCTCRVGHVLCRVAECPPVPCDQPLLMGNQCCPECPKSNVPSVDSSEVCTDSTGVAHANGDEWRNDDCTSCVCRDGKEECFKQQCDDLATCRGVPLVLKGRCCPVCSVYKLHNFIDICDPLGMVSQDVVIMIEQSLADVLSSEAVCTYGNAVYSVHEEWRDGVCRNCSCVAGGQTVCRELVCPSCADPISVSPDSCCPLCKGWASFGEGNGSIVLPSTSNPSPMTVIALSLGGTVLLLMLLALILFLYRKRKATHKKSSGGLSKVNSSGVLLTGASRRMGSQPQLQLIADDWEGMKMGGVQTESLLGTNNSECSASVSSSSSGHGTGGSHSADDLAPLTGSPSNRRPPFGALVELARRSTPTASPKTTPRHLMNP >PPA13960 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:116476:118625:-1 gene:PPA13960 transcript:PPA13960 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFIGARRTRFQIHHDKNQNVDISRDRLQAMVNTRASTSKSNQRSSFYGGPPAPSIWERAWTAYGETFWDRFVLFYPTWLAPNLITLVGLLVNALCVFVFSWYCPTASEAAPNWVYLLAAIGVFTYQTLDATDGKQARRINCASPLGELFDHGCDSMSQILVTLHICYTLRLGQLGSIGVFPVCVLSIAIFYCAHWSTYCTGRLKFAKFDVTEAQMVIIGMLLITRVGSFDLRLILLLLCFIALIGQFFSYLDVIMNGGCGRNGATVADTSVIFPICPLLAVIIPFCMIHSKASFAVYHDNITVFALFFGAVAAKATNRVIVAYMSKSELYIWDSIYFGPLFVILNQ >PPA14077 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:688004:692656:-1 gene:PPA14077 transcript:PPA14077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ges-1 MGRQRVISSFILLFSLALLCSADPTVKTEYGEVVGFEFEEADVFLGVPYAAPPLGELRFTNPIRPTPWSEPREAKTFADACVPHSREAVTWTASEDCLYLNVIAPRRDSSSSAELAPVLFYIHGGGFEIGNAKIYGYEDFARSYAAQGVIVVSIQYRIGVLGFFTLTASDKMSGNYGLFDQVAALQFVHRNIERFGGDPKRITVFGISAGGSSASMLTLSPLSRHLIAGSIEVSGTAHAGWAIDNRVETHSEDLVDAVGCWGKRSVADIEACMRKVSVNDLYSGVEYIFEAAFSFNMLKFAPRIDGIFAPQRYEDLAQESPKIPVLTGINALESAFFILMHKSPTIHRSTIFKGEMPVFDADKFDMKTRILLNEFLDPSETDEAVNDVMTFYLGENYLEKYSKPTKEQIPWMLRQYTTFWSDVYFNLPAKYRAEERKKIGADSYVYLWEHYNEDLFKDDDPVPAAVHINEMPYITGLQALGQFEWTPEELKLKERAIQMMISFVRNGKPSVDGVFWPSYTNSSNSPYVKIDSPEWKTEEGFWDKNTRFWEEVMSKYSYDFVRFKKRTVPQMQIPPPPTQKNHEEL >PPA14102 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:802966:804029:1 gene:PPA14102 transcript:PPA14102 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLLILVCARSKRQNRGSKGGQKGDFTTTRTSTTTNAPETIGPSSSYKQPQGGVDSPSDTNKDSKKKSDKNKTSRIFSPTRNDKSNKSYKSSCAKTATTPKLIVSGKEDDKVATAWPVRPCWSDAVVESQIQDDLSDFGLTKTGTARTRGDTNKSVFMSEKKKDKNEKKGIKKEDKTDDGKKSVFFDEKKEEKVDEKKGEKVEEKKDEKVVEKKEEKMEEKKEEKKVENNETKKNEKEEIKEEKKE >PPA14095 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:769358:770100:1 gene:PPA14095 transcript:PPA14095 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLCTLLFLTLLMGSSYGRHFHKRDVAQRLCGRAFLEQFEAVRDLILWNGLCNRSSRTKPEWYRQPHSTENELQTFCCKFDCSTSHFVSHN >PPA14004 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:326767:328520:-1 gene:PPA14004 transcript:PPA14004 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLRNTVWMASLLIRGTPTEAYTIPLLSLLSEKLSIPDVDIMKDVCAAFSEAASRPDNEEFILYDMIPLLASLITSSSSHLLSPIVQSIIALFEESRDFIDMIIDPPSRRKAVAMNEELLKRIDMILLNGTYDQRKECVEVVDRLVVDSSPLIRPFSISCIHSLCDLLTVMDAQLVQTVLHTLLAILNQDVVRGNYDAATKIEECHGLTKLEFLQNSRTVEI >PPA14049 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:522152:527518:-1 gene:PPA14049 transcript:PPA14049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mlt-11 MRRSIALLLVAAALSQAAEMDPCDRQPFRGRCPNGNGAQTRSQFVLRYYMRNNECVSYPFGHCANDASEPELFRFKEECEQACLAPGNLGVPLGDSFETYGTVGPMDKEKVNPTTRTPSTQTPLPTTVPPTTTGVPSTTVRVTSVRSTTPSTTTTEEPRSECERRRSLASSSLIKGGFVPECDRNGNFARTQCEPDARQCFCVDEDGIEVSNSRTRDGSRPDCDGIVRASPPRTNECVGIPETGPCSSNLVRWHYDEEAQECKRFAYSGCGGNGNNYETEEGLSHTHGYYVNCAKQSCPSGYQCHTIGAQAICCEEEKKSEVDNLRSNVAAGDVCAMPKERGPCDKYELRFFYNSETKECKYFFFGGCEGNGNNFARVEDCEQRCGVKKAAPAPLPVHTPPPTTIPPTTTPQRTAQTVPPTRATHVPTSGFSGRGPVVPARGGVEDSFETFEPEFTPETVPLPTTEIPRAGEKTLAEVVHGISLKVLAAFILSTQETARVFTYTGCQGNGNNFASREECLSICNGEALARGGILPTAAAVTNVCKHDVDAGECNGVFQRFAFNPEAGECRPFTYGGCGGNGNNFATILECKQRCQQDTVSGDVCTSTVDSGECSGVFQRFAFDRAMGDCRPFNYGGCGGNGNNFASLGECRGQCSHLLASGCSPRPACDLQRCQMLLDVRGCPFCSCPPNRDGVVPPVAQCPPVDPSRCVEPCTTFTNRLGCTECSCHREGEMISHSSPPPPSTTKSPLPDVLGEKCMQPLDAGPCDRFIERFYFDSKDGRCHSFRYGGCAGNRNHFFSQKECEIHCARFSSEFISLLRLPE >PPA14187 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1274201:1278026:-1 gene:PPA14187 transcript:PPA14187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gly-7 MMRHRPFLFIGLAAGILFLIYILSGMGEDTGSTYVAPLKSNSADAWSDDGEMAGGADRGAVFTSGQLGNYEPKVPETPSNQPGERGEPVYPTDPQAQELSRAANNEFGFNTYVSDMISMNRTIPDSRMDECKYWTYPETLPTVSVVIVFHNEGWTPLLRTVHSVFLRSPSHLLHEVVMVDDFSDKEHLGQKLDKYIEKTFHGKVKLVRTAEREGLIRARSIGAKNAAADVVIFLDAHCEVNTNWLPPLLSPIKNNRKVMTVPVIDGIDMNTWEYRSVYGRADKHFRGIFEWGLLYKETEISQREKDARQYNSMPFRSPTHAGGLFAIDRRWFEELGFYDEGLQIWGGEQYELSFKIWQCGGGILFVPCSHVGHVYRSHMPYGFGKLTGKPVISTNMVRVIKTWMDEYDKYYYIREPSAQHRKPGDISAQLALKERLQCKPFKWYMEKVAYDVVEGYPLLPENHVWGEAKNVATGKCIDTMGRSIPNAVGATPCHGYGGNQLIRLNRAGQMAQGEWCITPARDQVKTGHCKKGTVDGVFFYDEETQQIAYKKIGLCLTAAASSNSHDLTLKRCDPQSEHQKWIVQSNYSCCNTLRVG >PPA14143 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1075146:1077441:-1 gene:PPA14143 transcript:PPA14143 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAPPPPVPSSESYPEPIPPSPVPVSSTPVKKTTPPPPQSKSKEVISKKSKEVIRPRESPRKTSKEAITKKTSGSKEKRPKSKPEVVNRSKEQVRSKQQVRSKETPKKGLRKEKANNKRSRTSSAEKINRKSVSTPERSTQPTPIVAQRNCATEMSEAANEKTTEVDPNKTLASEAEKRTERTENSVVEKKQSGVKKAAPTKERTSMRRRMKDAALASSRQIRRASNSLTDSAKKLANVVSRPIKERSLNNFMERVKQRQERRLKQNSESMQLRESEDAFPTMQGVSADNLVDPPGPPTERSEKTTERSEKSERDKRKIGNKDVDKIFPDLGKEKKKKSRSASGSKASKRAFLSGRRRKSKEGDLFKDGKPYWVRAGKITQEEKNEITQDDLPLNAEVIVDVKNGKIDLPVLPNNMQIKFDEYAPMEILMERDKIFFTHKMLFANTIRSMINTAESIVDESKTDTDTNNNTDIERTEKTVEKKTECDEGSGRPRIELMPHPKYCTR >PPA14045 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:495485:497760:-1 gene:PPA14045 transcript:PPA14045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-spe-42 MNTTRNMASLAESVSCVTGMVGGVAKEMKDAAHSKMDELPDSYMGKLLRSVSTSMKSLKGILRKLDDTVTKLTVTIKSHYHAIADIVKHCQQSFMSPYRKCLGAFYEAYAYCQYKTPFGPCDHLQSLDVLCETGKFISEHGLTEGVLPFIRKGAEPAIDLVKDSLAYKVAKIGIDGAKHFNKFELEFNTSDTGDVYACKFVYKFCHREDYKNTLITDELVRIDQDRALKYCNVWKWKMNTIERSKFCYRVTITFLSMALPVLFIFIDVSVYEFLWAGYVIVDTIHLDLPDHFEMKVSGNGSIARLMNGVLDVFQPVQKALSEKNEQ >PPA13988 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:229876:232612:1 gene:PPA13988 transcript:PPA13988 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSDFSDDDRKKKGKNKSMDSDDSGSDHKSKRRGKGKSSQFVDSDSGSGSEDESAKPSSSRKRKAQTNKGSAKKRGRGRGSSDDDQIAGVDGLELTEEDRKMIQGMSEKDREQEIFRRMEEAERRKIRETIAKRLEQQGQKKSDSPDGERRGRGKKKERKGVVSDDSDRSLSSERDRKSPVKKSKSQKMDSDASDEELRVMPSEANRKKKQKNAMEELQIKRREKEAKKASLAVDAVFGKEDSSSDSSSSNSSRSSSPSSRSTSRSRSRSASPNKEDLAAKRPVECLEELKLARLSRFKLARFVHAPFFNKTVIDCYVRIGVGKLPGNPNKDNYRIAQVIDVVETAKVYNVESTKTNKGLKLRMGTEERVYRLEFVSNKEFSNQEFQEWMSTMRRHNRSLPTMGEIHKKMKDIASAVEHNYTNDEVNQMLKEKARFKDAPKNFAMTKGELMKKLGINVFISGLE >PPA14253 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1633590:1636106:-1 gene:PPA14253 transcript:PPA14253 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-aos-1 MARPDEIPVDNGAVGADTISDTITSGEAAVYDRQIRLWGIEAQNKLRHSRVLLVGLKGLGAEIAKNLVLCGLNAMQIIDSAPVTEDDTLSNFFLPKDSIGKERGASSLAGVQALNPLVKLTCAKTIDEVDLSSFSLVVLADQPYNVVKTVNEKCRAAGVPFIGSSVYGWIGYAFFDHNGHEFIVKKEKAVVLATVEGEEEEATKVDEKDKETILDEDDDKWETKTISFPSFEEALNVDWLTKKLIRKARGLLPSSYFPIRALLRAEEFNELTGEEDRDIGRITELWVNELERTKQKDEGQTILPEQFDYLFGPQLSATCAILGGFIGQESIKTLTGGKNPFRNLMIYSALDSTTRVCDFPPK >PPA14205 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1369525:1370785:1 gene:PPA14205 transcript:PPA14205 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKTVDGDAGSVVAPNRFIATVSVRVKKGARLAMNVRNSDHCVSRIHPDSPLFQKINVGDRIIAVNGQKLDLQKLYESMKENKDKEKSFFITVEHLSFSWCFLRKTTLESISTEKEEKVIGRAVNVFKIVLHDFTPPEIRAPLGLQIQYDARERIEIYNVAPKSICATHLRAGDIIREVNGRSVCSKSMCMALIQQSLSASKVVTLTVETSVNGHDSYREQEEMPEDVLQIAKKQIEFLKKGGTAKMHPKGISAKISNSSTTRCIQKSYGISYSEDCSTLFE >PPA14010 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:387268:388211:-1 gene:PPA14010 transcript:PPA14010 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFFLLSLFEHILCQPILPQPFYPEYGNQYGAYGGSPFQASYPGYPYGLTHFGTQASYQYAYDLHGGTNPNILDHASFLSLVNDKENFLANGCGWDGIQQRCTDGLGLCKIRKWSY >PPA14228 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:1485557:1486158:-1 gene:PPA14228 transcript:PPA14228 gene_biotype:protein_coding transcript_biotype:protein_coding MASPIENADDLSKQTKIKFGTLGRGSTMTFFNESRVDTYERMWKMMNSAPGLFVESSAEGIARVRSGDYAYLMESSMLEYAVERDCRLVQIGGLLDQKGYGIGLPKGGNRQRSKINPTRGSPYREPISTAILQLQEKTLLTELKVK >PPA14202 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:1346333:1346597:1 gene:PPA14202 transcript:PPA14202 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKGRKNQRAKRGEKNGVEGKKLVDDCEGRCSWIEWLTIMMCMLGKPSRKFADSIRNAFMVAMGCLDLGTPEFAN >PPA14021 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:418483:420195:1 gene:PPA14021 transcript:PPA14021 gene_biotype:protein_coding transcript_biotype:protein_coding MREEKEDVIELKETPLEAFTDFLKYIYTGRLCLHGMKDERILDILMLANRCDFQRLQDDIALFFRAFLSLSASAIEELIIRDSFCAREIEIFKAICKWNEARPEETSQSLSSLVSRLRLPLISLDELLNIVRPSGLIASDVILDAIKEQCEITSSNLTHRGFLSPDVNVATSRNAQVLTGESPSALFLSPESNQMLSQDGDRIFTRHNIEEDTEGIVIELFNSSIINKIVLGLWADRETRMYSYYVEVSVDRKDWARIIDHTKYLCRSRQILLFPQRAVNPGWFPPENNPARPAPTGPKRPHAFLWLVQR >PPA13978 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:196095:198593:-1 gene:PPA13978 transcript:PPA13978 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDSPIEFIDITNDEGLRARVLTWGATLVSLWFKDKNGREHDCVLGYDTIDEYKSDRVQMGKTIGRVSNRIKNGEFEVNEEKIQVEKNEGNNHLHGGPKGCSQRNWSIHRRAHNSVTFLITQTHDLDGYPGDANMKCTYTVNDLNQLVVEHWAETTVPCPIAMTNHAYWNLDNDSTTCLDHHLFVRAHYYLPVDDDKCPNGDTKPVFGTSYNFNKMRRIGPSPPIDHDLILTDHEKTKIVLALENPTTGIRMTMRTTYPSIHIYSAEQFDGSIIGKGGRSYPESAGIAIEPQQYTSAVHFDWFPPVIVDPSTPYEQEIIYSFQHIQPKLIA >PPA14241 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1563172:1563956:-1 gene:PPA14241 transcript:PPA14241 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRGLSVTTTFDLQKSVDDEPYLRMLTCGIEGGTIEARVAGMGIFTDTVNNKYGNMMSMQTRRNLQTTMCRHMQSLVDQHFSDRLRKLPRTVSVKDLTTLVISGTREEDPQVAGTNY >PPA14168 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:1189982:1190371:-1 gene:PPA14168 transcript:PPA14168 gene_biotype:protein_coding transcript_biotype:protein_coding MESEWARFFTLAGIPDGIASKYAKSFSANRVTREMVADLDKETLVELGITAVGDQVLDLRTY >PPA14192 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1296895:1300528:-1 gene:PPA14192 transcript:PPA14192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pst-1 MGCTVTTIYRGPFTYCTSYSESNATHESSSLLARALRAFALGRPEYQLVGGDEGGERRREGTEDGSLSRRLCFREGLILLTMFMGIQLSFVTMGYLQEEVMTTGYQRVDDIEQFDRFGDGQFLIFCNRIVALVVCAVVMSANWSRQPPHVPPFYKHAYTSFSNTMSAWCQYEALKYVSFPTQTICKASKVAATMIMGMIVRKERYSMGESLCGLGITLGASLFLLSAPSEGTGKEGRETATTMSGMILMLGYLAFDAFTINWQKTLFDTKPKVSKIQMMMGVNLFSAVLCAVSLWEQGSLSTSMSFALTHIGFARHAFLLSLSGAVGQLFIYTTIEKFGPIVFAVMMTVRQSTGCN >PPA14122 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:948083:950877:-1 gene:PPA14122 transcript:PPA14122 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDRSRLVQRNHTVAILNLAFADIGSAGKGVETYTSIVSSTVIDFDMAGVADLFWNDEMSAYVYKVLAIQWDVALVDELFASAGEFYDFFSHHRGLGRNPVVVPNHYVLGYDLQSKVDQFYYRLKQTIEAITEIIFHPAVDYVHSMGGSHLGVELTLSSASVLEEKYKKFVEDPKSKGTIYVAFGSLVQWDQGPKEIPIAFIRAFNQLRDYRIIWTYSGRELDEKMGDHILITKWAPQNDLLAHKNTKMFFTHGGLKSIKEGICSSVPLLMMPFFADQMMIAATAVEKGLALNVYKKNATEVEILSKIRQVLDNSEQMKESAIKIYEHN >PPA14081 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:708308:715978:-1 gene:PPA14081 transcript:PPA14081 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSGDEEYSQGGDYEKDSRRARKREEEAKAHHIWYERTGPSVIIRSQLGGTRTSFEAEDAFDISCDNVPHSLNKGKRWEKAQNAEQILYEQNSSGRTSFVDASVPGYPSRLLIIDPTVVGHEQLIGNKGGVNKYVEVEDGEISVRKAKSTRTNISSLQSNEGPGPRLKKNRHRARTVKGGEKEEEGVHSDPSEEGQHTRYSTLEVKKRDPKSVERSWRWCQTQRSYKQGWTRKPAADHVLDWYSEHQDALEDELDAFYEEQLDSEEPLRLPDLREKNVEKLPEMTPKEEKEDEFPLRETKLQRRQRREKVMAELEEQEYRDRIIEIRPSLTAQHMEDVFVKAKEHFDKVKVGDCLPVFPRTIIIHKEESEKILGTKMNRCTFVAASNVPGEVNLYSAPRFCCSAIPPLAQPVDGWQSHARNELERLAIGESDRSVPSSSLFECPLCKKTEETEELGDAVVIKNPHCGLTSCVSEAAAIFSDGTLGIFRDFWWDSLCDQEGSVPCYACPRLLIPLKSSYTAAALCVCGARTCKRCGLREHLPLSCSLYSQWAAMNIREGFQRPKAPHIRNPHRHLTPREKTWLKNCYICGAEDTMTGKSWCDKCMHQVSVRFEDPVNTKEDLIPILEARARMMNTEYKQAKIDRRLIDKKKSLAMEKIVEDGVFLFELIKLARQIGVERALRRKTDKIARMQLERMEDTLDSFLFSAMQTGGDPEKKTGELKMKIEQTCGIVNSTHHSLLIMEIDALREKSLEKDSRGEKNREEEARAHHVSQMCEERMKTRNRRNLERKRAKKSISMKEEEESDGIIDLRHPISSSQHTENLFVKAKEHFNMAEAADCLLIFPRTIIAHKDQDCQITPVIPSSTINCPYCNKTEKITETDDSVIIENSNCTYTSTTTERAVLFSDATIARDVYLWNRCSLCVWRENLYKVLIHSSNVISVNIFLSLAPTTIDGISSPKVPYIPSRNRHLPSWQKATLNNCWSCNSKDTLKRKNWCEKCCKQITILNEDPVKSREDLVPILKPRGTMMNVECKTGIDGRYLIDEKELLDMKKTIENGVFLFELIKISRFIGIKTLLRIKLGKSERHQLEELENTLTTILFDALEIGEDQAMKDSKLQKIIVAIRKQYYQYI >PPA14186 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1270141:1273611:-1 gene:PPA14186 transcript:PPA14186 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQSILLAISFTLSTAFECNPNNACDNEIALCGEGSKCMNPSGKPLGGCCVPEGTKMDETEQPAVADYVKENCGHAFACDNEIASCYSHSKCVNPSGQPLSGCCIPNEMDTNEMKNGLPSADENGEVEKVSEWEHLRGVVADYVIDNCGPAFACDNQNYGCGDHSKCVNPSGEMLSGCCIPNTMDPNEMEKGLPQDSTKKISEWEHLRGVVADYVIDNCGPAFACDNTDYGCGDHSKCVNPSGEMLSGCCIPKGMDPNEMERGLPLESASYVSVEKKISEWEHLRGVVADYVIDNCGPAFACDNTDYGCADHSKCINPSGEMLSGCCIPTEMDVNNFEKGLPEKTAETEVDVHAHLRGAVADYVIENCGIAFACDGDYGCADHSQCVNPSGDTMSGCCIPKTMDPNEMDMGLPLPDEGRVKETETVGPSDPASMRGLISDYVADYCGPAFACDHNGATCREESECVNPSGEVLSGCCVPKGMTREDLEGTPSSADSINYGSLNGIDLKSIVAPYVLEFCGPAFACDDIGAHCDDESSCINPSEGLLAGCCVPKGMTRENLEGTNADKFEPKIGEFGTLNGIDLKSLIDPYVMENCGPAFACDDIGAHCAEHSSCINPSAGPLAGCCVPNGMTREELETGVLNLKTKTVSLNEEAIKGSESICSASAGQCIPRVFMANETKYREEL >PPA13974 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:186394:187060:1 gene:PPA13974 transcript:PPA13974 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPGEGADAGGFALSVMAEKKRENGLIEYEVPDAIIGAVLGPKAKTLNEIQAHTGCKVMVHKRMTTECSEGHRLITLQGEEDCIIAGREMIEKVINEEQARRTAGGAPHQRSLV >PPA14208 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1390831:1391883:1 gene:PPA14208 transcript:PPA14208 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVRLASTSSQTTPHPQQQQQPAAAPAAPPSAPIAFSSLTDYEIFCNRCEDSCGKSKQKCWEHEKKCGRVYITPAWMVADLPDNLPHAQKIDRVVALLKEKHLFARDY >PPA14103 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:804357:805826:1 gene:PPA14103 transcript:PPA14103 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRVKRSDHPDEYDEINEEEEFEDGMEFQAIGGKSAKKGENPWAVAIMKWDDKSHFVLCAGTLISRRHVVTAAHCLFNGDEYTTKEGGGSSCGMEEYYDFEEYMDITKVAVDGSCLFGNTCDKKEWNSEHLKIVRSNHACLPWLHDITLPQSIEVESFGWGSTEKQVGNTYENEMQTVKLGKTDLKCKLEHNSHLEDKICVKELQNVAVCHGDSGAGLLTTLYRKTFVLGVLSSGTDCEQLIHSTGEALFTDLRLFQATIDKTIGTV >PPA14251 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:1618363:1618672:-1 gene:PPA14251 transcript:PPA14251 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRAREKKRTREIVSTRDKEETQKRKRKTKEREVIDESSNEEGNNIGAMEGRSKRTTIVALRFHSHY >PPA14189 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1281731:1284929:-1 gene:PPA14189 transcript:PPA14189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-odr-3 MGLCQSSSEVDKEQQQRNKEIEKQINSDKRSASSIVKLLLLGAGECGKSTVLKQMQILHSNGFTEEEVNEKRGIVFNNTVTSMCSILRAMDAVLHIQLENSELEPEKAMILKIQEKGEESEQMTPEKRDALLALWQDKGVKKAYEMRSEYQLIDSAALYVSPLHTSRSSMMRAIPSLSLQPNEYFPFSFLDNVQRIAEPGFRPTEQDILFSRVATTGVVEVKFKIKELDFRVFDVGGQRSERRKWIHCFDNVESIIFITAISEYDQVLFEDESTNRMIESMQLFNSICNSSWFLSTAMILFMNKKDLFMEKIKRVNITTAFPDYEGGQNYEEAVNFIKQKFAELNMNPDKKTIYMHETCATDTNQVQLVISSVIDTIIQKNLQKAGMM >PPA14237 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1543538:1546529:-1 gene:PPA14237 transcript:PPA14237 gene_biotype:protein_coding transcript_biotype:protein_coding MMANGGKKKNKKTEESGRKPESWDEKMTREQQEEKEQMEAKRAIVAARVNQARMEQERNEKMKANGNPKDWMKKNDGSKSDGKRAEDNKRRDSLGGSVSTSSNSSGTDCDESVSDASKSAVTSPQTEITMSSLAPTALISDAISSINQVAQSVVEAGTVDALSTVSEFLTYTTPGKKKKSKPVIRNLAADMTKIAEKETIEEPSQVFGGVPKSSSSPAPRQVVTPILNGGTTTPSAELAAFRSISDDHPSEIPGANLEIDVHPTVDNMDKVDRSSTPVIMLPKKGEEVQQSKPGLTPLLRSNFSLDNPRSAGYNFNNFNSFSNLRSQDTTTQAIHF >PPA14244 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1577407:1579252:1 gene:PPA14244 transcript:PPA14244 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLVLSMVIVVRTSITGNGIEEYDYSLNESSPSFVQSLNDERPSEWERREEQPVVDRVEEISKPSLEQAAAPPSLFPTPSPLVVFPKVDKKESSIRSIADIFNGGDARPSFVRRRIEEWAVESGRTEFISRVLLDLDTRESRTRSTLVLLRTAFRDLEQLIDLAPDARKEKKRIEDIGYAFYPLLSQALQWLRAGSDGHIRLNEIVRAIDELDALLFFSDLTPKELKKRLAVWSERRASKMEKHLNNVKEGKVKGGPVDARYTLLLEKILATVLMDSQRDLAELDSVRVGRPMDFAF >PPA13943 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:45277:47528:-1 gene:PPA13943 transcript:PPA13943 gene_biotype:protein_coding transcript_biotype:protein_coding MLRILGAATVVLLAAAQECSSPQGTKQVFGQYLQCIKQGLDADYQSYEDEIRAHNRRAAQACFASTIDEGNRKDRCVLSVSDLDQLAWDRHGPLRDCTICRTFASGAIKALKNTPAEDQKCIRNEITKAISREAGLCLSRKIPNFAGVPDIPDLEEGSFHFKDVVINSISDHILIHARISFCGDRKPARSHSTSACLRNPFVGYLGKHCQLLTQCTQQLARGSCSSKIQESNKAVCECITESRDDLKQRISSISQVFSEVLNGGSRGGLNIGSSSKVDQCVSSVKKHMITPVNDWVSVIDAALSTCIKQRPAGQNLGMEAMLNVGCRKVIADTTGTATSQLKTGFDFVNNLIDAMVERSGRFCGGEHCLQ >PPA13995 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:272427:278069:1 gene:PPA13995 transcript:PPA13995 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMRAVKMSAWEEFFEKRIGELRKEELVHLKARKYLDALCVYLWASTPLIVTLSILSTYTLLLHERLTAAKARSSIDTPLISHPSLQVFTALALVNILIMPLNAFPWVLNGLVEALVSLKRLSKFFALPNMDYSHLYTLSQNPDQYLEVEDASFSWKLIEDVKTISGVSFVGYKGSIVGVGGPVGSGKSTILLGLLGEATVDATKMGIRQEILDEGIAYVGQECWLSRGTIRENIVCGLSFDVEKYNEVVNAACLTRDIKLMPGGDSYEISENGATLSGGQRVRVMLARALYQDNQVYLLDDPFASLDRTVVDHIWKEAVMGMLKSRGKLIVVSTHDSRLLGKTDHLIMLNGEGRVASSGRPEDVMKEMKEDEESLNQREEKSEGEEETHEYVVAMEEEKMEGAVKRSVYKSYMEATGRFLVFSIITAIIGMQIFKNTADWWLTKWTEGQENGTEVRSVKGLLTGPRQSNLLGDEELNRSVHFLTVYTAIAGANTILTLMRAFLFAKGGIVSAKTLHENLLHKLLSAPLSWWDRTPTGRVINRLCSDVYTVDDNLPFQMNIFLASLVNLIGALIISLIGLPTLVPLVLILFIIYFFIQRKYRMTTVELKRLSSLSLSPLYSVLNDAVSGLAPIRAHRFVERFAQLVRVRLTGVLRTNFSSLAASQWLTIRLSIIAVVVVSYISFAAVIQHRLHFVDSGMVGLAVTYALSLTSLLNGLLGSFIETEKEMVSVERMQEYIEKLPEEDEEGEIEIPSEVEGRIEMQFVSVRYEKNLPLALNSVNLKIEAGQKVAIIGRTGSGKSTIFQTLLRACDIDSGNVFIDGMDISKMPLKSLRRLFGVVPQHPFLFSGTLTENLTVNTTRSDRNAMAHLMRVAQLESLLQRIGGLDGEIEEGGKNLSAGEKQIVSLCRLLLTKPKIVLVDEATAHMDDLTRDKIFSLLNTCLPLCTLLSIVHRPHSLKAYDVVIRMDGGKIVHYGVPSESDLMSTEDVPMLVDEEKKDDVRGMNKSGRWWKETRTAKTSAIKKGKPLKTSWQKKVDEKAKKDNVKKLQQQIRDTIATEKAEKVERRKEQVSSYLSTFVLNYAATRRKKKSKKKF >PPA13962 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:120009:127052:1 gene:PPA13962 transcript:PPA13962 gene_biotype:protein_coding transcript_biotype:protein_coding MESNEDPHSLQETEYDVDIDVSWESEPQFDPLADHRFYTKDPRFEPQYKYSINPVQHCSQQPVLHHSIPRLCHVPRSAIAQRILKEQAIQKSQKDNRSFVENENVVSLAKTIGVEENEMSREVSLPVHQSSPRKKPIKPHAKKKPRVEAGPLPPCRAQHRPPDRTIPKGNLRSETSVDTRIAIMKRFRQNGVVRVGDSHEDQNELPRESSGAIRESVLIRRLNEIPDPPIMREKQLESDDHEDIQKKQRRGRKRKRYLSEDMVESKRSSLSVWSDIDDDDDKKNRLSTKGDISEEGIHDESISDTVDPMILTEESMSIPNSIDPEDEDSNLLHGFPHDSWNQTESLRPLPSYHRHSHQRSIGKSSLYYFWGNSSLPQSNNSLECFNFTTSNDFKDFTLCNVQSMNSIGYISLYYFWGNSFLPQSNNSRECFNFTTSNDFKNISLCNVQIMDR >PPA13941 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig27:37361:39971:1 gene:PPA13941 transcript:PPA13941 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRRRKMKVTVNLSHGFYPGDKLGDVLIDIMSRCIERMRNDVENGDRIDLAARLSDITQLMDATGYTQQQAKEQLAAGVIVHCPVLSMRTLYKFAHQLATILRFSLFLFGGGVSDREAQGVHDQLRSVINKFIHEELTPDQSEIFYKIYVSAFVHGLIQEAQSLFKDTVDISVYKLSPLDSPSTSSFSLIDDIRSLSLKQSVASLAHSGQIIPSKGRKRILPIDDSDGIRLVLSMDVLHSMVVSRGGEMGRKDALIAAKKIALATLDAACVDGLGEDYSFGEWGLEREAIARYVEISGRLDCSSLLQHLLVLLSESPPALWFTLPVLKAILATIISSFEKAFDRSKKPSDILLEKTDRWVTLARRVRRKMGEVLPERLGHIMDMLPYVSCHEGYHLLLAIWKYFQHTRLTYDAVNEMHIAAMREDPQEALPALEDFLEPFVCVAHANIEELGWIVPLIYPRFVDDALKHSNLPHS >PPA14233 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1536478:1536887:1 gene:PPA14233 transcript:PPA14233 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMDMGMGEFGMGGYMVPSFRRSSPTQQTKSKGLNLGGMGAMYGPGMGMMGRKK >PPA14001 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:313614:314770:-1 gene:PPA14001 transcript:PPA14001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-oig-3 MNRHSSYSGYSEYNLHEGYDRQPSRGSYHSSPPHQFSPPPPQQFSPHSNHGGSMLNVDVTDGLFRPIPQRSPLTKQVNQLAYEIPKNKYATNPWNYAPEFLKVFGDVRTHVGGKAVFDCLLLGSPRPKVCWLFNDEKCPFTDIQIEDTSDVCRLVIPYVQPHHYGTYTVLCENEIGRAVSTATLSPL >PPA14175 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:1218133:1228947:1 gene:PPA14175 transcript:PPA14175 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDLSGSSNLVALVYNEQQPPVDAKVLQERLKKEKQLKKEEEKSKRQREKEKEKEDRKLQKMSSSHNKRVTIEHVKYTSPEEAAGGVKPREEESREDEEGERDKASLFAFFTSFSSIDIIADFRCGTGMILCLIIVFLLSVGIAFLVSYKMTEKSLGGRRELIPAEDGIVRIGALFSSSDDDVDEEVTEGRLPRNIEPIWYNLTTRIYLPGFVELPKLKQRMFENILLAKFRVVADTKEIILNSALTRIPERAEEWKIMDDQQDVPAPSKVRVSDVSINATAETVTLALSEALKSGTEFYLKVSFDGSIATSKNGLYISTYRTSDGQEREIAVTQSDPHYARRILPCMDEPDRKAVFSVTIDHPKGSRALFNGIETGTEDVKNVPGFVRTTFKETPKMSSYLFAFIVSDLKMIEKKSKRGVPVRAFAQSDLIDHIDYALNVSVKMLDYYEREFDIPYPLDKLDIVSVREFTFGAMENWGLITFHESNILFHPQVSSPMTKKDVAKMVAHEIAHQWFGNLVTMKWWGDVWLNEAFASHCEYTATARAGVMIGLDDLFYGITQSEAFTEDSFSSARPLSTPIHKPVEIEQIFDPITYAKGASVVRMLEDVVGIDNFRKGVRTYLKKFAYGSADHYDLLESIKENLPKELSHIGTELKPFMRRFTMQNGFPVMNVERPDTMHIEVSQEHFQRDSNSEALNKHGARYNHIWEVPLFYDVNEEAKPVTWMTTGIRIPSNQSDLLILNRDSQGFYRVNYDPRSWTRIHEQLNKNHTHLSDLTRFRLIDDSFELAEAGRLPYDVALDTIRYIRSEEEDMPIIGAIKSLEFIHKQIGDGEHCDSVKAFIRRTLSPFYKKFVNWDKLGLEDNDIRRAKMEVMLIQELWESGHKEVADKLHQLFESFLDSCKDDSSIPSQCSKVPPLIRTFAYCEGVKRGRDEEFNTILRLYKNEIASKEKSRLINAMKCSRDTINLKRLFQSLLDQSGKGIFGGKSVDEKVLLTFASHELSRKVYNEFFFENHEKLFKKYSTNFAIGSYLADALSASTKSELSDMESFLLSNPSLDDFDGFAKGIETGRAKLNWQRKHSKHLVEEFEKRSNRMEDIDLGDRRGLINLVYGENPSTATTTAAGGGGGEINRFSPMTPPATASVQSSNRSSLEMQKKKKKAEQTAAAKNQNRANQQRENKKKGVGCSMGVCCCLFLFAVASIIGSAILARKMILSPSLPSNLTQGQKLVAMKYNMTFEVPVDEESEAISAAVEEEATKEVKDDERHIHRLVPLWYNLTLKAFVPGFDDSIDASKVKTYEGVLLVKIRATETTNKIELHSEGLTIDESPSKYELFREVTGRAKRGDMNETESTANKSLLFSHEMTKLDAEIVGVIVDTDRLKVIFQLTRELKKGEEFILRLPYSGEIKDSVNGLYLTEYKDEKGVSKYIAMTHMEPQYARRLVPCFDEPKFKAPWKIKITHPAKSTASSNGIIETEQENGGWRTTSFKETPPMSSYLLAIIISEFTYNEKVSNSGKKVRLWARPEALDQTNLALDGATKVLDHFEKYYDIPYALEKEDIFAVPRIEAGAMENWGLLMFREDKLLFHPNVNTLTDKKIVLETIAHEMSHQWFGDLVTMTWWNDLWLNEGLAVFYAIEGPQMVTDGTMNSKDSSAFYHMERSMTIDGSATSHPISFKVEKPREIASLFDGITYAKGACIARMIEAIVGEDHFKEGIKSYLNQNMYGSATSKDLFRGLDDVLPEKVSAWDGEKLDVNQFAQNWITQMGYPTVHVVRMNENEVELRQNRFKKSNVTEEKTEFRNARYWFKWDIPLWYSIDGEKKEMNWLHEVTRLPVKSGQTLLVNTDALGFYRVDYGEGWKEVIETFKINHKSIPDIGRARIVSDAFALAFAGDIEYETVFTLCGYLDQEESSLPWRAALTGFDQILGMYRDRPEGEHAREYIKARVAKVYAKLDWSRVDSTKNEDLDYSEFLYRVVMFSRTYGVGDASRRLNKIFNDQFVVPCIDANGKTASECSKVPPLLRTSVYCEGVARAHESTVEKVEQLIEMEKNSYEKSRLVQSIGCSRDTSIIKRLLSTTLLNGTSPGVSYLSKLLITLEHNTVVDYVMQDYLTNEWARIMRSLGDHQFSLNRVIKGMGVHTERRLNKLESFLSSKKSASSISSFDSVLDRAKTEQDWMRRHEKELAVIFEGKQKMNKIESILSSTDIMQEKTTERITE >PPA13965 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:137823:138709:-1 gene:PPA13965 transcript:PPA13965 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSLRSTPTIASTLTLHRKRQPSRPYRILSHEDSVALLVAQSTQAVAEVKSYKMNTKILKRKSNKKEKIPSGVIYPSYISCPAPPLIPSGRQLPSPLCCPNCASLIGQRLSAPSGITL >PPA14088 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:733572:734859:-1 gene:PPA14088 transcript:PPA14088 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLLLLLLCLRFNKQKRESDHTLKGDLATNRSPSARNSPEPIIVESLKQSQVGVDSPIETTPRQSGNTMRTTEDQVDEKTSSKGKKESDTDKEQKNDKKSKTPQKRADGDFSSMKSSNSYMNRKNAMMKQKSPKAKEDDKVATAWPVRPCWSDAVVESQIQDDLSDFGLTKTETLKKRGDTNKSVFVDEKKKSKNDKKGIKKEDKKEDNKKSSVFFDEKKEKVEEKKDEGKKSTLILDRNDKEGKEDEKKEEKVEDKKEEKMEEKKEEKIEETKVDSPVKSPKIKKENNDEAKKDEKMEIKEEKKD >PPA14054 pep:known supercontig:P_pacificus-5.0:Ppa_Contig27:571750:572532:1 gene:PPA14054 transcript:PPA14054 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTPQDYASNLAYGYYNYPNASLSTLHYYNSTSYQSQMPSNTYQYSLPTTSSSPLLPSTVTSDTTQSLPTSILPTPPTSVNSPDDPVIPESEIIKIETGTYGGAKPSYSYISLITMAIQRSETQKMTLNEIYQWIIDRFPHYRKNTKSWQNSIRHSLSYNDCFIRVNRTADKPGKGAYWTLHPDRTSERSVEG >PPA14268 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig270:35314:36463:1 gene:PPA14268 transcript:PPA14268 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDKYPRLDKHRVGVQGSSYGGTLTTALVEVAPEGFFKCAMAGAPVTNFALYDATYSERYMADTALSAYTDLTLNVTKFAHTKFLLVHGMRDDNVHFQHSALLIEALQNADIQFGLMKNRE >PPA14261 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig270:13980:14272:-1 gene:PPA14261 transcript:PPA14261 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSPSGKWYMHGNSAMSDPDCKLPGIFSKITYYCPWINALTGGDVSCIKRQ >PPA14269 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig270:36651:39566:1 gene:PPA14269 transcript:PPA14269 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFAVSAKAVAAVVASSFDPSLDIPVTRDALNFSEKKWADRLIAMLRGAESGELVVCERVEAIKEDGHEEEEEWDFEEPIDQPATNTRHTWTTEMMNEAYAFYRTGQKLRNPGKEGCRSLTSMNKKFRWLKTDQDLRTLVHYGKSGCIIAIIAGNKPTNRNEQLRLLAEALDEEVTALIDSGAEFHDYILKEMAIALNEKKKCWPKFTASERWIRQWKSHYRVTGRHITKIVSVKKKKDEGKIKEQVDELRAQVQQIVEEHPDIYIWNCDQTGMVKEAHGKRTLARKGVKQVVCVAQSVEATTSSITLLPIIGMDGYVKPKIFVQLGEPGGKLPKKGCYRDSTLDIAVATSHIMSKLSAIQFYKEVLFSGFVPPKLLLILDSWPAFKDHDAIRLCAPPNCQLFIVNIPPGGTAMCQPADISFNHQLKGIQKRLKSIILAKKIDYRISLRDNLLKFVSQLHWCMGADRFKGFISYGFYKGGFTTTKPAPFESPKDYMFGSGSMAACDNCSSLSCTKCPRCEKPHCFDYTLISKH >PPA14257 pep:known supercontig:P_pacificus-5.0:Ppa_Contig270:110:1637:1 gene:PPA14257 transcript:PPA14257 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEKQKYVNGEKTIPKQSKRPIFKVVDAPDSDDELGLEEEQSLLDALRKLPFRNARNVKMEKMSPEPEKKRRRGNSLPPAAIVPPPSTVIPSLPKTTVPRVPIRVTKKTHAVPSVLLPSSATVVSSTPNTVTLEMILDKLNDVQTTLSLISSRQDRLERRVGELTNDSVGIRHQTRTLVESTEKIEENVANLATVVNEVKDRLPRPPTGPQYDLYGLTEEEVATIDNLNDGTLKFAGKLDSVLFDNTHLYHQDRDQDKVKWLLEVIMHRRRHSIGKEINKWRTDILQRINANARRNGEKAYLDRLKQSRSHPKPFVPPVHVSSSHPRDAGPLQSFTPPPRIPSSPTPSQPQHTPIIYVPSPHNSIRVHPRPPFNSTPFCTPSTSSQSVPRRQIRPTTVFDQDAIPPSMYFDLDE >PPA14265 pep:known supercontig:P_pacificus-5.0:Ppa_Contig270:24200:26381:1 gene:PPA14265 transcript:PPA14265 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDEMGHGRRLELCTNPTAEGGKVVIITGSSNGIGRGTAELFAKTGAKLTITGRNKDTLEETYDLCLRAGMYPGGILKLIGDVTDEAFVEQLVEKTVEKFGQLDVLRLQYRVNNAGASVFDLTGKKGLEVPLANYDRIMDINVRSVVKISQLAVPHLEKTKGAIVNVSSIAGYPFSAQEAYYSMSKAALDQLTVQMAGQLINKGIRVNSVNPGAIQTNFAVTAGAPAELLQEMYSKVFGEGSEGCKKVIPLGKIGQPDDIGKIILFLADRTQSEIIVGQRIVADGGSLIKNNLLSMEM >PPA14260 pep:known supercontig:P_pacificus-5.0:Ppa_Contig270:9499:13565:1 gene:PPA14260 transcript:PPA14260 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKRKATTTVDAARKESRRSTSVASERIPHRNVLPANIRPDPEAQHKQTIMWNCDNVSTIDDNGRNSPTYTIRGMKWYIRVRTETSERTQNEQQFSVYIYCLEKNNLDTWYADVLSNVTLINRRDTTKNKKERFSYRFSHGLSNSGYASFVKMSELLAPEQARTIIIIGYILNNTIKIEVVISVVKIHGLTPPATVYDFTQPIEHLTDGILIVGGKPVHISKQILATNSPVFEALFFRGFREAKQEEIELEEVDYDEFVELLQTLYVPDKEINPTNCGYLLKMADRFQMKSVLKRAEHFLCTSYQYPDFALASRLKLADEYKLYEAQGEGHESKLVGPTTTCLHPDVTTHSILLKLQKPSDVVSLKNQRDYVPISDTMKLIVTEHQLKLMNKKP >PPA14270 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig270:39899:40643:1 gene:PPA14270 transcript:PPA14270 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGYENVYTNDLLNTYLVNIQHVLTLASLIVNFLLVNFIIRGRNKDIGNYRMLLTSNLREHFNKLLVGTVLAVIASFGLWCT >PPA14262 pep:known supercontig:P_pacificus-5.0:Ppa_Contig270:15192:17816:-1 gene:PPA14262 transcript:PPA14262 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQPLANAIPSLALLRCGLSNVRPNLSNGIQINATGNAITGAQEATAFSWPWQGIVCLVDWFGDCDWKCSATIVGERWAITTASCVTGKKVSDLKIRTGVFHPYSNEKQELRVHVQAVYTHPSFQPNGVTKQNNIAIIETKEAFTFGDYVQPICLPDDDADVLTDGKKAWLTGWGYPKKGGSVEHTLQQAQIPIDNNEVCEITWSRTIFDSEICAGDGSKTTCNRQNGNEP >PPA14271 pep:known supercontig:P_pacificus-5.0:Ppa_Contig270:42268:44077:-1 gene:PPA14271 transcript:PPA14271 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWDHPYESREHYLEEITSISDGPHFLCEYSTPLKSLCKQGTDCRKLRRALDRVTCNEQGIWIGEVQKEEHTSMTYTLRVGDNLVCESTEEAPLEDDDIDLDSGKEIKTELLRRDEAEDYTSAIVLFTVVGVLFVILVIIISLVVASCVGPWTGKPVGLKKSEKSQTDSCRGRGGDELLRSRIAPLYLMVINHKIAAHVVSEAGFEPQ >PPA14267 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig270:33230:34786:1 gene:PPA14267 transcript:PPA14267 gene_biotype:protein_coding transcript_biotype:protein_coding MWFSPSAKYLAYASRAVNSSEKMLMTTYSRDERYNYNYNMEYPKTGEKRIAEFSISIWSAEKNKSITMDVQLTNPKSFHYLFGVSWVTLHGKELLLTTWANRWQNETSLTICDYEKATCSLIYEHKYMHGQWADPTGHTNIIHSNSSFFILLPNSVGNNSWQHVARIFVTPDLKFESISFVPSGEYDITAIVEYRPTENEIFYTAQAPHPWNLHVYASPAGENSFLKTDQCVTCAYSNCTYQEAIVQIFHGDLDLNSIPVTLFV >PPA14263 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig270:18916:19603:-1 gene:PPA14263 transcript:PPA14263 gene_biotype:protein_coding transcript_biotype:protein_coding MPITLTSSDDKSFSVDREVIKHAGTIETLISTMGLEDSEESSMPIPLPNVTGPVLELVIEWLKHHKEDPVKEEKEDYGERRSDDDKPQHVLFDILLAANYLDIKGLLQTCCKTVANMIKGKSPEEIRKHFNIKNDFTPEEEEQIRKENAWCEN >PPA14266 pep:known supercontig:P_pacificus-5.0:Ppa_Contig270:26992:28731:1 gene:PPA14266 transcript:PPA14266 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFAKKVVTITGSSNGIGRGTAALFARAGARLTITGRNADTLQETHDLCMEAGAIKEDILQLTGDVTDAAFNERLIGETVDKFGQLDVLVNNAGATVFDMTGRTGIDVPMENYDRIMDINVRSALRLSQFAVPHLEATKGAIVNVSSIAALPLASSDAYYAASKAALDQLTVQMASTLIKKGIRVNAVSPGLILTNFAASAGISKDVMEQMLTERFPDCSKIVPLGRFGVPEDIGKIILFLADRSQSEFIIGQRIIADGGSVLKNNLLSIE >PPA14259 pep:known supercontig:P_pacificus-5.0:Ppa_Contig270:5622:7671:1 gene:PPA14259 transcript:PPA14259 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDKLNFDRPEEEIIAEAKERGYESYVKPLPWLKVGEFVTIGDHPCKVTEITTGKGGKHGKLGYNKCFAGLDVFTGEKRETHSYEYYSISVPFVEFKEYLIINLTFEGALTLMDEQTSEQRDDVLLPDGELGAQIREAFNSDDIVVTAARACRREAIVNNTNLELPYLHSTLNQFYDWQIAMIFR >PPA14258 pep:known supercontig:P_pacificus-5.0:Ppa_Contig270:1790:4195:-1 gene:PPA14258 transcript:PPA14258 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSFPINTRIFFNWRPEEEKAQSRAEGLGYPEQSQALAGRPLSVDRDEVIKRIAHFLKQTEKLRRGVQPNSYAKASGSVIRKALKSLETLKWSDKSEDGNGRVHSKQGRKDLDRIAAGLRSIDSLSSVRFALGAELRARINNFCPIAKKHTSSD >PPA14272 pep:known supercontig:P_pacificus-5.0:Ppa_Contig270:44340:44617:1 gene:PPA14272 transcript:PPA14272 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNSRLADGQEGGSIGGFSQEAMDHLLDLLSPRPTHVTPQLTRPGFSKQAEFNTLVLNKLEEARKDPSVLEAVIELIKERNSFLVLADKNP >PPA14264 pep:known supercontig:P_pacificus-5.0:Ppa_Contig270:19965:22702:-1 gene:PPA14264 transcript:PPA14264 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLLLSLVASAVSLHPPCGVSVIKPDLAGMGIVQRGGAITNCIKSVEHAWPWTAGVCENDWFGTCNFRCVATVIGERWALTTASCISGDLKNWRVRAGMFHENKKESGEQRLEVKAVYQHPSYAPSTKLDNILLIETKDEFKFDDYVQPICLPEEDDDVLSDNLKGWVTGWGYQKQKGDINKSMEQALIDMDSDESEFCAGEGDKTLCGYDEGVPLMQQSPNGTWFQHGSGAMIDGECRLPAIFSKISYFCPWIFTTTNEDVHCIKRM >PPA14273 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2700:210:1233:1 gene:PPA14273 transcript:PPA14273 gene_biotype:protein_coding transcript_biotype:protein_coding IVDGGVSNTLDAEVAGPLQPPPVAARPTPQRLQRIDQGIRRAPQGGDYRALNTAYVVTEVSDKDLTSECGVAESRPPYSPCLSRKSVDDLFLSCCRQHVPSSCHSLCTYEHREHVAAELLISAVQQ >PPA14274 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2704:90:363:1 gene:PPA14274 transcript:PPA14274 gene_biotype:protein_coding transcript_biotype:protein_coding RRDDHSTYEQRIDEEASLLNDPLQSQLDEMTKYIVDELRALGTQLMGIDNEIQVNRDAIQSLSQS >PPA14275 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2706:47:1341:-1 gene:PPA14275 transcript:PPA14275 gene_biotype:protein_coding transcript_biotype:protein_coding VVLPTSIEREMNGGSNRNRDSYGGQKEERNGRGFEGDRGGYGGDRERDGGRPIGRGGFNGNDREGGRGGYNGGDREGGRGGGFGGDRGGDRQGGGYGGDRGGGGFGGRGDREGGRGGGFGGDRGGDRENGRGSGFGGDRDGGRGGGFHDRNGGRDNDRNGGGFSGGSGGRDGGGFGRGGGRSGGGFGGDDRDRRNGFGRDGDRDDNSFGNNRGFNSDRNEERGFGSSRGGFSGGGRGGRGGGFG >PPA14276 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2708:37:1112:-1 gene:PPA14276 transcript:PPA14276 gene_biotype:protein_coding transcript_biotype:protein_coding STQPPYHPADAAVYHHSSVYAAVDHHSSADAAVDHHSSVDAAVDHHSSADAAVDHHSSVDAAVDHPADADVDHPDDADVYHHSSSDADVDHHSSVDAAVDHPADAAVYHHSSVEADVTLHRAAVYHTSSADAAVDHHSSADAAVDHHSSADAAVDHHSSVDAAVDHHSSADAAVDHHSSADAAVYHHSSVDAAVDHHSSADAAVDHHSSADAAVDHHSSADAAVDHHSSVDAAVDHHSSVDAAVDHPADAAVDHHSSADAAVDHHSSADAAVYHHSSVDAAVDHHSSADAAVDHPADAAVYHRTLQVELAAVDHHSSVDAAVYHHSSVDAAVDHHSSVDAASTTQPTQPSTTTLQSTQ >PPA14279 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig271:10079:10779:-1 gene:PPA14279 transcript:PPA14279 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQSQYNTHRIMSIEIIIATIATIVLYTIAGRSLGRMTSDAARKAQERKLLIIGIVIMAFDFFPNEWKIVAAYQLTWLIDVKCL >PPA14283 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig271:25287:27492:-1 gene:PPA14283 transcript:PPA14283 gene_biotype:protein_coding transcript_biotype:protein_coding MYPIDSVFILSCIYVPILILLYVLEIWTVLKPKSPFRGTFYILFVAGAIADLIMVAATTHELRLVFFPLVNGFFETYDCDACAQMRIGKVFYTRRDLGEYPMYFTMEDLSTIAPWHNTHRVMSIEMITTSIIIIILYTMAARALGKLTSDSGTKKQERKLLIFGMINFALQLLAMFPQLMLDFNFFPIEWIIVVVYQFCWLTDIKCFCVAVTMIVVNTTFRAHMIESFGLKRFMRRRNKKQSEKRKSSGS >PPA14280 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig271:13589:14972:-1 gene:PPA14280 transcript:PPA14280 gene_biotype:protein_coding transcript_biotype:protein_coding MICATCHELRLVFFPLVNGFFATYDCDVCARIRIAFSYICPFTQDLLNTCIALNRFTSVGMPMAHARLPFVNTFQTQQITSPTIIWNILLPVSIIGTVLLAFLLFGVAFSRKVLYTPQNLGQYSMYFTREDLSTIAPWYNTHRVMSVEMITSTRALRKLTSDSATKKQERKLLIFGMVMLDFNFFPMEWIIVVVYQYTWLTDVKLEPRHFSPIMST >PPA14285 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig271:34763:36686:1 gene:PPA14285 transcript:PPA14285 gene_biotype:protein_coding transcript_biotype:protein_coding MRASLALLLVSLDLACAAPLKVDHEAAAKKFMGELLNVVKTENHTAILSFLSDKAESYFPGMVTGEAARELKVAVAEYVAADEEKEFELPPSVLAQLKGQLFNKMKQLNNDAKLFVQEIAANKPELPTKYRAFAGDVRAFRLKFEALSSSARSSLIEQFPFFGKLEEIEAMVQILDNIMGHIKGICANVPGFSECDKLAQYFE >PPA14286 pep:known supercontig:P_pacificus-5.0:Ppa_Contig271:37382:39053:1 gene:PPA14286 transcript:PPA14286 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLILLLLLGLACAAPLSANHEEEAKKFLGKLFVATKNGDKWMLFDMLLKKFDHYVPGFITEEITEAFKAYAAIPYPSVRIARLDVDALMFVNKLIDDLPAFPTKVRPFVGDVKTLRLKVEALPSTAKTRVFDNLVAHAKGFCSNLPGFAECEKMLQYIE >PPA14281 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig271:20480:21912:1 gene:PPA14281 transcript:PPA14281 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIIKIVTIHFDPYKKNKCKGEALIEYGINKVKSYTRHIEFDKKDAVWRATGNGNEYNPAIPDRSNAYCIYPCDKSKLFLSPDKCDSGEQCIEPIPSQAWFVQYASEGIQTMVPSVECVLGKYQADNREPVSAKCVKVRSPKIRHPSVHRPGPSASISIKIMFMRPQLA >PPA14284 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig271:32994:34063:1 gene:PPA14284 transcript:PPA14284 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRNSSAKYSMQKIFDLLFDKWSHFFPQLIPHELNETFKKSFVARANGNTSVPWIPKIVEDAVVIRLKAILDVVGEEARHALEQIDVELSRTPKGKWAIEVDRIQQVFEHVHAPVQESLVLWFPVLGQLNELKAQAIVADRLYMGIHIICRDLRGFRYCAFFN >PPA14277 pep:known supercontig:P_pacificus-5.0:Ppa_Contig271:2479:6597:-1 gene:PPA14277 transcript:PPA14277 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLSILFLLTGFTTPAPFATEDPEVAAKQFFGALATHFRSGDNEKLFDTLHSKWEHFYPLLVAPDIMKDLRAAFLVLRESQAKNHTEVPVVHLLSTARAVDKIEKEIKRRTPFCKCKMISSLGDPSPREPRLPAPSSKVESAELIWWLNAEGREFVKKAFASFPHKLDGATKLGPLSKKFSAFRAEFDALAPSTKKKMAKRFEIFAHLDEVKSLVSTYDSLLSATQIACENLIGFSGCDHIEKMDEATEIPEIPEMPETTCSSVSTVYGVTSISIKCKKTRNYANLMFNSYFLDDLQIYPDIKAADCRKKTSLGRG >PPA14282 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig271:23189:24061:1 gene:PPA14282 transcript:PPA14282 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTSLKCNKDSGKWFGTRETDSVQHSFNDLKNIFCMDLAETTTAIETSTASVVALSLMLATAGALLAIAIILLICAIVLCTMLRRVNRPNRPVISSTQFSLSMPSTGRLTLDRNSEKKETWDNRKSKERRLNKGNKSIHAEIPSELSKKEESKSSKKIIRPNLEAADTLGSFDS >PPA14278 pep:known supercontig:P_pacificus-5.0:Ppa_Contig271:6953:8290:-1 gene:PPA14278 transcript:PPA14278 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSVIFLLSIIALSSTAPTTTTVDHELAAKQFFGSLFEKIKNQKFDEVFDQLFAKWEHLYPEMITKEQAKEIRAVFMEAVEKGRQAGKGVPKLNAALFVKLSANIGQIQEEVQRRIGKLNDEGETFIRKAFASFPQELSPLTEKFDAFRSEFESLSPSTQKKLAKQFVIFAHLDEVKGFVTVYDRLIGAVQTACDNLLEFELCEQIAMKE >PPA14287 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2713:10:1759:-1 gene:PPA14287 transcript:PPA14287 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYHHGVQVLEINDGTRVISTVSTAIVGMVCTASDADAETFPVNKPVLITNVQSAIAKAGYRRPVKTGSLLSCVWKTAPAADEETKLAQTVSNIIGTTDENGQYTGLKALMGAESVTGVKPRILGVPGLDTKEVAVALASVCQELNAFGYISAWGCKTISEAKAYRQNFSQRELMVIWPDFLAWDTVTSTTATAYATARALGLRAKIDQEQGWHKTLSNVGVNGVTGISASVFWDLQKSGTDADLLNESGITTLIRRDGFRFWGNRTCSDDPLFLFESYTRTAQVLADTMAEAHMWAIDKPITATLIRDIIDGINAKFRELKNNGYIVDGTCWFSEEANDAETLKAGKLYIDYDYTPVPPLENLTLRQRITSRYLASLGVAKSVTLPKLTRKLENYRGAGMNGSAPVDLGLDDDALSMEWSLGGFPDSVIWELYAATGVDAVPIRFAGSYQRDDTGVTAKWLHTKDPKPQKQKVKLKRKKKEKHLRALEHPNAKPVRQKKAPKVPEAREGEYMAGEADNVFALTTVYATKAQAMRAAQA >PPA14289 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2718:31:464:1 gene:PPA14289 transcript:PPA14289 gene_biotype:protein_coding transcript_biotype:protein_coding PKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPCAIHGIPQKPESVP >PPA14292 pep:known supercontig:P_pacificus-5.0:Ppa_Contig272:4258:5361:1 gene:PPA14292 transcript:PPA14292 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIRKESAIVPPIGKEPRSCGSACSGTCYKAVAVLNTTAFTKYDEPTMSLTRCKQLMDANPAAEFYIVAGSLCYLGSDPRLTTISTATECDNRCLGEWTQKCGDNENVRLYNYPYTSEPTQCTASICGPATTGYCVEKNNSYECVCKPGTSGTNCQTAGTPCTRFGCLNGATCLPTDDNSAARCICARGFSGDKCDKIDQCYFTPCKNGGSCSSASNAAGYTCSCNSAYYGDNCESE >PPA14304 pep:known supercontig:P_pacificus-5.0:Ppa_Contig272:77864:84620:-1 gene:PPA14304 transcript:PPA14304 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTVATNALLERKGERMALLITKGFRDLLYIGNQTRPDIFALDIRMPDVLYEEVYEVDERVIIYDGIENGKEVRSGTNGKKMIIERSLDMNGVRESLSLIRKKGIDAVVVLFLHSHIFPVHELEVGKLAEEAGITHISLSHQIIPMIKAVPRGLTACVDGYLTPKIKDYIAGFTSAFSSPPPVQFMQSDGGLCDVSRFIGSRAILSGPAGGVVGVARTAFDGRIPAIGFDMGGTSTDVCRFAGTFEHVMQSTTAGVIIQIPQLEIKTVAAGGGSRLFFNEGRFVVGPESAGAHPGPACYRKGGPLAITDANLVLGRIKPELFPNIFGETADLPLDKDGVVIAMEKLTAEINEYEVARGNDPLTVEQVALGFLRVANEEMCRPIRALTQAKGYDTKAHVLCCFGGAGGQHACAVARALGIEEVLVHRFSGVLSAYGIALADSVAEAQESSGLTVNDDNLIDISTRLVALSKSAREKLTVNENEEGRIDIEHFLHLRYARTDCAMMISAEWKEGDSLDAFIHSFTTAYERLFGFTLPDRDVIADDVRVRAVQRSTVVTSDLPPATGRHKCLPFKKERCYFDEGSLETDLYHIEEMFAGHTIEGPAILVDKNSTLLVEPNCLATALVDGNIKIVLKGKSTEESSTKVDPIRLSIFSNRFMSLAEQMGQVLQRTSISTNIKERLDFSCAIFGPTGGLVANAPHIPVHLGGMQYAVKFQIEYLGLDGIKRGDVIVSNHPMAGGSHLPDFTVITPVFFQSKPHPVFFVANRGHHADIGGLVPGSLPPNATHIEQEGAAFISFKVVDQGVFQEETLIDQLKAPGKVKDCSPTRNIPDVIADLKAQIAANKKGIELVVDLMEEYGEEVVVAYMQHIMKAAEESVAAETRIRTGSTVLKSIDRLDDGTPIVLTVSIDEKEIVIPPHSILSPSETAAVGGGNIQTSQRLCDVVFKAFGAVSGGQGDMNNVMFGDETMGYYETIGGGAGAGKGFNGRSGVHVHMTNTAITDPEILEDRYPVILREFSLREGSGGRGKWNGGEGLVRKIQFRKPLSLSLLTERRSLAPYALEGGEEGGRGRNHLIRANGTVINVGSKCTVNVARGDALELLTPGGGGYVVSNSFRLS >PPA14296 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig272:44538:46953:1 gene:PPA14296 transcript:PPA14296 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTSVGCPVDPFYGEPTANQSVYFSVAGCVQQQGYTLFNLSSPHSHSLNLSSSSLIDLVEKILCPSDSLLYHGENIDLYFIVKSSTPFSSGLLHISSSVHEAARLKDGPRIKRNIDACFSLEERATQYSHIDPIDADEEEEVELDVYVKSGRLRVSLLGCDSSPPLSLGEFIPGMHTVIMNSLLEPSHCNYTVRTGLPLTLEGILKSEGHIKLRTKGDDSSGILFKLEYKLSSLFSSYR >PPA14300 pep:known supercontig:P_pacificus-5.0:Ppa_Contig272:64940:66541:-1 gene:PPA14300 transcript:PPA14300 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKEEFAENGYALVENVFNDEEIAEMKEAIKQIVEDFDFDNLPKSIFATSDEKKHAKDEYFVESGAEVRGFFEEGALNENGEVIVQKQFALNKVGHALHWLNPVFKKYSFHAKLKEIMKNLDFEVPQIVQSMYIFKQPRIGGLVNEHIDATFLRANPIGNLVGLWIALDDATEENGCLHFVPGSHKTTTADYSFVRTYKKEGPMVEFRGPKPDYTGLPFVPVPVKKGSLVLIHGLCVHKSESNTSDKTRHAYTMHILESKGAQWLKENWLQQTPTYSFPNLFDH >PPA14290 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig272:327:1065:1 gene:PPA14290 transcript:PPA14290 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFNFRHSAHFGVDSCRACAAFFRRTVSLNKTYICRQSNERCDVSKDAKFACRRCRYKRCLEIGMHPKNVHSKFDTRIKDENVSSDDEKPAKKFTRNSDNEMHSDPGPSRRPQPLISALLYSAPATTPILRQLSEQYR >PPA14302 pep:known supercontig:P_pacificus-5.0:Ppa_Contig272:71059:73222:1 gene:PPA14302 transcript:PPA14302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sel-5 MNFSYHSPSLIRLDTPPSPSRVPSSSSLVNTLDDPSRSLPIFSSTSVNPRLRPKPSGIVPRVPLIGSATSSPQLPRAHPEVLPSSTLIPTVDEKRVPLPPSESEVRESTVVVHQTTVDVVETSTVDVKEEKTATRHMRNLSDGGTLSKSAFRPYSETAPKTTVINIGLDEVEGSGKGTWNAFQDAPIDERVPGRGWVDNDDDEVDWSDPFRSAPFDPVPLMKERERQLMAPEEEQVVESESDVDEQRALNRRSFSYEHIDGVGDDASTDSRGRTDGDTTEDTGKENEEGDDGMSTEGETTDGGGTMDDVSGNRPLLDDDGLEEDEEEEEYETVTSSSCLPPSATPVPPPFSTQTSFPPTISFPSSTTVLPPPVPSLQPLPPPSTVSTRDPSTATFVVPTAPSARVPTNPFNPFLVSPLEEPRQIQQPPPAALYQPKPVLPMGMDPPPTSIISLNDAFHQAQSQLFHSHQWRKKI >PPA14293 pep:known supercontig:P_pacificus-5.0:Ppa_Contig272:32412:34752:1 gene:PPA14293 transcript:PPA14293 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDEPSQHSPSSDDSIDMKDDLKEDIGLNTCKFCAQTFDHRTELSLHLSSLHADRPLYRCMQCPLVFAVKRDLSTHARVHSGESPHECTQCGKQFGTRQLLKKHCMWHTGERSHVCPHCSKAFFQKGHLTQHLMIHAGGRPHVCNQCSKTFIFKFDLNRHMKIHSERGHSCTKCRRSFLKQSSLDDHVLKCKGVPRSVKEIERSKIILSPFTTFSSTPSTPTNASSNHLQLQKPLPLTPSSSSSLLPSTPMFSCMLCGQANSSTSDLALHLAIAHFPNAPLPQMPQSSPMKLEIDSPLSLGLSTPTKLSDGLSAFFPIKSEEMTTVDTATNSSASSPQKASPMGSSSSPVLEDRGGDETPPLSFPSSTKCGGCEDSALRIAQLEAALENTQRELNSVNALLSFRIKPELTPTPQSLPNVFSSLSPFGRIPPSFPFFTPSSLQSLLVNLQIE >PPA14295 pep:known supercontig:P_pacificus-5.0:Ppa_Contig272:39646:44006:1 gene:PPA14295 transcript:PPA14295 gene_biotype:protein_coding transcript_biotype:protein_coding MTAHLRTGFSIPLVGLGTYKIVRPCVDAALKAGYRLFDTAKYYKNEPELGAALEEFAPQYGVKREDLFLTTKFFPDKDNVAEGAREKVEESLKNLRTTYLDMVMIHYPKSDFRENEDPENKQARKDIYLELEKLKEEGKIRSVGVSNYEVRHIEEIKDYGKEMPVANQVEYHPHFTRQELKDYCKQEGIFFQAFSSLGRQEPALINDADLVSIAKEKDVSVQLVLLAWALSQGVGIVPKSASPQRIIDNWKVNNVSLNDEEIERLASKNLGQHYIRCTDGIGASSSTSTTSTSTTTTPYMGSPTTTTAYTGSPTTSTSTTSTTTTTTPSTTTVTTTPYTGPPTTTTAYTGPPTTTISTTSTTTTSTTTTTPSTATVTTTPYTGPPTTSTTTTTPSTTTVTTTPYTGPPTTTTTGPTTTTTPYTGPPTTTTTSTTTTDLSTTTNTPTTTTGCIDLNNNSICDVYEQMRCETVLLQGKNYLIARIITYIATAWVVFWCLLTILINICHKNSGHHRGIHLWEELGIIVLWVFMGVLNLTFRNKNLFCKIISIVIHYFVVFVAACFVFEAIFANSMVHNKKKKNGCCPAFFNYLLPIPIAALPCLLTYFLHKNDYGSNGMHCFVITELEIVYAFVIPVWALLSIATLYSSLGNLACDLTHLDQDQRQCYWAKKSCKVLPLLAYWIFLAYLTCMFGSSSQRLWVLILFVVQSLVLGPMIFICHTFGHKSTALKWYSPSLPGKFYRGCTLPSPPPFVPPLPIKSPPPRSPSLPPTPREKPPKQQPEPTSNVVRRSPPKAVPDQDHMYANELWGWATTKNGDDVHFRPKPVSYDF >PPA14298 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig272:62653:63044:-1 gene:PPA14298 transcript:PPA14298 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGRTSALHPGKVKRYRCIEEYVDIDPPADKSVLEFKAWHKVAPKEERSMNLKMDDRKSKEEEEKKKKKEEESDEIEHTPTVGIQYHE >PPA14299 pep:known supercontig:P_pacificus-5.0:Ppa_Contig272:63365:64403:-1 gene:PPA14299 transcript:PPA14299 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARVAAITAAGLSIFVLTSLAFFIPQLINRIASINDSVAVEMSEFRDLQKENWSPCSTGTICPAGPPGPPGQPGQDGQPGSPGGSGPKGLPGNAPAISHSDNMQCRMCPGGPPGFPGPPGPPGANGEPGMSGATGQKGQNGHPGMPGGMGYPGEAGGPGNAGAPGEPGKDGNKGGKGAPGAPGNPGAMGMPGFMGPPGNNGNPGLMGGQGPMGPTGQPGEGGPMGYPGSAGESGGPGGDGTYCKCPARKSKIVASKRV >PPA14303 pep:known supercontig:P_pacificus-5.0:Ppa_Contig272:74342:76278:1 gene:PPA14303 transcript:PPA14303 gene_biotype:protein_coding transcript_biotype:protein_coding MCGHHEKGKKEEKMKKKEGKKKRRDTHPGHPLRQGMEKIKPPKKHSSSSSKSAGQKASSNASFVNVILAPILDSTIGGVGRTKARVIEGWGGVMDAFLAECNATLSHPGLIEQLRAEKFDVAYTEPSLDYCGPGLFYLLGIDKWAIMNSLAIVDGDFHYSQIASNPSYVPSAGAMFGGNMGEAMSFSERFHNFLILLATNYFFRPYLYKYTQIMRQFDPTMPETEAEH >PPA14294 pep:known supercontig:P_pacificus-5.0:Ppa_Contig272:36108:39186:1 gene:PPA14294 transcript:PPA14294 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLILTLILILLFIPSSCSFVFSCQEVKDRLINNDLTEPTEYVCLVPQEGYTNFNALKTIYAQIDKISVSSLCLSNDWKCGSLMIVADPPITLDSNQQFAFIFTSTLPNFIRVEEKIQSCTIDSNRVLVSPRAEIKIHSTQCNGNGNVTIFSGAGNGVNEFRYELQSWRCSEVPDWIVSFENVITISVDSGMKYTFGITSDYQTFLYPRDHLSILTSGKSDNLQNSHPDENYVKFRTDLAPATAKVQIYFEGKYDESVNIQYDGTTLQFNHNGTFDWQFTSDSFEVRYATAKNIPLWDTQDNFIVDITMRDGYCGCGMDMDNYDGTWQLTDIWLDLIFILDVSEGMADAVKEASRLIELIRKLEMISANLETNPSAPLYSRVGVITMANVATIKYDLNIGSDDTFDGVTVAKGVYKVDMTAAFETALDMFNRSKITNRVDRQHVRQSIYYISNSDPDEIDNGVKEFKLSGGKIIVNVNCFCYKKDAYNPDGNERVDSKGGCYKVDQAELTYEKAQQQCPKTKNGNYPLPTIRTRNTFSCRQQFWIGYKSDGTKWTFEDNPSNPFTDFASGENIHNGIQYAYQVPTTVLNYIWHAGNGKAPYPSVCEMAPCSVGYSKCNED >PPA14301 pep:known supercontig:P_pacificus-5.0:Ppa_Contig272:67204:70485:1 gene:PPA14301 transcript:PPA14301 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sel-5 MPLGLFGSSSSSKPQIIPSEKSGEKTKHKSEKRDSCDNGRGTILRLEKHVVTIEKKLAEGGFAIVYLVSDQKNRQFALKRQFVNDDTQQVDSCKRECLILSSLRGHKNIVQYVDHLIMKNKNGIYDCMLLTAYYKSSILQWMNARLSENRYFSSAEILSIFTDMCEAVARLHHATTPLIHRDLKVENILVDDRNRGAPPLFILCDFGSATTRVLSTESHSITQVEQEKLKEEGREVLLSADPSHRPNIYQTSSLAFEAVSKLCPVQNIHKVAPVSLSSVLSYLEGGVHPLGTNGSNHSTPPVRQAEMKSTK >PPA14297 pep:known supercontig:P_pacificus-5.0:Ppa_Contig272:53537:60566:1 gene:PPA14297 transcript:PPA14297 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mca-1 MGGKLGAEQGGTEGLCEKLRVDPIRGLPNDNAELNRRRAKFGANTIPPTLSKPFWKLVFEACKDPTLIILVVAGCINLALSFYEPGMKETPDVIVNTTMILTSTLTAISTTEEPNEHGTAWIEGVAILLCVVVVVLVTALNDYSKEKQFRSLQEKIETGQTFSVIRDGKPLDIPVSELVVGDIARVKYGDLLPADGVLLQANDLKIDESSLTGESDHIKKSVESDPVLLSGTYAMEGSGKMIVTAVGVNSQTGIIMTLLGAGKIDKDGSDSSSSSSSSSSSSSSSDSSSGDSSGSSNSSDSDDGLSAKSVLQSKLSKLALQIIYCGTSVAIIALIVLVTRFCLNQYVFDKKPFSLQDIQMFVKFFIIAVTILVISIPEGLPLAIALALTYSVRKMMFDNNLVRHLDACETMGNATSICSDKTGTLTTNRMTVVDSYINGDHYEGQEKQPHRSDLPLGTADLLIEAISVNCAYNSIIVPPTKPGEQVQQLGNKTECGLLGFVLRLGGSYEEYRKRFPEEELVKVYTFNSSRKCMMTVVKLIEDGQDVGYRVYTKGASEIVLGRCRFLLGADGEPYPLREERVKEIQSTIISSMANNGLRTICVGYKDYIRKGARQVDETEIEFEEESDIDWDDEEEMAVNFVGIAICGIQDPVRPEVPEAIAKCKRAGITVRMVTGDNINTARAIALQCKILEPGDEFLAMEGKEFNERIRDKDGKVSQAKLDLIWPRLRVLARAQPADKYTLVKGIIDSKATPQREIVAVTGDGTNDGPALKKADVGFAMGIAGTDVAKEASDIILTDDNFTSIVRAVMWGRNVYDSIAKFLQFQLTVNVVAVLTAFVGAVSVSDSPLKAVHMLWINLIMDTLASLALATEQPTDELLERKPYGRKKSLVSRTMVKNIVCHAVYQLAILFVLLYKGPELFGIPTGLYAPLFAPATQHFTIIFNVFVMLTLFNEINARKVHGERNVFKGLIANRVFCVIWLSTFVGQILIVQFGGAWFSTAPLTGSQWAVCLAIGFSALPWGQVVATIPSKKLPKNFTYGRGEVLYSLLLIRVPQSIDNQVEPTKLHINGDYNVRVRSRALRIRPSAKGMWMKSMSMLMMHMKVLRAFQMKDKNKLDKTAPRMTKEAADRWRQSYRKYRHDKNKKSAEATSEVDAEEMKERRKTFKQIKNVARGKSYERERRKEERRKKRMSVDGLDDVDDQV >PPA14291 pep:known supercontig:P_pacificus-5.0:Ppa_Contig272:2464:3326:1 gene:PPA14291 transcript:PPA14291 gene_biotype:protein_coding transcript_biotype:protein_coding MITSMTYLDVDNLDHYVSDAGDIHDKEHIKKLCRELTVQSMLLWLGPVLDKAEITEVEAIAIIGLLFWPNYLVKATKRVIDVSYQYQQRIFVELQTYYRDVLCLDDYSSRVAHITSILLCVQGIMQRLKEDMEIYRLLNVFDSNDLVYNVVQT >PPA14306 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2721:242:580:-1 gene:PPA14306 transcript:PPA14306 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNKPILLCLLAIGAHASVVSRGEAKHKVVDSMDYYNWWDSSTATDGYEETARLDPAGGKQPTAAMSPGCDSLLRVLRATP >PPA14307 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2722:375:1091:1 gene:PPA14307 transcript:PPA14307 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-wnk-1 MAPEMYEEMYDESVDVYAFGMCLLEMVTGEYPYSECQFPAQIYRKVTTGTKPECFNRIPSQYPEIREIIDRCTRLRREERSTVKQLLVDDFFTPEELIGIRVEIKNRDVDLAELNSEIQMQLRVFDEKKRKQYRFKENEGLQFAFDIENDTAEEIQIGIIDRLCRLCSK >PPA14309 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2727:374:619:1 gene:PPA14309 transcript:PPA14309 gene_biotype:protein_coding transcript_biotype:protein_coding PGSVSLHSHSGGLNSAVAPRRRGLVYFPFLARQSKDEQLAADNGLPISAAEIAD >PPA14316 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig273:25198:26117:1 gene:PPA14316 transcript:PPA14316 gene_biotype:protein_coding transcript_biotype:protein_coding MIILLLSLLTLSLSAPVCNDQQTLDVRYGSALTECLAPVDDCIVDVTYTEPPRLRRDTAYDKNMKCFKKMYTDCCGPEGGEFKCEFFKAEWEIFVPTCDFTPCDQ >PPA14310 pep:known supercontig:P_pacificus-5.0:Ppa_Contig273:4777:5036:-1 gene:PPA14310 transcript:PPA14310 gene_biotype:protein_coding transcript_biotype:protein_coding MTILRAAQLSNGPSNYLNNVTCNDLYREPDEGVSSPIYELCACGPPESSLAKEPTENGQLDKEVGNDESGESTGD >PPA14319 pep:known supercontig:P_pacificus-5.0:Ppa_Contig273:41245:43537:1 gene:PPA14319 transcript:PPA14319 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRFLIIFTVIIVSLLAATSDQTFTQNTYDDSIEMYNDFMDSIPQSGFEICQRTVMNARSKIRNYDTSQSVTTTIEMALKGVAIMGIHTVNIRSDNAAYYHCAGTIGTMPSLSKSTGVTVKSWIFSEVSNGKGSADRVTANCKSKMRRLINSGMDMENQNDLITLLEATPLLKGLSFYLFDIFDSPTPQTPSKIDRITDYSHFEYEEDGVTAWKYRGIGEGILFKDDLHSNTLPYKIVRSGGFLPNEDSAAWDPIKIRQGQRATFWHYDPKNIASRGVEEVEIDESAVDSDAATPTEGRDGLLFTCWCGASYNTVQVKSLIPLQDFIEKIFDHSIPPLPMGWALRGTKKNSPLPEKTKKFIQDFFLEKTKIGKRADAEEAYLRMVSDPAIPIDERLTKEQIKRYITRLVATPVKKKVVVRGKRSTDIEMDEDGDAIEWKIEERNDVGEWEMEPGCEDEEEGQMTLADEYSEFIRKNKKTILPASVKHNH >PPA14317 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig273:28548:29504:1 gene:PPA14317 transcript:PPA14317 gene_biotype:protein_coding transcript_biotype:protein_coding MCTARAEHKAFDCRKRNGRSSNPRRRTGSFRFWNLEAKSSGNPTQTIASSPTDFGAADPVSINEQAIQASIDSLAHQFKDYLYKAEPAIRVLLEFEKGDSAKVIKEVAIPERDKFFPVLEKTAKGNSKNGGYFVGDSLTWVDLLIVDTVTTLLRCMKGHLDGFPAVLKTVNRINATPELKKWFKKRHDTSY >PPA14311 pep:known supercontig:P_pacificus-5.0:Ppa_Contig273:6291:7973:1 gene:PPA14311 transcript:PPA14311 gene_biotype:protein_coding transcript_biotype:protein_coding MMFCLAILDLGVLIGNIFGGAVFIAGGMFCHAPNLFYALSFLAVGCFFSSCCACLMIAINRFVELFNIRLLLSMYQGNRPWLLMTIPVMYGTFAMLCTPFTQANSEAHLFLLDPMIHPAGKYELSIQCGVIVIFHASTCLGYVGLQFYPSDFLCYAMQLSWIIMHGLPPYVYFAFNPSIRRGIIQDALPSQWMFVSNTGASSSIRHFHPSSIAHNSATTVV >PPA14318 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig273:30636:31236:-1 gene:PPA14318 transcript:PPA14318 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQEKECTLKLKLKEIDEAMHRDAVIAQAIQFGDKFVQNERIPLERRLLQNRLKRNANILLRAIDLEVASEQYWVQKELLLTNNRN >PPA14314 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig273:22357:22673:-1 gene:PPA14314 transcript:PPA14314 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSLYGLMCSIVLGIGHMCMFAAYDARSFLVEPVLNTGQGTIDEHVGHYG >PPA14313 pep:known supercontig:P_pacificus-5.0:Ppa_Contig273:15535:17173:-1 gene:PPA14313 transcript:PPA14313 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYPKALVFDPQLINVYLPVYYSIAFGFGNMLIHGKVINSVEMSLIIAFLFGLADNSINSARSVLCALEIPEKRAQVFALAKFYQSLTACILMFLAQWMSMFMHFLLVTMNCCAAVLLVRRAPSKPRRTMDWDGTPSPSSPLNKLFGSAADVDVIPMSMHFLNRDEMED >PPA14320 pep:known supercontig:P_pacificus-5.0:Ppa_Contig273:44904:50137:1 gene:PPA14320 transcript:PPA14320 gene_biotype:protein_coding transcript_biotype:protein_coding MINALPVQLFCLVFTVSAIEHPQLIQVQMIIRHADRAPLFEFTSPESSRLFSRGLGEITNEGLLRVALQGTAFKLRYRSLGLLRDQMHDEEIFVRSSPMKRALMSAAAFSAAFIASDQAPRVHTNDSVEDEKVLISDESLENRCQEPQDLHAVLENYRCSPNSVMKEFVKDFPDCEQFPYTKIEAAIAEMEHSAVELPSALEKCARGDGRKIQFKSLSIKPIDGSELNMTHARDMIGPLMSIVTRNIGMAVTGGAVDGAKKDPLVFIYFTHADILLAAAQALGIIAEYEGKSPEFSSAIAIETWKTRDGFEIKASLLNIHWKVVVKDGLKNPFLHAAKYRLQEFKSQIAPYTSLDQTNVQWDANATSVYNFTQFDKDVRSEQTSQVIITSERQEISGDITLPFQNTLLSLPADLSLHTGAEHDIEFAEKPMLQLFLHHLAGILNNVNVHPQAHPPKRATDAAIARSYIYSDSVGIGQCRKCCGSSLDSPLVCVAMSPVSPIIVFVLLTILRSEDAEESERAPEQATVTKSRRPTKKCKDLAPDCEDTADLCDQSSFKKLMKKHTISDALKLADFVQTEEFPPHQEAEEALQVEEQESNLDPSSSCPVASNTHQAYKVLFRSSFGHEWRFGSANHLSKPLPATKGVKPKDIDGLSVSELVKLIRKAEETTDNSSACADMAYDCPIKQGLCGNARYSKLMLKMCMRTCNLCSTSASAT >PPA14315 pep:known supercontig:P_pacificus-5.0:Ppa_Contig273:23233:24520:-1 gene:PPA14315 transcript:PPA14315 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQVGIKHSTPAPFPQVGIKQAYVPAVSSTHLAYKVQEMASHQKLTLLAKSTTSASWRVRCALNYKRLEYEIKPVHLQDLSDEVVSAIQPLQSSRTTRKVNELLKGAGEAWQKYWTHLGLKELEGLVSKNRCQSERTQAVRIRFSLLHRAEIDAISSA >PPA14312 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig273:8160:9151:-1 gene:PPA14312 transcript:PPA14312 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQCELAEELFYSGKLHVLQWIHVMPYYCLIAPLTLLILIRHGRFERNHALRGLISPSRSETVKDVYFLQLRDQWSHNLNFAAD >PPA14321 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2730:894:1429:-1 gene:PPA14321 transcript:PPA14321 gene_biotype:protein_coding transcript_biotype:protein_coding DDPMDEQTKDSSERAYEEFEKFRRTINNNWNRDITILKSCGEGSPVERESETGKPSTDEAVRGGDNIDRETK >PPA14323 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2738:91:767:1 gene:PPA14323 transcript:PPA14323 gene_biotype:protein_coding transcript_biotype:protein_coding VPTRWLKDPIGQDTLQKLIASDLKDNGGKLGIATEGLLWLKRGQEFMLLMLIFMVRDYRKDKASTESLVSVINGAYEGSLKRHHGFISKQVFKVLTLGERSPLAEWPTMADPVVEDQSSSSQDEVLQIKTLTKKHIQ >PPA14326 pep:known supercontig:P_pacificus-5.0:Ppa_Contig274:31822:33509:1 gene:PPA14326 transcript:PPA14326 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDDHSSTEETTLFSKLLIPDIFSEVLRYVKMRDRVNLRLCSHALEDAVARSDLHIDHCPDDDSIMRIDGMEGWLVKKWSLHFTYSLIGQSCGIDNEMFTVNLGSALIKCQFEDEELKKLILFRKRLFERAYCKYVHIANWKDKLSDRTFLQLLKKNHKQLSIPFEISSAEAFLDIVKAIASCRKDQRIDFSYSVKSEIVDKFLLLIGLRRSANTIVNENCTEFALDVDDSTFVGSIQRAGRYKLIYQKNNK >PPA14327 pep:known supercontig:P_pacificus-5.0:Ppa_Contig274:35709:41574:-1 gene:PPA14327 transcript:PPA14327 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRQTGFIESSYPPSAFERVRYGPFRLKAWGFLENPRSSSGAKIFSIVSALFVLLSLSGLILSSMPELQEANGEPIQLLIYAEMICMIWFTIEYMARFVVNPNKWKFTKSPLNIIDLCTILPFLIEEFLPMKWMKEFRGAMLVIRVMRLARVARIFKLARYSTGLRAFGETMRKSAAELSMLGMFLVTGIMLFSTAIYFFERDEPNSKFYSIPASCWWCVITMTTVGYGDLVPVTTGGKVVAAVASVCGIIVLAFPISMIIDKFAESTGRRRHTPDDETSVRDNERCGSSTLEN >PPA14324 pep:known supercontig:P_pacificus-5.0:Ppa_Contig274:14219:17258:1 gene:PPA14324 transcript:PPA14324 gene_biotype:protein_coding transcript_biotype:protein_coding MTENAKIVFGEISRLVHRTDESPFKPYLFRLIENATMAADIKHTYDIAQLAIVSTLCLLHVMLSVLHAYGCFTGRPAYIRPMCTKARVPMVVNCFASTGLMLIYLIITVFVVFNVTIPHTHPPADAMAFETSQKHHLTIGGIFFVIYILWDAITLYTYFDIKQLHEDFMYWIVEERNSQRKGSLRLSTDRLSHDRFFYF >PPA14325 pep:known supercontig:P_pacificus-5.0:Ppa_Contig274:22468:24737:1 gene:PPA14325 transcript:PPA14325 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMFLRLDECPVTAAVEMQRCATRGADHTDCCKRNAVHTTLAGEKCLIFCKEDSGNATLLDISYIPCLDRFDSVNSCFWHDASIPAHDAVREIADTDRMLEEKISAHEDQEVAMGVKQIVRPPVRSGIEARNGVEREEEKFVGIQRDEVREHTSKWEMAKRRMLDATDDDDYEEFVDKK >PPA14328 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2742:345:772:1 gene:PPA14328 transcript:PPA14328 gene_biotype:protein_coding transcript_biotype:protein_coding QKLLKHRNKKAHIMEVQLNGGSIADKVERAKERLEKQVLIDQVFAQDEMVDTIGVTRGKGFKGVTSRWHTKKLEYSI >PPA14329 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2743:416:721:1 gene:PPA14329 transcript:PPA14329 gene_biotype:protein_coding transcript_biotype:protein_coding MVYCGIFYYFFSSSSSYPITTYAPRAPIAPMAPAASASMEPPRPTGTAEQNCHPSNIPEAFFNDTGRFPEEELHFLPCVNA >PPA14335 pep:known supercontig:P_pacificus-5.0:Ppa_Contig275:39820:40895:-1 gene:PPA14335 transcript:PPA14335 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKSTSKQPVRAAKTAREEGEGSSATTVHDTSVNLDTLPQVSMDLICSVLVAGGLFVEMKNLRKANRGCKRAVDFYLESRANIPPIKSIRIFDLASSQTNFKVEIILRKASLPLHPRLYDLRYRIASKANQGKMVSITIQARNANDPVIDAIADALSNTIDEAFIEKPRFDSELRNFNRVLGKAKRRTTRYEPICLRIRQRSAQSEKFNSV >PPA14333 pep:known supercontig:P_pacificus-5.0:Ppa_Contig275:30026:31451:-1 gene:PPA14333 transcript:PPA14333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-jph-1 MNGGRFEFDDGGSYCGGWEEAKAHGHGVCTGPQGKGEYSGAWHYGFEVSGEYRWASGNVYSGQWQNGRRHGLGQETRGRWRYMGDWTSGSKGRYGVRSSMTSQARYAGTWSANYHDGYGTEVYVDGGMYQGQWLRGMRHGFGVRKSAVHSTAARLRRANNHVSLTSLRSGQLDMNNDEEENKNNFEGRGGFVLKASSNAPQRRRRSLSERSLAMKRSLLSGLRIKKQHSTGDIHQKVSNYTTG >PPA14334 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig275:34036:34865:1 gene:PPA14334 transcript:PPA14334 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGRFEFDDGGSYCGGWEEAKAHGHGVCTGPQGKGEYSGAWHYGFELL >PPA14336 pep:known supercontig:P_pacificus-5.0:Ppa_Contig275:41338:41998:1 gene:PPA14336 transcript:PPA14336 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAKSSGKTSKLGDNQDTKSCELCYRDLKIKTAEMCPTCHVPCIGTARNENNQLLPIIVGFGPTAQP >PPA14331 pep:known supercontig:P_pacificus-5.0:Ppa_Contig275:899:4376:1 gene:PPA14331 transcript:PPA14331 gene_biotype:protein_coding transcript_biotype:protein_coding MALQCPLQTAYASTIFPYVFKDDEDRLKGLNTHLFKDLMRMKKCGGMVMKRKKAQRTFNGSLYDGQVENGDIFTSFDSTQLRASDPHDFHVTAQLFIDRIRMVRKRKRSRPTSVFRSFVSFSNLPLALCVLVAVTAAVLAVVDEIVERMSTHVVMSPTAKRVIGGTRGIRKIGAGLLILGTASLFFDHSAAFNGNQIFYSEKPWNLNMTLEGLRSGEVFLALDQQQIQFARSEESSIAGDHRFRFLKSSKEVLAMVCSEENVVGLVFEGDLKGFHHMRKGADRDGCKMDIITVSSSEKTGILGLDILMHEGRSHSFLVSKTVPRSIMDSLNYLILTTYGSENVDRWVSRFIFAPSPSLESAAVMKPMEIAQD >PPA14332 pep:known supercontig:P_pacificus-5.0:Ppa_Contig275:14123:20472:-1 gene:PPA14332 transcript:PPA14332 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGYGVTTMKDGTKEEGKYKDNVLIMSMKKKGVLFVRSSRLRERVEGSVEAAARSADIAKQKADIAASRTSTAKERAEQAAQCARQAREDSDMARIHAKQFDPNFKQPGTEGLRRAANSVDSPRTQLSSDLSSRSSKPPSFDHTGDMATDPLAYGNHVAAPQPRLPHQHVIEPSSNGTQYGWRPTKEQSTQYGWQVDQTSSASSHLLHVNPPHQVSFDESSFPSTSTGYNHQGQQNYVQQGGQPPQQQHQYHNGPQEHKISYQQQASGPYQNHQPDKQSDVGWKQGTPPIGNYQQQQQQQYQQHAAPSQQVGWNQPAGLPPLGPSTSSASTTPGTASARSYYDQPHPSNRDSIASSQPSTSGATPKESLVTPPSPKTVAPPRNELQSSRVSLVQADDHYEQYGMRSRRAARDESKGRAPRELSNGVGLSRRSTLAGAHDRSPLGALPQLRTLDATAEGEDQMGSLPNLARLEETNLRMNREEAARLASDRRQQMFREEEDRELLRANPLRWFVHPTFRNMLRRWKVPILLVVANLALLILFYSLVTYEKKKSVAAASKKH >PPA14338 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2755:45:346:1 gene:PPA14338 transcript:PPA14338 gene_biotype:protein_coding transcript_biotype:protein_coding MQASMDLAKSGSVLYHTQRLQNRMAGVGFIVYAPASSRDDSEYSEFVDQLEEAYHAPVSGSHRY >PPA14339 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2757:19:615:-1 gene:PPA14339 transcript:PPA14339 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVKLELFLDLPMPAIVSHLRACGLLRSTLMCPKCAVPCVEYQLKKSPSWPGCGWRCNNCATTFSALRDSWFSRTRIDIRPLLRMLYAFSWEQASFRSVQHELRCPDGSTISRQTFVDYCSFFREVCVADNERQPKIGGPGK >PPA14341 pep:known supercontig:P_pacificus-5.0:Ppa_Contig276:4551:6361:-1 gene:PPA14341 transcript:PPA14341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpl-36 description:60S ribosomal protein L36 [Source:UniProtKB/TrEMBL;Acc:A1BQ79] MSSPAVEGLAVGLQKGRKVTKLEGSKKRQTRHKGAGSKKAKIVRELVREVTGFSPYEKRAMELLKISKDKKALKFIKKRLGSHIRAKAKRDEMQNVLTQLRKAAHK >PPA14348 pep:known supercontig:P_pacificus-5.0:Ppa_Contig276:47809:51256:-1 gene:PPA14348 transcript:PPA14348 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primase [Source:UniProtKB/TrEMBL;Acc:H3EWZ3] MTEPRPFEMNRLVDYLQHYYRKGVFPFKPYTNWLTYSKKPSEYFNLREFAFIIDKDGEEVHLRYRSFNDAFEFEKELCRVSPHKLDLGAVYNYVPKEGRNRVDFRAMERELIFDIDLNDYDSVRNCCEGATVCGKCWKFIVVAVKILDARLKKDFGFTALLYVFSGRRGVHCWVGDAKARKLTNSARSAFAEYLTLFEGDKMDLKGKGRGKTIIHPMITDCYNVIMTAENGQLVKDMVIEQSYLEKEEDTLKDCKNPQLKEELQRIFTLSKPESRWQMICLKCDEKTWRSEREKLKDQPDKLKNLPEPPSDEYKLFLLQWVLSRLYPRLDVNVSTGVNHLLKSPFCIHPKTGNVAVPIDAQKVHLFDVTKCPRIDQLLEELDKVKEDEGETKENRKMLAYKHTSLAPHVEIFEKFVEKTIGKM >PPA14353 pep:known supercontig:P_pacificus-5.0:Ppa_Contig276:89987:90553:1 gene:PPA14353 transcript:PPA14353 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVGGEVFSLTRSFSSRHLSNATLPTIQAAVGHIPQKLKTNGIEPNRRARLEVLRKIVTRLVREERAELQWNRAVEARPYLERLIQLAVEKGPSDEYTNEMVEWWLPEPGLDYKLRKSF >PPA14346 pep:known supercontig:P_pacificus-5.0:Ppa_Contig276:44082:45619:-1 gene:PPA14346 transcript:PPA14346 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEDEVRLPVAESEVRREVSSVIARDGVDNLKSKAVREHLKNTFNVDFSSYKSQVDDVIKSCIIALNTKKPETPPKKEVQQEKSDSDSDSDSDPGVASPDRMPVPKKRKVSSTKSTPVVKKEEEEMDSPENEDLHSAVKRRRRAATKTTVERKFTKREPGATKRPSTKLGKFSKAQFCSDELMALTGQRYMLRSDVVKAIWAYIKTNNCADPKNRQFAICDDILKPIFKKNRFKSFGMMKIISEQRHLMKPEEISQECVEEAREYEKIVLAERNAARLAENGNYEGEMK >PPA14349 pep:known supercontig:P_pacificus-5.0:Ppa_Contig276:57898:58961:1 gene:PPA14349 transcript:PPA14349 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ser-4 MRAAKKRFKRERDRRTIHRTTIDANKVPLIKKSSPSKVPLPPAVLIPTDNEMGMSQGKESSSSTSEEDRIVHKTSETRIDSVALSGKGGKSKSLKTGGMKKKTKKTKESIEMKRERKAWRTLAIITGTFVACWTPFFILSLIRPTLGASVVPAWLDQFASVLGYLNSALNPIIYTVFSQDFRTAFKKILKRLCFIHDY >PPA14350 pep:known supercontig:P_pacificus-5.0:Ppa_Contig276:60214:62885:-1 gene:PPA14350 transcript:PPA14350 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-117 MEPSEIGLLLTDMECGSVSLKMHPADPPTSISTVSNIHLRALTDYDSSSDRSHPCSESGVSFSRGDIIELLMCGDDYWWQGRRIGKGAFAGTNAIVNGESHESVGLFPSETLMLKRKSGGTIGKRREIDGLGTIRSHSSKGSRDLEDSLPYESVCRLSPSSLPHPRPILLIGAPGAGRNELKRRLMTLYPKRFSSTVPHTTRQQRENEANGVQYHFVTRPRMEEMINKGEMMEFGEFKGNLYGTALSALHLAATKGMPLLTPHPLALKALRSPSFIPFIVFIRPPSKKDFINSRSAAALTRGRPFTENEIDSIIEQSQAIEKTHSAHFDAEVSDRNGKECM >PPA14345 pep:known supercontig:P_pacificus-5.0:Ppa_Contig276:39224:39867:-1 gene:PPA14345 transcript:PPA14345 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDGRRQDIPITPLAGVTSFMSEDNLASAEFEGVKYRTPSYVRISCTLNGYRSPSRLNQTPITTTPTRPKITNNGMPKSLVERRLDQLRNPRSCLETPAHS >PPA14342 pep:known supercontig:P_pacificus-5.0:Ppa_Contig276:6730:8923:1 gene:PPA14342 transcript:PPA14342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rps-14 description:Ribosomal protein S14 [Source:UniProtKB/TrEMBL;Acc:A1BQ78] MAPARKGKVKEEQPVVTLGPQAKEGENVFGVAHIFASFNDTFVHITDFSGRETIVRVTGGMKVKADRDEASPYAAMLAAQDVAERCKQLGINALHIKLRATGGTRTKTPGPGAQSALRALARAGMKIGRIEDVTPIPSDCTRRKGGCYYKNETGHDVLLTIGEAAYNGVVKHVCDRYVDYPGRVQYYTQIRDDVKVVTDSKKEKNNNLPDGDQNRISEKVNGWMHENAESFIAHEGVELRARIRYLPASRTQFTAAPK >PPA14354 pep:known supercontig:P_pacificus-5.0:Ppa_Contig276:92902:94760:1 gene:PPA14354 transcript:PPA14354 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-set-3 MNVSRENDLFRGRNPLYPSLSAAVEVAFDQRRQRFVRVTQDIPAGKVICVDEGITCTFAENRCQFCVSPLKKNEKNLCARCRVDKRCKMIRVAEEGAIADLNKYGMLEFGVRIVLSYPVEEIVQAVKKNCEIRPTLPIVPDYRRGFSSRSFLSIAALAKCDMEVPDVGKAFAKALEKVISNLSHHPEWSLLPDRQKLFYDALQFVMARVPPNAHSIIHPDCDPKIGGLFDVVLPEMIEDILWPMDDSPRRGWSTTPGVPLAVGFFPTASIVNHSCKANTFGYFHENRMIFVSRGIRAGHEVTDSYGPSTTMHLLMERDSLLLGRGFKCLCVECEYEREHPNYDWKGPDPTINDETEKLLGILREHPSYFVAIRTLSQLEKILPPFD >PPA14351 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig276:63264:63749:-1 gene:PPA14351 transcript:PPA14351 gene_biotype:protein_coding transcript_biotype:protein_coding MKKARNGSHSSDILVQCDGFIHEWESSGKISAAVQQLIALLNRPHVRCALVAAHDISNGRYSPELPPVPFESCMRTMIKG >PPA14344 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig276:13499:14105:-1 gene:PPA14344 transcript:PPA14344 gene_biotype:protein_coding transcript_biotype:protein_coding MQICWKYQPRERPTFRQIVELLFDRASDDFRERSWVLNEAPIPLDEEGRHEEIVDENAQGLFCMDNRRGKETDEYSDRGTELMQSEEDEDEHSSIPSIVSLNPC >PPA14343 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig276:9910:10887:-1 gene:PPA14343 transcript:PPA14343 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATIRSVALDFLSGAASVPRGPVELFQLEAANEVVPPTPPSKPIPTVLQLRREKDGRYQPRKEEPPPPKKASPLKSISAADFIASILLEVVFMVQTALMKHLPLPGVAFIAVFVHMSMLNSMYRFW >PPA14352 pep:known supercontig:P_pacificus-5.0:Ppa_Contig276:64755:67925:-1 gene:PPA14352 transcript:PPA14352 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-frm-2 MDLSDLHWLDPLKRLTKQVPIGPPFTFRLRVKFFTSEPNNLREEITRYQFFLHIKQDVATGKLGCPRKTAIDLAAFALQSELGDFNPEVHTPLFVSEFRFHPEQDEAMEEEIVERYSQCKGQSPAQAEMNYLQGAKWLEMYGVDMHIVEGKDGNHYSLGLTPQGMLVFDGSQKIGLFFWEKIQRLDFRSKKLTLVVEEEADQACGEVQLHTFVFSLVSSKACKHLWKCAVEQHSFFRLKVRSAPRQSRSQLFRLGSTFRYRGRTEYETVNKEGQRPRRSASAFERRPSQRYGARQSHLTKRDQRRAEVRQQIVASLHAPPERKNEGIIHTDSAKVAAAERLERLISSSPPSSSSLSTVPPPPPPTVLPSHPPQSRMPVPTRLNVTSTPPMVNLSTEPRRIDSPSHVTTIPVGTLSTSIIPTVTSPSSEKTIRMTVHTTPISPMYTDSSRLSQSRIPKYTNQSVLSTSTGGESTVSSEEGDNVSPSPPSSPSIAPTASTNPPKLFVSRIPPPSASITKMDESTLSASRIPKVNTRKCAMSTSTFGSSNDQRVTTQL >PPA14340 pep:known supercontig:P_pacificus-5.0:Ppa_Contig276:2500:4147:-1 gene:PPA14340 transcript:PPA14340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:H3EWY5] MLGTAVCRVASRCCQIAARNLSVRPTTVLPRLTTASSFKVQTRLSSVEVFGPFDPPKQLTFKEVEERVLKAIRSWDRFPADKATLLKLEAIFSEMGFDSLDHVEIMMAIEDEFAFEIPLTDAEKLKTPKDIFKFICEREDVSSLCCRISDWSCVDVSSEDVTDHLTKM >PPA14347 pep:known supercontig:P_pacificus-5.0:Ppa_Contig276:46047:47555:1 gene:PPA14347 transcript:PPA14347 gene_biotype:protein_coding transcript_biotype:protein_coding MELEALKSNAISNLNSLMKNEPNISTGLAAIKTLLKTLEISKATTAIELDAELDAVVSAMESTDHSSTSIRSASNLFRKFISLAPADLIDQPDFVNILNFYNNRGRIFIERVARSRDLIGKFSRPFFAHSTRLLTHSYSKVVLSVLRKAQESGVDLEVFVTESQPNASGKKMHEELTKMGINSTLVLDSAVGYLMERMDAVIVGAEGVMETGGIINKIGTLSIAICAKMMNKPVYVMAESIKFVKEYPLNQSDIPEEFKYRTSVRAGRKDLSSEHPEVDYTPPSFITLLFTDLGILTPAAVGEELIKLYT >PPA14355 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2760:40:845:-1 gene:PPA14355 transcript:PPA14355 gene_biotype:protein_coding transcript_biotype:protein_coding IIVSDNGTRFTSELFREMCAAYGIKHMTIAPYHPQSNGQAERFVDTLKRSLKKIYGEAPNQQIIRQFLMAYRRTPNPNVPDGKSPSEVFMGRAIRSKIDLIRPQILSDKIDERMKVQFDKRNGTKDRWFSVGDEVYYHAPDGPNRFQWIPAVIIGKKGKVMYEIEVKQKKQRAHANQLRKKASGAGQTALKGTSIPLDLLMDTFDLNRNVPVVDHREEFRVELEEFPNEELGDSDDQSINSGYSTARSAVSSTPSSPILQRDQQPMLP >PPA14363 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig277:34732:35634:-1 gene:PPA14363 transcript:PPA14363 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSRKLFSDNKEFLEFLTEKTGMRVNMEDAYLINDALYIEKIYNMTLPEWFTPDVASKLFNLTRDANMYLYGIGKPYSPELIKLRGGSMLKSINEIFRHKLTCYMRSNQGENCNWIGPLKYYAYSAHDTTVAALLSTFGDERRVIRGGLPHYTASVAIELWNLSDIGPAVKILFHDLHCEIRSI >PPA14361 pep:known supercontig:P_pacificus-5.0:Ppa_Contig277:26177:28554:1 gene:PPA14361 transcript:PPA14361 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNKQNKKKNNNNKKKEPKEKRLDPSLADEEEEDDCDGSIRSDAATLPSSDDTIAAANAGNDGDVSIEGMNLNENDGLRFHKRKRVAWTDIRQWWDGECAPFVRILNDLIYDMNRTGSEGVITAFEILHGEEEQAHQAMKDNLAETARAYGVQPLPDKKLLKRPDENEFPEKEYTKEYVQDFIMKWKLTQESYLPSGKFNNLSVGRWGSLLEAQRYTHKYNARMKDTMCVDSDNATGQPGQVVSQQSPGPSPSPSGLPPLPPMNRPRNMDEYIRVNLFSPDGLTTIVSLKWSTRMIKLKEKHSQRLGRNPKTLVYRYKGKNLRDSDTVKSLGMVDNDIIHVIEAPPTRRH >PPA14362 pep:known supercontig:P_pacificus-5.0:Ppa_Contig277:31446:34533:1 gene:PPA14362 transcript:PPA14362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mboa-6 MGVVGALADAINTREDGLRLLLTILAGYPLALIHRSFFVKQSPTVQHIFFVIAGVSLYFFNCGTAIYHSLLSTLFAYLITNFIGGKTESIIAAHVAFLGHMLVGYWFAESDQYDITWTTPFCIMVIRYIGLVMDVYDGHNYESAKPDQKLTGIRDKPSLLEIAAFGYFFAGTFVGPQFTLQRFRSFVNGEFLDEKKEVRASGMMPSLGRFVAGVAYMVIHQWGAVWIPAPDYFHSQEYLNLSFLWRWTWVAIWFRLTMYRYCAAWLITEGAAILAGISYNGKDENGEDKWDGTRDLHIGKWELGMDYTSVVESFNVGTNTFAKKYETRKYFLSINNHLFRRMRWMNSKMGAQFATLMYLAIWHGYHLGYFLLFIFEMACMLAQEQFYTIIKRLPSLRSLLDQPWTHPFKILFGRIVMTYSMGFAFLTFGLIKKEIWWGPVKSLYFVGFIIYFLVWPILFQVLIRVLPREKKAKVEGENTSKSATPSEKKKELFTWSRFEQLL >PPA14365 pep:known supercontig:P_pacificus-5.0:Ppa_Contig277:39400:41545:1 gene:PPA14365 transcript:PPA14365 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLYKDLVSWTQSDSLCTDVELKAKSESTVMKDDIELLVQQMLGEDGYPDVILINSMIWDLTRYFKVSTDTAYSDNVYQKRIEIECMQKYLDRTSMLLRRFRAILPPHTMVIWVCFPHCRPSIPRGNGRGGGMQFQPLAHERNHFIRSVMVDGNFRVSQVVRNAGYDVLDIGFYMRNHAFYHYQKDDGMHWLPAGVRLMSQLVVQFLAKSWGIDTSGYLSSQRSAVEELSQYGRTLSPFVQPVRRCLKRVPQDRFNPNPRQSVVAQIRMAPRIDREMAHEVDDLVSYSSIEMILTLLSSRRNFWL >PPA14357 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig277:4328:5367:1 gene:PPA14357 transcript:PPA14357 gene_biotype:protein_coding transcript_biotype:protein_coding MQFYDSINEASSIVQSYPIINLLSMARLFLLILTSSLLSTAFPFLGGGLFGSGNGDISSSLGLQARPNFQFAADVGTGAAGAIDAATQAFVNGLLGIGGSVIDGAAGLGKAGLSGGNPSSLTLHSIQSMPSAAAGVGGALGGAAAGAASAAGKGVASLGGSLGSSLDFGLSGNGNSQIEAALAKYLAAAASAGASASGSVGVNGGATLSPAIRTAIQDAISGAFSDALRGSGSVSLGPFGSIRAGAGIKKAVNGTVGGAAEIGF >PPA14358 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig277:5715:12212:-1 gene:PPA14358 transcript:PPA14358 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMRRRVSSQGKEENSECLKVVQKSPVPRKRKSSISLEQPSNKIQKVGEKTPSRIASKRKEVVKEKSLAKNKSLKKKSVNDEVKIRDGEMDNALPLREQFLNTTDTWERKEKEKYLKKSRDSLHEAMSKLRSSQLIHLKEEKKKEDIQRKNAGTRAEEKEKDKTLKEHRRNRDVKARKVEAYNKIRTANNTALLHEASRLKDDNIRLKRIISSVVEGSSSRKRTSAVLKPSQDRIKSDNEDSFDNSIDFEETAKRARLSKSAVNSPLKKRSPKKQIDDSPVYDENVDGFPSLADLPLSFSSSRHQHLFVISVDSSDGTTTLHCRNASDDKENAQLSVVYLQGMWHSCEVRDGSTIRVIGAKKWSDTAVLNERLKGGGNAVSKAMLMGIIIHELFQAALLTPPSFHLTKEWLFSRWRDVIMDEVIFSMTACNLTTESFEGELEPYVEVIIDWVNSYMPKKNGRERKRMEGGAIIREVHDIEENIWMPALGLKGKIDVDATIHHSPFSHSTQHWLLPLELKTGKSSASADHAGQVLMYTMMLAHRYSLPIHEGTLLYLKDGTTRRVQPRGIISRRNDVATFIGSGSRYDKLPVPRSDTHFCKKCDYAAACTLYGKAFERREGKEEEEKSPSDSLPSDEAIAFQLQMTEHLSEKHLDYFRKESLSPMTSMANLRVTKCVETGIESGKWNVTLKSNRLRSLLIDLETPSTIKLKSGDVSTVKGVVKGLISGQVAAVVKSLCASDYALIEGLPGSG >PPA14366 pep:known supercontig:P_pacificus-5.0:Ppa_Contig277:41850:42822:-1 gene:PPA14366 transcript:PPA14366 gene_biotype:protein_coding transcript_biotype:protein_coding MVICLQEMEVISGKKGESYFPLKQGETAVYGCMTITNKQDEDGAKTSGYICMMIEVLPEDTPSPITIKIIQTSEWPDSGTSVRERRIAISIFKAMEAEQKGTIVVHCSAGIGRTGTFILLSSMVAKLRKAMKVNLPGMLLELRGQRASSVQTVEQYSNIYLLLIEFLLCTYESHFSTIGNQLINDYVAHRKIKDAQT >PPA14359 pep:known supercontig:P_pacificus-5.0:Ppa_Contig277:12920:15837:-1 gene:PPA14359 transcript:PPA14359 gene_biotype:protein_coding transcript_biotype:protein_coding MRELTIYSFTPTKDQSKKSKRKIDSREKKVDTKETDTLTKSVPKESSKKKKKKGTASMSKESKEGKSERKSKTKNSKEDEKEKRSETKSSALNVPVVKSDSIPQSREGTIGSLLTPVKKGEIGKKKLEQMLADMKEPSKRETRAPPYLQKIASIETGAILDLKCENFFHFFLMEHEVWEKVRNPGEFLVRQLPMDEKEKDDDKDEYVICVMGKDRPLNIPINFSSARNFCYINGLSFDTPAGLVQYHYRRHIALDENGTRLLTPIMKDSIFINHAQIAFTNKNMIGSNVPLATIRTGLFSSISVAVSITRLARSCNHENILKFHGIAMSQAPAYILTEHCTQGTLGYIVRKPVGPDVGKRESYAYGIAKGLKYLEHRFVSDEVILHRNIAIDNIYVDGKNQVKIAEFANAVFGAKCKDRLSHVCPRHLAPEAHMQQHFSFKSDLWAFGVMFHNGSKPYDELADEQIYNEVCTKGVRLKCISDKFPNKLWKICEQCWHNIPDERPTIGAILEEMETEQRQSSGLLERIYESIALLME >PPA14356 pep:known supercontig:P_pacificus-5.0:Ppa_Contig277:1804:3069:-1 gene:PPA14356 transcript:PPA14356 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dna-2 MNRVVSSVASSLFYDGRLSCANEKVAEACLNSAHERYEGRSEESKEVFSSSLTVALDGSIDNSAIFIDVPSGNEEGKDEMDDSPLSTTVSISTGGIVNEREVEIVKKLVEEFTKRGLPTSSIGVAAVYNKQVDLLRRSIPTGIEVNTVDKWQGRDAERSELLSDEKRINVALTRAKYKMIFIGCVRSMREKCPIVASVIDRGADDDGATHFFIWTSRWLQTA >PPA14364 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig277:37664:38647:-1 gene:PPA14364 transcript:PPA14364 gene_biotype:protein_coding transcript_biotype:protein_coding MGVARIQEAINVAPLIDHIVKSEDLLKCLQIESGSNHIGTTRMLLSQNAITTINTVSLNNDIALKNANFAILVDINKERCDRETELMASATPCYVRDGHRPAIARIRVCPQLDRWMEFLQSQTASDVFRHELLHALGWGFCSHPFQLLAIGGNRNRARR >PPA14367 pep:known supercontig:P_pacificus-5.0:Ppa_Contig277:44445:44906:-1 gene:PPA14367 transcript:PPA14367 gene_biotype:protein_coding transcript_biotype:protein_coding AVPKPGYSANQTAHFERVHDKDANLEFARDGDVFAPPAMIPGRELVRRLEEKRRGREGGREEEDRHPQDRDDNDEYVADKPNAAEEDYKEQEHG >PPA14360 pep:known supercontig:P_pacificus-5.0:Ppa_Contig277:19105:25454:-1 gene:PPA14360 transcript:PPA14360 gene_biotype:protein_coding transcript_biotype:protein_coding MYNTIGSEKKGKVTSIRISEGTVHEKATIIKLNRQLASLRSQLDSARISIEERSRNRENQSDVEEEQKRVERTRVELLNEELQQIRGENNVLKSANERLVRQSLEAEMDTEAASEVLDLKQSLAKVEEQLREAERSSRDTEKRLKEELKKLKSERNSLEKQLRHTREIKTSETSNIVISQPVDPKRREKESDEEEEEDERRDRKRRKSKKDEKHTDELFKKLFSDVSGLIKSSQLSSVSREDEFSAAQLSQSKWQQLYSELYDEVEKLRNMLLIQHDITQNQSAEITLLKEERDRMIVECEKNIEEWKEKAAERQKKVILLEQQIRDIAYSGQKEIPIEVPKDKIGSPPSSSELSVKIKTVRLLSDDHGREPTQQFFLSLEFFDFELQTTPMSSSSPAHLDFTSIYDVIVSQLFVHYLQTSGMTIELYRPSGSGYALIGAGALSLSRLFSRTSNRRLAGELRLLDIASGRPIASIEYEATVTRELGEAIAAYRRQEAAQKRLPIEIPPIPSSPLCIIYELAGFSPFFTKFIAARGGSTNFSSSRTWHLPATSQFNSFLAETEITFYLMETTDESTKGKKKKQKGEDGVLAMLSLPLHPLTEGKRIVGTYRMATPDGAELPLTTLDVALAWEKEYSCGERKEKKVEIQSSQPIPHHVPPPIIPPPSVTQLQPPPLPSPSTSSTPDTSSAPTSIRSKEQEPILPSAPPLESPKEEGPTPSIQSLSSSSQDTIIPERPPPPVPDRFTVVEIHEEPGPKHKPPSPENIEERIEEETASGEYEKSLSPPKSPSIQEDRPETPLPDSPHSTPRAQEEIEKKEEEEEKPIVPTRPAPLPPLRTSLGDLPPFSTLPPIAKPRLSLTGRERISVEEYSFDDAKEDGFHKATSIRFGEPMHTSVPPSEDSSLASSPPGFRRHRPQKSVPRLDAVAGKGLLRERRLAEDILEEGSEEGIVIISIGAFYAAPGSRLLSKDIQDSGVSIDWVLLDLPHTECVSSPFKPPSTSTLSVNIGFRKEYHLSGKRLALLRQWMKLGVRIEFTINSNAGDDSEEIGAAQFELILHEQRSEALLRFAYKDEVADVADVEVTIEHNLPFDGEDEEDEEEYEDEEEDIDVDIGRILSSPFSTEFAVCSISLCRSSIEFRFEK >PPA14369 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig278:12297:14034:-1 gene:PPA14369 transcript:PPA14369 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGDCVTEHVYVKITTGMQKEFLKEAFGVILEDVFEPVHTRVLHCADDSIEKHVLSSADCDRGLRFHYCAMTLHKPTGDLDWARTGRWRLLLSSSPIFILPCLAMNETSLTVKMRSTLHVSPRTNGNSEEYEEYDSVPMNN >PPA14370 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig278:14302:15179:-1 gene:PPA14370 transcript:PPA14370 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKVLPKIMESSKNEAKTNLMDLPNEVLVKIFKCVDITSRFRMRLNKRLDQIQLSVSQEMIELGASISAERIQIGMYSNGSDLPHNPPGMNARERKRNSLRNVRREHKCKRVEDVSGCQSHHMSTSQLRDY >PPA14372 pep:known supercontig:P_pacificus-5.0:Ppa_Contig278:21715:24262:-1 gene:PPA14372 transcript:PPA14372 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQIASSELFHIKQRLHVHNLTGIHNREKVAFVLTIFNFFINPLLIYFSTWGASVRIGVAEEGIKREHEFTMMKWNKTRPDEYYKSYGLKCLIGGGLGIVYCVFQVVLLCFSFNVRKEIRTEQQREMYNAMGYPPVRQENTRPEPVPSSSARPSKASETE >PPA14371 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig278:16445:17694:1 gene:PPA14371 transcript:PPA14371 gene_biotype:protein_coding transcript_biotype:protein_coding MVSETYEVADPSIEVCDYADWKESGTESDKRFRRNITGVWILSLTRELTYPEVKAVISDDLKFFFV >PPA14368 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig278:1390:9239:1 gene:PPA14368 transcript:PPA14368 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTINIGGVEDHLPDEHAQYEEVFTAAGDVMNHALDVLNDPDFEEKKGGWKPDCSSDDVTIHYKDNSSGRYFAGRCKIKVSAKDMNTEFWDHLDRNHEWNDNIKQARRYTSNDVFIIKSREVVAARAMREINGAYILACRSVSLPKEIPELKGSVRAHLHISVSRVRPDPEYPEYRNPPSSPKDPAHSCIYDYVICTDLKGMMFRSAVNQRLKALHDHAPTNTRKFLTFKKDDEFELVDAMKHDGWWLAKHLVTKKNGLVPSSFVARECDIELLEWCNLDCSRADAEKELSDNKFAPGFFIVRPSSTNRNYWQALSLSIKEATTARRLSHENIVRIVGVCKTGGLDFYIVTEFLPGGDLKDYLEKYAKETAQIASAMAHLEINKIVYRDLAARNVLVGQSLDIIKLVDFGLARSLDDKTYYRSQRKEFPLKWTAPEAWVFYGPDGRILQEGLSTSASDVWSFAVVLWEVYSMGLPPYGNREKLSSQRISEGKQIYKLMCRCWSREASERPTFAAIVLLLEDYTNLEIGAE >PPA14373 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2782:392:1519:1 gene:PPA14373 transcript:PPA14373 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKTDSLIGSYFDKQERREKKMEKEKEKEKEKEVEVTTEPPMNDIDGDILRFHSMRGVAAKLSDDRRCAIRDESINRCSMAVVFTNRPIRKGEKVTLKVVEVSPIWKGRIRVGLTTKDPIQYTSRNEVPRCFNSSNENGHNSYMYDSGCLNAVEKGSELEVELTERGDELMYSFNGKEMVSREDGEGEGVRVWLTELKGLMGKGIKNDRPMWLVVDVYGFVRSIEIIDNVPPPLEENEEDFPSDQVNPLNHMRGEDKSIVGSG >PPA14384 pep:known supercontig:P_pacificus-5.0:Ppa_Contig279:60022:68493:-1 gene:PPA14384 transcript:PPA14384 gene_biotype:protein_coding transcript_biotype:protein_coding VESEGEACGDDDVDDEEEEEELEDDDEADANEEDAELLDGLMDTLAAFDEEPSAKIGSAEATAAGGKRAKKQRTKHTPKSKKGKTKPAERATSAKKPFMRTTWHSMHSGVVDASSESCTKEAEAVSVTTAAPQTTTGKLTSPTVVASSTESPASIPITQPTPQKAVATPVSRHTTPKNNVQPTTDSASTMQNPARTKMRTAESRSSMLQRYIRSANPTVKADEPGTPHCAPTPTQPTTSAPVAEQSGQAVVKAETTSPARPIRPPAPTQQQNINCARSMPAPAQTKSPVSATLPPAQLRKGPPCQTPQVAPPAPAQQTTPVIAQPSPITHNASTRPVKAESVEPTTLSVSTANQQAAQVQPTTSSTRSIPDSVPIHKSIPAAGSNQPTINHVRPVKTEPVEPTGQAQPSRPICSFSRVPQAQVPVARIATAQPPAKIQSVQNGVPRVSAQVSSKPIQPTMTRQPTNLPVVKQTAQPMTAKTTEVKQEPIDPITAPAQPTMPVPKAQKTAQTTLPAVATPSTPAQMPVAQDKKTIQHPVATSKPPAQNATVKAAPSNKLTPSYNATLLPKPTATVGAGTATIVNKATVQRAPLATTTRPNIAITKQPVPNVTPINKPPAVKIEPVEQTTPSAPTQANASVPVVVRTPTQPMPTAPKPTQTAAPVQAKAAVPSVMKPTQPTITATKPSHAAPAQTKTPVPTGKQSARAVVKAEPAEQETKASGGSARSAAESSNAAAQQITQTPSDQQVQPNTTATDAVKTSLAPSSKAPTNTKAERKNNKKNAQADASKSDQLRKRKHDVRLPEKALDSRDAKPSTPVAERKIDTTFVLPPVSSTISKKIRITVPAYTPQPITIRPSKDLPLPGCPIVREIRSKPNTLRIVCHPVDTIDFSRCGVIAGDIFFTPDASWNEKTARLSLFYCIWSRNSSDWSYSPFATIEVEKGKKGKTGFVVKTGNKVYLCESDGGGAPRITQHLVKERVSLVAVLAAANGSLRRSEEVKVDFRKAFLLNSSSSTQINKEAKRRRLEESKAAATTSDFGLCDYRKDVGRIDN >PPA14379 pep:known supercontig:P_pacificus-5.0:Ppa_Contig279:27372:27993:-1 gene:PPA14379 transcript:PPA14379 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLTRMCKQQTACVSTVGVAIEVTFCSNIKVAINGKMESKSMNTAVDITIVNKETWRQIGKPDCKAADDLVISAHGDDWKLTIRVYTRISYCGNGAAGDVQVVAHDCRNILSKNFVMLLKLVKVQVPEASGRVVKASAPEANPDGKDHTEQKVIAQHDFSEETWREFKESQCATKNCTPTS >PPA14377 pep:known supercontig:P_pacificus-5.0:Ppa_Contig279:10436:11815:1 gene:PPA14377 transcript:PPA14377 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLFIIVALLGVASASSNCPWKWCKDGKREAESADAPKPPELPPWRGKKSYPEDPSGSTTFKPASENGPSFMAPFKKRETEEIAGAPKPAPPVGPPFMGKRETEEELEIPCSEQDPKLPGCRKFKRTAADSRNARLRRSKAPALPRSQSCRHGEANARMRSSRTRP >PPA14385 pep:known supercontig:P_pacificus-5.0:Ppa_Contig279:63403:64392:1 gene:PPA14385 transcript:PPA14385 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGCREQTCASCGYPAAKKCVYQWSIKAIRRSTTGTGRMRHLKKIQHRFKRTTGTGRMRHLKKIQHRFKNGFREGTVAVSQKKRTQSAASN >PPA14375 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig279:3861:4247:-1 gene:PPA14375 transcript:PPA14375 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLLFLLVSPASSLSAGKRLRAYVNKLDLMENPFEDEDESVTVSVCEMMPPSPHFPHSRTGQKDERACEMKRRFRALENREGDRFEFT >PPA14376 pep:known supercontig:P_pacificus-5.0:Ppa_Contig279:6156:7302:-1 gene:PPA14376 transcript:PPA14376 gene_biotype:protein_coding transcript_biotype:protein_coding MDELRDSLCFFSKRIFATTGATRWMFPEFTEISVAVDGRAVHDTIATVLAMNGLSSYPAEYDRKASRHFCPRRIILARLPRDAPSIPSITAGKARSEIKKWASSSVPLAGKRATVFQLNGSTWRRLAQQNT >PPA14381 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig279:32246:33575:-1 gene:PPA14381 transcript:PPA14381 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTVTLLFTIFSCALLVANVDSASLPVACFDPISASSAEGCLEMLRMAKRSPHSIAAAPEKKGYDYIRFGKRSGAVVDDSHSAEEFQPYQFVERPRMATRNFVFKPFLV >PPA14383 pep:known supercontig:P_pacificus-5.0:Ppa_Contig279:56255:59739:-1 gene:PPA14383 transcript:PPA14383 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPGRQPQQQQPQRRTRADRAKKARTSKERRRIMQTYHRKDDIPDCGPEVAEEHAEPLSAEDERFLDDLIDRRKRKIRRICVSPASSVESVVVTAIHMREGDDDNVSVCHRRLPGACPCGPTAAPVGMQHHDPTSHSMFGALAAPMRPTGGAADLQQAQHSDADSFSMFGDHRTGNYDSETLTRWSSSLFNWVYGLFSAVVGLGEHVVKTPFLLGLTLATIFLLMIAVQIEILISYFIEEFLNVAYPAFHGYLLLLENWFSGAAHTTAAFNDLSQVPAAPPTFMKSRILQATFCDTADRWCTQYGLLCEQRCSFSRLAAERYKL >PPA14382 pep:known supercontig:P_pacificus-5.0:Ppa_Contig279:38306:56075:-1 gene:PPA14382 transcript:PPA14382 gene_biotype:protein_coding transcript_biotype:protein_coding MMDEISDLSVKELRARLKKHSLPTTGKKAELIKRLSVMPLQAEEESTGNDEVMEEEDTEEVCKPVKTKKKKTSTAKKSKKAKAAEPLEEDEQEMMEDEGTVAAPSIDEEAEETTLSEKKRRAKKTTVPAKKEDMVVDKEDTVAREEEADVLNLSNATYDVVSPSKDDKEDVVVEPSEEAAEEVGNTDASSKSKKATPLRRPSECRRSIFKKLGVDPAKFTPSSGAAAGGSSAKKERLSVVAAAAAAATPLTARRGGSAKRQWLSIVAAAAAAATPLIARRDLTTTGKKRPSTVATPLTAKRDRKSIVARVEEDTVEMQTRPADFPAELWSDDDGDKEREGKEEKEEGEEPMEADHAPEASGRRSTGSSKAAKLPHRAPASASASGASASRSAGRMPPGARFAAAHARVFAAQESIGDSEAKKEARRAALLKTTAATQRLATPKQAAAAMRQPLREQTDKQQPGSGFKFGSTTVPKTFQFGHTAVDDIQRRPSGIPAPSSGLLAKKKVQGEGEKSSSGLLAKMKVQGGEEKKLDAKARALTRTPATKNPLLLPTIPDDSPFVDRMATPKHVMSSASRAHRGAGGYTPKTGRMGAFVDTTKLSDREYQIALEAGLLPGPSKAKKAASSTTMKQREKEAAEERRARARDDILNVKRKLNLA >PPA14378 pep:known supercontig:P_pacificus-5.0:Ppa_Contig279:13700:20097:1 gene:PPA14378 transcript:PPA14378 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLVLSDEFFDAEESSPKKIKCAQGSLHGWKPVEIETEKTLGCRMTLIYDEYLIVRMVYYDYHRMGVESNMAMDCDEDGFISVLCEEDMCNVKTADALTKGARDTVARNYYLQCLQHKSIQRGKFYVDDVLKGTIWTIVLIVLALLSLQIVRKYKQAQRQKTIDLIQAYDRKKSYKDKMLEKEKAQEKREKEREVASQQANNAGQEQQQKAYNKVTRPQRKVRAMKSSTKTAKGSLVDPGMIGGGDARALSRKLLCRLVVPCLACRGNGVGSVVQLPAAVSGLEGVHAPLKLSTSTPIFQPLSAPKNLPRRSDVETGGSMAAPPANAPGPVSGTIAMPIPSYARDEEPHYSPSMRIFGVPWRLVVARVPTSAVVLHLPPFPGHEYELRSRVYHSVQLQCAASAEFELWRVRVRLVMHSLRHGPYALRVHREVHHTFASWQQPCLQLTLHGEWIGPCADAIVYKPIPNSSVGAEFKISNSFGS >PPA14380 pep:known supercontig:P_pacificus-5.0:Ppa_Contig279:28709:31934:1 gene:PPA14380 transcript:PPA14380 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQLAATRERELTDEKFGSPRQPSIDSLRAYRSDVVLVANGHRFLANKQVLCNAALGFFRIFIERGAEKEERGKDVKGNKKQEKKKAEKELEEVEIDLQGVDPQDFKQVLRMIYGMPTEPITEKSVGRLLSIVDMFDIKFVRDRLENVLLSSSGVTLYAKQTLARVHELEMLKARLNAALWDVGFP >PPA14386 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2795:33:947:1 gene:PPA14386 transcript:PPA14386 gene_biotype:protein_coding transcript_biotype:protein_coding LRSLLWINSSILRSSSSILRSLLCYRWTCRSCPRCTDACSSRDCCSTSLRSPCCRCCTSIRSPSGCCRCSRFGSPSPSCCRRARTGPCCSSRSWTHCSPCRPRHGCPHDPPCIRCPHGCCCPCLRSRPRSRSSRPGRIRSGLRSFRISCLFHWIEQGKEIRV >PPA14451 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:407136:409025:-1 gene:PPA14451 transcript:PPA14451 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEKRSKKGDIRDKSVLRLKETPPSCPSPASRLEYLSDAELGSSISSAMARPASAMAAFSPQNFGPLISIREIKDQQFQNFGPPSKSASSALYDPYDPYASDTASSHDSRSMPRSGSATPIIDRDARLA >PPA14515 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:894215:896353:-1 gene:PPA14515 transcript:PPA14515 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLKDADKAEQKKRAKQKIKVAVDEKDSDVIVHGYIQKLGGPFTSAFQTKYGKLYPSRLELYPENLNGKPELVFMDQVEDIQQELQSTKGENCIVIKLKEGLKETRVILTNKDDISLKEWHTSLRTAHRISQELLQRMGRKAIKKLTKEY >PPA14547 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:1073272:1073579:-1 gene:PPA14547 transcript:PPA14547 gene_biotype:protein_coding transcript_biotype:protein_coding MCDDVKWSGPAPCFDAACPAGWTMMEPKYRNLGIKILSPTASLNCHGSRKELCCKQMKSV >PPA14536 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1003372:1006183:1 gene:PPA14536 transcript:PPA14536 gene_biotype:protein_coding transcript_biotype:protein_coding MPPADDKGTKSASLVSSLSSILSQQEKADDESRELLEGLIHLIEGSPDLRIVVGLIKSASVLTLDGNDNVISPPPTPSIHADSAPPPLVIPPPPLPLPAAAGPAAAAAAAAAPPPPPPPPPPALHQASAPAPPPPPPPPAALAPPPPPPLLKTAKEVVELPSAMIPKATPSEGTKMRALQWAKIPVAKIASTSVSCTNNIWMRATCSKNDVSVDYDALESLFRIPEAASSTGTMTEAGKEERKSSVAFPCPNEIARLPCTAFRKQASSGAASSIAV >PPA14569 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1199298:1206175:-1 gene:PPA14569 transcript:PPA14569 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATERMAEVLVAMQQMMAAQQAELKALRDQQAQSATSSGDDSTKSRGPSVDSLEKQIRLFNYNADEGWTYEAWWTRHEGLFNSVNVDDKEKNLMLLRHVDDSVDRQFRDHIRPKKLEEMSFSEVQVVMTKLFGDKKTIFEKRLEMFNLKMSKVHIDDLREFATRVNRVVEEADVTQLTPDKIKTMIFLAGVDLPRHTGAMFHIINGMKKEENPNLEKILEIADTFKEAQHDSQTVTALNRSQVNAIERKFKKSNERQIRKSSKTEKGKKKAKINMIFGEITTNESKFDVRMKMDGCEVTMGVDTGSDLTFISEKTWKRIGSPSMSEADAYAVCANGSSMDLEGKCMVTLGMNGITVHGSVYVTEKQTNLLGKDLIPFFFSLVPNKKQGANLNAVKADTGYAEMVKRDYPEICREGLGLCTKMKASLSHKSDSKPVFCKRREIPLALLTKVDDEIDRLLKLEAIEPVDYTDWAAPILVVPKANGKPRVCVDFSTGLNDRLEAHNHPLPLVSEIMTKLEGCTVFTQIDLSDAYLQIPVDDSSKKLLGISTHRGIFRYRCKNASAYLDDIVIGGVTRGHHDENLKDVLDRLQEYGFRIRPEKCSFGKEKIRYLGFVMDKNGRRPDPEKVRAVREMPEPQDESSLRSFLGMANYYSEYIQDMYKLRVPLDKLLKKEVNWMWSAECAQAFKEIKSILSSDLNLVHFDPSKEVVLATDSSEKGIGAVLAHRINGKLRPIAHASRTLKDAETRYSQIEKEGLGIIFGVLKFHHYLYGRRFVLQTDHKPLLAIFGSKTGVKIHTAKRLYHWSTLLLAYSFDMEYVNTESFGYADALSRLISASGSDVEEDEDILGLKNVEKAVCKAVRNCASKMPVTVKDLQDATDQDSVLQKVKEYHMSRWPDLKNIVKGCLFLADKIIVPQSLQKKVLEMLHIGHPGIVRMKALARQTCYWYGMDTQIEQMVIECDQCAAASKQPVKIPLEPWPKSTEPWERIHVDYAGPVDGQYFLVIVDSYSKWPEVIMTSSMTADNGTQFASEAFNKFLIERGIKHLYSPPYHPQSNGQAERFVDSLKRSLLKQKGERSIAEALQVFLFTYRKTPNAQCNGSSPAEVFIGRRLRSELQEQFDRKNGVRPRKFKIGDVVLYRMHVVPNSYKWTKGVITAKIGKVMYEVQLEHRVIRSHANQLILRESSRDDDLEVMEDSEDIFETMNLELIKSTIKLPEEYPGDFGMPYLSDQSTVPNSPMGSIKEPDPEPVNEPGEESDAEPTPVIVPTRKSTRTRKAPSRLDD >PPA14533 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:979369:980686:1 gene:PPA14533 transcript:PPA14533 gene_biotype:protein_coding transcript_biotype:protein_coding MWARNAEFMGKVCALAPPAADWVARVQHAMDICVYYGRFLDAKRLLDRFVPTAKDADDILSRLSQQQQDFEDAVEKSKEDVRAWLAKRTDRNELKQPPVCITNPKVQLDDRIQGDEVKNVEGSGRTRNNKTGGSATDREPATPESPASPAPAESPAP >PPA14420 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:209437:211134:-1 gene:PPA14420 transcript:PPA14420 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDKWKEGQVEQCSLVQRINGYPWRLRLFQYDRERRFEVICDKSTEAELWWCIATIKSSTHESYMRVHFMSWYKGSQSKRFYYRRITEGMRLEVDIVTEFDGATMRTRPILDPFKQRNGIIVTDGVNIHVDKKSLASQSPFFDRLFFGSFKEKKMRKVPLGDVQAELIYRLDGASLSDENVHRVLELVDRYDLKLFISFCSQIVEDRVVSFLLSEYSLISIHKKLLMSEQYNISFLKEQIISRYSVRLLGELSKSTVWDQLSKETIKAIAKRYCCDDDPYSATSEPDC >PPA14484 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:721931:722466:-1 gene:PPA14484 transcript:PPA14484 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYSLHNQPTEGRVHHHSSSRPNYNRASVEEVEDRRISSASNGFDDIRCDHCVQRTNSCEKCYRTALLKREKAQIQAQLERNAQKAKRDNNSSPFRDSDRNRRKRKY >PPA14486 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:728691:734889:1 gene:PPA14486 transcript:PPA14486 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNGQRSAYYIDNDPRYVARRGALSMYVSLPPQRPDERAPSQSQNQEPTRAQPEPARAPAAQPVPERAPAPAVVAERPPTKEEAARMQSWAKKLHGLSCKKLSKEFEKENKRYLPPGLTTVACKTGRNLYKWRNAEVLCIDQTRVVLKKRGSDVDFIHANWMGSPAPGAVKYICTQAPFKETQEDFWHMCYTERASLVLMLCDFTEGSSGYVETEKCFRYFPQRPNETMKVGAYTVTARERLAQPVVADAVFRAIEIKWFQGPAPCRAAALLVSRVAGELRTGRDGPSDAVVEMGENASRRPPGRRALLNWYCSGRAATFCTIDYAIHRIAGDKHTKMIDVVKDLRRQRHAAIRSDVQFLYLHLLVLDAMVMEKAAKPYSASKFVAEYKKYTQNHTARCNYRKNGLGIESYLVHSSGAAKACGGELMVPVRRRKREEEGGSGRGE >PPA14443 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:365985:369873:1 gene:PPA14443 transcript:PPA14443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ztf-18 MDEMVEVVEEEVIDDDDAIYEYYDEDVRCYDGNGEYEEYVIEDDQATQRQPPPTDTVIVKVNPDTVDPMKRKFRVAPADITDGLFAAFCRKVMSPQHELASRQLNDKLDSIYHSGDWAVAYNLPDRFLGDLDLAMIHLPTSKYHVKKADRDRLLGAVMAKHQNARNPIGIALQEIQKTYIGLPPIGQLRNLLSLELRKIFPLASDSVNPMPTYRKLAKRATGWKAGYRPKKMRTQEGEGGEDVGEKGNEADELRSFGDNF >PPA14544 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:1043252:1048624:-1 gene:PPA14544 transcript:PPA14544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3EXI8] MDNLLSTPEPAGGIPIAIPRESAGGPSKRSAKSAKECKSPSTKPSEKGLDSNECRKVSQSQSQKQSEKPTERATDTAAGPPVPYKPTWRNDLCGLDAIPGAVKWEGPQRIKTCQLDEPTKAKFVKLLGRLLGEETSPLEPNDLRMVIRAVIPILKSEPMLIEDVPFDVTIVGDIHGQFHDLVRLFKGDAKDGKEGWECMKYVFLGDYVDRGRQSIEVMTMLFILKCLHPDRIFLLRGNHEFYKVNKSYGFLMEFHDRYTNKRTVKGLFLLFNEAFCWMSLAAIVGNIYFCSHGGVSASAFTRRHMRGLYKPVYLSNEDSLIQDITWSDPAGGLRGSTFNKDRGSSLFFGFDELCKALASMNVVAIFRGHTFLDAGFANSWGVCFTVFTATNYQGRPTNGAVAYVDPTGKVHIQIMESDPVRELKGMSIVHFDSTLWEDRVADEEGTPKESVEAKQADGVVGGTGQLTCM >PPA14550 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1086255:1092604:1 gene:PPA14550 transcript:PPA14550 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYALGIDIGTTSVKVAAKTKDDLIERSRQHNAAEGLGIQNVAVILAVCREVIDEVVEALPGKNLKLRTVAVCSQMHGVCTWNGQEVKEKGDRAATSLLYTWEYTGRNEEQMEQWKAAGLDANPGYGCVTLAHLEQQGKIDERHDRAGTVGDLLVAILCGDTLSSHSMSEQMASSFGLVEERRWVGPAVDPGRWQSLLPSIVSSECKAGETKLFGHAKKPATVYVALGDLQATMYPLLTDDHRVALNLGTSSQIAFRQRGADEYEQAMLARSTTILYPFFGNSKLIASASMNGGNMVQSKIENRLGKPSNAAIASLLQAADAFCELYPTDALATEATGLLHQERGMDKPEPLVVRRTAATNKRPIADEEAIVAVCRRVILNQLDLCPLAPHHEKLLLVGSAACARYAVPLKRALEQKAEARGAAIEIVKPDGSTSAAAGAAAFAWAEGGL >PPA14453 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:435702:442645:1 gene:PPA14453 transcript:PPA14453 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hex-3 MVLRLCGHRFRFGFGRRSVCTDMCYLVVCACLFFIGTIGFTNTFSDAKAIGMDVQGMPEKVFPQPPIQGEERSAEELRRPAEEKAINLVEAPRPLPVVQDDRDDERRDEERREQQQLQQGRQQPDRVLKPPKTPVGRDAEVVMPRMVQGQPLGRRKEIDGQPYPKLAANGNFVPMRRIVHLDLKGAPYKPEIFPSVFMLLSRLGATGVLIEWEDMFPYEGRLKSAVNGNAYTMKEVESILSSAAQHRLAIVPLVQTFAHLEWILKVEEFAHLRDDPALPQVICVGKPEAWEVLKDMIDQVGRVHAKFGLPFYHIGADEIFNMGTCNETASLIASMMHSKDRVTLFHIGRTAQHVKDTFNRRNKIFIVRQKHADLTTVLAWHDMFAHAMPVDLEKFKLPALLEPVLWSYAEDLDVYLSPSTWEALRPFPRVWGSSAWKGADGPHRFHSNPMHYGLIMSGWSRYDHLAILAETLPVGIPSLAMSMETMMEARPMNGETRS >PPA14463 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:577330:578705:-1 gene:PPA14463 transcript:PPA14463 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKDCPEESLYSVVAHGNALYLNIRYYRWGRLGRGRWGRIDKVVITNTKGVVITHLRDHLELVGDSEQESLIYIKRDCVAIVRPYS >PPA14481 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:676958:688634:-1 gene:PPA14481 transcript:PPA14481 gene_biotype:protein_coding transcript_biotype:protein_coding MTKYGLSRNQLPLLLEWALRHRSAMGCTLAARIIARWSLPSTRSDLLLFIINARPPPNESEGRRRRGVGKGGGMMEGGKGEGKERFVRSLTRLFVALSYEELLTYQDLHEVYTSNKEDFDGRASQGLYRNLAPSTVPSHTVHCRYSLPDLLASLPLIGEAEKDGDRTRKKEYWKRIVALLNGMFCHSAHSWHDPMSAEQEAAARVIVEKVRSLSHREAHELATRAGNAILAEWHEAKGEDFRIFLPSRSALLLVCQLMDATVDSSLLPRFLLQCLPYLLGVSRLTPQLAVYASTAALFLTARWMSVDVVESVLAAARMLPIAPHPTRDGRLEDTEEENGGARAHSLPGALADEAAGRDKLRHFQLFELELPQGCCPMQHACDVSDARDPVAVEKEATAMLQTQLEKKEKWDERRLTTVADLAGDVGAQVDTQTLRDWWRVRLVDEITSGPKKTRKSMLRLLSLSAYRGVIAVEEEVERAREGLRKDDPSIVSASLAILDTLLHQIGARRNYSWKG >PPA14456 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:486044:489906:1 gene:PPA14456 transcript:PPA14456 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNVEMKLLKGKRLWSLQTKQGAEMAAYSKVQLKEYKYNKEMARTLKEFKYDKEIPRTNMKEHGMSKGEVESTMREVKVTLAVNRQSAERAFEMRQRKEAEDELVAYKKACTGRVHTMEERHDEEMRLARLLVRRVKSWVSVVPGDWAMQASAFTRVLGHATCDTSDFGCKSVATFVVILFSLGEYALKGAVYQTNV >PPA14405 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:116068:120761:-1 gene:PPA14405 transcript:PPA14405 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cnt-2 MPSLHSIIRVGYWSPMFDGIIGQPASGKTALVHRYLTQVYQAEESPEGGRFKKEVVLEGHSHLLLIRDEGNAPPDAQFTLWADAILFVFAVDSWETFDGLQRLYARMCDFRSLQEMPVILVGTKDTVSESSPRVVTEEQGKRLANKFGRCAYYETHAGHGLNVEKVFKEGE >PPA14513 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:886106:888235:1 gene:PPA14513 transcript:PPA14513 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vem-1 MNLAEILELSTTDYILLALLAIMVYRWFKRRNEPEIEMPKPVVVPPLPRQDMTLSELRKYDGVHDEHILFGLNGTIYDVSRGKSFYGPGGPYGTLAGRDATRALGTMDAKAVRDEWDDCTDLKLDECNLSPMSASRLTSGSRPSKFQYPTGGRLVKDASEKKTYAEGEMSKVQDWVLPSKEEKKAN >PPA14477 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:639078:644626:-1 gene:PPA14477 transcript:PPA14477 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVGGSYTDALTCAQKKELREIAAKIVADGKGILAADESTGTIGKRLSAISLENNEQNRQKYRQLLFTTPNLNQHISGVILYEETFHQSTDSGKKFVDLLNEQGIVPGIKLDLGVVPLAGTIGEGTTQGLDGLAAKAAAFKKGGCGFAKWRCVLTIADHTPSHLGMLENANVLARYASICQQNGLVPIVEPEVLCDGDHDLKRAQKNGSEEKGEGKPDNINNRKRFKHL >PPA14479 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:652251:653216:-1 gene:PPA14479 transcript:PPA14479 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFSQPYQILTYLMDIDSLFTKWRYNHVILVQRMLGAKQGTGGSSGYMYLRSTVSDKYKVFLDLFNLSTWLIPRDYIPTLSPRMVKTLSEHTNLSGMSTDSDI >PPA14557 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1118570:1120845:1 gene:PPA14557 transcript:PPA14557 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFNSPVSNRSFGYPYPNEMVFPRFGRPAHVIPNGCAWYSKLSDKTSCYAPACPDNKVEIFKGKTGQKTHYITSWLSRCPRQDGVNIPATFCCEPTVFRKEFTVSTYKKLIGFNEATEDCQWLGRIRMCGEARNNACPARKWRNEVARASEVYTLDARNETEQSPQFGEKCVRGNRVLCCKDTAFSLQQTIDKFLKSDIVYLFPVLLPKKHRYAGMNVKLIFSPGATPPPPKTNGTKSTTKNKASTTLAPVITDDKKEDEKPVEGTVDNNPEPEVLEKEPTDHDNSEKETSDSEKDKKNTDLKPEGNEKTNPETQDKEKTAPENPEKEKTAPENPEKEKTAPENPEKEKTDTENEKDKTGKNPEEKKGPENEKPPKEKPVDNPDSETPVDNPEGAVPKESAAAST >PPA14448 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:388692:392369:1 gene:PPA14448 transcript:PPA14448 gene_biotype:protein_coding transcript_biotype:protein_coding MDCICQVRNMYYVEGKGAGDGRKGRGAAVGGGRNEEKRYLRGCVVQEDSAHPEFFYRVTFDPHLAMQGEEWPTVEHDNRGEMSTAWFSPWDLAPSSFDMQCRRTTDPPKEVSDAYCKCGVAHCDMIVDEECVKGRGASCCAKHDEGPCTAHKSVRRRCGKRRKEEVRNHFQTSRDG >PPA14457 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:536173:537921:1 gene:PPA14457 transcript:PPA14457 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRERTLDISRGRRRGFAVVRASAVRVRAAVAHAQPQLRIWSEFSLICMGSAIEVAVKLESYRGLYVSAPGKIILFGEHAVVYGRTAIAGSIDLRTYVSLFTSADGRIYLSLPDLGVEKTWMLKDLLKAGERLAVLGAHVEL >PPA14461 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:571403:572193:1 gene:PPA14461 transcript:PPA14461 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFQPTYARLCALHSEAKQTLADFKSVINSFNDVDDFTQLEDKDKELDTALSLCSLSVVEKLTRRIELIERRKVLRQRLFGLPVQEKKLYERTFLQLSLFCELCGLGYFNERKSRREMVERVRSWLDDFLFTDDKQDISKKGRALKEFHDRVVV >PPA14517 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:899211:901310:-1 gene:PPA14517 transcript:PPA14517 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLDKKRIKLKQGETLALNERIMLSLVSTGEDCPFIVCMTYALQSPDKLCFILDLMNGGDLHYHLSQHGVFTEQQMLFYAAEVILGLEHMHNRFVVYRDLKPANILLDENGHVRISDLGLACDYSKKKPHASVGTHGYMAPEVLAKGVAYDSSADWFSLGCMLYKLLKV >PPA14510 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:867663:870454:1 gene:PPA14510 transcript:PPA14510 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQSAKTLHADLLDDSDDFLVALLSVIADANDNLDQEETDKLPARLQYFEGHRSTDDVLRKKVVDSLYQCCATRHGRERLRAAGVYALAREFDRAIGAEREGGASGPSAPKALKDFTSERSDPEAVEANLHNLIGVLIRYESEMCVAPELESIRELE >PPA14527 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:945207:946075:-1 gene:PPA14527 transcript:PPA14527 gene_biotype:protein_coding transcript_biotype:protein_coding MTFEARVIFRNKPTEEFKSIEESKLVCEHKPIGSGYKLKLDGTPVDESATFRCSADGN >PPA14503 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:814222:818770:-1 gene:PPA14503 transcript:PPA14503 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLILICSLAQLASAGASPVNSGGCGTHYICYAPDKCLNPPAQTIMANSKSFQDTVKKIEFKQNEDDPNDQDYANQMDLSFFSCEIDATSGKTKGMFFDKLVMEKDITSGKLTCKFEGKRDAPWAQDNLFKKDSDWLTIITYDSNMSPSSTKPAKSRGDIDVRCDPQDFEFIKPTDDRTKLYKECPTDKPHLVIAGEVERYITNPEFKCVTLGKEPIWTVKNGNREEIFTKTGPIAYCSDRKNCTQPISESAVVDGTLGPDFLPKCSDRGTLKTTDNMDVTTSRCDHSDGVYKYTAGGEEKAIKDDTMFICEYPPDDDGVMIVVIVFLVRRRAKLYKLDYEQKQRENQELYYTPKELAAVRDREAIENKQNQSQTTDKRKTTEKTESRVEEKFKETAAVTSLQHKCMASLKPVNGYVGVSPGRKADHCILPTSVHVQIRHPKKEDYKSSALIQRIATQPIRDHIMTGLANSEKKRAGGVEHHDE >PPA14397 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:78787:80955:1 gene:PPA14397 transcript:PPA14397 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDKWTTHQTEQLSSVHRISGYPWILRLVKPYSDDSLYLSLICDKSSEAELWKCTATFSFLALNLTRTHTFCSWDSRQWGICRGIRRGFVDFGIFHEGYKIEVSIVTEDDGRSWTLRPTIDFFAPRDRILLIGEEKKKIYVNKESLASQSTFFECLFNSDFKEKNMTEIPIGDVEYEEFFNIIKMVYNRDDVSLTDENVHRVLKLADRFDLKIVIDRVANFLLSSSSLSLPQKLLISNKYNLPLLRDVLLSRNFTNDELIGLCASEEMKLLPAVAVRDFIRMCCDKLD >PPA14458 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:544921:549465:1 gene:PPA14458 transcript:PPA14458 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAPGHDSEVGDCGGESDPREGQWTGRRCLHLWTVEMRAVRMINPSLHSSLSLTGQRDHRACGMKRRAAVSILTALADCGGTDGSQYPIWPMSDATPSPTPEWPAPFSPLRRARGVATFKPGTRIEHLRNLPDLRVILVNSKVERNTSRMVQTVKERMKRFPEVVDGVFHSIDAISREAATILGKTVGGSDHEGENGEGAGGGDGTSAPPTPLLLNGGTQPPELDHRSLQIRT >PPA14474 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:617378:618934:-1 gene:PPA14474 transcript:PPA14474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-zip-2 MQRAGHGAASRGAGGAYAVLVAPAAVAQWSTSRRTGERRSLHRIVRAAHCRHCNSAECLQYENCVNFKEHNWISTQRSEPQPQLADHNYSSHIDCNWSIDDEIDLLQLKQQTQQQATKHSQQPHTGTVVETLTQPTTVSLTGPRQPPPLNTIAQQPLRESTHSDTAEYRKQACATNQRQIRMVWPADTSLYDWSPSDSNPLWDGQPIPIDDLDHVFDPLDSSYRTPAHNPVPPTLFPQQQYVPAPEQAQVVAWSSEEQATMDRLIEAAIVDDDTDFDFDLDALDGLLMGETEEQRQPAALVEKNLRPELELRA >PPA14425 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:236543:237467:-1 gene:PPA14425 transcript:PPA14425 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRVMDRGTNSINQILNYLLFNIVPTIADILIAVVFFFSAFSISFGFIVLITMIAYLGTVAALVESIDY >PPA14506 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:834117:844853:-1 gene:PPA14506 transcript:PPA14506 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPALVSAAAAPKLTMEATGGTRRGTAKSEFVVERGHYPKRQSVSPRQVYALIIAMYFNADKERPVGAVITGGKLLKKSKKSLEQLKRHDPDVEVANEPTKILFVANSSVLCSVSPEELQEIFTQFDASVELIVFPNRRAYSFIEFSTIEAASRAKEELNGKVPEQLRKSHLPFVIEFVKNLPLSKTADGVAGPRDLQIVDDFVDQGIERDLIDMVMTDPRLNEMKHRSVLHWGHAFDYSTNSAFVPIEKIPSRLEMIVDRLIEKGHITERPDQITANVYSPGQGIPSHFDTHSAFEVRSRGEPVAARRCRHGVQGRSKLRSSSSDPPPTPLALPDPGRLAVSMEARYRESTVRCLPENESIAAQAAASVHHIQKDPSWDLTIYLMIAIKIEIRKIRREPCRCDYKEFCDWDRNGEMAVPSASSAARALEGSYVADVYERIAPHFDETRHAVWSGVKRFLDSIPRGSLLYDIGCGNGKYLLPERNDGLIKIGADRCLGLCEIAASKGCCVVRGDALGAPLRAGADAVISIAVLHHMSTAERRRKAVEEIASLLRVGGRACVTVWSLEQGQSEYAKMRENREENGGNKEEERERREENGRLLVHDGREFVQQDLLVPWTVAGKEARKAKEEDESKEEETFLSWRLMIAGLWMFVGKNDGAVEGGNLPEIRVAETDETQEEEEVRFYHVFAEGELAELVQSVDGLKLESIELEQGNYVAVFERIRSR >PPA14470 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:601626:601843:-1 gene:PPA14470 transcript:PPA14470 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGLFPPPTSTAVATWTAAERGIVGRDESLSPTHFDSGVFPPPTSTSPGHLDCG >PPA14505 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:826521:832107:-1 gene:PPA14505 transcript:PPA14505 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-131 MAGSVSGSGGGGTSNGQAAVGLSTERIAGVKRRSPPSSGLYTTGLIYAAIQVAFLSFLCSYYEVGPTDAGAWVMQRLQENDYTRAKLEYVQSLVGGFAAPSKKSKRSMAEGETFDPMVKEGDDGVVNVLTPEQLGLFDGSRSSRPVYLAIVGRVYDVDKGKKHYGKGGGYRSHTVTFPLFSGRDATRAFVSGDFTPAGLRDDVDGLSHEDILGIRDWVAFYEKDYKLVGVVAGRYYDAAGAPTEEMTNFLSRVQVATEWREAKAAEAEVFPPCNSEWHKDSGGRVWCTKRSGGIEREWSGLPRLYLDPATNQKRCVCVKNFGEGLSVHAQASGSNRGDLDHPALELYPNCKETANSCKIESD >PPA14469 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:601114:601410:-1 gene:PPA14469 transcript:PPA14469 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLFQSVPDTLRLWRLPSSHFYFSRPLRLRLKEGYLPLTHFDGVVSPSLLLSLGSLSANSSEDGAVMNGGAVVDFQS >PPA14542 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1032793:1035179:-1 gene:PPA14542 transcript:PPA14542 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGMVYEQFTLNQTLANSAEEITELFAVDISARMRDVSCLGLPPPAEAHVAAGLREWQAKIDETPWSRSVRETLGEEAELLARRDELRASIDEKRRQIEAVNRIKRDERLADCKTREEAETLLNVTMGQMAVKDEDDLKSEIAKFRSLQLSSPPGSPAASSSSHHNADHDLLSDSDQEDQDDFLDTI >PPA14406 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:127819:129302:-1 gene:PPA14406 transcript:PPA14406 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRSSSRTRDAGGHRIVTRSKSDYGGARRATHHQENTAPVAYPTLRHHNIDYIDNDGDRRQQGSEMEDCCTSSDGDTTLPSMMNSTMNTTASSGYCGGDYQHRLNATVLGCPEPGYRYPAERRYRTRSSSRPLFDGETSMGYGMDYEARSFYDYRHPTVPKTPSRSARVREVLLDLLLLGGRSRRRERKEKKRASSVAPGAVGAPAATPRATSLVAHHHHHHQQQPQLHTTPLADANERRREVTWVDERVERRRRRRSSPEREYNMRTPSVDTVLSPPGYGSRLPYPACPTPDYDVDSRRWKSGEDVRRYQGAPRYPTQTGYQQEEDGPRRKKKREVVRIWEQERPLCVRIGSIDGGRRETRRRMGRKDAFVNSHEWTLSRQVSELHLVRI >PPA14465 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:588332:589365:-1 gene:PPA14465 transcript:PPA14465 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSNPLRPAILKLFRAGIPRHDIVLRLAIPKRTVYDAIARKTGHCLYPQEPRTNRKRIGRNRKQSMRAMAKNLHISNTSVRRLIKSQLTLRPYKYLKLHGLNDRQIKLRRDRCRLLLMRCARAEHFSTVFSDEKIFTIEGKMNSQNDRILAHDPEEAYKSGGFIGQTSHPLYVMVWGGVCATGKTPLVFVTPGVKVNKEFYVKHILQDALLPWARSHFGQSHWTYQQDSAPSHKAKKTQDWLKAHVPDYIPTSEWPPNSPDLNPLDFSVWGVLQAKVSTTKYKNRDTLKAALLKAWAELDTNYLRELATAYERRLKACVKAGGGHIEIR >PPA14494 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:766212:767689:-1 gene:PPA14494 transcript:PPA14494 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRAAVVAEGTSLKFIGDCKTQFECKPDKTSRTTGKSGAEGFRLEKDEWYGLYDIQQNKMMCEVTIATLTSENFPKDILSTLYWGMGVPYQHYPSYKNV >PPA14387 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:5809:6642:1 gene:PPA14387 transcript:PPA14387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lsm-3 MTEEKEAAPIVLGTTVEEPLDLIRLSLDERVYVKMRNDREIRGRLHAFDQHLNMVLAQAEETVTRVEMDEESFEQVYRQTKRNIPMVFVRQPNWRIHRVGN >PPA14490 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:749281:755876:1 gene:PPA14490 transcript:PPA14490 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVQDLSWPEIGIRTAKNVIDNYSNETVSKIQKALEKQGPKTFAYQVIVLAHSLAGDSWSVATEQSGIAHRNGYTNITNINGLDVHIFRFNRTDDAERNSKAEEWIQEKHDALTQAMSCNKQHAKFRDITEKTASSLLASLQSVPTIGPLYAKNRYRSIFIFKKMDSSIVFDSGLKLLKLTSVLIGLAIDENSTAVVDSGLAYPNPWKMEANVHALLSKYYQLSEENNNELKALDRLGEDSRELVRLGKPLEELVRPLDEPTEPNNNEAQALAELHEFFGDIPYTHIREPDSIGGIKRNMNHIYRYMQSLTAANRNGSDAARTFSSLKQSVDAIFINPSLIWDRGFALTTYTIHEYESILDTMNFQTLIDCRMLTSEEHVKSDRVKQAIQRLKSRVNVDLHNVYEPAHMLNELIAESFRAREEDVDWIVRKIDQVNGTSEVTWEIAEIFNGSNGKFRRSFIWNLASTIFYKCGTTKTIKFNEDFLLAELGKIRLDLLRLVLIKSLVGPTDYMGMWGPPVAIPTEETEFDSVVTVSPAELETTTPRDDTESELKALEKTAKNIANWARKQLAY >PPA14415 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:187344:189074:-1 gene:PPA14415 transcript:PPA14415 gene_biotype:protein_coding transcript_biotype:protein_coding MKWSVVMRIDPWQAGTIEQFAGWEYHGFRWKLRLFKSNAEPNPAHHSLSLICARPESNLWKCTVRLAFRHELTVINKNNQLENRLYETPIPGEYTFTSWDCQEILLRDDVWAVVGNVLDVILYSKYNEYGSRWKKRPVDAGGITDTHFVFTDRGALEEVAVNKWSLRDLGGKIRQLLDLIHTTNGGPPAVITDTRMQYYGCEEFANIINIINGKPSKGVIDRNVYLLADFATRFNVDVR >PPA14531 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:963301:968444:-1 gene:PPA14531 transcript:PPA14531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3EXH5] MGNFASSNDFSSTSDSDMWAISSEEEAEDYVSGLETSELRAVQRQAAGATATSVSQVDGGVATQKLTTNDQTEQKNGAEGAGWVPKPCGFEAVVNDDEWETWAGPQRIRESKLESYQKDKFREILDRIFHKDTIMGAKIIFEPQDIEHVTGAVLPYLESEPVLIEDVPYDIVIVGDLHGQLYDLDRVFRLYAKDGKPGWECMKFLFLGDYVDRGRQALEVMMALFCIKVLHPDRIFLLRGNHEFIDINANWGFGFDIRERYDKLATACAIFHQFNEAFANLSLAAIVGNSYFCSHSGISLAGFTRRQLRGKYKPIMFSDGDAITNDLMWADPAQGLKGSTFNKEREQSCFFGFDELCLAMERMEVIAVFRGHSMMQEGYGNTWNVCYTVFTATGYDKGTNKGAIAHVDPTGKVHFTVLEINPKRAAFESKLRAVEYISKEDPNVKTYRSPFNILPLCFNR >PPA14511 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:873667:874201:1 gene:PPA14511 transcript:PPA14511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tbx-2 MMSSGHNSPIAAQKWVKLSGMDKKAKYILILDIVPADDHRYKFNNSRWMIAGKADPEMPKRMYIHPDSPATGEHWMAKGEAGSDSGKGRIPDSPN >PPA14417 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:192543:199245:-1 gene:PPA14417 transcript:PPA14417 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHHQLSIMTSPPPEKKYKELATISMVVEQWGKHQQEQLSPVVRVDDYGWRLRLHRYDSKLRYLSLMCDKSKEAALWECIATVKTEYSPDLKEITFASWIEDSNPRHITLNEFTEGTTVSMEIVQVRGDRPRLRPLLDQYAERDGIIWIGREKVHVNKQSLSVQSTFFKDFFANEHKRNKPNEFVLQNVTYEEFRNVLDLYYGFDGASVTDGTIHHTLILANRYDLQIVEDRVISHLLSSSTSISVHQRLLIAEQCRLPFLKIISSLIILIPHALRIRSGRWAGQKNKNRESKLIRQYTAALLKELCNDPVWTLLSPETTRELMNKRCLREVIQGWHHSLKKLKSCSNKSVASLSELLSQLIREASMQEPLGVKAPAAG >PPA14401 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:98620:105907:1 gene:PPA14401 transcript:PPA14401 gene_biotype:protein_coding transcript_biotype:protein_coding MHDSVIFEIVSRWIEFDIERRQYGARGDDIVTPNRPDPSNPAGAAKQSLFYCRDCGSSFILYRNLLTHIEKGKHFIRPEHVKLLDRVLGLFMRAIEDTLVPEPLSPVSEVVKAFKRTSDPELPQGWAIKHGRKVRRYSEATKAFVKAKFDEYAKRGAKLKADEAERLMRADRFIEPKDWMTKSQLRNYINSLKSQLPKMRAWRRQVEHEDMDDEHFEVEVEPSDEDIVITEEDFHRHLTPTMLKKFFSAVDKPLFGVLRYDRAGNFLKAGFGTN >PPA14419 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:202647:208670:-1 gene:PPA14419 transcript:PPA14419 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEKWKEGQTEQFSTVHRIDGFPWRLRLFRKQKRLEVICDKAVEAELWWCTATLRATFHVPAGFAGTYTKLSEKVEHTFKSWHKSSERKSFAHYNYSEGMCVEVEVVTGTGTSVFRERPILDPFSQRDGILVIDGAKIHSLASQSSFFDRLFNGDFKEKDMAEIPLGDVQAEEFSNVLKLMYRMDGASLTDENVHRVMEIVDRFDLKIVGDRVVSYLLSDCSLISIHEKLLIAEQYKIPFLKRRLITRYSPSKLRKLSESAVWDQLSKETTKAIVQKWRRMSGGEPPAKRSKEPTTISMVVEDQLEQSSSIYRIYGYPWHLRLTKTEEDTNYCRLTLHCDMSEEAELWKCSAIVTTSLVQRYELGCKATKDSLQDHRDDTWARAATDLTGHLMNHFGPQAVNRQGGSAHLARHQCREGKQESSQVEHFKIDSENFNGVGAHLSL >PPA14573 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:1223919:1224469:-1 gene:PPA14573 transcript:PPA14573 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEDDTGNTFQRYSTSLCYKWYVCANGGVYSNGQCICPDYFTGESSLFYTRSDVPDMTSFS >PPA14447 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:384784:386107:1 gene:PPA14447 transcript:PPA14447 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDVGRCGARVESTAVQAPRLRPVPVMFGRPAWRDCGSNCSSRLPPWIDPLPIASSSGRKGGGREEEAVGPSRETERLPLKKRIERVIGRAQSASIQIEKQI >PPA14437 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:306682:317270:1 gene:PPA14437 transcript:PPA14437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-met-2 MCPFQKEKYNIVKARRAEEIEDIVPYDFEHQGEALAKDEEAMDADVRRLMGLSIFSKPYLEKADLKMEKGAKCWARRKIDALEPFEEAVFGEIDDEMPEYATVFFTSAPEQQVCLSLENLALGRPMSEMMAAVSEGVRVAAVTRHPLFPEKDALYSGTIISKYYTATAEYAVVFDDFFDDYVKIDKIYALMQQPFDANSGNFNRRFSYRLMSPNAAAPAPSKERRIFMMLYMAKYPEWNVMKMSERIGKNVSCYNREGVSQVAQVLEQDRLMVYLRFGDTQSFDTEQCLEFPCTKHKHHDEKMYRGNPRLKPMRTGCSFDKVLSIQTTFPSWNQSEMAKAMLDELNKSSKGSSKGRHARAHRTQGVMFEPAVPTDSHKSGTGVKGAQKARKCGTGLQVELMVPPENLTTKKDIAVEVLRHITPGVFFTVEDPEYRDSSYHEQCTHDCLKGMDLVDERILKDNQYSPYYVPITCGWRRHRQIFSFTCTNSKQQNTGMIFYRAPCGRPFYRMGEVAAFLKETGSELTVDLFTFSFDIDEAAYVVFDRKFLRLEDISGGSEGRPISVVNELDEDDEIPFIYRARRYPINERILLTGKEYCSGCDCTGMISLHRINTE >PPA14454 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:454376:455229:-1 gene:PPA14454 transcript:PPA14454 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEPDLVFKKRLATALKIVSTGEIEKVFALIEKQPQEYKDKMFNEYLVDDCQMMRQYFIAPGTEPDTVTK >PPA14570 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1207117:1209183:1 gene:PPA14570 transcript:PPA14570 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRRGMLAKMSSLATLHFSVKGILPCSNEISKRDACQEGSSLATLHFSVKGILPCSNEISKRDACQEGSSLATLHFSVKGILPCSNEISKRDACQEGSSLATLHFSVKGILPCSNEISKRDACQEGSSLATLHFSVKGILPCSNEISKRDACQKGSSLATLHFSVKGILPCSNEISKRDACQEGSSLATLHFSVKGILPCSNEISKRDACQEGSSLATLHFSVKGILPCSNEISKRDACQEGVNISSTFNLHNLNVVFR >PPA14520 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:920274:925142:1 gene:PPA14520 transcript:PPA14520 gene_biotype:protein_coding transcript_biotype:protein_coding MELAHCTQKSHKRTINSPFFESWPNMADEWAANEVNEAVDVAPAFELESDLPEVKLFGKWNRQEVNVADISLVSYITVKEQAKRFRKAPCPIGERLAWSLMMPGRSNAHECPHRQALFRDHPPPYWRGYAAAAFRNIKTIAECLADELINAAKESPNSYAIKKKDELERVAKFNGYAVLSTDGAPTTTDAAEAPVAQPVDPAPEPVPEPIENDGDVNIEEKETVNATGENEEKWNDMGTGVIADMP >PPA14466 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:589470:590399:1 gene:PPA14466 transcript:PPA14466 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNDAIECGIPPNTFTPRDVMERVGENRMIQFINVDSQQSFSNSFKKFVEYFEEPVEDRAATYNVISLEVSGTEMVDLVSPRSAGWSAGFPPGPIEAGPVFG >PPA14508 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:850442:853552:1 gene:PPA14508 transcript:PPA14508 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEVRRDLPVESSHMRREAEREEGDAENREEMAPEVIADLVQFLDPTTRLDVRRQALQIVLGLSFPLDGSAERAFCSQDFMIGKAICRLCIATASDRLGCLTGICNFASMSKEVVNYILHHQTEVIKIAYTEAFAATECSEYAARLLANCTRVFPGETHLRLLAVDPKCVEKLVGTQVEVVFH >PPA14467 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:592626:593850:-1 gene:PPA14467 transcript:PPA14467 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTVKKRMKRFPEVVDGVFHSIDAISREAATILSKTIGGSDHEGTALSSSSFVSLQRLSLPSLIR >PPA14553 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1104747:1105056:1 gene:PPA14553 transcript:PPA14553 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLSQADMQSLVSRLLAHSRNGNPVADSMLAAVASLVQPAIFAPPSVPLRNQVSIQTAYS >PPA14427 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:252225:254696:-1 gene:PPA14427 transcript:PPA14427 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIAEICPAFWPIAPSCSYLISFGVLTSLSIVTLIAILMPKRQSIPYTNLDRGGERTTVACPLSFVLGLISIALELSLAVAYIFYVFYVHEYSHILFAYCLVRVAFWLLALVSFAKMRSSTSLLLSIVLALVLQSLPFTDFGSYFPKHHRF >PPA14413 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:180776:183279:-1 gene:PPA14413 transcript:PPA14413 gene_biotype:protein_coding transcript_biotype:protein_coding MITKQPKQPKHFTNDHPILRPSLRPFDPRDGILVFGVEKKKIQSLASQSPFFDRLFYSDFKEKNMTEIPIAGVEYEIVEDSVVSYLLSSSGTFSIHEKLLISEQYNLPFLMCNRIRRNNVTKSRIRNDLAGCHGMARLRLKPGWDGARRLYLVCEKSNESELWQCTANIRTCDYNRDGDHNVNHSFNSWDNHSVAFLSSGEYPPGTVLDVTISPNNDGDRLVTGFN >PPA14412 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:179850:180477:-1 gene:PPA14412 transcript:PPA14412 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIRKMMGKKPVEQPPPPPMDTYAAVKLALNDASIPEESTPELTTASDQKAIEQLCAELNDGLLSQALTEEKILHHRHPQVKGDRRKRILKIAFTDEKTRDHFLSLIRSNRPSTVTRTPGNFVRRDLCPYELQLERKARMDAFTMNCKIGGLAYGMDMKAALHVDTLILLFPIILIP >PPA14529 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:953444:957413:-1 gene:PPA14529 transcript:PPA14529 gene_biotype:protein_coding transcript_biotype:protein_coding MRADKPGSKVNKKEVVEAVTILETPPMVISGIVGYIDTPNGPRPFKTVFAEQLSEDFRRRMIKNCAQTPRFYLWVQKLLKHRNKKAHIMEVQLNGGSIADKVERAKERLEKQVLIDQVFAQDEMVDTIGVTRGKGFKGVTSRWHTKKLPRKTRKGLCKL >PPA14551 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1093008:1095687:-1 gene:PPA14551 transcript:PPA14551 gene_biotype:protein_coding transcript_biotype:protein_coding MCINCPEIDENSADEVKPDSDRNRAVTCASGQFLTIEYESGGTKRVPVTSLTCSSEFAWETTGGTFPGYPSFDELNKAIKWKARCIPPTSGDCKSCTRELISITKIGPGSHDFASDNIDPSETCAVRTFTCKGNSATIELNGATSVTDTDGDGVTTVEVECNTDGTAWLSLGPACQALPYDASSAVCGSKYDCYEPVFKNGEVPAKMECDDPGALRRTADIEKIAPTCKNGAWTENGVDFEKTTKVMCINCPDIITNLADEDLPKSEGNSAVTCDKGQLTIEYERDGLRQVPVSSLTCSSEFAWSATGGPFPGFSSFTDLNNEIGWKARCIKPATGDCHSCTKALVSITKNSAGSHDFESDNIDQSGTCAVLSFICKGTSANIEFNKGGGVVMDGEDGAVDGVAKLTLVCNADGTAWKPVVVDMPVTQVECASLGESRSLSGNACSFSELDKR >PPA14572 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1213454:1220386:-1 gene:PPA14572 transcript:PPA14572 gene_biotype:protein_coding transcript_biotype:protein_coding MCQNGGVPAVTGKKCHCQPGFGGDACQFVQCDADSGASFTNDGKALILVIEKSDNTADAIQNIADNFHAIQRDAWDRHQEWFKYFLLMSFTVDGTIEDLTLYYEPDDLGAHLNQLAIEARGKPGACQGPIWSALGRLFATNMVQYLGGAEVLLISAAAPLDADLDSIHATMENFDVHTPVIDYIHVETPQCAVDDWAKGLSTFANFLTTTGGTVFRVGQKNAGEAIDAFLPTRYAPQRLSYSDPLNCQNNEIFVQLDKYMSEVYIMVGGKSTVQVEDPMQQVHAIVQIWQSDYQSLWRLYKDTPGIYKVSVNSQDRACFPVVYGNGGAQVFFGYVQDYNYQDTPKPYPVFGVVNFPVFFLYDPTTGNSSETETLYMAHMDRQTIGGKFETPYDSDIDSRVGCSYNYIGKKFTCTEENDVITMSASGVDAYNQPFTRQSTAYCKKLFKDGYLTTTTKAAKTTSTTVTTAPKIVFIARNHSIHHSDHLEDDYPHQNDDKSSAPNQPTHCAHPGSAHLYHYPAPDHDLPATTALTPATLNFDVLFIIDETEEEGFIHDVAEPFIEKTMSLYTTTQRFARVGLITMPQKQNKSMPVAFLSSVDSFDALDQNLMSLEDFNIPGGDEYLVQALQFANDPLKYRMEEHGYRYGISNHLIVILTAKNKFADKKDAIINEIQKISAAQSYGVIGVGYGGQADWSDLTDIAGGDCVSIAADSASLLDKTTSFIQEKIWNAAFNGGTYCTPSNIAMSARNH >PPA14473 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:614990:616414:-1 gene:PPA14473 transcript:PPA14473 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNPGPGPSDEPLAEFFPMLGSAVPSTSSIADLAPTVPTVPSLSPPLSYHAMTASTYDQMPCTSMYQQTTTTQYGTGAGAMAGEWVRMGAADGRIDRSPTFMDTGCDLNVYLNEWYDEPSTSRSSPDYENNYHQLARPSPPASTMTSSSSVMTTTRRTTTVTAARFAPYARDAVASRRNAPAKRAPVFRPEGFGTPAIGENVDEYRARRDKNNVSSARSRAKKAELLKEMKAEAGQLEKKNIELKAVLDGLEREVSYYKELMMTALSK >PPA14468 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:597665:600125:-1 gene:PPA14468 transcript:PPA14468 gene_biotype:protein_coding transcript_biotype:protein_coding MLEWHKPNNPAEQLVFEDTPATLLDMITSELVKLGYDVWQPPLGGPGVVEHARRPALFSVPVASAPGTPHSGSVRSASLSSYISAGKRDSCASALSGCSTMGGGGSGREKGELVDTFAKLNELCRMNNQLLIALGVGHPKVDQICTLLASFFLSTA >PPA14439 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:328524:339673:1 gene:PPA14439 transcript:PPA14439 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGIKYKEAVESRFLLPMRDEDKEMNQLDLYFELAGQAHLFLLDAKAAGNIGRQFNHSCEPNMYTQHIFVDTHDIRLPWVAFFAKRDIKAGEVPADTLQGVPIRMGIPRTLSVGTHELSWDYGWSVLQDNPRNLKCQCGAETCRFSIAAITYRTRPDEYRKLTSEEHVLTRPDTYIGSSTITEEEVWLIEDDRFTRRKASFCPGLIKIVDEILVNAADNKQRDEEMAEIWVEIDQSTGEISVWNDGRGLPIRPIEEGGSLIPSLVFGCLYTSSNYDDDQERTVGGRNGFGAKLANLYSTRFRVRTAEKESGRMFEQTWYGNMTTHDEPTITNQEGTARDFTEVRFTPDYRRFEMEETGLTPDTLALLRRRLHDLAATVKGVRISLNGERIEQVRN >PPA14440 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:340847:350859:1 gene:PPA14440 transcript:PPA14440 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLREYAQRCVDSGDEGRTIFYDYPTKRWEVAIGLRSSVEDEEGRTYDECSFVNNCATTKGGSHVDHVRDRCLAVLRPWVEKRLERTVKPKQVGLILFEHDLPIFHALSSVRQSERAQETVRIRHFQIRRRLSLFVNALIVNPTFNSQLKEQLLTSATKFGSEYTPNARELRKWAEQVKLDELIREDLSETKRSTPSRRSSSQLLLDVNKLEDAALAGGKSSSSCSLLLTEGDSAKALAVSGLQVALAVPEVAALMAILGLVPNEDYGSPEQRAKLRYGRIILLTDQDEDGSHIKGLVMNIFRCLWPSLLRVPFITALETPLIKARKGSATISFYSRREYDEWAGREEDAERWMIKYYKGLGTSTAEEAREYFSDLENRLVHYTWRDGEDDDSIDIAFGRDKSDERKRWIETGERSRPASIDRAGSRSLSSKRPGA >PPA14556 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1114652:1117731:-1 gene:PPA14556 transcript:PPA14556 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVDANPPLNHYSDDGWPPSQQESLAELERTDDDEIVEESFSVEIIRNGDIVPDEENQNADEEELIDEFSVIIFNGDTVVDEEEIVEEEHEIIEEDEGEITEEFTVEIIDEPITEIVECDEDEVIEDEYNVDVEEDEDRCVTSEADLADMNRLNCLNRLHTTARARRVAHEVNELEPRGEEEYLFTGIHDEHSFVLIAPFDEEPMHETIYFPVNEDQMDFLCLMLDNFCPSMVEDSEGFQRFARDLEWRGTEYRGMLLRELARKRVNGDAQAEVTPEDFVNNEHQRSLMHKQWIRDRRRARADANAMEGFTPTGRRLERIPRRLFTDCEDSESPIKRRRLAEAN >PPA14390 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:37694:41942:1 gene:PPA14390 transcript:PPA14390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dpf-4 MTSVLRVVLKAEGGRPETIRRWADGLSVQNGVHEYGGGSYIVHPRTGALIFSTVDGVWVQTAVEKEPVKVVDSEKKTRRFADFEALPGGGGEGEALPVVLAVEEFHDPAAAAADPHAEPANRIVVLDLAAGTNRIVRAGADFYMGARVSPCGRFASWMEWNHNNMPWDETTICVQELAPFLDGTPCEPGSEAASGVVLRLSGVGRNANYHGPSWSVDGASIGGSGSGTTL >PPA14393 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:58361:61056:1 gene:PPA14393 transcript:PPA14393 gene_biotype:protein_coding transcript_biotype:protein_coding MFKALRDRGVTAGLMLFEGEGHGFRAAAAVKESTEAAYYFMCKVLGIEPSVQSKLEIVNLREHKNKQLPGMERCCGGGRGGAQRLATAHAADAAEGRRRLPPDAAHAPEADVDCSRVTATQGVESATHGSCG >PPA14410 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:165656:167722:-1 gene:PPA14410 transcript:PPA14410 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKAGTRIASSTNSRRYSSAGPTEPRDGIFVIGDDNKKEFCNIINMTLGLEGSQLDDDNVHRVLELADRFELKIIEDRVVSYLLSSSFASCLTIVHALLLAEKYSHTILKDQLLSRNYTSADHKAIIKDAELKKLSPDMQSNQKEECPPLQTLLDVILALLQKPRTPQNPRAD >PPA14540 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:1020355:1021498:-1 gene:PPA14540 transcript:PPA14540 gene_biotype:protein_coding transcript_biotype:protein_coding MRMYLESKLRTSSVGGSASSTPTPLSPIAGFGGLAGLGLQGSPLLDSTRLLTGGGDRSPLSMAAAAALAATAAAADSQQAAAAAAAASSVIRPTPTQPLRVDVSLANLVSLSNAAPSLQQQLELLQHPSSSSSSTAPVSTPPSAFTARTLNVLLEAIKQMEGTFAAAVSGLK >PPA14434 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:295198:296159:1 gene:PPA14434 transcript:PPA14434 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKDDGRVKEEEGRDEESKPELHMSALKGEVKDEEEDLKDVKIGVKKEEVKEEDDDDDFLEIIEETEEEGRVVREGERVWERERVRDIVYPYSEKHNGPEKHCA >PPA14444 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:372671:374477:1 gene:PPA14444 transcript:PPA14444 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSWPMPVKALLAKGALVAEQCDHTNVDLEEVIRAGWLIRERATGRIVPRKSDVDRLVAPFRPVGSPISPSPPNTELAIRGRTANHGSTVLLGNHERPLPRYGREIDAIRSSCHEDKG >PPA14408 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:151954:156202:-1 gene:PPA14408 transcript:PPA14408 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKAIQPPKPKKLKTEDCAEKKKYLIVVIEGASLEAAKVGNDYVILSSEKHATFLSKNKKDPADYRPDILHQCLLNLLDSPLNRAGLLQVYVHTAANVLIEISPQCRLPRTFDRFCGLMVQLLYKLVIRSAGSSQKLLRVIKNPVNDHLPVGCRKLLTSFAAEKLTVCSKIVDPAANDPLVVVIGGIARGKIETEYTDEEIKISNYPLSAALTCAKITSGLEEAFQKSV >PPA14399 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:88967:89404:1 gene:PPA14399 transcript:PPA14399 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEEWRKDQTEQFSHVHRINGYPWRLRLYAKRNELRLEVICDKSSEAELWKCRAVATMTKPFVHTEVSRPSPTPGQPEFKANEVLRATIATQ >PPA14488 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:740256:744599:-1 gene:PPA14488 transcript:PPA14488 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGMAIDNKTSYMMETKRVSYSVSEKGRETVTVDREVVNDRTHARYIIDENVKNNVEFDLKKGAELMSSENRLQSLCNWVCAQEETNLKELFGGAEFLSSRGTMQTIATTSLKHNPRNIKTENAWTIIAVKVGGVIMFCETTKSYDNVDYLGSYSGFKFEQYMTSDQPGGEPDTDAPVDNRAEFRNLVRSDLIFNGQSFPICCSAEVDAIRGETLIELKTRDHGSKFGFFKSLDIILQSTLGNVTRVVVGWKEKEYVVTGVEEFSVSHFKPILDQADEKAFAVLATVLRHVRDHMKERFALAFIQRVLDEGRVGTPDSGIQKEIQH >PPA14537 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1007042:1007355:1 gene:PPA14537 transcript:PPA14537 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSKADEAVREALADQQVNLEDVEGRLWTRSCELRAKIEWDLSRVKDLLKKLGEDVGDKLASLTAWNALRKGAEAQFVHLTKEKPVPKDA >PPA14522 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:927194:927699:-1 gene:PPA14522 transcript:PPA14522 gene_biotype:protein_coding transcript_biotype:protein_coding MKINGAIPAFGSVAQQAAYALGSCPNFHYLSEQARDIITKTEKNENGAVPKRLFEGICLSPYRNPIAGLLEFFNSEAE >PPA14403 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:107132:109316:-1 gene:PPA14403 transcript:PPA14403 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDVNLFISDQAEVEIMIADKASQGKGFGTEAVQMMLGYMLEEINGEMEFIAKIGYDNASSIRLFVHNFGFVEETRSEVFREISYRLPREHHERFRLYYRTKVTKERIEERPEDIELPDVA >PPA14480 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:653445:659858:-1 gene:PPA14480 transcript:PPA14480 gene_biotype:protein_coding transcript_biotype:protein_coding MACPFGYGSSPMPNAEMMNGKDSRVKFVEPSEDDAQEGVNKVQMGFGATYSEYLQLDKLLSCQQLESEKVGHRVDDEHLFIVIHQAYELWFKQIIFDIDNVRKHLNNAIVDETKTLRIVSGLERTVRILKLLVDQILILETMSPLDFVDFRKYLTPASGFQSLQFRLLENKLGVRNDRRIKYNAQHYKNVFSDKSDLEKLIASEEEPSLLKLVESWLERTPGLKGGENGEEGFWPLYETAVTRFLDDMKREADTETSHEVREQLQQEYFKTKDSFMTILDPKKHEQQILNGVRLLSYDAMKGALMIYFYRIYFCTYSNHE >PPA14526 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:943354:944685:-1 gene:PPA14526 transcript:PPA14526 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLLHAFGGSEGKEVTGSRCDEGTGQFKYTVGGDEKTMNKDTKFLCKYPVEVHEAQQQEEKNMQKMTTGIIVGIAIATVLFAVGVGILIIYSMYKTKKNKREIEAQIYAEKIQWGSRSVKEAARKAANKLSHGSKKPVMHYSGNEDGVFPTLSTNVTKRRPKDDPTTTKQATSDKKMKTTVDAEKKENYKKACFSYYDSKEAGIDKAYTDRAEKIEKEKNIGKKN >PPA14524 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:929288:930681:-1 gene:PPA14524 transcript:PPA14524 gene_biotype:protein_coding transcript_biotype:protein_coding MATIKCTDQKWMIDGEYHKLESDPTCRKDAMKDNGATFYAKLPSNATKEIDKNARCFTDYDCKSKSNLALPQGDDVSSLADDSNDVSTAPRKEKQEVTFTEEKAYAIIIGAVVALYAVLFIIAGICHCARKKKYNLATKMTPGMKKMNRTKLIANCKEAFREMLMATPSDAHLIVDGLNKIHLLLKEEVADPEVWGTL >PPA14546 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1065530:1070916:1 gene:PPA14546 transcript:PPA14546 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIMRISTVVDPMKLKSDPIPTLNLFCDEGVKVHEEKGKVNGPLYVKPVRAKNNKKAGTLKCADKTPHLVVKDIDKPIQNATFECTKFGKDQFWTVNRINMPPIRLTKDGPEVYCIDTYPEETREEIRTPSVGKKVGASIGAFLGAIAVGAVIIGGLCAFATRRQKKAMAKQKEKERKEQEYGQKVWKRYCMDENEKELILKNIAKKETEEKTPKALLAGKEVYNWNRMLMHFQRRGFEQTGANRDGVFVPITRPDKHAQAQFDPKTKDPKIAADYYMNDRDVVSGNKVIGRKAN >PPA14482 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:699938:704345:-1 gene:PPA14482 transcript:PPA14482 gene_biotype:protein_coding transcript_biotype:protein_coding MICPDDPGSWDEEDFDRPWNKDSIDKFVRGHWQQYKRHEHASYLKDDGKEGFVNPEEFPARIEKLHKDVRDLIKRRAFNSESQSELQRGFWNAYEREYQSRLKGKKEETKINLVATSLFNCCLDEERRKKKGFIDDPAFLLWLFERNLVSRRGIATKFLDLIEWKTRGTWDYGEDETRRLEKTGEKLCSWNVLLSRRAATTLATLKKHRPDDNQEEAKMKASELWTCIQREDTDEEKEKEEEGEETASLLSSVSRDFALIRLLDNEQFDRDHDLGEMIERMAEIDWPEGEGSMDIY >PPA14558 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1121782:1123031:-1 gene:PPA14558 transcript:PPA14558 gene_biotype:protein_coding transcript_biotype:protein_coding MPILLAPALLRVRRHGDVPTWRTEWPDRPPPELERKLRRQSRERRDKDMRRLDKESERIERERRRIERERRMQEEERASGNKVDYYVPRPQDQTFPWVSNVPRPEPEPPRRAPRKKSAAEPTWRSNSNKVQLSQK >PPA14568 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1196701:1197418:1 gene:PPA14568 transcript:PPA14568 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMSKEETPSKLRIFVCKMLRAERITQDGPPTYAAAETTVLRIDQELKKGCKRKEWRRKGRNEEGIKEELKKE >PPA14422 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:221456:226566:-1 gene:PPA14422 transcript:PPA14422 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hmt-1 MHVTESSTISMVVEEWKEGQLEQFSAVHRINGYPWRLRLYLPHVTLYRSLVLICDKSTESDLWQCRVRTSCKLEVVANNCHGTTTSAGVYGNHLNVDFHEAHSYPESISRAGKLRSKELPMMISRLAVEWNWRRRPTLGPLEVRDGILVIGEEKKQMHVHKESLASQSTVFDNLFFGNLREKNMVEIPIGGVEYEELANQIQMVYGFDGASLTDDNVDKVLQLAGRFDFKIIEDRVMSYLLSPSSSFSIHQRLLISDQYNLAFLKDRLISWYTPSQLQKLSELEEFRHLSMATMQALFRKSCDIMTNRRIQSTTISMVVEEWKEGQTEQFSAVHRINGYPWRLRFCEKYIGSGSVEMLCDKSNETERWMCTAKIKPLFNNLTDRERDELEVLKAFTPQETHSFNSWDKTSQAVNISSVLGVTVRRGFVRNMNPPRIQRNLYKIRNIYPGRLLEVEIELDTDGEKWGHRPILNPLELLDGILVIGEEKKKINSLASQSSFFDRLFFGDFKEKNMSEIAIGDVEHEEFSNLLKIIYRLEGISLTDENVCKVLQLADRFDIQIVEDACINFLLSKGKQSPVLKV >PPA14519 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:915180:920206:-1 gene:PPA14519 transcript:PPA14519 gene_biotype:protein_coding transcript_biotype:protein_coding MHYHFRIVNEQSKKVIWTTHFCFHNFEKCQNGGHCVHSYRPSDRKRPVATYCVCPYGFSGERCEVKKTRTDAIADNPVCSLLLADSCSLNDSECEPLRLNKATQAIDCPWTLWVSNTQSIHHVYTETNHFATNWTEIEELRCKNEKWEITFTNEKGRRSQVLSLSRKVMCTNSQPFRDADAFWEHRNFVPTRANFASAHSSPLAYCNDETGPIECLGDNGYCTLAYNGGKFVPICSCKWGFRDSSIGECRGEYDPYGLELELEAADGFVAAPLPGATLDTCNVFIAEGCPDHDHHVTDKNKYINCTKLRPFGSGVVCPRKLWMSGTPPEEYTIQPWYQIDSLECINKRWTVRVGGKAEVLPGNRLVACATEKPIAESRFFEHRVAEWVRPRHRALDDEGGWKSYCFRNRGPQQSDMITVAARNMAATAFICTIRTIKSRHICKGRFARGCIWDPFATKKYNDLRRFHKPTCDLSYDKNVEGAPGTAYDRTKHICEPSKLEDGCTDDNCVKLKANATHVKCNEQLWYVSGVTCIDNQWQSECSKKKIGKSKLMCSKDAPSSISSSLFLTVIISAVVLVLLIALTCACCLLRRKQRRVQQTNRTES >PPA14564 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:1163159:1164411:-1 gene:PPA14564 transcript:PPA14564 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRPGKLRIKHQYHRGIPCHKGNRVLCCKDSAFRLQGTIDKFLKSDNAYLFPKFRETHREGEILLPKMHRYAGMNGATTTATTTTTTVAPVIVPETVGEKTEEGPVGNNPAGIPEEPEKPENTDADTKGKANTDGDNQENDEKTDPQNSEKENPGEMDEVDSETPDKENKDSEHAESKSPVDTPAEDVPK >PPA14566 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1174730:1178711:1 gene:PPA14566 transcript:PPA14566 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTKDHNAPHFAAVLWDEQQFVEDAAEHLPVIFGEAVARKLEARLLVEAKHCAESSGGRVSILGSATVDGAALLPAALHVGPATLPIRSTIHVDVRGGKVTMATCRFDAAASETLVKAETIAKADGKHLQRALLALHADEYGEPGEQPSLDAQAAANLAGLATTPCDT >PPA14496 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:777086:777776:1 gene:PPA14496 transcript:PPA14496 gene_biotype:protein_coding transcript_biotype:protein_coding MHISLILICSLAQLASAGASLKDSGGCGTTYTCFVPQKCSKNHPTVTTMAASEFN >PPA14559 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1131415:1133997:-1 gene:PPA14559 transcript:PPA14559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sup-26 MILETGNTQSQPEPGNSKTSFQTNLYIRGLAPNITDDELRRMCEEFGKITSTKAIMDKMTNTCKGYGFVDFESAEGASAAVDGLLARGIQAQMAKARTAMTTGGSGGSPFGQSNIANLPLQYNETQLETALQDFGMVISTRILRNGEGVSRGVGFARMDNKDKCEEIIRALNGTVLPGSPEGSAPLLVKLADSGHSRKKRTPMGMGMMGGPMMDYGGHGFPTDQLYGRGGMGATLPYMMHPSFMMHGHHGYNSYAFDNLSSQMGALSMGGGGGAGGRGVPDSAAGGPAANGDTGAAAANGHPMYHQANYGYPAAPAANQYYSGGMDGGAVASGAGSYAAAAGNHYGGTGAANGGYGGHNGGGGDHEPIGTFQATTNGGANGRGKYVSIFRLRFRDLTAPALT >PPA14548 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1074033:1075543:-1 gene:PPA14548 transcript:PPA14548 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVYITGKLNFKANATQCIITTSVATVFCALCNVGVLRKILQFRREIRLNYLLEVRLAIVGFVHFLAQFAMTAFHVIVIFTVDDQPFIQNIRTNYIIPVMALTFHDVQ >PPA14432 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:279525:280486:1 gene:PPA14432 transcript:PPA14432 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDASAAAASTASSSSAYSSASSSPSGSPPRQAVSKVGLDASPPASLFSSSFAVPPPLPSTPTTPPRLSSSRSSCIGIVEHWIDLYSGFLNKR >PPA14394 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:62841:72229:1 gene:PPA14394 transcript:PPA14394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ztf-4 MPDNGAAATIRGGGGAGGPDWTTQGGPPPPLPVPPPMMPPGHHGHHQQQRGGMPPMPPGYGRPQGGGGYGNGEWNGYARRQKVSDVSFDTSAKDPESVRARVFLGSLAGGNQPITREEVVEMCLKYGALRGVTHFKKQGYAFVQFESAFDADEACRDLNGGRWKGCIMDVHLVEAPSTRPSPHGPPARGGGPRPAGPKQASVKAVPTTTYDEISYDTSSKDPALLRSRVFIGSLRAPQVSRNDIIELCMPFGKIMAVTFFKNGGYAFIQFESAVDAVDACEKLNGRNLKGCIIDVHLVMEGPGGGGSGSGAGGRKRLTGDNDEGGAAGGSPMELEWSGTAPKRAREEEEPPREPLELTCKVCRYACGSAAAFARHRAEGGACVQLKRTGEPPSLACATCDAVLPNAWRLLFHLMDEPHKQKLVMLDASEDALSKVREADEKGATDWWAAVEKWIETQSVEGEGDEEKEEEQDVKGMTDVTIVCGRCRLVTEDWSTYVQHKRTDCIKPKDKRELDTVVCVEPAGLACALCGEGFVSAWKALFHLIDFHRMKLFNADYSKEAQVRADEQFAKSRAVVEKRMKKWIEKANTFSVSIDDWDSIQDEFLSTVFRINGYPWRLHISRKRRTEDSSLHFFSLFLICDKSSEAELWKCTAEIKLIQKDDFARRYAHTFSSYDEDSRKMCLWSRSALCNGHKLQFEIKTKEDGNSWRLCPDANFSMHDCILVFGERWRRFM >PPA14428 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:260392:268966:-1 gene:PPA14428 transcript:PPA14428 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKRKKAQKNAAFKKTKLKVGKILKKTNTTDSTIESRKVVLLTQLEEQMASVSIISVRNLGITASFKSYAMARNLSRLNPTTPAVRKNAIVGAKQILTSAPNLIETQLRTIIPAFARLISITDADSVTHAQLKSLLELSISPHFPLFVAHILRGLTHSHESVRSFALSVMHLLLDRYPSLCRDSTDIFQTILHFIGTSRKTSHSTRVLLDFVTSFLKIYDEESAVVSHCIELRLLTEEGVLSRRIDLCPHPKQMDPFHFPVLTTSAAGASSSLFTSPETLLSLHQALSPLVARVAMENDRNLTEHIVQLLALMKRGVERQPNKFMLTDFDERYAQSIDALLKAAKLQSIKDNKRVLAAIATLLQ >PPA14404 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:112946:113827:-1 gene:PPA14404 transcript:PPA14404 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSRRLSLGGYRTPTPTDKYATDSRMYGGGSSSARGVSSSSSSAYPAALGTAPLSSHHRSVSAVPGEQQVRGGKPGHPYATVNVGFYELLKPSEH >PPA14498 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:785433:790433:-1 gene:PPA14498 transcript:PPA14498 gene_biotype:protein_coding transcript_biotype:protein_coding MCPYFSFQTDAKLKKTKTRRVYGSDKDFLNCENYGDSFTMRYENASGSGEFERIQCAEDDEDFALFLVRKTQNGTGHIVEESEKIVNPESYIIYCSAASKHIRRHTIVTTPDHVGQRHRHARRKRTNLPFPVDEVCDNPTTCDRDPTCPVFSPGSKGKRANLTCPDSKWLINDQYQVVNVTCKRDEIRQTNDAVFYAKLATGQSLKISATDRCFTEYECEKKSRVRKSADSVVVEEGVMKCKDEDKLLTIYHEETNVTMNGNSSYTCDKTDGMFKNGAFIVPVDSQAMCIAKPIPTAEAKPIISTVQGTWSRGLLKKKNLKVNVIMGKHYKDMTRSELIAECKEDFGQMYLQCRLATPDTHYLILDGLKKIENLLQEGVEDPDAWEEAYTFLLRFHGSIRKIDRPIWHMLSRYLYLETKKIIDKHGWRTVEAIRNGNQKGLIRAVAVHLLSFSLLDRENYASEKLLMAGFLELGFQGTSQYPHAGLLWALVYHTRPFDQALKTDRNNRHHFNTIHLEHMLNKLAKKPCQIPLDKLIPAFSSVQHQAAFAIGALPDTAYIVSHSKDLVMANDHLSQYRLNRETNCQKTNQVKHEVSMGFFAGLCQSIMRNPIENILCSLLLSKPNPKILKTLHEVAPEAEWNAVARDGERTMTYYGRHMDAKRLHERFMPNDKDTDFFKMKMEQHAFEDAVEKARPELREWFAARTDIEKFKQKPGDFINPAVKLNRFGDYVLPPPKNAASGSKNAGSKSGSNSRGGSSGSNSSDGKSPVPSPSSEPKEVAAAATPAATAPGSAPASK >PPA14528 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:950336:951705:-1 gene:PPA14528 transcript:PPA14528 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLHDLPVEMVDAIFRFADDKTLLAMTQVSKYTKQFSGATILNRKESLKGGQISINFKIGQGKLLSKFAFLAAIEHILAEGFGLVSEGAQATMSKSVAVQFFQDMFAIPVGLYPTPTMIALISKIFEGKFVAELAFKLEHDNFYFDSLMNLISQLGTTTIHIHLGKFVPEHYLFEISKVVDSIYISGSSEFQYQKDRSAMTITFGVLNNRCSYLDVTNSSLTLDSKFQRSFLSCSFARINKHFYMSVRTTEKSIEHDQFDIRNYQARNHVVEFTEDCNSTGINVIEFRHVDMKRKHLA >PPA14487 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:735572:738624:-1 gene:PPA14487 transcript:PPA14487 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNGIFPTAARVFRTCAPSLSLTNKFIECAIRGRRLFISRALSPVTVDREVVNDRTHARYIIDENVKNNVEFDLKKGAAKPYSASKFVAEYKKYTQNHTARAELMLSENRLQSLCNWVCAQEETNLKELFGGAEFSSSRGTMQTIATTSLKHNPRNIKTENAWTIIAVKVGGVIMFCEITKSYDNVDLLGSYSGFKFEQYMTSDQPGGEPDTDAPVDNRAEFRNLVRSDLIFNGQSIPICCSAEVDAIRGDHSTSFCNLHWPMSLE >PPA14450 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:402587:405254:-1 gene:PPA14450 transcript:PPA14450 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMERQLQGLSSLVHSALKGMDETSVRDMEILRRQVLNMRPDRMPSEEPPSLPDSSLSGRENGRERESRERDFERAQQQQQHLAALRERVQQTATEMRQLRRVAQVNAQSSREIIRTAGDQILKLIAERLGRRAESVIPSRASDARKEDHSRQLSSLLQDLTSFERNVEEVRSSVLNSNRKLRMSEVERLTMSLTEIGKAAANLKTPCLG >PPA14549 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1082077:1083319:-1 gene:PPA14549 transcript:PPA14549 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQTVDECANDLSYLEDYHALSFEIIPEIVYVKQVLVEGSSTQSDVSSPLEYFLVFTGLLIFLIVSWVLADEFAAYLNKKRDKSRVGPLGKLCTTGILYYPLLSEQVGTEDNNCPA >PPA14491 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:751266:751697:-1 gene:PPA14491 transcript:PPA14491 gene_biotype:protein_coding transcript_biotype:protein_coding MFTIVRNTGRAFTHTHSRSVVYAPTLGQVEAIIQEMFDGDYSKTLHRGMCVMEKTEDSSAYNQTAVYTMLRRT >PPA14452 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:412847:414035:1 gene:PPA14452 transcript:PPA14452 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLSCLPPLRRRPEALAPKVGPLGLSPKKVGDDIAKATGDWKGLKVTCKLTIQNRQAKIDVVPSAASLIIKELKEPPRDRKEVKNVKHNGNIPFDALLKIARIMRPRSHGTQAGGNPLRF >PPA14530 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:957557:962552:1 gene:PPA14530 transcript:PPA14530 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCVPTRLSVGLSFDLTLFISLLLVCSLALPAHAVQKIDPRGCGTTYKCYVPKKCTADLADAAALSADIRDGLVVEVDDGVKITDDCPALIRFHAYDSHRVHVEIETITPNKLKCAFDAFRKQDTSDTSDTDLFKATAIDKIFFTIISKVGATFETKTTLDDRQNKKNNIYELRCNPATIEFRDSEDNKHIYEPVVYKLGSSLAKPAGYLFLNTRTKKYDDVKKVECYPDRFSVKDSTDAESGLTHFDTLDIRCVTEAKFYCEYDLKTKSDLQGSKKALKRTGPDFKTKPTTLEMLWGKGRRIRCPSEAPHLIIIDGVDERQIKNPQFECLNYGGIQQWTINGETFTEEGPEVYCTNEVQCLDTIPESAVIDGELDANFFPNCPGGTLYANKVDKGNLGAQVTATKCDKTDGVFKYTTADGKEHPFEKSSTKFICDYPVVENFAQQEKLKETIIKGSIFGIATAVGGGIIIIAVVCGFSIYKTRRDWKKQRELDMKLWNRYCLDEDEEEAYMKKKKAKNAKKGLPENEEVTAPAETCKWNKQQMSAQYRVLAQMAPNGHEWLKSDVIISKEDTLDFDPDDETNIAKATQYNIHYGTEIVSDSNTKFLKLLKELNFEKKFDAEIQIDRAENFMHRRKIPFIDAQKQEHKPQEWKE >PPA14400 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:89710:95820:1 gene:PPA14400 transcript:PPA14400 gene_biotype:protein_coding transcript_biotype:protein_coding MMMERCGDCEPVLDPFVPYDGVIVVGTRNISDYGRAIPPQGKVITVYRMPPLSGAEDLWKIIREHLPFSTVSNVTMLEPNEEGNRGNVYFKSTADTLSFNNYARRNCLVTLFTDARKIQFRTRLAVRIETNDDGKMWRLRPVLDPFVPYDGVIVVGTRNISVNRKSLAALSPFFFKLFYGNFNEQFHNEFSNIIAIIYGWDSASLTGENVHRMLRLADKLELKIVEDKIANFLFTELLVKQYTNTRVAELYKLPEIFEKLSHETLSVLFNKFSSISAAGAHKKMWIKPASVEVVASKSFSSHDVGQSSWMHAPIIHRESEITMTLKKWRGNQTEQYSSVKRVNGFPWRLKVYKGEEKDHIRLSLICDKGEEADLWKCTAQIWTGLYGGFTLDFASWDRWEQPISGGLFFAESEIAMDIATDVDGTIYHRLPTLNICEPFDGFLVIGEEKQTIEVNKKDFSTMMAMLYGKSGATINDKNALSILDLSCRYQFKISEDKVVNFLLSSASTDYSLTEKLLVSDLYSLPFLMDGILSQFKTDAQLRKVAESSEWKDLSKRTIRIMFEKFCYMPSLMS >PPA14534 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:983707:985732:-1 gene:PPA14534 transcript:PPA14534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 [Source:UniProtKB/TrEMBL;Acc:H3EXH8] MRTYINIVSPRRVRMWQYRQLTAVHRVNRPARPEKARHHGFRSKQGFVVYRVRVRRGGRKWPVIKGQTYGKPKTHGVNELKNVRSHQGVAEGRVGKRCGAHRVLSSYWIAEDSTYKFYEVVLIDPQHKAIRTNAELNWICKPVHKHREMRGLTSGGRKSRGLGKGHKFTQTNDERDLAQEAVGGRQIPPACPHVAIQAADCRSPSVLINRGKLEH >PPA14514 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:888567:892362:1 gene:PPA14514 transcript:PPA14514 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQYPIVIAEIPIRWDEPAWLPDPSPVFEDTANANYNMIRDIESHDALLQQLVPPTAAGGRAGTAAGAAGGGGAAGSSTGGAGTSGAEDDDSDVSVGSSDNGIDDALSLRAAPGGSS >PPA14396 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:75568:76625:1 gene:PPA14396 transcript:PPA14396 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDSFAHDKCLDRCSVEIGGRRFDVSSTILASRSVYFYNCFMQPSFIESQTRFVDLSMLEGITADDFHKFLRRAYVINEPIDGNSYQSLLKCADYFSSDQILKEAENFIIAHCCPEKWQANKKSDKKPFAINLITGIYLSFKHHLENAKRLWIFDYYAKTIIASQKV >PPA14535 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:987472:988802:-1 gene:PPA14535 transcript:PPA14535 gene_biotype:protein_coding transcript_biotype:protein_coding MMELKRKHARLAHELGTLNLRIDKLFPDLVKPSDSIDPFSKVGAPRTFVWMSNRGRSEMVKEIRRVEGEIRIGKTSRAIREREARQKRAEMHTTLMAKIRETWMELKREAEAAVLNEQKALAARAAVAAVAAE >PPA14504 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:823999:825146:-1 gene:PPA14504 transcript:PPA14504 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFPDCYGDNPLCDLGWSSICADLNLSYKTNLLALENLVLVMLDQDITVIPRESSWFGFYKEKDIDDWAENTG >PPA14433 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:281440:286242:1 gene:PPA14433 transcript:PPA14433 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMRINVPLRQQSLRWYQHVLHSLKYTLAIIGMLVLTAVTVLLVLINALKLLMGYRALPEYAQYIEVNSRHTFGMVGVALECVIIAYIMLTSVIGVYSMPFLKAIRPKRGGTTMTAVIINCSVVVVLTSALPVLASTLGITSFDLLGLYTSLRWLSNFRLVLTYNLLFAVVTTVVMVNKATSPVRAHLGRSMRELKLWRGGGPPPGSSGSLNDKGDAVSPPASPSPMTTMTSSRLAMAGDVEHEKHE >PPA14516 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:896830:898114:-1 gene:PPA14516 transcript:PPA14516 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTEDIELPDANLTPDCKDLLEGLLKRNVPERLGCRGRGPLEVKDHEFFKDVDWQTVYLRRMTPPLIPPRGEVNAADAFDIGNFDDDEVKGVKVQESDNELYKNFNIVISERWQNEISETVFEVVNQIQIYKSRASKTTRRVLVEF >PPA14460 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:559572:568689:1 gene:PPA14460 transcript:PPA14460 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYPSWSDDRDAYADAKWSAAPPAASHYGGGGGGGYPGADAYSAAPDAYSGGAAGGYAQYENRGSAGYGSAGYGSGGGGGGYGGNNAASSYVPKPGGPTGGKAAYEISFDTSSRDPAHLRSRVFIGCLGQTLVVREDIIELCRPFGPLMAATLFKQGYAFVQFEQAEDADNACEVLNGKRWKGVNIDVHLAMEGLVRKTLPLWHKRGAEKPAGGGARGGGGGRGGHGGGGGGMGAGSMEGGGDWQGISSSKKAKLATAPLGSTTMNEEAMMEVNRANREAATADNSTPELFGSEMADTLICGTCRYVTSDFVAFREHRKGACVKPKESTEPPVLRCASCGLRFLSAWKMLFHLTDFHRMQLFKADYTEESIERARFEDKKYQSVSDTRMAAWRAELANERAFVVEPAAAAPPAAAAPTPSNNNGSAAGAAGRTGGGGGAAAPAGPPQQKQSFAEDDVDVNTFGAAPAGKAGAPAAAAAAAKPSATPVERVTTGADYDEDEEDDMDEGAPAPIPSLVCVK >PPA14539 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1011994:1018683:1 gene:PPA14539 transcript:PPA14539 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-inft-1 MWNPSGIPQAECHFCDDSLKTEETLKIVAHFVSRLNTAAKENEARAARAASAAVAAATCAVHASHSDNSLEQKASTKVLRRSRTFAPANEEEATLECLLLRDNRTNLTSNRRRSILPQSSVSSSNLEDYLTAMETERNPAKEPETSTAIHLEVLDSTSGGDSSSSVSPKSSDEGFDSDAKEALVAAVSPIAKAETPSRADQPPSRECSATPEAATDVIGLIEVPAVTVSPPTPVTAGKPIRKTVSITTSPTAVAKVRRPLTVTNKTPSPPTTRVATPSKIDVPSPSGARSPSALRTPQATTATRPEAKPRSGFTASKLTSPAMIRRPPVRQAAVTPAAAPPLPKPSPRPSLPAVSRTPQPVRARPSAAPRRSMPLTDDERKLVTKETTYEVEVKQLHIVENCKPALSQRASSGVSTASRPALIKTNTPSATAKPTIKNDVVERPKPLRQTTARPKAI >PPA14562 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:1157863:1158619:1 gene:PPA14562 transcript:PPA14562 gene_biotype:protein_coding transcript_biotype:protein_coding MADPLACLRAATIVIGVWNIIYACIQIGVMGWQTQVVKDQMGYWENREIPDNALIYSDFKARFPGLYQLYTETPERRRINARQLQLSCSILVSI >PPA14512 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:877480:880011:1 gene:PPA14512 transcript:PPA14512 gene_biotype:protein_coding transcript_biotype:protein_coding MHKYQPRLHIARANDIPLLPFSTLRTFIFKETEFIAVTAYQNEKVTQLKIDHNPFAKGFRDAGAGKREKKRLMAVRAERDSGLERSDSPRAISSAPPSEASGDDDECPPPAKRMRPSDGPSLTALDSCGGGGGGLGGGPASLFPLMAAAMAARGAGGIGGFPAPFFGAAAPPPDFYLQQWSALLPGLQQLAAATAAAAAAASNSPPPALASPPATTTDSSESSSSAPNSLSPSTPPKKSEKLSGFGMSDLLEK >PPA14518 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:901573:904473:-1 gene:PPA14518 transcript:PPA14518 gene_biotype:protein_coding transcript_biotype:protein_coding MADLEAVLADVSYLMAMEKSRSQPAARASKRIVLPDPSVRSIMIKYLEKTGELKFDKIFSQRLGFLLLKDFAENVSENACPQIKFYEAIKEYEKTETPEERLVKAREIYDHHIMVEMLAHAHNYSKEALQAVQLHLLKGQVPANLFQQYVTEICDQLKGDIFQKFLDSEKMAWDAEKSGAGKYHPFLISGSFQFTRFCQWKNLELNMQLTMNDFSIHRIIGRGGFGEVYGCRKADTGKIIAASQYFKML >PPA14493 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:759683:765825:-1 gene:PPA14493 transcript:PPA14493 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIVLPNPTGNEILGEDYMRANQDRTDFHCPPNYDIQWKKYQTDEDRNLEETATWRSTAGIKCDPGALGISYRDDDGVWHTDQGRKLVKCERIKKSCKQCPAPSCPNQAKCPKVVNNDYSNYTACAQLKCTRNDEFIEIDGHFHSEAVGAFDYRVFEMLSKLNVLTCNDGSQWTSGGIKFTVEKNGKQEAVVCRAPDTQMSYMLEEEKKKKREVQLIAVVCHTLDGQWYETANGTAGEAAVMQPYKNGTLDCKVYKKTTAENVKTGGLLMYAGIGLAVVAVIIAIILLICCCISRSNKKKNRVSAIKHQLANKDSKSRDSKTVSKGTTSKGTTSKGSSDRSVTGARGKKRKSGDEKEDGPAIPDDGEPKKPEPRSKPASGSSITKTSELQRLQQEEEERKKAAAAAALLETSKVEDGGKEEEPQTDTPIDSAVVGKDIRDLEQPDKTPFSPNKPPQKRRMKRATILESNEPPPRPNEAAPVQPAPRSEPPTPTPAPAPVSVMAKTQAGGADEDDEKEQPMWHNQPQAAPAPAPAPDVTQLELLRSGAAPVTAAAAAPASDPQQEEMKTSREKERDAAAESAARKKKIADMPTGKKKESAKKVKSNKLTTPEAEFKEPKKARSSFLKPSDIVSDKSSSKKGGGKSKKATKRAGDEPSKKMDATQHGHAHGSEVGCGPLCSPMPRSHTDPDEE >PPA14423 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:227916:236512:-1 gene:PPA14423 transcript:PPA14423 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAYLVCTIKVTEWRTKFQREMNEKDNASSAIGTDSLLNYETVKYYGNEQFETDRFRQSIVQYQEAEWKSNASLMMLNVVQNSLIGVGMTVGSILVVYFIKIQTAETLRPLSVGDYVLFTTYMLQLYAPLNFFGTVYRVIQKSFIDMEQMFDLMAEDVEVKDAPDASEYHLSEGRISMKDLTFEYHPGKTVLSNIDFSVSSGETVALVGPSGSGKSTIIRLLFRLFEACDEEARKYCLLGGSIEYDDADVRGLKMSSLRKQIGIVPQDTVLFNDTIRYNIRFGRPSATDEEVEEAARAAMIHDKILTLPNGYDTVVGERGLKLSGGEKQRVAIARTILKQPQFIFLDEATSALDTHTERAIQKCLEELCASRTSVVVAHRLSTIVRATQILVLDKGRIAERGTHQQLLEADGIYARMWASQMAESTGGADVVVEPASDEPTKDEEEPVKQMPPSHHHHHH >PPA14552 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1098835:1103212:-1 gene:PPA14552 transcript:PPA14552 gene_biotype:protein_coding transcript_biotype:protein_coding MRYILALLFGASISHAYTNCRSNLHEELERERREDLEAHECRACGQIDVWEDGNCPEMGYECDETLAITTRVLSANDCNCAQLRCRATHAFIAVNGQISGKLHCKDRKWWTPYHETESAVCARSCGLNVCPLQPARYFLEHPSHRPLVVAPPDAGHKCAWAECQNGAVAKPIDDSSDVTFQGLARFACSGGRSWTYTGPEAEVRNLKFVVCLSNSCQSCTKELITITTTGQGAHNFDSAVLDSSGTCAILKFTCKGPNANIEVNGDSGVISDGDDGAVDGVASLSVECNSNGTGWMKGGAIVTQVECASECRTLPFADSNAVCTSIYHCYEPNFVDGDDEGWTKMVCVDPNALRREEDNTEIAPTCKGGVWQVDRDSIDSSTKVMCITCPSVDTVPDDGVEPTVSEHNKEVTCKSGKLTIEFGDGGKTPVTSLKCSTDFVWTATGGNFGPFKSLEELVNQGVSWKARCIKPTQGGCNSCTKELITITKNEPGAHDLDGDVIETMVSCSFRTLTCKGTNANIEFNKGGGVVTDGEDGAVDGVAKLVLICSDDGTTWKLANYDIPVSQIECASTGGLTCQALPFDDSNVVCGSKYKCYEPEFKNDEVPAKMDCKDPGALRTSEGNTEIAPTCKNTCN >PPA14497 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:779684:784655:1 gene:PPA14497 transcript:PPA14497 gene_biotype:protein_coding transcript_biotype:protein_coding MTYKKKGSNDFIPAEKIVCDKDTIPTFAVYTKFFCKYKPKVKANETSLILEEKTEDPKPEEPKTFDDATKAKIHIGLYVAIGVSVSVIIGSCVVSSVCMKRKMKRKKKEYLAEELRNIQYMTREEKALIRKNEAKVKKQNPQQKSGEKSKTGEKDEKMEQLKGPTKTSQHQSMTKMGVTLNAKDQLSNRPDDKSGVLNDGSKKLATHPRLKGTHGLPKTALFKIFNLNERASLALMKEMDVKRKKGKLPADNEN >PPA14430 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:273797:279044:1 gene:PPA14430 transcript:PPA14430 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTSLAGSIGCIMLLPFSVLGAELLQSDFFIVLIDCARYPSSYYLQWLSWSLLHSLWNYVFFLCNISLFVLLPFAYFFIESQGFNKKRTGVLQRAYETGAVCAILVVLLLCLSDLVFTLILPTGAGGGGGFAFGLSSLSVPLLYSIVSLGGVVLLLVSTPLGFTKMFGIVSELAQARPPPADDVSVERLELCCRSTPQRPPAATAAREGEYGLRSRAAGVGGVRHGLANGYGDSADTVRIHAAHTTPSLAELMGMRDA >PPA14414 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:183907:186458:-1 gene:PPA14414 transcript:PPA14414 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNELSLTVGHWATKQVEQFSHAVIDGYPWSVIEKRLRLFKMDSKRLGVPHFGVSLICDKSKECDLWECSVSFTFSPSRDKNSLTAEFNSWDKRNHERYFCNGEYEEDVILKVTMTIDQHGNRWNLRPTLGLLAPRNRILVIGERDIYVNKESLSSLSKTFKDLFNNNFKDYKLAEITIPDVEYDDFSDLINTVYEFDCAFTDGKARRMMELAVRFDLKIVQDRIVSYLLSHECHIDIKGKHDISPKHRFDLVAVVLAL >PPA14459 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:551197:553801:1 gene:PPA14459 transcript:PPA14459 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQLLIALGVGHPKVDQICTLLARYGIHPKMTGAGGGGSVFAFLKPDTPATLLDMITSELVKLGYDVWQPPLGGPGVVEHARRPALFSVPVASAPVTHDVSSLVF >PPA14388 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:13079:17030:1 gene:PPA14388 transcript:PPA14388 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKEYRIVLPLTVDEYQVGQLYSVAEASKAETGGGEGVEVEVLKNEPFANHALFNGSYDRGQYTHKIYHLQSKVPALIRKIAPKGSLAIHEEAWNAYPYCRTVLTNPDYMKDNFFVKVETIHLPDRGTTENAHGLSKEELAKREVVHIDIANDKEFLASADVKPETSPALFQSKKTGRGPLVNNWREGTDPKKRKIGKDPIEGDWRTTMEPVMCAYKLVSVHFKWFGFQQMVESFSHKQYPRLFSKFHREVFCWIDDWHGLTMADIRALEEKAQPASFVPDKRQHESFLAQKELEEARKNGAVRGMTAN >PPA14471 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:604383:610802:-1 gene:PPA14471 transcript:PPA14471 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIEIQYVDYDILTISIAAATQLARGRVRCRNGEAESQVGATFHSSQEAARRVKEDAARRAAAAAAGFRLLEKERKNRENAKQRFSCTLCPLHYERHLQLHKHHHGAGCGGCKQPARVLRQRHECFKCGAFAVNVETHLIESHYAVSSRRGALLECSRCSRTFELLKEVLAHEKAVHGVLHRSGVKKRTPPAPIRRGPPQFHCRECGDAFDTRYVRDQHLTKHFQSVLESVWLTVERMHEDQPDRYVMNECPLCGTVMSSRKSFRTHIIHKHLIHDRRALDLLVGPDVKQEELLMVQEHIALEGRKVRISRSPWSCHHCRWKR >PPA14421 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:211647:220510:-1 gene:PPA14421 transcript:PPA14421 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTPVKSKREYTKISMVVEEWGEDQTEQVSAVHRISGYPWRLRLIKGSITELKTGVHRGQVDLICDKSDEAELWWCTAAIKSPFHKYTDSHSFKSWISHTHCHRIPVSSQIAATVEVEARILEVEISLNNNGEIWNLRPTLDPFEPRDGILVIGEEKKKVHVHKRSLASVSPFFDRLFFGDFMEKNMSEIPIEDVEYKEFTNFLKMIYWFNGVSLNDENVCRVLQLADKFDVKIVKDRVVSYLLSPSSFNIDIHQKLLISEQYHLPFLMERIIARYSIYMLKELIDSTVWDQISRKTAQVVVKKFADDTDSDKYSKISMVVEKWEKGQFEQFSAVHRISGYPWRLRLYGDWIERDIELQCCKSDEAELWTCYAKIKSPLSYFSHTKRRSFKSWSTKTHHKRMTVKPEANDELMTTGSLLEVEIAVSSVHGDKWRSRPILDSVEFRDGILVIGKEKKKINVNKASLFFDRLFFGEFKEKDMDEITIEDVEYEEFCVLFKFIYRLDGISLTDENLHKILQLADRFDLKIVKDVCVSYLLSSSSSLSIHQRLLIAEKNNLNMLKELLILRYTPHRLNELMNSPELESLSKETTKLLLKQIKSRFPFSVAGRRMSTPPAKKMKESTTISMVVEQWTRGQSEQHSAVHRINALCGRLVIVRRYGSRRDVELVCDKSNEAELWMCKPKIKNSPYLSFPKFQEKPTFSSWGTRNAELEVKYGHYSKIKTVGTVLEVDIELMDDDGEKWRPRPILDLHQRLDVILVIGKDKRKISVNKASLASLSSFFDRLFFGDFKEKNMREITIGDVEHEEFCKLISIIYRLDGIALSDANVFKVLQLADRFDLKDGIISQYTSRDLRDLMESPEWDAISKETNKALMKRCCDLVDFNDFDSDDEQNLSESEPDE >PPA14476 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:634919:637893:-1 gene:PPA14476 transcript:PPA14476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-aldo-2 description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:H3EXC0] MCWLASYRLSGREAWGRKGGGGHLIYRLLPVTEQVLSFVYKALADHHVYLEGTLLKPNMVTPGQSSAKKSSHEEIGLATVEALRRGVPSAVPGITFLSGGQSELDATANLNAINQAPLLKPWKLTFSYGRALQQSVLKAWGGKDANVGAAQKIMVPEYQVPWYQGPRIMVPEYQVPWYQGPRIMVPEYQVPWYQGPRIMVPEYQVPWYQGPRIMVPEYQVPWYQGPRIMVPEYQVPWYQGPRIMVPEYQGEDASSAAAESLFVAKHSY >PPA14532 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:969027:977873:1 gene:PPA14532 transcript:PPA14532 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPVHRSTDTSFPLPRYYNSPILRFPATGVPGYPDSLNPWYTGTLVLWYTSTLIHWCPGTLVHSYPGTLVHWYTGTLHTGTLVPWYTGTLVHWYTGTLVPWYPGALIILLVLLPALALGAESSIEWSKCEAKESATHWVKTSEKIILKLQTDQAIEYKSVTEENKKFFEATDKGQRALEELATPEAYTIYCAAKFDEVCREPNDCAPVKECRDPKDCAPRPECPVYKAGAVDQPATLTCPGNKWMIDGEYAVLNISAPVCKKDTNHNQAAFHFKLPSGWRQKQGARCFEKYDCHTYSKLIYSCLDESKCVVADYSNGTLKCPPENTLTIFYNHQVLNESISYKCNTTTGQYQNGSVIIERRSRVSCIGVNITFGGKEAETSIDEPKTYLIIGGVCVGVIALIAAAFIGFQCLRRHKSKVVVQGREAFRKWKRKKRIDYCKKAFSEILLSTAETHANILAGLNLIDTMLAVGADDRECWEEASAFLRRLQGTIQRVDVPIWHMLSRYLVIQAAKIIDKHGWIAPKRIRKKSRKGLIRTVAIQLLSFAINDNKNAEAEDMIQSGFIKLGFDAVEQYPHCGLLWATILHTQPLAKQSKADKSLIATFHELNFAANLRTIVQDAVPKAERIPGFDSIAQQAAYALGGAPLPRTLNFDYDDGAGKPIFYVRLLSVQFPRFTLQTDCV >PPA14502 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:807998:812927:1 gene:PPA14502 transcript:PPA14502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3EXE6] MGNTPSDSSSSSGDMWDIWTRDDEEDARLLARAAAGGNETTGLGVTGAVTGTGADATGVTGVTGETTTGAPVAKHNWAASNTNASGGKDVTTGKDETTGTGNVGQVDSTRSGAGKGETTGDSGVCTAGKTGEYAAAKPEKRNFGFTSVQPKRDKTGCTTEGNAGSAPNGDLPKKPAPVVVVRDENVEAIEPLTVKDLDQCGLGPDPEGRMVEAPLDPRIKESRLTPVEKTRCFEILHEIFLPFSVSGKRVPFLPIDVLDIMTMVIPYLESEPMLIDDVPFDITIVADLHGQLYDLDRVFKDDAKDGKPGWECQKYLFLGNYVDRGRQSLEIVMALYCIKMLYPDRIFLLRGNHEFFHTNATNGFILELHDRYCEVDKPFFPFRSTADHAIKLETRDQLFFKANESFCYLSTAAIVGNTYFCAHAGISPQAFTRRQMISPCSKKRSNKTTFNGKRRSSIYFGLDELALALDNVGCCGLFRGHTIQPYGFAFIGGLCVSLFTATGSKNYNMGAVAILDKEGKVNFRTYECDPNYVAWVNELRRLDGACLGSMQRDDETTVMDDNGEGTPTLMQ >PPA14431 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:274357:274596:-1 gene:PPA14431 transcript:PPA14431 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRITWIKHLKCTSDYSKCKDHARTSAIPLRHMVLLKPQINYFTFQISTIFVIHISNFNHLIRSL >PPA14418 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:199583:200126:-1 gene:PPA14418 transcript:PPA14418 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLSNVLSMIDRTDKSAYLSDDNALEVLKYARYLRIQIIEDRVVSFLLSSSSTMALCGWVAINVFR >PPA14543 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1036271:1042420:1 gene:PPA14543 transcript:PPA14543 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dnj-10 MTENREVVASLVSLSTTPVSASLPNARALNLTAKHAVIAVKSAELHTSAPLSANKDYYKTLGVEKKADAKSIKKAYFNLAKKYHPDVNKTKEAESKFQEISEAYEVLSDDTKRQQYDTFGSDMGNGMHGRGGAGAQWNYQTHADVNEIFRRAFGFGKGGINWNMNFAESQYGSNQTEELVLDLSFEEAVRGTTKFLDLKTVNVRVIEDCFKCKGSAVEPGYKKVSCPYCNGSGMMTQKLQGGYFFQQPCNRCTGTGQYNKNPCQECEGQGSTVQRRPISLQVPAGTHDKETIRYQTGKTNVLVHFNVARSNKFTRQGDDIHVDVEITVAQAILGGTVRVPGIYEDTSIQITPGTGSHTKLRLSGKGVKRANAHGSGDQYINVRIAVPKYLNDEQRRIMKEWAATDKPKTGSVRGIVEEEVKKEAPKKAAKQEQQPKADGATKAEEQPKQEEQGQKGAGEQEEEGWLGKMKRSFFGWITTF >PPA14455 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:457650:469665:1 gene:PPA14455 transcript:PPA14455 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-kin-18 MINSRGRIEAPVVKCSGARDGSMGPPPQGLKPGQIKDPKIAALFSTKDPDARFDDLKEIGHGSFGAVFYAFDKERGETVAIKKMSYSGKQAAEKWIDVIKEVQFLTSLRHENIVEYRASFLKESTCWLVMEYCIGSAADLVDVLKKGLREVEIAAICHSTLAALAYLHSLGRIHRDVKAGNILLNDAGCVKLGELGVTVKPNKSMSNAPSIPADFGSSSMVSPARTFIGTPFFMAPEVILAMDDGEYAERADIWSLGITAIELAERRPPLFSMNAMSALYHIAQNEPPTLAPVEPDAPSWSPAFVSFVGACLKKEPGERMTTAEAQQLTITEALQHSFVRAAHAPHVVVELIARTKRLVRELDNNQLRKMKKLMLLDEKRGDNGADSLSLSLSTAGGGGTDDDCGIDSLSLSREGAGSERGDDDTRTTEGISSRSVSLTSLHSLQSTSGYSGSNVTGSGGGGGAAVGSTARDSNATVVSVRDEDEEGSERTESRQDASEHREGTPRRGGEKENNAAHPQPPPPPLGDMDLSHRNARV >PPA14462 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:572934:576125:-1 gene:PPA14462 transcript:PPA14462 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHLTIEIENIHLFDDELVNEILCTRIQDTGPTVVYNLYDHPVRDAIPVDRLVSESKGTKLKLIHSWQHESYTKMVKGDLGSAIANENSPFIYFVAPEFSKNLKSLDTRNMKFLPDLTFEFGFYFDPTVPYDPLFSDFKSEFLDKFEPLGVLGEGGFGCVFEARNRVDQWKYAVKRIPLRGSVQAVDSALKEVRTLAALKHDGIVCYNSSWIEKPPARWQKTADTKLLKKLGYDKTMSYSDDCVFIYIQMEVALLCTKSLHQWLYSTSHRDITQAKVWFKELVLAVQYLHQMNKIHRDLKPSNALFDAKGRVKICDLGLIAERELRNGSEIDITRTAIGTPMYMAPEQDSKRSRNVMCISDFWNLIVDFSLRKRRYGRYSSKVDIYSLGLILAELCVCMTNYQAYEAFMMYQNGRSTSILKHLPAVVRTFFISYHLHNKLD >PPA14464 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:584592:588101:1 gene:PPA14464 transcript:PPA14464 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSERLDMIETWRKKAYQNSHSDLLCRLQTGLFEIMQAMISTDPHSQSMQMRIQALSMECKSAVREDQTIVGVVYPPTGCWPVADQLLTSTLTSCSRIRQQLVTNCENDEERALHYGMLTSVCQLFTFLSREGENGLSSPQSNMQNESSHSPLDTDIFNEVMESAARHAQKKKEVIARRNTFYANPKDLVKKKLMKTVNFDQSLLTSSKYDHKGVFDTLEPEEFTRKYYQSEGLEKVLIFDCKPEELGMK >PPA14574 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:1225317:1234841:-1 gene:PPA14574 transcript:PPA14574 gene_biotype:protein_coding transcript_biotype:protein_coding MDGASYQSYSTDSPTEAFWAITTDVDADAWLYQPLVGIDNHPCHQLIRIAQVFHIENYGESEDYDHAFAFLNMYAVREGVEKEVYASNGLFRGGCSFHFYFPAFRCRPNENLHYEFNLRTEEGFYIQRAGVMTCFNSFPTPAPPSDCQNGGVMYNETCLCQPHYEGAHCESVICENGGTPYFGICQCAAGWLGPFCNTAQCSESGPVPNYGYHVDMAFLVEVTKSGVKQIQQLITSLPEIIRDINSQHPDWINRLVLIGYDSDKVIGMVDTPMDNTKKFFDTLNQWGNSNPVDDGCIVKVWPAIDQLLNGRLDGNQQHELPYRSVVNIFETGQPSDLANTVNLIQTSEEFLERKTLTNVFQAKDEATGGWRCNGKNDDFVYIEQLARRGDGKMYTLSNADLGKWVTVHVQITPGRAVDPVNDGISEIRHGSGVPNQCEGLRNLTNLRDLTGLRAVRMIPTLFSSAIVYKYHTEDCTTVPHNIYFPVDAYTQTVSAVIAGYNAEVKLFKYDGSQFTSDGRIDILSDDRNQVVEFRNHYDMRTCDADWDSVSQYCMYFNSALVKNFVAGNELCQHMNGFLADDLSNEKNEWLKSSSLAVPGNINFWVDGKIPDGSSGTCAYFYNGLWYPASCDEKHLVVCQKHMFDSTNEPSNIDDDDLAPGKYYLTVQTGLEGPWKGCDVEVRVQSDLNIEFGFVDGLRKDTPHPVANIDSDQNRVVSSIAIGKEETQTSILQHVMLRSDDDSSLLLEAATYSYRFGCRQFC >PPA14485 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:723681:725528:-1 gene:PPA14485 transcript:PPA14485 gene_biotype:protein_coding transcript_biotype:protein_coding MPSADETLVKKKKDCADPPFDKSFFTWSGMDKLSKVSVDRLSSFVFNREAYGSELCALFHKLVIYHQKWSAYNEEQKMQKASTDKPAEIRVAKRLAEEVEARKEDTLAKTLPDIKIEEDQSFSTPDHVQNDFVPTAAVFASPPPPDPSLGWPHMKKPKVEESSTDDDDKDFEKLLVSMESPHRIECNDRMQYQQSIGYSVEDGISAHVSMIFGERSGFSETIAQNPSHAVRRSSADNRLYNTNATSYPAVTLRHRTALHIFPDNYPAIRQTSSHPKFQNARFSYVCPFVPSKSSILIHLATCWLCERRPVRQF >PPA14507 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:844367:847354:1 gene:PPA14507 transcript:PPA14507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-262 MASFDDELALFEAEINSIVTEPDAAPSTSAAPSGTGSASTISAPPSITAPPVPPVVLMPTVPLLVPPVASIVNFGAAAALTNAGGLMLPPQLRNQINQQGGGVTTAAPATIESKPQMYAPAKADDPNKKIEEMKTMALPADVELNELRKAKQRNEQNSYLGQVQRGEKKMKRFVRCAGGEQWEDPSLAEWDPNDFRVFCGDLGNEVSDELLAKAFRKYPSFQKAKVVRDGKSNKSKGYGFVSFKSQEDYIRAMREMDGKYVGNRPIKLRKSNWKDRSMEVVKKKIKQKKKLGLM >PPA14416 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:189639:192476:-1 gene:PPA14416 transcript:PPA14416 gene_biotype:protein_coding transcript_biotype:protein_coding MATWEGVQSYHQFSPVKRIGGYPWRLRVYKSHSDDDLYVQLICDKSNEAELWQCTVVFKELRITPDGFDVFSSYHLITKSGRSEEFDRHTFNSWDERTREYCVASIDMKDAVSRIEIDLAMSNDGHCWTPRPSVDLFHLRDGILLIGEEKRKFRVNKELLASQSLFFDRLFNGYFMEKNMAEIPIGDVDYEVELEFSNIIGLLYGEETALLSYENVFRVVELAVRFELKIVEDRAVSLLLSPAFPLRVTRAQKLLVADRHNIVFMRGILLNTDISDYELRELSGSSELEHLSPDTVRTIVRLCSDRFGSPFLLSMIQ >PPA14446 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:382806:384258:1 gene:PPA14446 transcript:PPA14446 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPLLDPSDSSIELMRFLLDCFTLFGPPEGFVVGSDKNWEWIRQTMVSVESHYKVDIKCIGMAKEHACGRAISADAARKTDGDAGFRLMIHQDYVNRAEKAE >PPA14435 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:298135:305784:1 gene:PPA14435 transcript:PPA14435 gene_biotype:protein_coding transcript_biotype:protein_coding MDERKKLADKFFDKESATSVAKLSPDMRTQMCRYAAAVFEWICCMPTPDLLAIIPCFADIETPFPVTASVKRALVRRELGVRVADVWRQTKSTVKLANHFKLVENVALLSLLDYSFANSATYEMDVLPLLKHFHIDRVDYHALSKFVFEYSGEMKKWKHPEEMILHTLLTPIATAILLTMLNAECVRAAPLDIPWESIKRDFTVLKKEDLDAYDDAIREALEVMGLEEPMKKARQDARNMENNIEGMRAQEAKIREQMKFDKAVMGELI >PPA14472 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:611479:613434:-1 gene:PPA14472 transcript:PPA14472 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKKLYSTRHRSRQIEKETRYDDIFSAVTSAVQDEGQFGGISQRLIEENSMPVRMRLFSQSSHSSSSESEEEEEEPEKEGSQVLLQSSSGRPLRRAALAARNAFEAIETIEETTEEAFEIQLVVSSV >PPA14509 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:854876:855178:1 gene:PPA14509 transcript:PPA14509 gene_biotype:protein_coding transcript_biotype:protein_coding MTESAEGSPSNTLGYSLVNLATVSRVRRELTKRRDDHGGKSMLHKIFRIPC >PPA14521 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:925369:926468:-1 gene:PPA14521 transcript:PPA14521 gene_biotype:protein_coding transcript_biotype:protein_coding MTARRDEKFLALMNAVAPEGDWHRLVEEAARVATYYGRHLDVKTIYERFMPLPGTKDRGIAKSAHANVDFVKMQMEQKLFEAAIESSKADIRAWLAAHTDKAEFKQKPDYSANPKGDHFVGEFKPLKDGCGDKFDAKLDAAKSSRAGSDTKSSENAKPASDPKEAAAAAAATPATP >PPA14571 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1210654:1211674:1 gene:PPA14571 transcript:PPA14571 gene_biotype:protein_coding transcript_biotype:protein_coding MANELSEERRTLKAAVDVLVHNIRCSDREIKELETALGKQMALNMKQQEEITKSPANTSYYADWEKKYRRLLLKVARPGMDRKIAVEMVEELAWNPEKNDDLQNDQCSFGEKKHGTDACGSTARKSILRMAKKSIKK >PPA14500 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:799252:799863:1 gene:PPA14500 transcript:PPA14500 gene_biotype:protein_coding transcript_biotype:protein_coding MMHKVAPAEEWNAIAKDGERTMTYYGKHMDDKKLHERFMPNDKDTDFFKINLHIQMEQKEFEEAVEKARPELREWFAARTDIEEFKQKTEEFIDPNVKLDKKFGDYVPKPLPNAAAASVTQPQSGSNSGGSSGSNTPGGKTPAPPPPSEPKEAAPAAPAAAAAAPASK >PPA14441 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:351470:351783:1 gene:PPA14441 transcript:PPA14441 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAAYGGIPSPFDPGENNEAKRSGSLEQCS >PPA14429 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:270946:272855:1 gene:PPA14429 transcript:PPA14429 gene_biotype:protein_coding transcript_biotype:protein_coding MFDTLLEWLQGGADAPLSMEDKAAYIAAMEEKEREFHGWVREHIICLLLFIALYLFSYWLISRYKERTDSDSLYSGEEDYIVYRIS >PPA14402 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:106293:106794:1 gene:PPA14402 transcript:PPA14402 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNLSAYSRTIPPQGKVITVYRMPPLSGAEDLWKIIREHLPFSTVADVTLLEPKQKGNRGNVYFNSTADVTKYLKHFDGAIIGGVKVYALPH >PPA14565 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1164917:1168041:1 gene:PPA14565 transcript:PPA14565 gene_biotype:protein_coding transcript_biotype:protein_coding MARIIFVEIRGRILPKNKPADLATSTFYFSAFPITNRLELKKHLTIAALGNHSPDAPVPSFEEERDDFEQLLDKPLCQLVPLSPDDVPNDDISGVHAIPLLCRTSASRISSFNGEREDVERVLSDDDFSAPSNALEEPWYDAWDDADGEEIPVAMPPITEGYGAEFVYDEPMQVVQLREEIVESSRRARRRTLSEMSYDETGNWKKCNDESSSEDELDNAQEDERSNNYDADEEDCVDEMDNRRSSGGKRRPPGAPEYEDEAVVKRRQTSIHYIGT >PPA14392 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:46864:52199:1 gene:PPA14392 transcript:PPA14392 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQDLTARCRVLREAHHDWNNYTHMSITDKGVVYCIGQGVRRASTVLRMDLNTDPATISEVRHSRDPASLEPYDISKGRQIPFEMESVQLYGVYYAPLNRAFVGPPGALPPMVVMGHSGPTLPTSESLDLKKQFLTSRGFAVFDVCYRGSSGGGSKLRSALYSKWGLADRDDLIAGAALACSRGLADPKRVCVWGSSATGTPAPFPQVGIKRAYVPAASSTHLAYKRCECAWELRRFPCSLASLTDEREHGKLRESDTIHRRFTALACAIAAPEVFAAAVSIYGVADLMGLLNELSYIHGDVDLMGLLSDTHKFEKGYNQALVGRLPEAAEEFRTMRNSKVAGGVHFLTTCIHLLLQTATVGGKKKRV >PPA14541 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:1022083:1024338:-1 gene:PPA14541 transcript:PPA14541 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGGSASVSPTTGMEKTGNADIDRRIRRQIANCNERRRMQSINAGFQSLRALLPRKEGEKLSKAAILQQTAELIQQLRAERSRFLERTGSSEEDTIPCGPPSAVWKKPRLERESDSFESIPSSSSSSAASSQNNIAAAAAAQSTGAAAAAAASLATPTTSLAAEMPQITELQQQLERERGSQLYPKIGPGKKRPDGDEL >PPA14525 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:937222:942141:1 gene:PPA14525 transcript:PPA14525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3EXG9] MGNTPSDSSSSPGDIWDIWTRDDEEEARLLARRESAGGTGATTGMSSDVVSQTGAEGVTGGGATTEQGFGNAPAATPEEAEEGPEPVLDQCGLDPGDWVEVPQPSRIKETRLTAEEQTRFRGHLARIFSDEAYWGQRTEVKRMLCQAGAIETLQFDPDDVNEVMEKVIPYLESESMLIQDVPYDIVIVADLHGQLHDLHHIFDIDAKDGKPGWECMKYLFLGDYVDRGRQSLELVMALFCIKMLHPDRIFLLRGNHEFYTANARYGFPLDFHERYTNEETAKYLYFKVNYAFCYLSVAAIVGDTYYCAHAGISPTGFSRALMSRIKKPYLNSQDDIMPHDVMWGDPADGLHGLTFDTDRGTSMWFGYDELAAALDNMDCKAMFRGHTALKTGYQVVGDMCISLFSCVGQSCIVLGVKLRNWRIWNTEDLYRYSGRFDGKDRQLQNKGATAYLDPRGRLTMRVTDIDEERVEWDAMLLRLDGQVPDYRDVKTKFGQLTPADKAAAPAEIIGIKTF >PPA14478 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:646306:647663:-1 gene:PPA14478 transcript:PPA14478 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVQSPLWKLTGLWPKYVFLQEQIGGAIGSFLNNTGGGMIDGEKWRRGEATGEEIEPMPPFPISFIPIISHHLLPKQGILQ >PPA14567 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1179634:1186094:1 gene:PPA14567 transcript:PPA14567 gene_biotype:protein_coding transcript_biotype:protein_coding MYETQKGSGVQSISPLLQRERRRPSGRAAPAADDAPFRACASVRAAHAGARASSRAADAYAVRSAGGRGAPRRLDCVIDGFGAQRHSDERGSTTSRKRSAILEASMLTAGTLRTPVRPGDDAVVDEEEEMQLARGAPLDDTMRSAGGRLSPVIPDALDDEQMMDYSLVAPLDATMHSAAGHLSLAHPDALEEEKMDYSLVAVDALNEPPAAGDPQQPRSKVTVRRRLPKRDAVVPAAAPDAVEEQHAAKQEDPEEPRDTQEDPKEIDEEPKELPLAPPSPNSPRLILTARRSGFAPQRADIVPTAAAAPVEPGAATEGEAAEDDQDRTEYGGSHGHYREHSPLLADDIFALPDDDAAASRPGTPHRRSFSAEEEEEAHACSPLKRSRLAINALEKQLAEAATALADEQFLRACDKRAQRRNMVALEEEWAARANARADTYRLAAEVEALEAEVAAERVRADAAQQAAIAIAAAAAVAAAVQQRVAPAPAPAAAAVPGPPRTRSSLPIWLASRPACWPTSTRPASPPRYRNPIATINGKARDRLSKDWRSLTITAGKRLTKVKEVAAVGAARITAATVADPAYLAQLTDFYYEATVTLNEYDELLERVERFGQKIVAEHRRTKRKVAWSARNYGDEYAAIKAMADEDLENLNDFGVSCTALPHAELGFTSSTKWDSME >PPA14407 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:146891:149469:-1 gene:PPA14407 transcript:PPA14407 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHSIVVYHASSSSSFALRPSEDVSCSSRRVMSSISSYRSDASGSKPPSSSEQIRQEIQRFESVHPCIYQVYDLLEQVRDAHLQELIREQVVGIEEVQFVGI >PPA14445 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:377255:378952:1 gene:PPA14445 transcript:PPA14445 gene_biotype:protein_coding transcript_biotype:protein_coding MRIWHRVHRKMERVNSLAQIMAYVDAKFVGISSAEQRRLARTDDVFKGDRVQFPPSHAVIGRRPMQYVQGNYRGGKGIPER >PPA14499 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:793637:798926:1 gene:PPA14499 transcript:PPA14499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3EXE3] MGNTPSDSAFSSPGDIWDIWTKDDEEDARLLERAREYVYGHTVPDVFPWYRHRTPWVRGTWHRYSTPWVRSTWYRYNTPWVRGTDTVLHRAAGGNDTTGLSVTGADGVTGAVTGTGADATGVTGVTGETTTGAPVAKHNWAASNTKASGGKDATTGKDETTGTGNVGKVEETGGSGVCVAGTTVGGKGDTTTGADNCVAGLTAAGLKGGDATNKELALGDNGTAEPGTQAGTAPAPVSAAKGAAAPKPELSAEMREAMAMDPPFALDFCGLVPDPDGLYEDYIPDPRIKESRLTPEEKTKFLGLLDQIFHPDTVLGVRVWFDPNDVVEVVTKVIPYLESEPMLIEDLPFDITIVADLHGQLYDLDLVFKAEERDGKKGWENMKFLFLGDYVDRGRQSLEIVMALYCIKMLYPDQVFLLRGNHEFMHTNQKGGFMFDFYDRYVDEEWPAIYFKVNESFCYLSSAAIVGDSYFCAHGGISVQAFTRRHLLAIKKPIIDSKSDMLIHDVSWSDPALGLQGSTFNGQRMCSSYFGLDELSFALYNMNCTTLFRGHSIMNYGFELIGGLCVSLFTATGSRNFNDGAVAIIDANGHVSFRIIVCSPERVSLNHKLRRMDGAAEDLGDDTVTVEDDSGEGETVNMKKE >PPA14475 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:622910:628843:-1 gene:PPA14475 transcript:PPA14475 gene_biotype:protein_coding transcript_biotype:protein_coding MANADKGKYVVLFNSGNFVFFKHKNDKTQRERRLHPDMPEAAIYIATRAKFVKTPRIIHGVGTMKKIAQDGEYAFVEYLFERVFPVTASVPVAAVRAAAAPAAAAAAPPPTRPTPAAAAAARIAVATPPPGLPPMAASNGGGVSASSSSSSVVNGVSFAARAASQLPDTVAAAVHRANSGEMSARRQLGDENLPATAPNPHLFRLSDKEKENAPVVSCTTTTTSSNQNYSIFRDADFTPLPLQLRAANIQLQATDKDPIQSVYEMWPVYRKAHSGEMSARRQLGDENLPATAPNPHLFRLSDKEKENAPVVSCTTTTTSSNQNYSIFRDADFTPLPLQLRAANIQLQATDKDPIQSVYEMWPVYRKGTEGHLEPFEAQLSQFSYIANCLHGGDSHVCPVSFARPVS >PPA14523 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:927792:928683:-1 gene:PPA14523 transcript:PPA14523 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHASFRVSDMPLRHMFARYLYLQAKQIVDKYGWTAATPIRNQLQFGAFDPPSIKKRDGLMRAVALRLLSFSFENKTIPESAEMVFRAFRELGWKGMSQYPHAGVAWSLIYHMRPYMYESTTDKTRKAFDEDKFINTLRDILSSTIEVRPNFF >PPA14426 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:245814:250420:-1 gene:PPA14426 transcript:PPA14426 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCAFSNGQFVFFVVEYALIGISLIMTSENAKSMLDSGVEVFAGRLGEGRGARGGHGYDAEPMMNGGTAANNRSVSGLQYPERNDFIELASIVHDAGFDADAMEFYKPPSSKPPLNLDDITTLERNDFIELASIVHDAGFDADAMEFYKPPSSKPPLNLDDITTL >PPA14411 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:168910:171289:-1 gene:PPA14411 transcript:PPA14411 gene_biotype:protein_coding transcript_biotype:protein_coding MIIWRRRPSLDPIEPSDGILVIGEERKKVHALSLQSPFFRKLFNNDFKEKNLKEIPIGGVEYELNDNVHRVLKLADRFDLKIVEDRVVSYLLSSSPFSSFSIAQKLLLSEQYNLPFLTEQLLLKNYTNAEHKAICTSDESELLSAETVRIFAIETVGRMTSTPAGTISMVVKEWQGHHQEQYSPVLRQW >PPA14389 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:25243:35232:1 gene:PPA14389 transcript:PPA14389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-alh-9 MGIVNGTVEDYERAISATKAAQSMWADVPAPRRGEIVRQIGDKLRSQLKNLGHLVSLEMGKIAPEGVGEVQEYVDICDFATGLSRTYNGQIMQSERPGHMLLENWNPLGVVGVISAFNFPCAVYGWNNALALITGNALLWKPAPSTPLTAIAVTRLIEDVLKANDIPTGLCSLVCGEADVGQALSKDKRVNLVSFTGSTEIGRIVGQQVQARFGKVLLELGGNNAIIVADDADLDMVVPATVFAAVGTAGQRCTTTRRLIVHESKYEEVLERIKRAYAQFESRIGDPLEPTTIIGPLHNVQGVMKYKATIAEALVAGGKVEYGGKVLDNAEGGNYVLPTIITGLAHNSDVIMRETFAPILYVLKFKTLDEAIAINNEVAQGLSSSLFTQRIDNVFKWMGPKGSDCGIVNVNIPTSGAEIGGAFGGEKETGGGRESGSDSWKQYVRRSTCTINFSKQLPLAQGIKFEESVISLCSSFSVMI >PPA14449 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:393045:393722:1 gene:PPA14449 transcript:PPA14449 gene_biotype:protein_coding transcript_biotype:protein_coding MRESIPSMPIAEGSRACVSQSGRGDDTRRGGQRRQAIPVKDRFITIPGPFYPRN >PPA14560 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1149348:1149996:-1 gene:PPA14560 transcript:PPA14560 gene_biotype:protein_coding transcript_biotype:protein_coding MAFERVFENLREVGLHGRLTPVGEKLIVNSFVHSIENFERTGRPSDTLLTYLNLLRAGNALDLPVQKLDNFTARDLLLGATNQDTETTGDGYGSHSINAEFG >PPA14398 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:83809:86735:1 gene:PPA14398 transcript:PPA14398 gene_biotype:protein_coding transcript_biotype:protein_coding MRESTIRFLAMAGPYLRLYKTYSNGSHYLSLICDKSSEVELWKCTATVSFLNLERTHTFCSWDSHQMRVCRDFDTFHEGFKIEVNIETEDDGKSWRLRPIVDFFAPRDRILLIGEDKQKIYVNKEFLASQSTYFECLFNSDFKEKNMTEIPIGDVEYEEFFNIIRIVYNRDDASLTDENVYRVLELANRFDLKWT >PPA14554 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1107074:1109810:1 gene:PPA14554 transcript:PPA14554 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPLLQADVHGNSVPRPPISPVLINQFWLVADAWERKAKAHREAADILSGEMKTLLEVEAKQFEADAVLFQLAADACLDRPLGQYACLTAEFQHLKEEGLLETFAMELQGLREGPPAVLNDRRHAVATSMRFFLQSAMGGVPVLAASLAMFICTEQGALQPGDSVGIPPGAIARAEQLTAGSDPSVLLAQMVAAGQAAYPAAAAQHRRWAAAQQLQLLQPVAAVQQQASAGTDDESSSSTDCATSPSSEDDGNTTTCTGTTCNSVYMNFRKGEPEIKKSCNQKIQNWNNSDRACRHILLLPWKPV >PPA14442 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:354247:360447:1 gene:PPA14442 transcript:PPA14442 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLMLVAQLAAHVAHATSYHHGESSLVNAIVNMAQDFVGAANVPLLRGIGQFGTRHAGGADAASARYIYAALSPLSRRLFPAADDGLLTSTVEEGVTAEPVWFCPILPLILLNGAEGIATGWSTTVHPRDPRRLMDTVRRRIEGDEEWRGDELLPYYSGFTGTIERLDEGRIRCEGRIREMESEERRRRGAKCMRLLIDELPVGVWTAAYKQRVLAPMIKEGDVRSIREAHSDERVRFELELSAEMTARVEKRPHSLPRLFRLNSTFTKGNMVLFNAAGRLMTYASIDPIMEENKIRESIEPSINRYS >PPA14489 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:747734:748382:-1 gene:PPA14489 transcript:PPA14489 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPAFLVTVLLCCVCAGSNITTDVQSFISKYHSLQDGKDILNVLDRIGDDARELFRLGQPVGVLLRTFNNTGEATEEEFKAMAKLDKLFQNKEFDYDDYVDSITSDDYHSLMHKRLYESASFCPFLRIRICRFISRI >PPA14538 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:1007602:1011327:1 gene:PPA14538 transcript:PPA14538 gene_biotype:protein_coding transcript_biotype:protein_coding MNMTMLGDPRALVDDIREGRGAFGREILAGLAAVLPDKEQVTMLRGYTGDASLLAPPEQFFLSLIAVPDYELRLDCLLFRRHFHTAMEEAAPLLACLRKAVQELIDSRALGRLLLTIVRVGNYLNHGNTQGNAAGFKLNSLWKVADVRASRAKDGAPSLLHYLAELDADCVRDLDKELTTLQAAAKISVEFVKSEFESIQSKRAALCNRLAKKEEQYFMDEKEYLEEHCSEEIEEVDGQLNQLLQDEGRLRWAKHTGAQCEKKDGVGVYAQVSETFAQDIGVKRN >PPA14424 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:234546:236024:1 gene:PPA14424 transcript:PPA14424 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIRNVLVSERPIQREGGRGEEARSLASSRPLLPFCLLERANLKGRIASTVLYHARIEAA >PPA14545 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1049425:1057929:-1 gene:PPA14545 transcript:PPA14545 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCYSDAPIVLLVLVSVLALSVETSIDWSTCGTETCPDKKWVIPLNGKDAFVTLDDPVCKQDVLFDVNAADFYFKLPSNAFEKKKNARCFTDYDCMQHSNLILPCADETSCFVVDQTPDHIKCKNESKIVQLKVYMKDGNSTWDEHKKYTCNKTTGYYTSGSHEIPPKSQMCTLGNVTTNNANREKSSECAHQANRRLSTEVKNNGAQTAPVVSESTIWWLIGSVFVCCLIAIGVIYVGYSQYQKRKAKLRVKGRDEWRKLDTKERIAHCKEAYAEILAASADTAENLLAGFDLMETMLEAGTEDIECWEEAYVFLKKFHGAIPKIDQQIWHMFSRYMFLQAKKIIDKHGWIAPKLIRKGSRKGLIRTVAIQFLSFSFFDPESDGDSEDLIEEGFRQLQYDAWTQYPHGGLLWSVVTHAHPLAYKAPLTPGEKTTLEDLNPDKLSTDFKIVKFDRATFLNHLMVLMRESTELIDKDDIIPEFGTIPYQAAFAIGAAPLPSFHEKRTKEMLERDPNSPRHDDGGNVQLGYFTGMCESIGRNPAPLILKCTDMWKEYDEKFYAKLIAAHPEHVWATVWERALRNVIYYGRAMDAKRLYKRFIAADKHVKPPKPPKENKKKDKKMEPPTPVPAEDKTPPMDDEAGGGAAKAPPPAAAPRAASGADAAPAAPAAAPAAAAPPPMEHISELEHAQQEFCDAIEEIQENLRKWFAKRTDKEELKTKPVYPVDDKYNLDNRISKDTKTDVEGDKKNPGCDTSQSPDTKTKSSETDPGAAAGAAGAPASPPPVAPMPAAAPTGAAAAAAAPVKP >PPA14436 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:299050:300916:-1 gene:PPA14436 transcript:PPA14436 gene_biotype:protein_coding transcript_biotype:protein_coding MQQILKGDDYEEGDDDDDQVTMEDEIKCAPWNTSRAFLSALKGKCLLDQSGIADPTGGGLGFSFVRVSSKPHKEDVPQTAAAYLHICVRMSGDSLATDVAD >PPA14483 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:712503:720554:-1 gene:PPA14483 transcript:PPA14483 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRTEKFYKNANHLARRALRSVDSELADAQKAFDDAGSALNATKTEVRRAAAAKTDAEEALKKAYKETNETANALRDATRVFNEADQIVTEADVDQKKKDEDFKKASAAYEARMKTEFKDPQIQETYEDLEAKYGEATEFLKKTEGDWDDASKISSPFSKMLDDYNTLKVLKQGYEQDIATYNTNYQNDVAKKQAEEKIRKEKLQEQFNTAKATRDSAKTAQSIIDNNEIWNGKITGLDKMTIHQLVDDDGHTANKQAKELSVFDKKSTIKDIRKAFNEMLRDAEECTSNKILNEDSGEKYEKNGKKMTVAEVMTTKKSAVTKATAEHDIAVTAGTLATMRKSIDKAEEDYRCINELWTLNKDVTLEEFKTDFLNKKKPAAENMVKICENNDFLNGKIQDDFKTETIDQAVTRFRTIRDQAKEEHDKADPTKQNDLKPGLTKAENDLKFMKELATTFGKTMLVEGAKQKATTDKKDAETVMNKAKTNCILNGSLPIDQYAGRTIADVDIEVQMKNTRDDGDWKDKTAKLNAFVKANEELVFIQQLQTKFGGDKFVDDLKKAFKDKGAKEADAIINENKLNTILNIKDPAKTYTKLDDKLIAGALIEQAGIVASAEEEYKKGKNTPRKDVLDAAQKTAQAVQRFVLQLRKYDSLLIGDLKKNRATKESDDKAKARLPPLEQAVREAATALTNAIVADHAKREDLDAKEILLKKAKDSKAEADKKEREYQETMRILTGAFGGLGAIVFCAVAFGLVAFFLMKRRKKVQQQKKKSEKPEQPKYEEVDAPNGLTILRREGTCDKYVILGKDIPFNGPLIHRKPPKDRPVKHILEDQVGGEKIDGIFFDKNLNGAYAVGEGVEQWPEDDSVGIGDGREMLDGVDEPGKSNKGGEKIAKVERSNGPKTPTKNPPSSPNDDQKKASTPSNPTTPWLNLGMDKLNKRLKIIQIPNEMADKPGSKVNKKEVVEAVTILETPPMVISGIVGYIDTPNGPRPFKTVFAEQLSEDFRRRMIKNCAQTPRFYLWVQKLLKHRNKKAHIMEVQLNGGSIADKVERAKERLEKQVLIDQVFAQDEMVDTIGVTRGKGFKGVTSRWHTKKLPRKTRKGLCKL >PPA14561 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:1150151:1151527:-1 gene:PPA14561 transcript:PPA14561 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGWIRTRIKDERPSCQWDKVDNSIRLPLSHDDCTIAFGSYVRMKSLVETALARIDSLLKDVSDESRIDVSPVVGNDNAWVGPIRKTVRSMPAFMMSFHTKVVLSRHTLKIYRMRIGSKLIKRREK >PPA14495 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:771311:774197:1 gene:PPA14495 transcript:PPA14495 gene_biotype:protein_coding transcript_biotype:protein_coding MERCYWYDEGHAADTETRAFDSIFTEAVFRSVEMEGIPSEVVSFLAGLSQDCIQQEYVAACSPNNTADVYTLRGLTCDLLKRMYKTQEDERRNDVSPIPGRPYEVNCITSEGNFSIGCTRFYYLCSNYTLRILRCGPGRTYDTDRKECVSRHDIEECKDIVEGTGEAGLEITPEDDNDDEWDYEGEEFSGQDPTTIPTPCEAPAELFDFCADKPLGFYPFKPCSTSFVICQHEFNFTTLFTCMSEMRYDNNTDVCAKHGSVDACKDIPEDFDEGPGMP >PPA14563 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1158929:1162734:1 gene:PPA14563 transcript:PPA14563 gene_biotype:protein_coding transcript_biotype:protein_coding MYPIVLICLIVSIAHLPASCMLVWGAIKRRKNWAWPYFITAIVLIIMSTAYAVLWWSGDVFTEQLVMSVAEFVMNIALCAPGWVTVVFFYIRLNGQLQSGKPATRGSSGRRRPHGDVPTWRTEWPDRPPPELERKLRRQSRERRDKDMRRLDKETERIERERRRIERERRMQEEERAKRYQPCNNGNNVDYYVPRPQEQTFPWVSPVPRPEPEPPRRAPRKKSAAEVIENLYYEHYPEEMPREVGALRREKN >PPA14391 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:45533:46396:1 gene:PPA14391 transcript:PPA14391 gene_biotype:protein_coding transcript_biotype:protein_coding MLATPVDIGFPPWNFADQQFAAGKTHSIFNAQGSLHVLRDGEMEAVNKVLLLGRKQK >PPA14409 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:156763:163247:-1 gene:PPA14409 transcript:PPA14409 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGERIANDNVHRVLQLADRFELKIVEDRVVSYLLSSPVITSFTIVQILLLSEQYNLPFLKDQLLSKDYTNEEHKAICMSDEMTQLSAETLGILYKKAGKQSLHCPKEMPTSAALVNEDPSSITAVFRGGEMLPGASITSPVYRIDGFPWRWRVTRRREPLDNFYDVFLVCAAGREFELWTFEAAVATTTLTSTGKHNFVSWEPESHAVNVTQYEHIPVGIAVSYTITVRVDVDCERFTRRPSAFALLGMADVILVVEDQKFAVNKQVLAAESPYFDCLFFGSFREANEHEIELKGIDSEDFHELLRMVVGRSSEPSTGANAVKFLMMADMFDLKIVIDRMENFLLSANHISFRHKILLAEEYRLQTVKKTV >PPA14395 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:72812:74433:-1 gene:PPA14395 transcript:PPA14395 gene_biotype:protein_coding transcript_biotype:protein_coding MERNYIESTDESVVNTQDQGEISTERYKKRFSNSSRVKDVVLWIETLKPTFLSCNDPVIIYALRGGVMPERELKKDIQRLCDVAGKSTFLPIVVDRSNMLFD >PPA14501 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:801661:806958:-1 gene:PPA14501 transcript:PPA14501 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLVLSEESGIDWKKCRPAENKCLCTEPGAAELIQFKYETKPCAEVGVQIIALSYKSAIFLLQVMKSDASDLGDNPELMIYNGLGVGIGANKRVENEMFGCKLENGKVVLTGDREHIRRFKIKLDSSGAPVTEIISKPDSYAFFCAANVGELCRDPMDCDKDSPECPQFHPGGRGEDAKLECPGNKWIINDEYYNLTTKCKVDELRNSNDWKRRKNLGMKPFMVTTKTMKTMGRAELIADCKEDFGKFLQATPSSADFIIDGLKKIDGMLKEEVDDPYAWEEAYKFLMRFHGSIRLVDRPIWHMFTRYLYLESKRIIDKHGWLTSKPIRNSNQYGLIRSVAIHLLSFTMHDSAVEAETLNFIIAGFRVLGFKGATQYPHCGLLWSLVCHARPMTTTDKKRANDDKQVFDHVKFEHTLNLILKSAHWMNIPVAERIPAFGSIPYQAAFAIGGIPDIAYIADHAYDIVLKKKPFENRAVSMGYFEGLCQSVMRNPIESILTAFRMVNPNPKILAMMHDVAPAEVWNKLVKDGERVETYYGRHLDAKRLHERFMPNDKDTDFFKVAETRKKCV >PPA14492 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:755975:758400:1 gene:PPA14492 transcript:PPA14492 gene_biotype:protein_coding transcript_biotype:protein_coding MEINHHSPHSELSLVKKASIKEYVDDEEVFSKTPINCTSVDDDCRIRDPNNYDGFYALGCSNKYYACNNGSSLMLYCESSFFYDDGGSIRKGDPRRLFEGNALLRRLVRIGVFVEDRMKPDYVLGLRTEDYLERRLQSQCPSPFGGGRPGRVKRRNAKRGGGDDAGSDADE >PPA14438 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig28:318740:324417:1 gene:PPA14438 transcript:PPA14438 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVVQNDMKVPMQMMKTHAMGWGVRALCDIPHGTYISCYHGAVLSDDIGELNAEGDEYYADLDFYNLAEQEKGNSGAYDHIDCGVYCDDPGARDLTEKEIAAIENDDPVTKFRKEVNDEKIIRKKEDRRLRAEKRSLEAAARAAREAENGANGAARAAVVNDVEMREEDVDEGLEEDGGDTEGEDVVDDRVGEGVGEGDSVDSTQDDEEESEKSKSPLAQ >PPA14555 pep:known supercontig:P_pacificus-5.0:Ppa_Contig28:1110483:1112716:1 gene:PPA14555 transcript:PPA14555 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLILCSLLFYGCHFQAHDKSTSELDTQREGRPQHMCYTGQRGFKISETACDGTVCYELQTAANEGLKFCPKRRVGWPSAVNSCRIEMCSFLDHPAARGLRKKGNTYCAVKDAWMLCCCRERLCNSERLSYEEKRSDDGDGEYFDTSKTMLTLLFLVLLSGSILKANTDDKPFQCYNGNYEKPNIAPRNCTGPTCLTHVLKEGPQPSCDFDIPNTKGSDRSCITTLCSYIEIAEKPGGVVENLGKIGDTLCVKDRNTVICCCEGNLCNTPESTQPFMDNREGDKSAFSKESCAKQPIA >PPA14575 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig280:5780:6601:-1 gene:PPA14575 transcript:PPA14575 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSLSAHWSESDKNVNKQKLQEKKDDLVLDFDPTCMVWINNDEYLVICGSNCQVQVYTKLGTSW >PPA14578 pep:known supercontig:P_pacificus-5.0:Ppa_Contig280:30063:42064:-1 gene:PPA14578 transcript:PPA14578 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-qars-1 MPKLGVLRMCMMVESDLRWLGLSDSKVAETIKNKSLTEQLVSIVKLAKQQLASVGQKLDVFPKGSLLYNVGSKTKAQCAHHLPTVVQLIVEDKIKTDIQATAAVSYILAHAMKDLNRTELEKECGVGIIVTPAEIEETVEIQLRNLLGVKTEDDLKPKSKVKGDQKQGEKKKADEVVEETEGPSTMEELIKSRARLHKVGENYTSDGYVVTPNTERLLKEHVVRTGGQVRTRFPPEPNGLLHIGHAKAININFAYAKVDAKAYNGVCNLRFDDTNPEKEEKKFFDGIEQMWAIELIEKGLAFVCHQTVEEMRGQDQKASPWRDRPVHESLRIFQDMKNGKLEEGDATLRLKLTLEEGKMDPVAYRIKYCHHERSHDNWVLS >PPA14576 pep:known supercontig:P_pacificus-5.0:Ppa_Contig280:11566:12160:1 gene:PPA14576 transcript:PPA14576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-flp-1 MASLVRSGSTASKMICGSNQQLPSNIGSTLATAKAVDVSSTPTTPYAMQKAVTVPARQNSLFTNNIAVKGVNGKRLISTPPRPSRSRHNTCGS >PPA14577 pep:known supercontig:P_pacificus-5.0:Ppa_Contig280:13021:18735:1 gene:PPA14577 transcript:PPA14577 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-isp-1 MESHGPPFTSRRLAHTDVTFPDFSKYRRESTLDTSKHARDTEDERRALPVAVYYGVGGMMSLWAGKEVIQTMVAYKAMAADQRALASIEINMSDIPEGQTKTFEWRGKPVFVKHRTKAEIAREKAVNVADLRHPQHDNERVQKDEWSVVIGVCTHLGCVPIVISRINGHGPAPLNLHVPAYAFKDSSIVIGSN >PPA14582 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig281:6159:7360:-1 gene:PPA14582 transcript:PPA14582 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTETELLFLRLLERTKRLNRGDVAKNVWKISSASVLLDNLYSKMREEKGMDEDVLMQYGKEVRQLKLVVEAHHKRSSEEKISLLDKIPHGFPDIPHIDTAVIGNDRNTIDGVRDSTISSSSNELRARTRAFYQLIMDNGRIEADMRKQLLGEERRHDEGLTLERHDEMALELLSLTQSLKRNIQVTGGVLKDDNTRLSRMQHDVDKNREKLGVESDRLARNAYSCGFDCMLIFIVIFVFWSFVALVIFIRVFPKPLVA >PPA14587 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig281:34417:37815:1 gene:PPA14587 transcript:PPA14587 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFSSLSILPFLIGLINCEETATSSSSLNESTTEPSPIPSESPLLLSSEPPPMIIDPFLPTMETTPKPPEKNYTGSYLVVAPKLVRPGLPYAVSVNILKSSEEDHIVRVEIRTDANDTIAARVVNNVKQGVPQTVTIEHISPDSLVSTNNYKVYVKGETLTGRVIFEESHSIDFNHKSLSIFVQTDKAIYKPGSVVKYRVIVVTPHLTPYTDTVSVKIIDPSQNVINQQLDKALNKGVFSSELSLASEPPLGDWEIQAETKSGIKYSKTFTVEKYVLPKFEVKVNTPSFITVDDELSVLVDAKYTYGKGVSGKVRVSLELPWHRWHPVARPLILNDDGTSTETQDDKQIERTVKLNNMGEATVVFTNEELKRHKLVLDYGGSSVRIVATVTEDLTEIQRNGTAQVSSYRNDVKLELEKQGETFKPALTYNVVVSLKQMDDTPVKATVPRRVQVTTYYNYPYNPEQPEQREDKTVKIVDLDAHGTSILPLEPPINCSSARIEAHYDRSGNDNFTNAVIYSSLYVEAAKSPSSSFLQLIHDTEATVEAGKTLSFTVKSTESIPMLTYQIMSRGSVLLSKEIPVNDDHATISFTTTNQMAPKSRLIVYSVRPSNKEVLVDATDFKVDGLFRNNVSLSIDRLSAEPGQPVKFKVKADPNSYVGLLAVDQSVLLLKSGNDITKEMVESDIEEYDTTSGRGGFRPWEVSMRKKRSVWYPWWGVGGKDAQSIFENAGLVVLTDAYLYREPEPPSKFVPLFE >PPA14581 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig281:4626:6108:1 gene:PPA14581 transcript:PPA14581 gene_biotype:protein_coding transcript_biotype:protein_coding MHQGPKQAFECNVCSYSTSSPEGLHNHISLHIPPDTIPIKRATIRRRPSIDVIPSGIPSFDCSSCNFRTLDQAAFHVHKLEHAQLIQQRLVTQIKRCAIVEDEYKKKNRMKAITTKSLKQITEFHIVHHHTKKAVAHLKKQAILMPESTKMKVPYTLEEKVKLAGQVFLCELCDSQFLEMRQILTHWEVDHSRQGDDTACHLSLGMLPTNRSPPSEFIYSSLYT >PPA14585 pep:known supercontig:P_pacificus-5.0:Ppa_Contig281:16949:24167:-1 gene:PPA14585 transcript:PPA14585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lin-44 description:Protein Wnt [Source:UniProtKB/TrEMBL;Acc:H3EXM8] MHDPILLKYGYKESALVWALSSAGSAWAVATACAQGWLSDCECSKEMEEAGKHWEWGGCSAGVQHGITTSRKMLTRTSGGTNSALRKLEKHNLKAGRLAVKKTLTSLCKCHGVSGSCQQKTCWKQPASMTTITKHLIEKYHKAKVMTDEGKLRNNDLLFLEASPDHCASEVPMAGSVAKMVNRLSKVEDPILKLAEPKKQLHIVVYEYKAQQIDELDLEVNDIIEIMKPVEDGWNRGKNERTGQSGMYPTNYCEVYRPKGGLGLSGVNKQYVTLKKSDGSAAPIGEVPNTLKRISGMEMMKGKEDNSLPSLSSLSSINSLPSISASPPNISKEEPEKEFARVTFDYEAQHEDELSLKVGETVWVVKKRTTDCGWYEGEVDGRRGLFPDNFVTLIKSSSGMGTSSNSNGPSTQPPLNPSSNMSSLSNIPCGRVGDRPPASLPGAISVMPLPPQVPAKPGKSMGGPSNGVTTTTTTCIGSVTPGWKMTMSGSGINPSLTPSTVSTTSTLPFPSNTVKEGEKEVIKPPFSSFKDKQANLLASLNISSLNPSAPRPNCSSHSKSTTKIDQEGVTSDNGEVIDKETPLLSHPTKNRPKIPCGVRRPVSMFPTQTSEFNAISKEVMTRSEMTINDHPAPVGSSMSLSPTALSVSPSLSSREKEKEKETPSSIVSSSRSSTGTPTPILSSSHTTLPDSLDSNQSQWVSRSEYNQLLTKDVIPWFNLLL >PPA14588 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig281:40795:41215:1 gene:PPA14588 transcript:PPA14588 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARLAGASVDFESRMDGGVAMGRGGNSIPSIRIRTEFPETWIWNEQGINLSCNGNGRRKNIGRRDIDLHSNQIILP >PPA14590 pep:known supercontig:P_pacificus-5.0:Ppa_Contig281:49062:49870:-1 gene:PPA14590 transcript:PPA14590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mtd-1 MGSISLISSLQNGCSIGFGTVKGQAKATTTCTYHIENPDDKEDVKYNDCEDPFCYTFMSSVDNGVTSVWRGCMATTIVRYNMYKMEDKLYKNNSKWESLQYLIDMPRCEDDDENESTKKKRLCCCKGKSKCNDEFGWNSPAITLDQAIANKEQRKEGITVVDGSMESNIFLSFFALIFFYILN >PPA14580 pep:known supercontig:P_pacificus-5.0:Ppa_Contig281:2085:3802:1 gene:PPA14580 transcript:PPA14580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-spr-4 MSHLSFYYTSESAGFLKRHVEIHGVKEYDWPPQYVGISPGMNGIIEKNEKEGSPTLIPIGKVLKAAHNALIPLAALQNNQSSSLLHLRPSSSSSTSLVSNRIENGNGIEIDDPISKGRKEMARGRGRGWRKGRMEKCREKDCTHHTTNRAKAVIHRMKNHWNKKNEVDELPTLIREKYMCDECPYSSNVRSKLDRHHSKHVIREDFNCEFCSFSCRNNELLQSHQRIHFFRHIDKNEKEGEIPSTPTPTIERMDRVDRVESDSPPALERAESSMGEGEEMPVLESVGAPPVLEMEVDMKEENGKKNKGGRKKGDLKITEEVKERKPEQLRLIEFEFEMSE >PPA14584 pep:known supercontig:P_pacificus-5.0:Ppa_Contig281:11095:14265:-1 gene:PPA14584 transcript:PPA14584 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ero-1 MVMLVFVRYFKVNMDKSCPFWSDDRECASRECGIENCDDKVPSGLRQHGEDKCEEKKSLSTADRSLTGEEEAQLRRIDDYDLQEELKFCEVDDEDSSEAHYVDLSKNPEKYTGYAGDSAVRVWKSIYQENCFKPDMRFDKDFLINPNDGLCYEKRVFYRLISGFHSAITISIASYNYKPPAVAGFGSEGSWFRNVEMFKGRFGTKWSWEGPQRLKNVYFVFLLELRALVKASQYLKEEMFFTGNDEEDVKTRGMMKELIEMAASMPNPFNESEMFTGIEANARELREEFRGKFLNISRIMDCVGCDKCRLWGKVQVQGMGTALKILFADALVKNQEIPFQLSRTEVVALLQSIGRFSSSINEVDLFRSEMGIEPVSSFIHKIEDIPERKKRIDL >PPA14583 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig281:7567:8892:-1 gene:PPA14583 transcript:PPA14583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:H3EXM6] MEAISHAGTCLGILASDGIVIAAEKKNVHKLLDDTVLAEKIYRLSDNISCTVAGITADANILINHLRLSAAQYKLAYGEEMPVEQLVQDLCNQKQRYTQVGGKRPFGVSLLYAGWDRHYGYQLYQSDPSGNYTGWKATCIGNNHSAAVALLKQEYKSPTLAEAKTLAMKTSSNYTILSQSIGMYLGFQVLSKTLDVKLAPEKVEMSVLVHRDGKTLLEELSHTEVATLIKEQEDREKEAETAASKK >PPA14586 pep:known supercontig:P_pacificus-5.0:Ppa_Contig281:27256:30537:1 gene:PPA14586 transcript:PPA14586 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTIDDANGTLNVKSEEITKEEKEQPDYYDSSDEEDLRNTIGNIPVQWYDDHDHIGYDLDGSKIDKPAAKGEIDAFLQKMEDPDYWRKVLDKQTGKEVVLSEEQIARIHALTSGKCPTIGYNPYQPFLDLYSQDTSIHPIDNREARKSEFTPSKDEMKQVAKLVYAIKMGWLKPRKPKEPKKKPAYDLWSDEGEEKSHTRSEMSRLRQHIPAPKMKLPNHVESYNPPEEYLFTEEEQQKWEEDEPEDRRISFVPKKYDSLRRVPAWDKMVQDRYDRCLDLYLAPRQMKMKLQLKDHTDLLPDLPNPNDLKPFPTNLAYIIPAHIGQVRALSFEPSGTEIVASGGEDGDVKLWSIGTGRCVKTISLGSPITSLAFCPNREKNLIAVAIEGMKVYLINCEVGDRLQVSATAAFINQLPIGVNESKIVWKRTEKNHGRITLNMNTEIRQVVWHAKGDYLATLGNVDVPEAVVIHQLSTAKSQTPFSKKKGLIRSIAFAVTVPHIFIATQRHIRRAIPSLFPSYIRVYDLAKCGLVKKLQANMQTVSIMRTDNTGENLFVGGLDRRFSWMDLQLSTRPWRTLKHQASAIRDISYHKRLPLLATAGDDQQSVVYYAKIYTDSFKDNEIVPVKRLGAHTKLGDQCVLSCEWHPTRAWLITGGADGRIALFSH >PPA14589 pep:known supercontig:P_pacificus-5.0:Ppa_Contig281:41458:45183:1 gene:PPA14589 transcript:PPA14589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tep-1 MSRGGGAVMRFKSLSLEKDIITTAAPSSSIPSPSPIRIRTEFPETWIFIGADTIDSGEIEYEATAPDTITSWVTSAFAINEKSGLGIAPTTSKLRVFRPFFIRLNLPYSVKRGEKFALQALIFNYLDNEEDVTVTLKHEDGSGFDFLKRDGSPRKGSFSGDYNTRLVSVPGGGVSKAVYFPILPTQIGNIRLKLEARCASAGDAVDIPLKVEVSSPSPSLHTPSPSLHTPSPSLHTPSPSLHTPSPSLHTPSPSLHTPSPSLHTPSPSLHTPSSSPSSFIFISFQPEGYRVDRNVPIVVDLTNSSTFSRTIPLQWPVDVVEGSKYAKVEVIGDIMGPILSNLDKLVQMPYGCGEQNMLNFVPNIVVLRYLKATNRGDKKLEEKAIKFMEAGYQRELTYRRGDSSFSAFGESDKHGSTWLTAFVVRAFSQAKPFIFVDDSVLQKSIAFLNSQQMESGAFAEHGEVHHKDMQGGASEGGVGLSAYIKNERAIAYIESQLEGIRNDSYSLSVVAYALNLADSKRKKDAFQMLNALKINGNDSTAHWSSSKGEKPKDTTSYFFQPRPVDVETTGYSLLTYMLNGMTEEAVPIVRWLTQQRNALGGFSSTQDTVVALNALGAYAEKAYSPDSNVSITATNGASNENFVVSNANSIVLQSLELNNLDDDIKLNAKGSGVVFAQVSYSYYRNTLRDDAPFYCTRDLKETRGGNRLELDLCCNYTRPGVRSNMAVAEIETLSGYKFDEEYENEIVSAKDVQRVEMENDDTKMNIYFNPLGGDPVCLSLFSDLQYQVAEQKPAQIKLFDYYDPEQEASLFPSSLSLSLSLHPFILSFQLKATYSTKGIRALSDSCPDCWPRSEQLSSPHSPSPSLPSQNGSVV >PPA14591 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2810:148:597:1 gene:PPA14591 transcript:PPA14591 gene_biotype:protein_coding transcript_biotype:protein_coding SPRNKGAAAALDMLNDFAVQEEEQEELGNHGQLVPNRIVPPQDTAGPSGSGANKAA >PPA14592 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2816:5:1011:-1 gene:PPA14592 transcript:PPA14592 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHLYIGTINARTLAPKDKQIELELALDKIKWDVIAVQEARIVGCASFNLTSSGTVVYHSGGPTASHGVAFLLRPHLARRAVFHGLSPRLATLLLPIERLFLVCAYTPTSSYDDKEYDTFMDQVEATLRRTPRGCMLVLVGDFNCRVAREPGNERLVGNYASPTPNSRGRTFTEVCVRTGLRIWNTFPKKRHGRIWTRRSPNGSTFNQIDFVAAPPTARVVNCGVVGRFEFNSDHRLVCLSLPDQVKHKRCRERFDLDRVSFTANANLLASVPLTRPASAAEAYDTVRAFTETAASDCWRVRRVPPWISPATRNLLAARHKMQSNPQGTVAYST >PPA14595 pep:known supercontig:P_pacificus-5.0:Ppa_Contig282:6355:8081:1 gene:PPA14595 transcript:PPA14595 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPNEFSKKDEKKKKRKAKWEKYYSKTKKGVDMMAISKNWYQAGREVKNRLDLETDSWCRQQYTQVARDIGHKPSQFPPYQIINGNRLGIRLRDLTYTFGSEGLVTYTLGTLMERCIAMKRAEEERIERRKARAARKKERLARKALAPITGELDEYEDEEEEEDSDSDEELILDLEDWEMEDDDDDDDENPDRDNGPFKRPDCLRDLVV >PPA14599 pep:known supercontig:P_pacificus-5.0:Ppa_Contig282:22817:30182:-1 gene:PPA14599 transcript:PPA14599 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAANVLEAIEKSPLVKLNKLDGVKCSVYAKCEYLNPGGSAFDRAALKVLQKASSTGKLREGMTVVIARGGSYAISFAMACAVLKYKLMVVTDDRDGAGVVNLLTTMGAELVRVRQHEGGVRHFAIQYVHEEGHKDRLYIDEAEYDTYLSEILDEIVDTLPKCDAIFVPMIHEDSTKALPHKFTGKLMRVTRPKDVNYPRAPTAVPDLATQEELGCDSEFIVNDEEAYVMARWLSAHEGLMVGASSGAAIKAAVEYAKHQSVDAIVAVLCIDGIRNYLDHFVDNAWINEYRLRHVELNKDKPKPKDTYDPSVLVYDPTTLAGEWEKDNTGHWSKCTYTFKPYRQERPGVMGNVLEAVGNTPLVRLNTVPKMHGVDAQIYVKCEYMNSGGSIKDRIACRMIELAESAGILKPGMTIIEPTSGNTGIGLALAAAVKGYKCIIVMPYKMSKEKALAIEALGATIIRTPNEAGFDSPDSHIGVSLRLQAEIPGSIVLDQYRNLGNPMAHYEQTAEEILDAMDDKIDYVVVGTGTGGSATGIAMKIKERIPTCQIVGVDPEGSILADPTQTDTSFYEVEGVGYDFVPGVLKWNTIDMWQKSTDRESFETARALIQHEGLLCGGSSGSNTYAAIQIAKGLPADKRIVVVLPDGVRNYLTKFLSDDWMFIRGYHL >PPA14596 pep:known supercontig:P_pacificus-5.0:Ppa_Contig282:10779:14038:1 gene:PPA14596 transcript:PPA14596 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAQPNLSGFYFMHANAIERCRNLPELIKDAARLRRPICRKITKEEKAAEIAWVEKKERRLRKAFDFMFTSQCFPPPVIIDHHLRIGFHVEETTYDFGRHTIKYTPEILAKLIGHADWGSVTPEAWNFHQLKPKNMHELETFPHIYVDMEQVRALTQAQEARIKEIREQKDRELMRPPLTPFVPRKKAKIEVEEMNSSLITPSLIQL >PPA14600 pep:known supercontig:P_pacificus-5.0:Ppa_Contig282:31601:33120:-1 gene:PPA14600 transcript:PPA14600 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dop-1 MSRWEKVSRHLPGARRTSTHLATETSPTQNHQQRTTVNDQKARLTLGVIMGTFLFCWVPFFILNILRSAVQWKVPKEIIMAVTWLGYANSALNPVIYSIFNRDFRRAFKKILFDLFVCCHGGDATMCKSANRLGLDANAERRRSTRSSEAVENNNMCGGPSTRMALMNNNEHSSASNHSNHNNHYPEPERNSQMM >PPA14598 pep:known supercontig:P_pacificus-5.0:Ppa_Contig282:20871:21635:1 gene:PPA14598 transcript:PPA14598 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNEEKKEHKRLRCAIKTTAVVISTYLACNSIHFALYMMEMFSSHILKSEDGGFNMFYVITSDVGTILFVLCSTIRLFIYYKYNPDVKSALKTIPFLPCFAGQRLRVHYASPN >PPA14594 pep:known supercontig:P_pacificus-5.0:Ppa_Contig282:3199:5731:-1 gene:PPA14594 transcript:PPA14594 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTDRPELPLAFRKTAELEKLLRKYMEEVHVNETTVDMERRAITRIVVQQHFLLREPEYNVHKLAVMISRLEGSKEQMTTIYIECNKISHRTEDEIKIPLRRLFTQIDELDKKVDQTILLVRHFLGRI >PPA14597 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig282:18597:19226:1 gene:PPA14597 transcript:PPA14597 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEYPFSGSHRGSLLVCVCIAVLWTALRAPGFHAIDIYVYENGECDYFESMPIVSTNLTANPQYIQYDLLINFFHLFVSFCVLFILNLLIVHRLRLSHQNARRNSSCPNVVLSAVRLLLFIPKCCWRIPSSPFTSSNPQRSPIGGELFGTQFCTP >PPA14606 pep:known supercontig:P_pacificus-5.0:Ppa_Contig284:23166:25813:-1 gene:PPA14606 transcript:PPA14606 gene_biotype:protein_coding transcript_biotype:protein_coding MYWKCSPNKSGDIPLHKIESFVCSLNAEGLAVAVRIAYGVDVPLPKSFDYGMFMTLQRMFGDHFTSVVVPQWERDMCRKVLALDAHHPSSSSIVALLRLLKAIFEAPYGHFPVAKRLAVGVLADMVSG >PPA14605 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig284:19335:20584:-1 gene:PPA14605 transcript:PPA14605 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYGVYLIMKFIIQTLSFGLEFIVAVAIFADAKLRKSPIWCLTLLVLLTDCVEIMLVFYAHDFMYGLNNAANGFLPRGVVVFVATMRWNIHILTLLSMAILFNILLKWRLIYVTWITRSRCLIGILVVFAAAALAVPHLNYENFGFKYKLMSELYQILVFYGIGASYFIPIYLFNRPPKNYASDPLFYGSLEVLNNAIDGTKWVIFSVYSYVRMVIREGEGRFEG >PPA14608 pep:known supercontig:P_pacificus-5.0:Ppa_Contig284:33704:35367:-1 gene:PPA14608 transcript:PPA14608 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLESVLGPPLDEVGDTRRVAQADGRGFSKLEVEESGVGARIRACGLHGTSVMSDGSVYYN >PPA14603 pep:known supercontig:P_pacificus-5.0:Ppa_Contig284:12482:16903:-1 gene:PPA14603 transcript:PPA14603 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLLVSTALGAPALKEVAVARLQDALGGAEALQRHRDMIRSLMGDQEITEPRHSVHDSPDTSGHGLSEIDLNDPISGYLYQGDIMLSDAAVARLTAETGSTRVKRGAPNVATKRFNKNQPIGFIFSSEMPESARKLIRETTATIAANTCLSFKENSGVGTQLEFLRGGGCWSRIGEDPANGKQPISIDDWCESKHTVTHETFHALGIGHTITRKDRDSFVIIHRDRVMRGKEGNFDKLSDAANNNFGVPYEYGSVMHYHSKQFAAVAGQTTIEAKYDFYNNTMGQSQEVTFNDWKLVNILYNCSSHCPKQMVCQNGGYSSPKNCNASNVGVPTGESVFHQDQNLPMFGNYDEKNYGRDGPTVIRAPEGRKIRVTITQLSVSAGKWRPTPCPPFACEFVGIEIRDVAGGDLTGLGKKFCCPDPNQGYSFVSMSNVVGYKAYINPGMNVDITVTYTLV >PPA14601 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig284:4377:9538:1 gene:PPA14601 transcript:PPA14601 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGRVIVPESRQKTLEKERKKEQRTLTGGAERKPAGVWKTRQLADKITNYASVDEIRMLLVAGAKASTPVVRGLSPLHYACFINYFAAAKLLLVRGAKADAVDDIGCSPLHLCAEHGHFRMMKLLLQYIDEVRQYEKVVKPEKGTRYPIRETVEEPLHLAIKNGHYECARLLLENGADPNAIYFEGPQICMVSPLDTSFIKLLLEFGADPNVFDRKGLTPIMRACRMKNRGIDAIENSGIDAIKILLEHGADVNQQAESRADNRTALHYAVLSGNHELVRFLIKHGAELNMPEDYGKPSVLDIAVLKDDPTLLQIIIDAGASPQAVHTHIGTPLHLACCSLLDNQYDIIK >PPA14604 pep:known supercontig:P_pacificus-5.0:Ppa_Contig284:17464:18586:-1 gene:PPA14604 transcript:PPA14604 gene_biotype:protein_coding transcript_biotype:protein_coding MYQRRITGKQTFKVTQPATAIVQSSPTATSTNTQPNTKPVSSRQCAILHDMFARPISEYTTAVEVLGTYATPKHNKEAFHWSHSAHEELSMVWPSATSTTPINPTPGERLNPYPKTESDFEFH >PPA14607 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig284:29716:31573:-1 gene:PPA14607 transcript:PPA14607 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFTGDALGSIMRYDSERAMFDKEPYSPAHPRSTSLQCNEGGVESEFIEFRRDMKLAVDISKKKKPMQGYCKQFPAVPLYHSHVLWSYAPRISLIGDPANKNIPPGLRAPPIDATVVFSNADGTVNDFFDLVASHDGSYAIPEPLTRAQLRALTVDGKNLDVCLYVTAPRSYFSLSSVIDLTAKPTVSAATLSTVVQILRGEKPDGFDCMLRILLKP >PPA14602 pep:known supercontig:P_pacificus-5.0:Ppa_Contig284:9809:11622:1 gene:PPA14602 transcript:PPA14602 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSDCNMQKTFEDGAALKSPMVEYFRSRDKIDPVIVALFTAYGGRVIMKSPLQDSRGQLRNIMRLAVNKQQPEIVESMLALGEEHDINAIERISFPEDLKKVIVERAKSPPTLQNLVRLYIRRTLKPFTPDRVIAFGLPRDVTAHLLGWSN >PPA14610 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig285:1775:3824:1 gene:PPA14610 transcript:PPA14610 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNFRAITALDICRFYDAHYKSTNTIITAVGDVDHEEIVRWAEYHFKDYAKGDARSAPTATWKHEFATSVLDFGNRFILRRACCARKKFAGHPVQSSPNIFTAQQTRIALEFTSVSQCFFTHLGLHVIIARWRYFAYGFTAADLEQTKEHYKIYNQEKGQCVRAVSCMLNLHMFHTGRHDSPDKMWSRVQAITIDRFRAVAKRILLDGEFTLVGYG >PPA14611 pep:known supercontig:P_pacificus-5.0:Ppa_Contig285:7307:7742:-1 gene:PPA14611 transcript:PPA14611 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPGKHAILWGVSIIAVLIWLTMAVVSVAGLISLYEINFFLPSIDEVYFIPYHIERR >PPA14609 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig285:535:1654:1 gene:PPA14609 transcript:PPA14609 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKSFEYAHDDRLGGSTTTERTEFHMYCREAEDAEPAIALLARMIVDAHFQDENVERERRIIQAQCMQSGCDIRVFALECLHKVAYHPNPLGLFTGGQLRTNE >PPA14612 pep:known supercontig:P_pacificus-5.0:Ppa_Contig285:10834:16878:-1 gene:PPA14612 transcript:PPA14612 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDEVDTSDDIYAFLEKKIKNEKSLTLEWFSYLIITYNHPGARWDPAQFKGVKSVYMSDPWTPGLTICNSAKSEIVDKDFIKYRIHHTGVITTSLHLQSTSYCQLDFRRLPWDRHHCGVCLRVVSIVEYSTDLDVNFAAGFSAIFDSQWDPSRQILIGYTKEELGVIKLSMATFSFWLDRSSSTLILLIVLPKLKKKKREIVNAGAVGYMVIAYFAEGIKVLAEWFVSGTLFGAAIFFFASYQLPQQRVTPALGWTIGVHWLLSFTVSVAYVILGYVLKDDDPNKKKKKKEKADEDLEAGNRQSQGGDGQEAETSFSATNESGIFVLRRLNVAVNSTAPPNGVVESEEEKRQKKKKEKEEKEKKTWSGKLKRLLIFIRTKKLTSARRLLLCISTLMVFVVDIWAWWWVGAPPFASYLQPNPSPAFPHFPNGTTMLYSLEDHDVSHDLPPSIGQGLDPSLPKGLGLRIDPCLELLHKCVSVLELLSSTEVREGAETVVVARGQIRGVRWVREPFHLQLVHFLLGDFRMMRARVVHEHEDFALAQEFGRDPDRHLFQLGSEEVSLDGDAGREDLPVDGTEDGEEETEEFLLSVKFRLWSLLGFLIDVHPLKFPLKIIVGDPLLIHGDDVADPIEIGSTLSCREVMRNHLGELRCLPSIMQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAAYGGIPSPFDPGENNEAKRSGSLEQCS >PPA14613 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2851:333:713:-1 gene:PPA14613 transcript:PPA14613 gene_biotype:protein_coding transcript_biotype:protein_coding EFIDLLQLIYFKNMEITDRIVSHILKLGDRFQIQCAVDLSEKHLKSSSNLTVAKKLMSEWARY >PPA14614 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2853:621:1046:1 gene:PPA14614 transcript:PPA14614 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASVFDHAIPIRTKGCYSPTEADKLFLLKEFDVDLNSLGVAIRYVAHPRFKVSFKKDPSFLSDSFYRNLQ >PPA14615 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2854:3619:3846:-1 gene:PPA14615 transcript:PPA14615 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKSANLFLGVGDWITICDRGPLRQTPSPQQPATTTHPCSASRLSEPPSEGC >PPA14622 pep:known supercontig:P_pacificus-5.0:Ppa_Contig286:18577:19843:-1 gene:PPA14622 transcript:PPA14622 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAAGKSAGMIYVFIFAVGHFSGSSMTEIGTSGVYAIYNAAFAGNSMTSPVSPSITSILSKIRSGSTKLLASSGMKFNETEERSLSSVYGLNVSNATAALERICNHDEDVVFLGFMDEIDEARYKRGGCEIRVTFLKKGEQTGVLGLDMDMQTGLENVFLTSKLKTSRRASKKLNNVLLTVGQQMMARWENRMRATRSIVPIPTSSSAVPIQIGAMVVPWSFLILVT >PPA14617 pep:known supercontig:P_pacificus-5.0:Ppa_Contig286:1289:1858:1 gene:PPA14617 transcript:PPA14617 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGSFLSLTSALSLCSFNGTNCDAATLELRAPPIIEFGPANQTMMIDSSAILPCQAIAGRVESSAPSINWLFNGIPVDTEGNTRISQHSGGSLHLADLK >PPA14618 pep:known supercontig:P_pacificus-5.0:Ppa_Contig286:2866:6364:1 gene:PPA14618 transcript:PPA14618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sax-3 MVEDHTTRAVFFRMPDPTSFPSAPSQPEASNVSHDSLDLSWNAPEKNGVGPVSGYVLQYYSPEEAQTWYNVNDYVSGTKHRVRNLKPSQSYLFVVRAENEHGIGPPSAVSASATTAIAPINARQDRLVSEEDRRRSLTSDQLIKLEEVKTINSTAVRLFWKKRPGKVDPGVIDGYYVKWRGGNAAEAPLVNVSGEHTDSVVVNGLLPFTNYEFFVIPYSGGLQGVPSNSMDALTAEAPPSLPPQDVLVRMLNLTTLRISWKPPAADGLNGILKGFQIIIIGKGVKFNRNITTNERAASVTLFHLIPEMSYKIKVSARTGAGIGVPHGTDTIKMDQETLDKHTSQYSDEPWFFANVKKSWMIVAVVVAVWILLMCACVAVVMRKKRNAESYQGDRNFIEIKDGSLSVAPGPWGMDAAAYHTAPRIINGTLGHARINGTSHLYTRAPNQHDFYNPYDDNLIGTLGRPGSEPQYQYAQVTGPPNGMSSFYGNQYHDDPSPYATTTLVTTSQQPAWLNDKMLRGPALPSNPVPNCPPPRFAPSNGMDMGTGRRSRSSRGSEYKGTGHGSNSDSPPHTDVSYIQSSDGTGGSTNGRSKMSTLDHRRSPPKHNLADFIPPPPPGAPPPATRDDYYGDFEQNGRRPTSRNRNAEYS >PPA14619 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig286:6694:7111:1 gene:PPA14619 transcript:PPA14619 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAHSKRPPVLEDSSEADGENSDGEVPKRGLRPSQPVMGVSASTLTASTYERQGSTGRFKSMNRPIRAEQ >PPA14620 pep:known supercontig:P_pacificus-5.0:Ppa_Contig286:8012:11739:-1 gene:PPA14620 transcript:PPA14620 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDFVHNLGVEFNMDTTWPLLEMGEYFLAPVVMTAAEEFLTSSENEFSLIESFILADRFHLPLLMSWCNSQLTTTVRCKELRDSDQWNHFSQETQRLIDTAHNRLARDEQSTQNIPLATTTQQQTSNPLYQYTAPNTFHTGGYANVGPTNSVMWAQGNGQYAAQHPAAPVVHGHHYAFAPNQLQYAPVAQVPHVIAPTGQHAPVVPGLQNAPGLVPNVPIPAAQLAPVLAPIGHPAPVPGPPIGPPNIQNVSVPAVQYASAQLAPVFAPIGHPAPVPGPPIGPPNIQNIVQPPQLASAPVAPNASQAHDGCARIRPWIHNARANHQKPHRVILRCPRSKDEEVSVTTTMLNVNGFDWKIETVETIVDDDEDNEDNEDNEDNEVEWWIGIKFTNLKSQNTSKWISRADLLVELLDKDDDTRYAKRFTGTFTPEHDSVVIKKLVDKETIWDDDDFWKDGGHFAIRVTIRSIGVNGSQFHKRVEVDWRENHEWTDCCIQVGDEKFFVGKATLVRHSKFFDTIVSSDENPFMLKRQKKEDFCLFLDFVHRFNDAEINDGNVLMFIETASRLRAGAVLVKAEQFLMRSDSFDLCKKIDLAHQYVLPRLKARCAFLIQFCSIEDVQTIMRCPNRSNWSAEFLNFSLERMLSTMGELQAEENKIMQRDQQQLQLQQHQLQQQQHQLLHQHQLLSDANQLLQDIFNGALQQMQYAPFPAQFVPQMVQVNQVPMNQPNLINHPQFAPHVQQQQLLFQPPVPIPALPQIVPPAHQLHQPAPPQHVLAPPLNLPNPLVPAPVPAPIPAPLLVAPQGPLAKRPRVNGPGQP >PPA14621 pep:known supercontig:P_pacificus-5.0:Ppa_Contig286:11820:16775:1 gene:PPA14621 transcript:PPA14621 gene_biotype:protein_coding transcript_biotype:protein_coding MRFERFFKNPHTNWAEEVTGSDMCLIVEGEPFFVGKYNLARHSHYFEVLFGRDYSENGKRQIELKEISKDEFRLFLDFIHNMGVEVDIGTVWSLLEMGRYFNAPTVMSAAEEYLTSTDNELALAESFVLADQFHLTAVMDWCMQKLTTVSQCNQVRGSERWDVLSKETKRSIDEVYSRLAPPTQTVHNYPSPFQYLQQQYIPPSFAQQWAAPNHNYTGGSGNASSLSGMMWSQMYMNHYRTPVPQLAPPPVPAPVPVAQVAQLAVPSQLVTAPGALQPQVAVPRPDTAARIMTVPTLNTTSLLYPRSKDSINVRAHLLDVNGFDWSVETIDTFVTLEDELNHCVGIKFTNMMNDKTTIWYSEATLSYKIHRSKGRSPVTWSHRCRFTPQKNTFTWNIDIREDMMDDNTYWSGDVCEIIINIEPHGEKGHKFYKRTVVDWNQNIEGADCCVEVEGEKFFVGKATLVRHSKYFDSIFFGSDEVDMTPCVLTDTSKEAFRLFLNYIHRFNDAKIDMSTVMLFIKIAKRLQSEGIMAKAEHYVVKSDQVRLPLKIDLSEMYEMPGLKDHCETLISTCSLYDINDIMAAANYSTWSSSITNLVVKRLLEIANEQKQQLDNRRRVPGVVQPQPAPPHPNPPTQRVGVPPRLRIPAPPQPNAPIQNVFFPRFVTNPVPHAHQLNPLAHQPQIALNPAAVPAPVIAPPPVAPQPPAPVPRAKRRRANGPTQP >PPA14625 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig287:13318:16058:1 gene:PPA14625 transcript:PPA14625 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNKDQSAIQSSVLFGDSRSGSHKLIILLTDGIDEWPHTVIQENLTNSAGDKIRVFGMAMGFATGPLPLLDHLACTTNATTSVVDSVADVKAQSRSYLDHLSDVHSLTLQSTPIEDRPISWTNVYMDNQAAGPVITLSIPLIVPIHHPTWFDTDSQRKPNRMGGVAGVDINIKEFTAHLPHGDGLRSFIVDNNGMVVYHKDHKLPKTEVHAVRRSACYESSQVKKKSGHALRVQYGHSDERVYRLVGLLDSIPTIDMYELESNSTIVQNLRRVIMDGKCDGKTKIMDKTKTENDYYLCKSFPSTPLTLVIFSSHNVPSYDYTGPPIPSSQLTTPNPMVQYLISKRSACNWAIDKIHDDTRSPIGLERLRYSQWINHPDCIDNPSESFSRAMAASLKTWADSWPENPTGTCMDSGILAGIPFDVRYYLNSFVYTRGQVAAFYPMCPESEKSMKQLTEKMEKERLYSILCPRHSCYHLQTYCR >PPA14629 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig287:33638:34161:1 gene:PPA14629 transcript:PPA14629 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRPPPRPLVVPPPSMVYPTQSSMVPQSVYTQPVMVPYAVPIHNPAPPPVTNIAIQSGGNGNNGGFVVRITASVRTLKRLSNIPCDEWDIA >PPA14626 pep:known supercontig:P_pacificus-5.0:Ppa_Contig287:21441:22561:-1 gene:PPA14626 transcript:PPA14626 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSVCGAAVAAATVATVGVARFAKWRVNCWFCNGNQWVPMREKRQFTCEYCRQYNGFNADGGYDKVIREQHRVVPSRTRYAMSEGLQEGGSDSPFCSRCEDAMEKRRKAIADWDAQNEDDWKAEYDEYTRRMERLYRLCERCEMNTQRRLTDNKQRYKYLETLKWQLLNGKTGSIISGLTSVSRMAARSISPSSRRRFFSGGPFTTKLHLIGMIISGLLMMTLTDTLLSDCGMDPLPLPNQLRWFLSIILPHSFTLSIVIAASHALSFATNKNRVSPFR >PPA14627 pep:known supercontig:P_pacificus-5.0:Ppa_Contig287:23152:29048:-1 gene:PPA14627 transcript:PPA14627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-raga-1 MVPCSTVAALLHGRDEEGLLNESIVAEGMELMEKLLETNTVWQRPSGTVDGDKVDTWMGGIPESFSQVQGWQPDPERLAEKVIGSRSEEILKGVLNDLQLDPSIRGNSWKYLLDLIVEILDTNWGPSHLAIKNAFKNVPLTKFLDVCLSKPELNILHRLVHKIVEYPLFSSCTPESPLIVYLFNDADVISYIRKHLTECLSTPLPSNLAQISKRSFLFHLGCSFQRARMNGCNKDRLEAILKVDVSPQQASNQMALATLHEYESTNPSQQLPTHFDAPLSSLVTPFDQQSYSMDTRVSMDITSAFTNKDSTIDEIAFENMCSLKWSEMGDLAEEDEWPGDKNIGGYESSDKSEKSETSIRSDDWPGVESTSKVGKKEDEWPGEGETSNGKKEERGSFPLEWDTKQIKGEQLTDDWPTSPSVTSPTQSSQSSASPAVWADLSSSMGGPSDWTASDPVLIYVFDVESREQEKDYRYYQSCLEALMQHSPTAKIFAEKEADILKFSEEKGGASKASVQCYRSSIWDETLYKAWSAIVYQLIPNVTAMEEKLHQFADIVDADEVVVEVRRRCGDSVLGVLLFEKMTFLVIAHAQITPHRDVHRFEKVSNIIKQFKLSCSKMGAEFEYVHVRNTQFSAFIDKFTATTFVMVVLADSSVSPAATLMNIKSARKAFEKLEAK >PPA14631 pep:known supercontig:P_pacificus-5.0:Ppa_Contig287:40415:41656:1 gene:PPA14631 transcript:PPA14631 gene_biotype:protein_coding transcript_biotype:protein_coding MYSQLMAPSIQIPSTYFAGQPLAQVGPPSSSSISPSIRISRVVHLRNIPSDMVEMEIVQLCSPHGSISNMLLLKGKNQAFVEFDDESGATSIVRSLETIPIQIRGKTIFAQYSTHTELKTEKKGDANGNNEVRRKKILEWK >PPA14628 pep:known supercontig:P_pacificus-5.0:Ppa_Contig287:30661:33440:-1 gene:PPA14628 transcript:PPA14628 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-saps-1 MTTGGSKGSKSKSKGKHRTRRAKEDASSPKSTLRKPPVNVMVPAATNKQDQQLLENKKEFDRRYGLDFPVPILKNSEFSENSALYSEFCKEVKVFNALISRVIAHAPTTAPELFPPNWFGGVVSSINYGKAKYDQLDGKAMFWQNNEENSLDVLLKSDEISLNSVLDNPYTLQEIRNGNQSLVQYLIKDEILIEMMNIALHSTVDQTLPIKEQYKYSNMCTEVFSTFSTEIATAVFGSEPCMNLIVTTLDKPMNSVVASFFFKIVGSLFNRDPRKCIDFLMTTSFVSKCIDHLEHGAMGELIFKFVSSPVEPDLTQIINQWMVEGGIVEGLISAFSSSKHPQVHYVACYVYTEIVGWFR >PPA14623 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig287:7401:8256:1 gene:PPA14623 transcript:PPA14623 gene_biotype:protein_coding transcript_biotype:protein_coding MWGTLFEQYPQMIQEIVIINAPTFVNLLYQTCIPFIPADYKSRITICSSSSPSLTLIKCLHPQIIPSQYITEESPYTNPDIPKPVSPFPKAEMLSVQLDSVSVPAGGQVYLKLKLNAGETIDIYTKHEQEMTVFWFYSIDDTWNGKERLGNGVHTNELCE >PPA14630 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig287:36309:36780:1 gene:PPA14630 transcript:PPA14630 gene_biotype:protein_coding transcript_biotype:protein_coding MGHMALFADPDFAQFSQEIGLASLGASEEDLSKLATLYFFSIEFGLSCDSHADKLDSRLKYKVYGAGLLSSAEELRHAIEGSPSIYRFDPDRVVEQECLITTFQSAYFYTRNFEEAQSKLRYLCLFDV >PPA14624 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig287:8636:8945:-1 gene:PPA14624 transcript:PPA14624 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVPCIFLPILAAIYIKFIQPFILRMLPERWATFLDPILYPTCPVKIPPPMKKEGEGEEKMEEEEKREGCCPVKAGGDDKKDL >PPA14633 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2876:465:760:-1 gene:PPA14633 transcript:PPA14633 gene_biotype:protein_coding transcript_biotype:protein_coding LLSAFAIISVVPCFQYLTFRVYSNVVSVADARFYVGQRPQLIILIISNAILGANVVAFGILGQEPEYVKEIYA >PPA14635 pep:known supercontig:P_pacificus-5.0:Ppa_Contig288:7522:9790:-1 gene:PPA14635 transcript:PPA14635 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sqv-4 description:UDP-glucose 6-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:H3EXS5] MSNHSNGDSVSVVRRITCVGAGYVGGPTCAMIANKCPHITVTVVDMNTEKIAEWNSDNLPIYEPGLEEIVMRCRGTNLFFSSDIPKSIREADLIFISVNTPTKMYGKGKGMAPDLKYVESVARSIAEHAEGPKIVVEKSTVPVKAAESILSILRDAQRTNNNLKFQVLSNPEFLAEGTAMKDLANPDRVLIGGEDSNEGKNAVAALVEVYSSWVAREKIITTNTWSSELSKLVANAFLAQRISSINSVSAICEATGADVSEVAHAVGFDTRIGNKFLNASVGFGGSCFQKDVLSLVYLCESLHLNKAAEYWHGVIEINNWQRRRFADKIIAELFNTVAGKKIAVFGFAFKKNTGDTRESSAIHVCKHLLEEEGQLAIYDPKVTEKQIRCELTIETNEQSVAKLVTVYNDAYETAKDAHAIVILTEWDEFKSYDYSRIYESMVHPAALFDGRLLFKRKEMEALGFRFFGIGSAPSHLTKVGFAAPH >PPA14640 pep:known supercontig:P_pacificus-5.0:Ppa_Contig288:37754:38510:-1 gene:PPA14640 transcript:PPA14640 gene_biotype:protein_coding transcript_biotype:protein_coding MPYNSCNRNSPGKKAAAFDFHRQLFGNVQWDFSWALFQIGWTSAQALAIYVVYKCRRYVAAKSHFNTTLASVPYSVTTHDISNN >PPA14638 pep:known supercontig:P_pacificus-5.0:Ppa_Contig288:20621:21875:-1 gene:PPA14638 transcript:PPA14638 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGPIEVAEEALQKLDELEIVDQESKDKAEKSPRRVRLTDEERRKVWEEKQAQKPVLEEGIKGKVKWYSVRGKYGFIAREDGKSDVFVHQSAISKSGIVRYFLRTLADEEEVVFDVVDGDKGPEAANVTGPDGAEVQGSRYYHILIRRRTARRLPRPDGEKKNTSKTEEEEGKDEEKKRKPKRQNRTRRNRKTEKKTEGDAKDDDDVQEKPARRREASPTNEVKKDKKEKAHQTKIESPVSKGCGDAALGAVGAETAAVH >PPA14634 pep:known supercontig:P_pacificus-5.0:Ppa_Contig288:5647:7078:-1 gene:PPA14634 transcript:PPA14634 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDINDKKRKGEQAMVLLYEKNGVGRVIGATEITVENSENANAGEEGNEDVIVKQINWESQLNDLLFTLQSYHKDEFPFGFEAFGIADLRGPPGFIQAIRQPSTAKSVKIIKMTEVVFKGILFIVRNILCILQSEFT >PPA14637 pep:known supercontig:P_pacificus-5.0:Ppa_Contig288:17228:17616:1 gene:PPA14637 transcript:PPA14637 gene_biotype:protein_coding transcript_biotype:protein_coding MENTSKTEEEEGKDEEKKRKPKRQNRTRRNRKTEKKTEGDAKDDDDVQEKPARRREASSTNEVKKDKKEKAHQTKIESPVSKGCGDAALDAVGAETAAVH >PPA14639 pep:known supercontig:P_pacificus-5.0:Ppa_Contig288:22868:26178:1 gene:PPA14639 transcript:PPA14639 gene_biotype:protein_coding transcript_biotype:protein_coding MYQKIWIPSMQASDQGASQLMIDVYVYTSTHGEDWSVCTRYKLNEPIRRSELFHLSELL >PPA14636 pep:known supercontig:P_pacificus-5.0:Ppa_Contig288:11898:15318:-1 gene:PPA14636 transcript:PPA14636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-122 MLAMLWIFGLVLLSSVAGQMVPQCQCSTFDPCYANIVGVITQCADNCAHTPGGQVPKRYSETIQLAAFREITGMLSRSGIASEATALVQVARKAVGCIVKCTQANGCAGKTCGLALPSDNQIVSTFKSCAMGSGFLTTSAFREMCGCLAHSGIKQIAPICSKIKAVFERSCDRIHQYCADKDMKLVGFQGPRGPPGSEGPVGPPGRRGQMGSTGPSGLVGDEGEAGPPGTDGPPIIQIEEKMVPIPVVVVKEVEVTKLVPFEPTPPGFAPPPGWSPGMTRPDLSKTRVIPRYTTSGRRRPRPTTTTTTESSTTSTTLPPYFYECVLAAVGIPVLHAESQYGEVGSWMRDAKPASEWMSEKRWITDGFASPVLYEYESERQLMNKKQKIKYDMDSSVQIQKELEGLAFKDCARLPDHTFEVQHLYE >PPA14641 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2883:94:817:-1 gene:PPA14641 transcript:PPA14641 gene_biotype:protein_coding transcript_biotype:protein_coding KEEEEKKKKEEEEKEKLKKEEEEKLKKEAEEKAKLKKEEEEKEQKRKDDEKKKEEEKQKEIEKFRAAVEKKKKDKEEEEKKIKEFAAKRRQLQEERLKLQEELKKKEEENNKRKEERKKKEEKEKEANAPPETEDVDSKEETDDLPKSLETTTHRKTKATTHKKSKFESIEDDEDDEEENGPKPAFVTDDSDQLNVQPSTNSLEYSRSTIQ >PPA14642 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2886:35:796:1 gene:PPA14642 transcript:PPA14642 gene_biotype:protein_coding transcript_biotype:protein_coding AQSATSSGDDSTKSRGPSVDSLEKQIRLFNYNADEGWTYEAWWTRHEGLFNSVKVDDKEKNLMLLRHVDDSVDRQFRDHIRPKKLEEMSFSEVQVVMTKLFGDKKTIFEKRLEMFNLKMSKVHIDDLREFATRVNRVVEEADVTQLTPDKIKTMIFLAGVDLPRHTGAMFHIINGMKKEENPNLEKILEIADTFKEAQHDSQTVTAQNRSQVNAIERKFKRSNERQNRKQNQSGGKCYRCGRNHEAKTCAHAST >PPA14645 pep:known supercontig:P_pacificus-5.0:Ppa_Contig289:12080:12640:1 gene:PPA14645 transcript:PPA14645 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEETTRIHEETITHLLDMAVESLESLDDSLGPLLISYTGPQGVFEEKEGVKRWNRQSLLWN >PPA14648 pep:known supercontig:P_pacificus-5.0:Ppa_Contig289:18613:27699:1 gene:PPA14648 transcript:PPA14648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hum-7 MFAELTGNESSSFELVEIMATSDGQTSKERALDKGEYPVSIQSLWKNLSEDEGTPKNRFVLRRKGQGVKNQGGTRFGASQGNTSAIETFLAKFLVQPPDREYADLCLLPELSEQTLLDNLKERFNKGHIYTYIGPILVAVNPFTFFPIYNPKYARMYFQNRRLGSLPPHIFAIADVTYHNMMRIKQDQCIVISGESGSGKTESTNFLLHHLTTLSQKGQSAMSSVEQTLLSAGPVLEAFGNSVTVQNNNSSRFGKFIRVNYRDNGIVAGANVEIYLLEKSRIISQAQGERNYHVFYWLLQGKAHPIFNNGPEPYYKNNLYLMNPEDYAYLNQNGNIPIQKKGEKFEFDRLYHSMLSVGFLDETQQRVFSVISAVLLLGNLNFIKRPGYHSDDAAYIENEEVVDMVANLLHVKGDQLSQALTMRKTVLKNETLVARYSVIEATNTRDAMGKCLYNALFHWIVLKVNQALMKTDATQRRSYSIGILDIFGFEDIGAAINSFEQLCINYANEHLQAYFNQHIFQFEQEEYQREGIKWTNIEYTDNTECVQLFQSKPYGILRLIDEESHINNGTDQTLLDKLNQFLKGNEFYEAPQKREQAFIVAHYAGKVKYQIKGFREKNKDTMRAEVVITLKNAKCSLVRQLLSNDPVALFRWNTLRATFRAVSAFKQAAENAAVKTAESSGHLSAEDSGSGSNTRRGSDSYLQGFLRGEVNCEIPDFCDTSMFDTIVNQAKKMSQAAAKNEEKMSTIATLKSVKEMISGNKPVTKKSSSVSKQFQHSLTKLMKTLSDSAPYFIRCIKSNNEKTPDYFDDNIILRQLRYTGMLETVRIRRAGYSIRMEFPAFAKQYRILLDKGIDSRKEDIMRFIKGHSLIETQNIQYGHSKIFMRDAEKLILDDELHRAIMKHIIVIQRWYRTHSTRRKFLRLKQGIVKMQALVRGINARNKLRLQVESALMIQTNWRKYREERKYRSMREAVMAIQAAYRGNEARNRMGELTGLSKTKPVFKISKIQTFQLPTFNLNDPSSLEQYASSSDDGSSSTQDALDDYEEWTGIDDEFVGMDADATFILEDTKLKLIEDSPDMHRRQSLAPIASTAKMKMLRRAASTESEQIIRGGDDPLSHLELASGSKKKSSSKIGFIKAKKNLRALFGGKRSESGEIYVDDKDSIREMRSSTRELAAPEVQSHSLKASRLHRAEPCAICANPLSGILAQAHKCIKCKMSFHKDCSTFASSIPCMPSSPLRSPVRSESSRRPWDLSARRNEKRTSSPLASTSPFTSLHAKFNLTKTKQQIDPSDDVVTSSDDLRSLSLFIFKKQSELSAEKTKRDTVVDALFKKALKELHMELIGYEAVFDEDRGTLLKYKDLINMFEGLLTKVCKEDKVIFPTTLGVNAFRGFLNEFMQQQKKNKTNKPRSTATTLIKSVRKKRRKSDVSVIHNGHRFRTDVVHVPTFCESCDQFMWHGEKIFICSSCRISAHKKCHTKIAHQCPATNPAQATGRFFGAPLSSLSEDDSGVPILLSKLLVAIEVRGLFTEGIYRKSGSIAQVRQARAQMDAAQDGVGVNIDDMQMHVLTTLVKSFLRELSDPIMSYDLYENFLNVSEVEDAAERIRCLTVMVDLLPKHNKCVLDRLIYHMARIAHQDSVNRMNAHNLALIFGPCLMRRKESVHAQDQLQDVNRQAICVASLVEEKLRQYRETLNNIVELEGATEKVTQNIRLIDEKSGGMKKVEAARQLFVEQLDFLDRHKDRLIHDLPPLAPVASSEDLSSSSEHSNVHRKEEEYAIDMEGPPVFSVLNHLTKNRPRPPSFTHLGDERKKGVDY >PPA14643 pep:known supercontig:P_pacificus-5.0:Ppa_Contig289:2374:5468:1 gene:PPA14643 transcript:PPA14643 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-snt-4 MERGKINLMLSYDPLACSLVIGVLSCEDLCQLSLSPSGQCLLDPYVKLQLLPDRDHKVKSRIVRATNCPQFDEHFTMYGVSAEQLAASTLHIQVCAFDRYSRDAIVGETVYRLTDGQLSLNSSIAVSLALGPESLIASKGEVLLSLTYQPSLNNLTVVIVKARGLIGTPDPYAKLSLRDANGKRLAKKRTHVKRAAKNPVFNESFIFELPQSQLMHAVIDIQMMQHVNGHSETIGRVVLNGADSHVADVISRSDRQIAQWHFMGSVSRREESRGTLNEGGDSIVSVKVAAIITRMFQGVIEKSESINRAWEDRGV >PPA14646 pep:known supercontig:P_pacificus-5.0:Ppa_Contig289:12858:13996:-1 gene:PPA14646 transcript:PPA14646 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLQSFLEASNHNAPSEIRSINGPSAPRRQLHSMYVMDENEEHKSEYELNKFDPIVFEKTGEIKSEYIGWNEPKNTEQLVTAGNEISIEELKEESSTVTAPILPSQHSIDNISDFKPAEGVLDPYMQVNRERLFNLLVAYQNQSGNVWNETVTGLGI >PPA14649 pep:known supercontig:P_pacificus-5.0:Ppa_Contig289:31142:35233:1 gene:PPA14649 transcript:PPA14649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ace-2 MDRMIGNGIVLLLYSLLSPIYSRAILNDGFVVHTSLGTIRGVEQKFEDKVIGAYLGVPYGRPPTGNKRFQRPQMAERNAESFIVLFRTTTVIRFHFEERTSTDFVADKLARSCFFTQDHTFPDFPGAEMWNPPNSQDEDCLNMNIWVPREHDGSVLVWIYGGGFFSGSPSLDLYDGSVLAALHNTIVVNINYRLGPFGFLYLGEESPVSGNMGLLDQQLALKWIHHNIAAFGGDPKRVTLFGESAGSASTTAHLIAPGSRHYFHKIIAKSGAIINSWATRSKQEMLDVSFNLVRRLNCSNSDHELILKCLAHIPSHIVQREADGITGGIHLPMSFAFVPVEEDNHFFKGNVFDKIRRRDFKKDVSALVGTVKDEGTYWLPYYMSDGQFGFKFNHTISPEDPFNSARINREQYKKSLEAFLPYFGNSPLVKHALMNAYQDVPDKQSFNKKDESVISPLTLISDEERALFFREKLRDGVARFLGDYFFTCGLTQFADILAESINGPLYMYYFTMRSSANPWPRWMGVMHGYEIEYAFGVPLRRPQMYRKDRLEVEQSFSKKIMQMWINFANSG >PPA14644 pep:known supercontig:P_pacificus-5.0:Ppa_Contig289:6259:7332:-1 gene:PPA14644 transcript:PPA14644 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGYTREGIPLCFVWSQDAIDLEGSGAHIILNDEERDKRNSVMTKEPRPCDVSFPNRTNMEMLTEYKNNRTGTEFDHFYTLKSSKQLSGYNRTKDLGLILTKNDSSCSCLVTLVQTLDNQSGIFHRIDHKLMRSDAELNRMFERYNRTGEIFYCAAKKGQCGSSLPLYKHFDFIHIDSIITTSDKEVPSTSYRYPSDPLCWIWSASYDGLH >PPA14647 pep:known supercontig:P_pacificus-5.0:Ppa_Contig289:14777:17085:1 gene:PPA14647 transcript:PPA14647 gene_biotype:protein_coding transcript_biotype:protein_coding MHIMTSSEEDPRSKRGGHRLFYHSYFPDLTILRARGQNFVISASYLALQSPFFYDLFYGPNSTGCVNCSSSVVDRLNLAFELELRFVVERLIKDNHFFNRMGANDNSNSADPLPVSTSFPDATTVLVKGFSILVSASTLSLHSSLLSEILYKKGQLIEGAKIDVDPHSFITFLQAIIGDIPSKPTSKFLDDLLILGAKPFYEYYISEIKKKLVNISFESAAPYALSLLEHYSNQPTIDKEKVMKNFVLCLSKDNVADILYSSSLSHSDKELLTSYRMHGERGLNGEEANEFLIFVKTLTGKTIHFVAHSRDTLEYVKTSIRDVDGKQLENGRTLSDYKIEMESTLHLAFLSTLVHSYNVRC >PPA14806 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:719832:725858:1 gene:PPA14806 transcript:PPA14806 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQKCGREESKIAAATGPLLQQIDEKEKRNEELMEEKAALQSSLEASQDALKSLEEYKERLEKTELELSNFKDDRDRERAEFDERIERLEEELRKAVEEKESLEEELVDEAEKIHHNVTQSVEKMRRKRSIRFAEGLVSIFLATVEILAVTIVAICEYHQEVEDDPSLICGLGLDVRSDARVADSGITDRPTMLPSPMTPAGVFPSPIISLTDTNNTLKMASIDEESCEDHREEEEDKEEEKEGDDEKDEEAQDDGKIMQDDEEGEEKDAKAEEEEEEPQTARESHHDEEDNDDVVASGDDAQGHGETKDQPEEGEHVIESRSVHSLEEEHGKEEEEKEEERENESIDNFSPNSESDEEMDAASGVEEEETPRIVELEEGGIKDQLEKEAEIKDQEESLPIIEEVEEEKEMREGEEIKDHGETDMADQEEVKGHRETDEQDEDELAMIKDQVDRLNELRVRAQRQLAPGSKFNAYPYDESIGTAQMIFDLTTEFRRTANFLRGLLAVSPLSEFDRQTSEEREDNEETNENDHQLSNGHTRKEKEKSNEEHDNKEDAKERRRRLRSEKREEILEELERLVEFAEVVKDSGKGESEEVEPAAHHMDNSAIMKQLNDALLDRTDVPKGIAEVRFASHLNSHLNTASGRPPTGKQRVKLPIKAMLEWNGNPFQVAQVSTPNGTNNILI >PPA14739 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:433092:433495:1 gene:PPA14739 transcript:PPA14739 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFLLILFTSVECTHICVRPQNSAVHCTLLGEPIKSEVCIVPMLIYRKVGKDRKGNCLPKDFRDD >PPA14662 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:51045:53086:-1 gene:PPA14662 transcript:PPA14662 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQSVEAATLAVTFLTSSVCIATLPITISIILVLVREKTLFGRTFFTLYKVGLTTDVISIVTSLVLGVLPAVGWFVDAYMSTELFYFFNWSTRVMQGFINTWICLNRATATLMPLTHRKARFIDGFVYDAGQKAIKRIAHFLKQTGKVKVPEWSDLVKLGVTKDMAPPTLTGSTSAPPLGLAACTSALPSQHLPYGSVIHKALKSLEALKWVDKSEDGKGRVLNKQGAPLGPAKCWDSENNEWKKYASWLDNRFVCCKK >PPA14750 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:470623:472926:-1 gene:PPA14750 transcript:PPA14750 gene_biotype:protein_coding transcript_biotype:protein_coding MWVACITPSNSRHDDLPIFVYYVTPNKDLHSCTIDEAHSWLREGYFTSEMLVSLAPKGVDIRSGAFRVWTLKELIERNGRAAPFLMCDEKGKMSQMEELNAMNEELEELKKRKAGMRSTIESLQKRTEVAQSEMLRIQLKMDSMPPSDECDDEEIDEGVGPLSKFGSHAGATPMKRRSDSSLTSSGYSTRKDDQCTSSDVSPTSNSDHPRSTTVTGSDDWLKTPGIATRTIREIEAVPEDMRWRRAVVLGFRGTPTDKKIH >PPA14781 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:608982:612512:-1 gene:PPA14781 transcript:PPA14781 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLDTFKSMLTSHADELSSQMKDLLEETQSSVTGEEYAEGEDEEEAGSECWARDECACCMCREGKTPKVISDLLNGHRGHFDAARIATRADLIDIRDALSVRRRRSSFVDDTRHFFGKGVRCDHCDVIIREGVSLLAHVSSERHAQSTCILKEDLRFWWNRIRNADDFCKSSLTKN >PPA14658 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:39212:39668:-1 gene:PPA14658 transcript:PPA14658 gene_biotype:protein_coding transcript_biotype:protein_coding MNGILRKLSAKQYTVLLFTRANGEGRLFATHNEFCENLPFEITSTDGGPSSVYTARTCVTLYDKIGCSGNKTVVTNDKIDNLSAGFDNRIKSVGPC >PPA14737 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:424976:425648:-1 gene:PPA14737 transcript:PPA14737 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDPVYQKTSALVSLILQSTNAFFVLFFYIIILRDIRAALSKIPSNSNFAHEGNLHKIAFIVCMVERETSTCIYIVRTALYNSIPPYLLEPPAQEPSLQHGHCDKLNGLIERPFEKNLTSV >PPA14827 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:874110:878371:1 gene:PPA14827 transcript:PPA14827 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGIDKLVSDMERQFLAYKRAMGQTVDDPSEVEAKGAAIKEPVDLGFFDPPALPDEMISHIFSFLDVETLLRARLNKRLDDIVLKSKCYVKEMLIVEVEEARKSQDYDPDEPLYVNLVKDRSYSVDCIRRLTQNLSIGTLTVNLQSVTDFYRQVYSLIKDFDLENLQLYDDSWFSGMLGHQTAFMRDEMLNDTFFLDITKMCKSFNSAGSIACDKITPEALHEVYKMMIGKSVKMRKMEITITTDQCVSFLKLIGITYKEDKFFSNRYIEASKFEEFSADMGMCDATDQISFFDGNIEISFDVIPMFRLDGVGDIEFEMHESEESLHDAKNREGWEKISFNLDDLSAMVREVLENSEFAHMFEATDEMSDHSDPSIDDPSEVEAKGAAIKEPVDLGFLPKKRASPDDESQYIRIVKDRPYSIDCIRRLTQMVSIGSLKFGLLTLTDFNREACSLIKDFDVANIQLFNDKLFSFLEPQQVSLMDEMLTDSLFLDLARMCKTLNVATFMSSPSIHITSEALHEVYKMMIEKSVKLRKMEITITRNQFVSFLRLIGITHRDEPFHEFFSNRNIEAAKYVEEIVDPDKLLRWKSRNFVRLGQRFRGYRWIG >PPA14651 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:8418:10529:1 gene:PPA14651 transcript:PPA14651 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPYDPCDADFGVDALSVDINSNYSEDELDFVVVSAPEYDVQVSEPLDLIMERYIEEMQADTHRKLSMEDPQLSGADQSTDSAYSSSSSLARYQTTTNEDEKRKDDQQPINKITLAHPLHIDHTCSLCDAPLGHSIGQKILETRSTLLNPAPKEAAVMLRVHAAWAPFTEEELERAYRNLLCPHQIIALLRAPPDIGYEGEPYRQESRLAGNIIRQIAADLTASAKRDVVIVPKKLFEAAGSYDVDLPDPELPIVADQVYALRGLSPGRLLLVVKRKMEPVHWASIKSVLVYLASIGWEVYLAQEPPTLSTPTYRYQETDERLTALQGDVESMADIKFRVHIATHESNLFKGYWPLAQAATNDPHVIFEWFKATRKDVLVAEGKIDEGPKDDEEINDDGEN >PPA14753 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:493916:495290:-1 gene:PPA14753 transcript:PPA14753 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPYAHKLHELLSACNRKRFNGLVTHQFTSSAVMIFRCMACDCVVRKSSSWLLKHVISAEHVEKMKARKLLFCTEALAYWMLTLRISQKRAPWSHICFTGVRLMFDNVDSWLNSMTGGSSGPATDSAENRAVPTGNHLSLV >PPA14685 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:153616:159981:1 gene:PPA14685 transcript:PPA14685 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPRLKKCFLCLESAPQTRRFPQSSKPDEQLEWLLRQNRDEEGFQQLLNRHRTMRPDAITPLPLLTVPLRTSRPADLIHQSQFSFTTGSQSGNDNSSMPPSPSFSALDTMGSEYVCSQETSQGEEDDEEIEEEEDASGERGHYAIVEDACLLRLFKRCQECGAEVDQSLIEIKRCGSARIVRYDCLNPECNANVKWESQEKVGSGRSRVYSANHSIPIAAFITGTPLPRLCDFAQVLELEIPSDRQMRKTIREIGSIATERVFDGWQEISRELAVNVAGDKGLQVSIDGQYDSPGHTSTNGKVTVIDCETKLAMAGVAKSKNDPGIDGVSCRIESEGAMEAIIELVDRNINIRTIVGDQNGMVNKRLREDPKTASIERVFDFWHVQKPMRKEWWKIVKVNPELTPIYQQFFNHLYYVHNKYTERKDRPYALELVRSFLMHIQGKHKWEKNDEFQLVTQCEHGRLREKDNGETATLNADTEEFEAVRKVLYAPRFKKAFLEAASLIDTITQIMGKEYSNRSKFEILEESIKVRIELSNTRTMRLLGIPEDDVFDVLNAWWEEKEAQWGLEMEWIEEESDFTSQERILSSMTRSL >PPA14746 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:449600:451165:-1 gene:PPA14746 transcript:PPA14746 gene_biotype:protein_coding transcript_biotype:protein_coding MPHYKLTYFDLRARGEPIRMMFAIAGVPLEDVKVTEEAEWEEMVKSKATPFDALPMHEVDGFAGTDNLTAAKADALADQYANFVTAFMPWHIVTAGYAPGDKDGLYDSCYVPTKTKHFPYFEAALRKSSTGWYANTPELTHVDVFIAAGIEWLSMLDKNADKLALFFAHPKLQKYLAERPDAPY >PPA14733 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:412138:413101:1 gene:PPA14733 transcript:PPA14733 gene_biotype:protein_coding transcript_biotype:protein_coding MIYEWRIFKHRTAARAMLAITAVQYLGLILGFGSFLSLSQHYTCSQVHGVKVV >PPA14796 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:680608:682109:-1 gene:PPA14796 transcript:PPA14796 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLNLRTLLLLSIVLPALLAQFKARKEHQLPPILRRVKIYIDHNLQFDKYKLVNARFHLEHFWSKWDNGGALSRLSNNMHDCISIYCRDRAECWKKCMAYTDNLRWDERGTGAKTNHTEPTEKECGENCSVNCKEDDAGVVCKQACKELCEIKFSYSDWAEYDAQRLALHHDFDAMFKERIHEEYRMNPPPTFATPTQLPIE >PPA14799 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:686554:689667:-1 gene:PPA14799 transcript:PPA14799 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDVFDAKIPPECYDRCTSRCSSQDCNDTCSGLCEVRFSYDDRVQWEQQHSKYLVDVRRVDVRNRATMGMVDVRREIETVIDEMEDGSAQRFLFTAEFVSEGHPDKMCDIISDTVLDAHLAQDPNAKVACETVTKTGMVLLAGEITSKAVVDYQSLVRNAVKKIGFDVRVSYAIGVAKPLSITVISYNTSPLSELELLSIVNDNFDLRPGMLMKDLGLKNPIYEQTARNGHFEKPKELKIKPELLAKLKARDVNGMGGQEA >PPA14784 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:632747:642770:-1 gene:PPA14784 transcript:PPA14784 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRNAAKATAKHSSRARPSDDRASVRSHSHATTTSIDQDESQEAGDTSSPLEHRLKRAEARAAKAEEVRALLEREVTDLKMAAVKDNLVMGIQKTQRDIDNERAEWKDKEREFMDKINSITRDFESDRAQWKDKQLELELQIHEHERQIQAGIVAERDSDRQVQAHGKKIDCLERELRESHDRQRRAVEDCKTAEANAARCEAEVDNMRRALESSRREYVDLKTNAKQGVADLEARLAGMEKHLKGLKETNDFLVNTTAGELAHRLHNAQMKIIQLQRMTHPLPPSTSSSDHEQPDQWRGLASPNYGNEGGGASPNYGNEGGGSSPNYGGNEDSFEADPRDMVPRMDSGRHASRMREHPDLPPPVRNHWSPDDRRMTDRGRDGGYRHHSMDMDKRGGLMDGGWEGDTGPFRHRSMENRGGLMNDGWDGERFRMVWATKCGPNDANLFQQQQHWHEDGMGRGRGGEWNDNPERYRNHSMHHGGGMMDMEDDAARYRQQHPMGDMGELFDDRERDDNSERFRRHSKDNMGGRFDYGRRDDIEERFRHPMDNMGGGMMHDERAGTCQPRFRHSMDNTMMAHDGREGTQPAFRHHSVIELDGEEEEPREKRRRETMGGGGGEERRADRPHLFDSSSSTVAIEGGQRVNSVASEEPPTDGVVVDTGRREVKEEESPEEEQAELDMSHPAFRALVEAHKRMVTRIRPKDE >PPA14678 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:114492:116597:1 gene:PPA14678 transcript:PPA14678 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKQCFIMQYGAKTEPARRRVHKLDGRLTVGENIADNGGLRVAYGAYHLHSTRAKKEEQRLPTFGNWTDAQMFFLAFANTWCEAVKPSAINYLLDTDVHSLGRYRACTSVGINGFLNLGTREHPPAKLS >PPA14820 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:810483:811356:1 gene:PPA14820 transcript:PPA14820 gene_biotype:protein_coding transcript_biotype:protein_coding MECKICPAQIKCDTGTTGLKAHVKSCNPSGYAKVDAGDRRHASISSLPLNKIAHPSFNDFVQSLDPLYEPPSRKELGGSLLDRLYNKSKEEVKQAVKGQNVSVVIDHYSDLRSGVGMMGCTAHFIDDSFRRQFYVLSVNPIEEDHNAIQVKNFIHDLEKEYGIKMTLMLIAMTIMVAKYLVTTRKCQRKTMWMRKKRKKKSFE >PPA14848 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:972771:975083:-1 gene:PPA14848 transcript:PPA14848 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGVREERVQNVHHFWIMTADNPKWSVRVGNNSHYNLFNQLDIRFLTSTLPSDNAAPAEPMDYFLMGLIATIVVLAAVAIGAVVYNECIAKRNAYKIDLNEISYVMQSRK >PPA14670 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:72102:76098:1 gene:PPA14670 transcript:PPA14670 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSMCFDRDNITKDFGTDPCAEKLFPESTRIGEEGICPREYIIRGINETGTRITIDNDSNNVLSYDATRKLWKVHYAPLDHTYWLAAVSCAIPISTYNCGCDPLYLMDAGRFSAAEGGVKAYVGPDRVCEDPKNELMYWRFKNPPSQQEESYLGAQDAVNMHIVCQAGIWLLTTNDNSDGWQITNATCIASSLSMPDSMCFVEPTDPSKAYSARPVIAKSQQVTARACEIACADEPTCMAIDYKDPFCSFLGDSTESTCEGFATGVFARTKSDICTERTDIAKELGKDPCAAKLYPEETIKDRPLICPRDDRNLVIRGINESGVRVTLDNDIENFFELFSKRSALVRFVTKNVEDILGLFRLQDLAGGRQLRNDDQHLPVPMRAWSGNDGPGPVECAGRRSGTDGHNGCMRGPDPSDTLLESEVESDHVRRGLAGGSNATGSFCIFLNPLFRTL >PPA14653 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:12271:12941:1 gene:PPA14653 transcript:PPA14653 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLCCCKTYKLRPDSPGSLVWNLRMLTAESENKRLKEELAQLRTFADGKSARNKQLKEEVEKLRKDVEEAFRNERRRYIVQSYSTSSYRPI >PPA14856 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:1002560:1003937:1 gene:PPA14856 transcript:PPA14856 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTGFYALQFGNSIPVAAQISLAQTTKRAFGVVDSAEAKQQRVDTAMQAIERFGTHVKSERMADLFPGLHQEMMFNHIQEKIESLGEEDATDTAAEAKYFIDSMILSHITDVRLTPGAEIATVRTMKSGFGVIDTDAIMQDRLDTAMRAIERVGHNRQNEKLSELFARLYILVIGEVGITEVLAIPPMKTERSGVRFCIDSYTNI >PPA14808 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:738937:739469:1 gene:PPA14808 transcript:PPA14808 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFTVLLIVAAALLAVASARSFHHMDKRRMYIRVPFMHNINSNQLSWIMANKGDKVKKFVQSSTM >PPA14852 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:990815:991494:1 gene:PPA14852 transcript:PPA14852 gene_biotype:protein_coding transcript_biotype:protein_coding MQWMLRAVAATTLLHSGRIILSKVIDEVGKNETEMMKLLSRLVNRYKNEYAEFKDEKAKAHVDSYFAHQRKYRGFRRMAKGRQLKEIIRHFNALRRSNMNILVTNLLTPHCSNL >PPA14679 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:120494:123156:1 gene:PPA14679 transcript:PPA14679 gene_biotype:protein_coding transcript_biotype:protein_coding MSHEHHHHDHAAMDSTTASFTTTTGAPMQMDMSGMHHHDHSAMGHSMSFHGGLKEVVLFQFWQTASPLSMILSCIVVVLLCFVMEATRWSRMKREIVNKATTTSDSPVTPHRLIDACLHAVQLTISYLLMLVFMTFNVWLCVATVCGEWCARATIITGF >PPA14684 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:150440:152007:-1 gene:PPA14684 transcript:PPA14684 gene_biotype:protein_coding transcript_biotype:protein_coding MLACELDHTVQKELQEVKHKKEKEELCSTHDAKEKHLQEELEKKDKLIAEKDAKINTVFEDRINDLHEHNRQTREELQNGRQAQAFLCKGLLEATRSMSALSMQMTQLLSSAQINQQERTMEIGEEMGWEMPLSARSSEVNLAELVTTLIPEIDPSLGDGTEISKKIYVAQSAESKPQTNALKNEEIDWFACNECCNDGARVAGKGFLGVTVEFAAEFGPSAALRPQSHDMNWIEYNNLDMISP >PPA14692 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:184547:188158:1 gene:PPA14692 transcript:PPA14692 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPLIFLLLISFTYSYKILVYNIRYSHSHSNFLGNVADILVEAGHDVTSFIPEVSATVADGTTKSKVVRIPPTPSVQAELKKMLPGAAFFELGDWVHVMMGTTLSVVFEAQCRETLKRMDVIEKLRDEKFDVYIVENADMCGMALTELIKPKSIIMTGTTALYGHQFDEVGAPQPLSVTPRTFLDSFSFWSRVHNLYAEVLTRYAYGKSRTKVLRLFRDKFGSDFPDFEQITSHIAYCFINTEPLLDVASPTISRVIPIGGLGAKQPKELDEYWQGILSLRPRAVLISFGSLIKSQALTIDVKRSFLMVARAFPDTTFIWKYEKTEDEFVRNEASKVTNVVLSKWMPQNDLLNHPNVSVFITHAGMGSVMETTRRGVPALLVPIFADQHRNARGLVHNGLGKVHSKFELTDHAQLISSLKELMESKKYRMNAKHIARMLAAKPFSSREQLIKYTEFAAEFGPSSALRPQSHDMTLIAYHNLDIITVALVVIILVMFVVFKVFCWFAGRCVGKY >PPA14816 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:785444:785872:-1 gene:PPA14816 transcript:PPA14816 gene_biotype:protein_coding transcript_biotype:protein_coding MYHDKCYDAAVDNGVCFDTAFEYVEPYDWDCGANHTAVCTDPANPPSCKSALCKCDVDVVMCWKQFPYPEKKVIQIIFF >PPA14655 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:18362:19474:1 gene:PPA14655 transcript:PPA14655 gene_biotype:protein_coding transcript_biotype:protein_coding MWHPTYCEGPGYAMKGATLAKIVGQMSNHTVIEVEDVFFTGIVAETLKINFINPDVVKSRYTTDMRWNTDGPVLAVLCTHYQFGSDNRYKKDLAAAWKFLKSGNEDIFKEWEIATAANVSSSQ >PPA14718 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:323815:326826:-1 gene:PPA14718 transcript:PPA14718 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKPRNIDRVPFMARLRKMMHTVMEEVALVQLQGEASQFLIQIYSSPVNLPELYEIVNEFEIQGIKLEFHQKEHVKFAQMFADFVDKLQGVRLRPLTVKELTNVNKNLELRDKIKHPTRKVKKAALEEYVYKQPPTAHFEQARERLKPAILRKRTNPTRATQPIVDQPELFAQIPGRSNDDFDEAELEALIKQENYIKPEPLCEVDDTPFPLEGLRGPPGTISILKAEIKEEEPCELDATSTPQPVHYGTPEEELMLKEEIKEEELDFPSTSYSSTLPSTSFDETAIAGATAHLQEGGALEWNGAEGDEGGAMTTTHGLTGAVQRGRRKRPPTTIYVLPPNATVHGAKRAAPPPQIRIIRPANQTYSPAYSTQRTVQQFQKIAPYSAKAGAMPMKRVIVRERKPVTAISTASFQGLSLTQFNNGRVQWVDVKCDYCSATLDEQGMGRHVCGEP >PPA14690 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:178582:180065:-1 gene:PPA14690 transcript:PPA14690 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAVGTHDVRMFQNRYLDACRDIFYHSQPTSTSDHRHDGLAVFITHGGMGSTQETALRGVPALFVPIFADQPRNAGGMAWNRLGKVLNKFHLHDHTIIIAHLKELLENDEYRANARRTSRMLAAKPFSSRDQLIKYTEFAAEFGASPALRPQSHDMTTVEYHNLDIIAVVLLLIVAMIFVTAKVFVCLCRRVIKPKID >PPA14744 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:442351:444450:-1 gene:PPA14744 transcript:PPA14744 gene_biotype:protein_coding transcript_biotype:protein_coding MPQYRLTYFDLRGRGEPIRMMFALKGIPYEDRRITLAEAPQLKGEFPFGCLPMLEVDGVKVAQTLSILRYLARENGCAGPDNLTAAIADALADQYADFVMSLQSWLVVTAGYVEADEDALYQSIYEPARAKNFPYFEAALKKSTTGWYANTADYTHADIFIAASLEWLTRLDKNADKLFDGFPLMEAHFKKIFALPAIEKHVAERPDARY >PPA14765 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:549318:549927:-1 gene:PPA14765 transcript:PPA14765 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRLLRCSPYEIPSIGNVSTSHPFGTIEQTEWNDKLHFLPCMNAIGYNKALMDKFANWMENGLWIKDNGTLKMKNETEGKDKFMKLFLQFAISLAGIHDRSVTVDELMKYFEKDFDKVRCVHIKRAEQPS >PPA14759 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:520057:521968:-1 gene:PPA14759 transcript:PPA14759 gene_biotype:protein_coding transcript_biotype:protein_coding MSISAVAVTPDFLWDAAVGTAKMSEQEPAIKTEETPGRKPVVRTEKAPDPKLEVGTEKSSETVVRTERTQDPEPASAIALNENESVKPRVASNDEHPTPRLINSSPFSPPPGVDPLALLKSCEGAKRKMPIADVARCFKELRALFDRCDIFTLIEETGRLYPEDKLLKCSFCVVYLETINNMICHLGRERHIFKVEQPVPVPVPVVIPSAAIERVTNKSSRSELIFISDHRPLAHPHASPPGVDPFALLNSN >PPA14770 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:579809:584520:-1 gene:PPA14770 transcript:PPA14770 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEDEPEVFYEADDGRKISCTLREAQTWLYQGYFTSSTRFALRGRDGNEGEWTTLGELIARNGRAMPFSEWMSNEEEEELRKLREEIIKLNRQKESLEQLMEQNKERRTFVHQELDRIAQKLSDLDSPLKLPEVTSIPSADIALRFKELRALFGRCDKLKLSRLMEETSGLCSEESHLKCNFCLVHLDTTNCMLYHIGKYTVGRDRKLRPLDRDREPNRRKIKNRNLIDHVFGDTPRLRRYATSSAIHHVFGDTPRLRRYATSSAIRRYATFSAIHHVFGDAPRLRRYTTSSAIHHVFGDTPRLRRYATSSAIRHVFGDAPRLRRYATSSAMHHVFGDTPRLRRYTTSSAIHHVFGDTPRLRRYTTSSAIRHVFGDAPRLRRYTTSSALRHVFGDTPRLRRYATSSAIRHVFGDKRGARRGGDLSRIDSGTQ >PPA14771 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:585127:588574:1 gene:PPA14771 transcript:PPA14771 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLISLLSQSELKRLKRENAQYMRDLCVMTACLHYSQAAKNKKISLLKSLVRFQTFVKSVATQGGIKQKERSKEVLFPSETVVASKRNGSPLISIDCASVLEGTQKFKEEFGERYCINGRDHLMPPFKIGSLLSVIREDTEGDRRRLALYIHNDKSAFRNIFPREVLCSEGVLGLLRSHFVLWPWDVTEKISRVVTAVLEQVDRNVDLFPFLIVFKMMNERELKMVDYTTGAFSPTQAREKLISCMDD >PPA14731 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:407156:409127:-1 gene:PPA14731 transcript:PPA14731 gene_biotype:protein_coding transcript_biotype:protein_coding MTNISYRMKISPSNILFAEADHIKVCDLGIATERRHDEDTDSEITLSQYTGTWLYMSPEQWKMRRYSSKSDVFTLGLIFAELCTVMTGSDRTEIFHDFRCGVERDIIEDEKTAEFLRWLTQEEPRHRPTCAEMMDHLFLA >PPA14680 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:123791:139781:-1 gene:PPA14680 transcript:PPA14680 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVLDFLLLSAVLFAVSAYKILVYNSKFGHSNVNFYGNIADILVEAGHDVTSLMPEIDPSCTNGTLKSKVILVPQTAEAKNAPTHYADQFVRQCKGLLEDTQLVNRLREDHFDVMIAENFDMCGIGLVSLIRPRSLINGAASAPLSFMGPEFGLPLALSTNPSVTISHLNVHSFFSRLKNIYAEALGYKFFLTSRTLVQQLFRDKFGPDYPSLTEISSRGSYTIVNSEPLLDYATPTLNRIVYVGGLGAREPTRVDEILDAILSLRSRTVLISFGSIVRAHELDESIKNSIVKAVSRFPGVTFIWKYERPDDYFSQEAQSIAPNLHLSRWIPQNDLLADRRLIAFITHGGMGSTQELALRGKPAFPIKFEKCLICVVKRDLNNADKLTDAIWDLLGNEQYRINAERIASMIKKKPFSARDQLIKTVEFAAEFGPSSALRPQSFDMSWIEYCNQDIIAIFLVLSAIVAREMRALVVLVFLSLTAPTSPFKILVYNSKFGQSNGHFMGTIADILAEAGHNVTSLIPIIDPSFRDNTEKSHKIYVDQTEKTKKMTAFLNSDATNWFEASSFDFLTPFLVGTPYSDRFVLQCEGVLKKTELIEKLRREQYDVYIAENFDMCGIGLAHLIKPRALINTAASSPIAWMSDEFGLPGGLSYNPSPTTAHLDVHSFISRLKNLLAETLYYKFFHSSRWMVEELFREQYGPDFPSMKEISSHAAYTLIYSEPLIDFAHPTLSRVVHVGGIGARPAKKLSSLETDQDSSHFDRLLSLRSQTILISFGTVVMTHRIPENVKRSIVQTVARFPDVTFIWKYESPEDAFAKEALSTAPNLHLAKWIPQNDLLSDARLTAFITHGGAASTQEFVLKGKPGLSIPIFTDQPRNALLLEKNGLGKMFNKLDLVDTDMFTAAIKDLLENETYRENARLLANKLANKPFSSKEQLIRRMAPNSATIATITTVEFAAEFGASPALRPQSFDMSCIEYHNLDIIAVFSALVVLLQKQNGGSNRLLLPLPHIPRFDFPNPILLILHSLISCSLILTFHIPSPPLLSSASPPSLIPSLVLPSRVVLLSLMSSSDPPAPPPAPTADPAIAQVLDHGPNTVPSAAPAPLAVDAAAAAAAVAAPAAGAAAETAVSPSTTTAVGPEMPPPTPMKSRRKSSRKRKPKAFTPGVNLTSGLTNEMRNTKTTEEFENDLEASTVNRMVENVRSVIPSLSDLSLAFTTAQKSVSDLSTAASGVAQKASKSVSDLSVAATGAAQKASKSVSDLSVAATGAAQKASEHAFSFTAAMSDMSQKMADGAKAALDTAAAAKQRTTDAYNAASATATAVTEKVSAATKSISETTTAIMEGATAAKAKINEVSKAASDATKAVVDTAAPVVSAVSSGVAAAATSVSDVVSSTASSGGATSGSAVSETHIGVLPGGPASSGSSAEVIKDYMAATSLAATTAMNKFSGLSRSLTSLFSQGSQGKWSGGGAATPPPYKSRDKDDAECVALADLSPMPAIAYKGNRSPPNSEGSNSGGGTSDVAKLVSNNENVTVYDCEIEKTYVQSTGTNSFESVVPLMEKEINCGPMTKHPVIGRAHLAKPVQLVGSELPSKRVEEWALRHIERAEEAPKDAVPQTRLGDRETFMLNQLAQKIQTKFLSMKRQDFTLTMTRGFDPNGLPFLEVEVSPVN >PPA14743 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:440211:441659:-1 gene:PPA14743 transcript:PPA14743 gene_biotype:protein_coding transcript_biotype:protein_coding MPHYKLTYFNVRGRGEPVRMMFTIAGVPFEDKRIDKADWPELKKTFPFGTLPVLEVDGVQIGQTLAIVRYVAREFGLAGPDNLTAALADALADQFVDFLQSTEKWLVSTFGGTATSEEKDEIYNTVFVPAREKHFAYFEAALAKSTTGWYAGTPELTHADVVIANYLAFVSSLDKNADKLFEGFPLMEAQYKKFFAHPKLQKYLAERPDAMF >PPA14831 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:895147:896874:1 gene:PPA14831 transcript:PPA14831 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTPVFPSILVLDYQADSPPPCWFGTNKPGWNEYVQSLAKCSPLIVRYAFSETQYGKGPCDRAAAYLKRSIVGWNEAGKDSITPKQLYEALIASTPKDSGLLVRKYAGVGKGRIIPSKQLAPNESYLTNPYHCSVKHCVKTFTSIEELEIHEYQQSHCFENVKDTMLDTAVRSFAKAIETEESAREALEQSELIIQRSDVDTHSQGWALKEGRASRMNSDIQAVAQNFFFEKRAEGKRASPAECSELIRTMMNEKKDYFRFPLAICPSEKSLTSTFGTWEEKRLRPIASASKGRGRPKKAVSTNGPNSKTRKRSIEEVDDCVVGGTEAEAGKDFNTWDLITNVINDKEGNDDEEGDETILVNRQDDEEIMMCMVEEEFNNIFYH >PPA14838 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:931134:933366:-1 gene:PPA14838 transcript:PPA14838 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFALLILPLALAADPQPTESIMVTKKFEKGCTIESDKVIENGVERKLTDDEKQKLADYAIALDEYFKRAFHPKSLTQPKGKLPKFPEICKSDKVVSEHHVVAFEGCSITDDQLYIDGKFVRKLSDDEKKQLRYFRDGVEAFKSQIDDFLKEEMAVSINGGKMTRKQPQPPSPPKICPTVTAKLGKKISDKEQLTATETQLAH >PPA14736 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:423993:424861:1 gene:PPA14736 transcript:PPA14736 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKPSQPIKKKVPVQEQEEYFMDKIWERRTEPDGTMRYLTSWIGFDASEKTWEPIEHFTDSGALALVLKFKEEMDKAKAVDHCVRANLNRARKSVGDDADTPEFHVAELRSFSDTPHRSIPTISKQLAAVLAAAASTASKRRKSTIGNGLQLT >PPA14773 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:595623:596177:-1 gene:PPA14773 transcript:PPA14773 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRLADAIERTVGQRRRDTGMDSVEDSDIANEEEFDSKQDDDDEMKSDNAEEEERQSNGTKKSFKCDQCNKNYTKQQTLEDHKQIHSSEFCCSINYLELVIRGGSFGTAPGRALEK >PPA14669 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:68791:69325:1 gene:PPA14669 transcript:PPA14669 gene_biotype:protein_coding transcript_biotype:protein_coding MWTVYNSFTQYTYWLMTSAAAVVVGMLLDAAPFGIEGGVSAYIGPGKTCEDATRALRYWNFGSRPTDDPYYLSPGVAQTFFVNPNP >PPA14703 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:266221:268064:-1 gene:PPA14703 transcript:PPA14703 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKKDRVNHIGFFVTDDDQDEIILGIKFTIGDKEKLMDNENEARVGKRLSVEPGQVTDLEVKGPKSEERILWSSNELIESGACTLDENGITTIPILNNTKRTIMFEDDEIIGEWSHDVIMEQKEVHARVEYIAMETGIISKKERREEILKCLNKEKGEDITPKMRLVIEQYEEVFALGDSELGRTTVVEHTIDTGDARPIKQKQRPVPYSLREPLQKLLNDMERTGVIRPSTSPWSSPVVLVKKKDGSLRLCIDYRKLNEVVKMDAYPMPNISDCVQELKGKKIFSTLDLKSGFWQIGLEEGSIEKTAFATLDAHYEFTKVPFGLSTSPAVFQRSMNIILKSELQKPIKEREVFVYIDDILIATEDSEKHINAMGRVLELLRQSNMKLNVKKCKLEKEEVYYLGHTIDGRGVSVQYEKVKAIQEFPTPQNADSLRRFLGMSGFYRKFIKNYAQIAHPLYGLTSIKSKWEWGDEQEKSFRLLKDRMSNAPVLAQPNHEAAMSGEAPFIMMTDASKEGVGAVLAQKGIDGEERPIQYYSRKLSKAETNYGITDMEGLAVYEASDEMGPALTES >PPA14724 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:373312:375638:-1 gene:PPA14724 transcript:PPA14724 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHYDVSNQTCAQLQQLLNWQRTAGAGAAAAAAAAGAAVAAAAASAAIPAVVPGAAAAVEAGTCMPVVEAAAAPENVRVRDYGRSFDNRDCTMLFPFPFCHRHSISSLLSIIMRFFFILSLLALILAVYAQEAAAPTGAVDADGAEVTSAPLDFNNADAVDSAVAELAASQEEGDGKKVIATAQGEEGTA >PPA14843 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:952482:956235:-1 gene:PPA14843 transcript:PPA14843 gene_biotype:protein_coding transcript_biotype:protein_coding MKTWRDESIGFFAVLAEDDKLPEQIDPQLIDIFISTARDKRRVLAVRVNALNIVVKLLERPTSHTHTIVDKGIINVISEVIYCSSHELRAASILAARHISKCCDDCRSKLIEAGVAATIIRQMHCGSAEDVRELVAAASTLALNKPSVIAIQFSEEFEDKCKTLLLILLKVRYWCYYSMWLHGNMVRFLRAVIASDKTKLAKRAINLILRLTPADFTYRIRTIIDEPGFMLHVAQWTEGEDEVAHSANNLLRRIAEQATDQYADIVLEDQALIMQLTRGQPGEWRDEGAIALLLKVLEHVTVGGPTTESEHLLGYGRQYCRIIYYKPGPRAYGALPGWMTPGYVFCWVV >PPA14822 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:834368:835636:1 gene:PPA14822 transcript:PPA14822 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGKLINDKSEMLTKEGIFGDAHPREPQALSRAAAKDGRPEVREWSERHDQYAAAIENLRLIVEMHEIVAESRTALMNGKLLLVHKK >PPA14825 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:858108:860932:1 gene:PPA14825 transcript:PPA14825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sec-6 MTRDDMKMWLARTIEQEKDDWYKDVKVDVDGEGKFYSPLPSILFGMLEDTLMLAKEFSMDVLPHVIDMAMVQFLPFIRSYQDAAMAFKTKHFEDRNRFAQFTKTMIAVANNMMSCAELTEKYLRQIRLSMEEETAGSRGGMRRHDVIEHITKVVDAWHAGSTAITSVLFEEVMLDLNKHLAEIFTRKWRLLV >PPA14712 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:299620:301575:1 gene:PPA14712 transcript:PPA14712 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNLRKKIRRRYSSHKEKRSRIRLMEKGEEEERRRNGKESFPMIVIALYLVKETGRVRIVESEDAFGCIGGRIESHLKQIERRNSRMQSKKASFAVGAVFGKKDSSSDSSSSSSTSPNKEDLAAQRLIAQVIDVVETAKVYNVESAKTNKNRSLPTMGEIHKKMKDIASPGEHNYTNDKVNQLAESLRGEMAREMEGMSEVKKRVTRYSSPSASSSSANDNDD >PPA14786 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:648248:652613:-1 gene:PPA14786 transcript:PPA14786 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKESHRTPELLPWSPGELMIDEAATEDPPTAEEALSVTPKKTTHLMESTDALMSNKMTTMFFDDMSGLVSDKMANYSIVDFYLSLIVNKRWIPLSVLAAVDVFSSIFYMRLTEGIDAGSTNFIWGEKAAARRFAAVKQNYKAVSTWTNDVDIFDKDYILIPIVEDKHWYLIIIIKPRKCIVPDSDDVVKNQRSHGKGFIDTEDTATFAVILDSSFDVYDPKRRVSSFFRQATIHIIRDYLELEYAKRKGASNDGMVFDRSRISALRPLGLPQEKHLTDSGFALLQYADTFLSRPPNEKLLHQGVPWSEWYPHFELNVRTMRKRVARAVKKRTDREVWSYFKKVADSNRKEVNSTKKRKKCTKRNGVDQFIVAQIEDLIMASYSKKVPEPLASSATAVDTNGHSKLNGPGTGVHQAPISPLPSKLSPSSIAASCPWLPSFTPILSAHATSFVWNPSSCPKSGTVVPAHDPITAPISLPVVDADTFRRYSILKVSGWVKAVTGNKQDLRDDFNLTHEMTMKLVSALECVKKTLSNGRNIK >PPA14660 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:46593:49112:-1 gene:PPA14660 transcript:PPA14660 gene_biotype:protein_coding transcript_biotype:protein_coding MSERSWKDKVVMWNEGGISSAEIVKRCEQIGKKVSKSKVLRLLKKTYVVRKPYTPSSIIDKEKIQPIFKYIYDAFMSESQEDEEKIVKEIQAFPKFETAALRAQYILGFAMLFSAILTMIALYTIILIRFRFKLTVAPSNKVQARGMKQANSLLVIAVVIVYCVYYYFTFLDSTSDHRDRRVFFARYFTVTGFF >PPA14676 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:105074:106574:1 gene:PPA14676 transcript:PPA14676 gene_biotype:protein_coding transcript_biotype:protein_coding MMQILGRAEQSILSSSDRARALHRAVSSTDRFATRRARRLQCQWEWRRHNAIPGRDTALRPTKAPERGIGSDKITR >PPA14811 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:751558:752914:-1 gene:PPA14811 transcript:PPA14811 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRKKSESGKKKKKKRKDKKKDKSSSRRKKDKRKKKKEKKEGTKSGGSSGGTTVQPTGETEKEEGLYTAKTKKKGGKTKEVTVTDNAIADPTEQAPAADAVSETGRKATKTAPQPKAKRPNWTDCVPESKLPDEWTENDNSKNNSRDATKDAKEAGAKIPDAKE >PPA14729 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:399273:401882:1 gene:PPA14729 transcript:PPA14729 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIVHQANNKVLQLESVMLFKSEFLKNFKTTRMESHNHFDIFITEKVLNDVKWEGAVEREHAAVLLEIFAKHESFMFISAWIEEPPSRWQERADQVLFKIVSEKRLQWHRIDSDEAIDTKKISFFFMLNGRFLKNFKPTEILGEGGFGIVFEVEVKLIGTAKMKRAIKRIPIESTREVNKAMREVKELNRLKPGKIVHHFDSWIENPPEGWQNYCPDNCLFLYIHMEPSNILFDDENRLKLCDFGIVTDRKYYWLREKSVERTGNIGTQMYMAPEQSHALAPPFPSGRQRNEKMQKH >PPA14829 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:887924:888893:1 gene:PPA14829 transcript:PPA14829 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVQFGTAPVPCAACDRTKADLILKITCNAQGNLVAERPSGLIHMKVDGKYYDFDRVTCICGDWFGTSCAGVGVYLSAGLEESTRPDVENPEVPLEGTQCTIRPIGIDSIYDEYFTALPQPYSGTPGAFVCEDQPQWQK >PPA14666 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:62693:64083:1 gene:PPA14666 transcript:PPA14666 gene_biotype:protein_coding transcript_biotype:protein_coding MPDNMCFVLINQTFPRAVLSKTSNVETERKCHVMCGDNPECTAVSYKDLKCTQLGAIGIARITPLDSVRVNAVSDFGNDPCVTSMNSADLSFNRNGICPRNSAKYVVRGIDEFGNRVTLDNDVMNVLSFDDTRNMWRFYIASNDFTKWLVSVTCATALSSCCAPVPLYNVEPVVTGQSPIKNNENGTCFYSGAKRLKFFGGKYKADASPSVFSEAESATMTISCQAGIWLVTYLDNRNGWHITNATCIP >PPA14675 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:101226:102610:1 gene:PPA14675 transcript:PPA14675 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTLKTREKHSQIDSLPRYNFDAGQRMYRSTSLNSLAAASSLPSKESPISSRLDTAASSSTAPLGDDAIYSGASGGTVSINELTAGFNLCGRKIERDS >PPA14727 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:388958:392015:1 gene:PPA14727 transcript:PPA14727 gene_biotype:protein_coding transcript_biotype:protein_coding MPQYKLTYFDLRGRAEPIRMMFAIAGVPVEDKRIQMEEWMDVKKDYQFEKLPVLEVDGVQVSQTLAIIRYIARENGFAGPDNLTAAKADALCDQYADFITAFMQWHIVNAGYAPGDEKALYESDYLPAKAKNFHYFEAALAKSSTGWYADTADLTHADVVIAAGLEMLKSLDKNADKLFDGFPLMEAHYKKYFAHPKLQKYLEERPDAKY >PPA14697 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:228257:232435:-1 gene:PPA14697 transcript:PPA14697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-plc-4 MWMRKKRKKRQEQLKFKRVLPFIHLSPLLLLFLLSNYHPMYPQDGSASLSETKVDTLYEANSPLAAYTAHRFVKSYPKAVALNFQTTDEAFDVNQGLFRVNSNCGYVLKPEILLRGIDVRKHLERPKMRFEVGIVSAQYLPKSEPSKDIIDPYVSIQVFGIEKDEWKQKTHTIKDNGKYIFIFIRFNPVWNRDFSTDIYCPEMAIVRFCIKDFDSTSANDFVGEYSIPFTRYSQIRLNTGYRHNPDECASLFIVLVFVCVIVEEAESRLVQSWMSLKRVPNQKEDDRETEK >PPA14809 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:741289:743146:1 gene:PPA14809 transcript:PPA14809 gene_biotype:protein_coding transcript_biotype:protein_coding MFGELKSSLPTRANDERIFLDTLPLPARDIVYGHLANRGHFEALANLRKTNKACKDSVGAFFTEKKNIPPIEAIVVTEMEYFGLEIELQMDEDTLPLRPNLAKLKDNIDKIKIQSFFDMALLNVHTTKYN >PPA14828 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:879136:886486:1 gene:PPA14828 transcript:PPA14828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rbr-2 MEEKDGWLEIFKKYEKFYSSFKRPPLAPVYHPTSEEFADPIAYVAQIKPEAEKYGVVKIVPPMDFKPRFAIDKSKFTFTPRVQRLNKIDANMREKTAFTERLAHYWELRVGAFRPPILEERPIELFSVHVLVRDRGGVEEVTVNKKWPGIASTLSFKTSQASSKLRENYFKFVVPFLDAIESIEAANGAKDDADEGETKEDGKGGNRGLGEGRMSMQGGNRKAKWTSNKNKAHGKDDKACARCKDFGTILLSDDKACSRCKREDEEDKLIKCTTCHQNTHYFCSKPPLKERPKKQWNCSSCIESSVGRMGVEDDGFTDSSCSYTLNTFATYANRFKREKFGMEPAKVPLDEIEKLYWLNMLNGEMDLEVKYGADLSVSKSSKLEEVVIVFQYQVGSGFYRTTDANLSPTDRAMAAHPWNLNNMPIVRDSVLAHMDSSISGMMVPWVYVGMLFSTFCWHTEDHWTYSVNYNHWGEPKIWYGMGADQAEHFEKAVESICPSLFRHHPDLLHHMTVALNPHLLRTRGVDVHTNAGEFVITFPRAYHAGFNQGLNFAEAVNFAPIDWLAMGRDCMVAYERVRRNCVFAHDELVIKIALACNTLSAGMATAALEELRIIHYRERVNREAVEEKGVKTRERAIFEDIKDDEKMCRYCNTTLFMSSVQCGHGKNACLDHIDHLCDKCPIDQALLLYRYEIAELVPLMDELEDRAKKHPEWGPAVGVRKAAVTTDDSSDISVIKEIINPSRSVTASLVHTDDCNTANSRDTDTEVSLKKAKPETVQKRGKDPSKPVEVRETRRSSRSATKASYSTVYREDSVDKSDAKAPAKKARAKSALKKGKDASKPFVVKEKRRSARADSRLSADEDHSDHDDKESDAEVPVKKGRPEAVPENNRYAHLLMADVWN >PPA14730 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:402449:404654:-1 gene:PPA14730 transcript:PPA14730 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSRSRSPASLEEENRTLREALTRLSQEKTKCDEEISRLSSRITGFQLSSSSTGDYRSKFGTEFDVSRILGIGGGGCVFEAKNVLDEWRYAVKRIAVDPNDAKIKKLLREVRTMAQLDHPNIIRYNSTWIEEPPEEWQYDADDEILAKIKSKKRQLLDYNKNSVFIYIQMQLCHFSLAEWLSENATPESRSLPRMKTWFKQMVQAVDYIHEKNLIHRDLKPANILFAEKDRLKICDLGIVTEQKIDDGVEITMTCTGAGTKEYMSPEQRSYICRVNAKTDVFTLGLILAELCVVMDDYSKKVKAEFVGKLTALDPKNRPTCKKLSDDLYLA >PPA14834 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:907882:910010:1 gene:PPA14834 transcript:PPA14834 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLLDLPIELAEAVSTVTKQLAEANVLKHSETPFIDRLNLCEEELRSMMPQSELSSFFDRLSPLLKGVNVNTLQFFSVSCEMFAIMAKVLDGKTVPKLEFALDFSEELLVPLENFIRHLRTTDLRVNGYSDVLCMMIKTNVRHKRFYFSARCSHAHPLYQSREKHVIGVCKDPNSMYTKLLEVRHFKMQRRK >PPA14671 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:77354:82905:1 gene:PPA14671 transcript:PPA14671 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVLCLLLFTMINLAQIYCMPDFMCFVDETDPVKSLSGRTVLDKAEKETARACEVACGDNDQCKYIAYVDPYCYFLGDPTDDAGCSNPVTVRVKGDCTTRLNITAEFGNDKCVSEIFNVATNKDRQGICPRDDRMMVIRAINETGARVTFDNDVTNMLIFDFNRNMWRFDNPDSGFSAWLVAVSCVTTIDTFNCGCNPLPQMDPGRFGFTGPADAFVGPTGVCEDRRHQLTYWGARFGPNADYGNLSPDQAVKVSPGATVLHEATVPHEATVPQEASVPQEASVPHEATVPQEASVPQEASVPLEATVTNETTVPQEASVPREASVPNSAIVSDEATARSEYCMDGEARSPHRNLPETNGGDESSVRSVYYPTGTTETGEMSSIDYSDSSSARSRSRDDYNDLIRLMFASASSSTSSISASSDSPNDDGSSGVTDSSTEESPLPPPDEAMLRNVIPSPYCDLVLPSLFDAHYTPVQLYAALGIAIEAVRVQEKWKVAEAEDPLERAKRIRKLRADDEIRSAPEAVYSRACGVCYADAPCERAVLTACGHIVCAPCADTMAQGGRLVCPYCRVHTGYVVLVEKEEEEGTDKRMDGGIKYPPVSCHLPLNY >PPA14763 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:547251:547898:1 gene:PPA14763 transcript:PPA14763 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLPDPAVVRKFLSKMSDKEAKDNEFFSARVDLEEAAKCTCTCCPPRDKLEQFDFCCQSLFLHPLKKKGQLLREGLKEKLKLHQSPCITLNPFFTDFLLTDIASEAARALNSYQTGKVDEDENRAKRHSCYRVIIASTMGPLGRAYASVFPLV >PPA14722 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:366631:367342:1 gene:PPA14722 transcript:PPA14722 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPRTRRPDQIYVSFLKEAPAHAGSGMNSRIFSQNFFNTTVDYRFNNFTYFDHPRIVPAEAFDKIVNSKTDAVLAIISNCHAESGRLEYIRELDKYINVTKVGACFGSRISNEDVEKMIGGWSQSR >PPA14723 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:370816:371506:1 gene:PPA14723 transcript:PPA14723 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHPLVLDTVLETAAVDAVDGATSAPLDFNNEEAVDNAVAELAASQEEGDEKKEEPSA >PPA14726 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:386654:387927:1 gene:PPA14726 transcript:PPA14726 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFIILAFFCATVYGADEPTTTSTTTSTTTTSLTTTTTTPTTTTTGSTSTTTTGTTTTTSPTTTTTPVVPTEFLTNLNMYLNPSTGTHAYCFTGSPTLRGKIVAATDAAKAAAEKACPGVTFQRLGFFKRRTSYQHDIGTDKGIFAGYVPATAQCGAFKAMRAYNGDGGDIFYTVGASNGKSVRHEGKILFYVWM >PPA14804 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:707449:714179:1 gene:PPA14804 transcript:PPA14804 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRYSSRGLDGVAIQRKTTVGSASSCDIKLNVPGVTPLHATIEYHPLTRSYWLRDHSIMSTIVNGHAVVGQTELADGDLVRFGDAQPLVFQHDSLVPPRSYMNGGYNGIEGSNGKRGDLSLTELPVLGKRIIPSPNRRAISAKSMRKKRSESADQAKKRSSLDQHSSSSSTVGLPPTPQSAPFSRLHSASSRQRSVGNELLQRVVKLQGEVARRDEEMRMMRAATARQQAIPLNNNTIEDSALRQARRENERLRDLLMFNNGNHVGLPAVNGIVESMRPLPTPEIEHQIYKAFAVALASELKRVISKSATDYSDLFSAFVMEMDDPFSIKMLEIEAGCEAYLTEKGYDRAADTVRELFRSNTIPEGIAGISSHLEALWPVLKDGMTMARDSARACVVLNNWSKRLGDQMRVSGITADRLLQAADDLDATFSDGRLKTHWLIPCLHPLLRTAAIAMKDKEEGVVETRKRRSISDTGTKLD >PPA14793 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:675665:676319:1 gene:PPA14793 transcript:PPA14793 gene_biotype:protein_coding transcript_biotype:protein_coding MPISSPSNQTCLSPTQAVSKNGETSTSYNKHQLPSTSQPPSTSDEGIEILNAGEISTPIIAPSDSNSRLDEFEEYEMLFNDMFDVLGTATQ >PPA14826 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:861649:873892:1 gene:PPA14826 transcript:PPA14826 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGITVNPPLVRLECTADPMRIIVETLRDYHEDHAHLKSAILLYLAQRIHLKVVGEYLRAIESNRLPFKALAERQKAAELLKDNAEVWTNFVKYEECYVLEQFWIQFEKRKSLGIDYIFKHYVPQEEQAQFTPLQTILHSVADVLALTDKSLIELETANFARRFPNCPVDVLAALLMAREDVGRAEAKEKAESCLSHTAHHPRDAAFGELFESARADAPSWMMAAGTTRHAENKKRGTFGAYGKWLIHRLDKTILSLFIEGLRKTKMHMQAQAAGISLVLPDEDDEEEGKRRKKEGALVGCVARLEVDEAEEDEEEDKEESEDEEGDGSGRRMEGVEDEEEEDGGGESTEKEGEEKKSAKRPTMEMQLNWTNSSGNVATLEAEALRFVLKCARCGEVREEDVKMVEGSGKRTGRCAKCAHAQSIHVTRSLVHAACATLAQIRAQGCRPIDAVLLHSQLRFVCLQCTKMDSVQNLSFGAAHKSWCRGCHALIEFVVLSARFVGLFAREQDEEAARSLPKARKAKAVKESTGATIVDGEPLPDMGTCRHYKKSYRWFRFPCCGRLFPCDTCHEETVKGEHEMKVANRMVCGRCSKEQPYSASKPCVGCDAATTRKKTQFWEGGKGCRDQKSMNRNDVHKHAGGRKKTISKKAWSNRLEKKNSIEFNI >PPA14681 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:140314:142759:1 gene:PPA14681 transcript:PPA14681 gene_biotype:protein_coding transcript_biotype:protein_coding MCKDRGTIMNSPRDDRDNHVTIGVNTCPDCYIKRINPVFTDYKQLNMWYKCGDHCPDYASLKCENGGYHHPKVCGKCVCHVFAYGDRCENLIIKKMSEDYPVCLSSWYLKIKTKTFNYFS >PPA14775 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:597145:597784:-1 gene:PPA14775 transcript:PPA14775 gene_biotype:protein_coding transcript_biotype:protein_coding MPDECPAGKVEIVRAGNVVFKFLEIENPCSIGEKLLCCDPKVVRADKFKHCTVESNPHKECPAGKIQVLEKRGTHGTWGEKFCCDEGTFVFE >PPA14849 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:975275:982362:-1 gene:PPA14849 transcript:PPA14849 gene_biotype:protein_coding transcript_biotype:protein_coding MCCEVKSKKINEWSRRVAALVTWTEVIDKKWGQERVNILIDHALLGFADQVNTIPSTCSTCNRDKAALIGKVTCDKEGRLSTECSKGLIQMKVDGKYYTFNRVTCIEGEWFGTSCSGIAVYLSAVLPESTCPEIDFPEIQIPGSNCSVRPIGLPDVYDQHFAALPKLLDLQGFEGYYGCTDQEQWQKMPAISLLQQADDANACGYAQAYSKYNELIFSYPLPRESTTNIPSNCTHLITFPGCKISVYGSKLFSNSDKKYDANAAGFNLPNSVACDNDGTSKTAPVLLSDLGVYDYKVSHESRYFSIPIGVRAAFILTKWNKALEPGLSFSLSGSGSGVFAIDRINVAVDNCDFFVPKRCGKGHKFSAKTGDCEWDSPSKNCAIITDVRTGMDARSESFIQSNTIPEDFKYKSSLIEVREGCIAYAYDGLDKKPQWMNGNTVLNHGRGRYNFPSIQSGTCNQLEKGLKNIECYCDDYNKNPVHNGEVFDADNLIAYDVDYITVGDHFVFTAQLGVTTPMAQMALVAKDGSMPLVLFMGDGKLIADNKPKDGCFENYQIMAEVFPVKQGDFFECRLRITRMGFEIYVNGFFNGLYLRSYRSDRETMALRFTTVFNGLTILSVLKEIMESTTGEYVHINGITTAPFSFSLCFYDIDEPEYWNQPQFSVEADAQHKIVQRLKTKNGDKILTSTASLVVGVEFDIVLVNKPHSIEVYVNGVLLPVIGENIQQPEVKYNAAELYGRDQAIFYDLRRGPKKIEGSPVWSG >PPA14748 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:459315:461695:1 gene:PPA14748 transcript:PPA14748 gene_biotype:protein_coding transcript_biotype:protein_coding MVETETEGDISTARSTSEADDDYTILPNGLIVTRIVQIWPTRTREAPSSANSATPRANSAPDVRMWMGEVRVDGARIEEACFVKLRVACKARWRSFWTCRNSHSTIEPRGFEFPEPALDHLQLNLVAGEHEVKILLERMPGTETFLWRDTTDLRRILLARAAAIREERKTESSSDVSSTSTGIETDMETAYSPTELSEDEERDSRPVNSNEGSPFSNASKHSRRSGWTAARRQWSDGTRSLRIPEKMPSVILDREPEALAAALSPALEASLRAALATGRGSQRGALFAMVHSVVVECLQQEGVERAGEVWREIQRSYGTTPFVDTVLNRLEKSLERDEVRTDNTCSSDDSNE >PPA14751 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:474597:481728:-1 gene:PPA14751 transcript:PPA14751 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPHFQTPRRVALLPDAETDLRVQQWLAALTDKKRLGRKYGVAQNRYWHESSLHGRLSGGHFDWRGLFECARPNSDLYWYLMPWPNKPDKGKARAPPRDYSREEMITLRDNPTRCDVALLPDFNTEQLAQQQLATMGDQERLRKKSALANRRRDWPITGYENEMKWLYVSLSKGHFDWCGFCECARPNSDLYWSLLPWPNKPGKAWNSGREKAERPMIFGEEVIEVKIDSLIEAIKAVDVNPGTPERLLAMGDDCAERPPGEIQIEWTRCDVPLLPDFDTEQQAQKRVAAMGEKERLQRKFSLTRDGKSRYWNSQYLSLSKLHFDWQGFSECARPESDLCWYLLPWPDTQGTTISCEETVKTDPLIHVIRVFEVKPSSAPTSHESTLSMRPTKVTVAPRIFGRRGERSEKKSARNKPDAPQQSDAPVWPRGMASTNIPKVRPRFIRQKSFEGATAGQSAIIKPKTLQQSEMPSSATVNDELAVASSRTSSSPPLTLPVIIPPGGDPFALLKSCEGARRKLAPGTVNQLFTQLRMRYNQFNTEQQMRLAKQMIDLFPEHKVTECTLCDITMNGVVCADAFNFLLNAVRTFSFDDSLEERTIVKSETIQQSDMASSNLPMISPPPGVDPFALLKSCEGANRKMRPKSIVPSFKSLKGHFPQWERPKVLDNLVRSLFNMGKRCAFCDTLPGNASDLIVHICSDTHIEAMNGAVCKDAFDFWWNTVVTNKDLYSKQSEVVEKVDDQPVEKKVLPDNKIPICSKSSTQSIAIAQIFPPTGVDPFALIKSCEGAKRKMVDNVKMQFKFLKARMRAGNVKLLEHATSTLFEKGHVCALCGPWLFSAPEMINHIATTMHIEKMNGLVCADAFDFWWNAVVVKQPEIPTCSKSSTRKVYRQSITYPQISPPPGVDPFALLKSCEGAERKMVDDIAIQFDLLNARMREGNINRLDQKTSKLFEKGQECAFCGTKMNGSVCADAFDFWWNSCGN >PPA14686 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:159940:166671:-1 gene:PPA14686 transcript:PPA14686 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSMGHHFYIGTINARTLGPKDKQTEMELALDKIKWDVIAVQEARIVGTVVYHSGGPTASHGVAFLLRPHLARGAVFRGLSPRLATLHLPDQRLFLVNAYAPTSSYDDDAYDAFIDQVETALRSAPRGTMPVLVGDFNCRVAREPGNERFVGNSASQSPNSRGRTFTEALVRNKLRAWNTFPKRRHGRTWTWRSNDGVTYHQIDFLAAPPSARVVNCGVVGRFEFNSDHRLVRMCLSLSGKVRQKRCREKLDFDRASFTVNASLLASLPLASPTSATDAYCNIKAFTDAAADNCWRKRHTPPWISRATRNLLALRHQLQANSQGPVAYAVACKSARMSLAEDIRKRKEAQARQAALMGRSIVKEILKLQSTKKRLLVPDPASGALSQSATKAAVKDFYEDLYSPAVQIPLAVPPHSLDPFPPFLPDEARHAMSLLKDHLAHSIAHLLNRLVAGDTVPCELSEAVVSLLFKKGDPTNIANFRPISLLTVTLKVTTRCILKRFEAVLEETESATQTGFRRGFSTLDNLHAIKQVAERTSEYGIPIYLAFVDFKKAFDCVEWSACWNSLWNYGAHPTLIHLLRQIYESSTTLIRVNEELVPVTVKRGVRQGDTLSPRLFNVALRSAMDTIDWEEDGIRIDGRNLSHLEYADDLVLIGLLSVAAVSGCSLFEAAQYIIVDTPYAAIAAVGSGIASVANSSNELERERLKVDEDRKRLREEVEKQKLIIQAKDEKIYAVYENHISDLREQTRQMGGFLSSLLRFFGLGSSQALENGEPRKIEYDGKKTTLLPQIEPSWGDGTTKSKKIYVPQSEECKKAANPYSDRFAAQCRGTLEQTELIDRLREEQFDVMIAENFDMCGIGPLISHLDVHSFWSRLLNIFADAGHYNFFLPSRALVENLFKEKYGPEFPSLTDISSHAAYTIVNSEPLVDFAPPTLNRIVYVGGLGAREPKKVDEKLDKILDLRPKTVLISFGSLVIARQLKQDVKNSIVATVARFPDITFIWKYEKPDDDFAKEAVRIAPNLHLFKWIPQNNLLADRRLTAFITHAGMGSTQEITIRGKPGLFIPVLGDQPRNAGAMERSGLGKVFDKRDLTNSDKFTAAVKDLLENESYYINARRIAAMIAKKPFSAREQLIKTVEFAAEFGASPALRPQSYDMTFVEYHNLDIILFPYKKEWKFHAPNSVRSPPFDDLLCLTPSALSSPSPSNNVVEKERIRAEVETTRGSGHAGQYTFL >PPA14701 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:260982:262673:-1 gene:PPA14701 transcript:PPA14701 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVRHYACGIGWFLIFLSAPNHTTGTPDAIDSIAKIFPLADWPGGMYSSPSISDCLDGSAIAATWTSLLSTGKSGFMEITQAVVEATKKLATLLAEVEGITILGSADTVMVAFETENSYDIYHIIEVMRGKVLVHVHNNEHVGENSSIRAFNHLIATVVSPHSFNL >PPA14673 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:88386:90740:-1 gene:PPA14673 transcript:PPA14673 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSSSTTIPIISTGIPYGERKVKASDGSSVSIPNTIRLHRNAEIIRMYKKHMEDIGKKHLIIKDSVAYAILKKCSATRRHALTCVDYFIAEGSDAFEDVEDILSTLQASALLDSDMAKLWKYNVAQSRLYLKTDFRMHLKKESKHLVRARYTDLERTRIIAELKNGEAFVTMDYAQKFLAMYKWEDERKYFAKRSYHSLGTVASIPHLAKKNGVAIHSFSFSEAQNGKSSCDRVAAQVKRKLRDFVARGNNIRNAKELFSAISQSGLKGLSREKTEKAEKALGKLLRPKMEGISGFGHFVFDKNTIRVWKMNGIGDGRLYTDLSGFTRVLKIEEEGGFLASSESSKADEASIQKGDNPERFWTAYLTKKDSNEEEIDDVDEIDNHGHEMSADTKEAKGLFTCQECCSSFINYGNIIRHMEIGRHRIRPEKIHIYDYALGLFKRNLEDVQAHNNVLSEVSQAMTEMSHGADLSSKAG >PPA14768 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:558873:567342:-1 gene:PPA14768 transcript:PPA14768 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAVCEDAFDFWWNVIESAKLPDEPPKAIPEAVPKAKKLCTSLIFQPAILSDAVKPVTKKIAFPPGVNPVALLGSCKKAKRKMPSTEIASSFRELLLRFLQCDIIFKLNGKRDTKCGLCHTNVGRTSATFIPHICGKEHITKMKGVVCADSFDFWWNTVKAATESKLIVSAPKPVKKPEVTFPDPAFVTTSIPWAPTPPKPVKKPEVTLPDPAFVTTSIPWAPTPLPSLDPLALLKSCEGARRKMPKSEIVSSFKKLRARFVLCDKILLVQKITESFERYKFKCALCPIAIDAYSFIPHICGEKHLDEMHGSVCADAFDFWWNVVKNAPESEAAVAEPEAKLEPEIREEPVPKLPKIRTKDGVNGKAETATSAHVKETQISPRRSIRPAPIVPQTLPIEYKFRLSGVDGCGLCRVQVDSPRSMIAHICDPYHIKKMKDKVCLPAFNFWWNAVEAVTVVEPGPEIQKNPAIGKAIGLRLLMEYSESSDLIPSYEEEWGVLSSPRRSVRTSQSGGPKVCHLCAENLDTGMDVLEHCCSDKHVDAMNGAVCADAFMFWWMAVEEATIDHPAPLNMIPARRSVPFPYVSAPPSVDPLALLESCKKAKRKMPVNEIGVRFPELRARFDLCDPKRMVRHTDVIFGSDDMRFKECFFCEKDVTFKMMIKHICSLKHLEAMNGAVCADAFDFWWRAVEAADGVQLTPDGIPQISPPPNVDPFALLQSCQIRFPLMAKSAIEGRFEELLARFDRCDKKKLAELTSSLFEARPLHSAYILDTLTDKMKLRLSGVKGCSLCYTIVESIDDMIIHICDPDHIKKLNGDVCFRAFNFWWNAVESLSVAVPEPKKPTATVAIPQIFPPPFVDPFALLRSCHKRRPLSEDPRSELEKLLRCFKRCDKKKLQNDSTLRALFVKVGNVSMAFLPVSCGVCTRTLIDQPVNMISHITSAGHVNKILKKKQQVGQGSIEFWMSAVQKASK >PPA14800 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:693129:693622:1 gene:PPA14800 transcript:PPA14800 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSGRMMYQILAANKSHMSERTRAAHREIAKAGEWSVTISPFLTLYFVKPYRRSMKKIISKENIVSLSVRKT >PPA14659 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:39887:44051:-1 gene:PPA14659 transcript:PPA14659 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFEQFQSFSSLIGTPAELLEAAMKEALGNVALTNIIADDLLGEDTRNPNTQKANGASIAAGMVGDIMQETTRILIANHGSEILSKLNGIDPMKTLESLIMIMPNRTKQQTDYGTPANPPDFSCIERPDVCDKSYPYRSISGWCNHDDTANRMLGSTMHPIRRFMGAPKYDDGFNSVRRRSFNGGQLPSARTISNKIFAEASISSFDPKYNHFLMQFGQWIAHDLILTPLATGPTGAPLDCTQCESANLTSNCSPIEVPADDAYFPTITAQGTKACIRQTRAINGQTGVGPRQQINRNSHFLDLSQDGQMKMYTPNGYDLPPQAENSSNCQSARSTPPFLCFQAGDSRNSLHPGTIQTRRLMIAMYQSHVYKEYLPKIIGEQKMKEFDLWSTNERKNTYNPAVNPSVSVEFCSGAFRFGHSQARKDIPRTWYRMHEKNATVGAYIDLGQHMFNTSPLYDRGVGLMSVDSMTHGMVNCPAMSVDRQFSFPMRHEILSTRGVKASGVDLPAFNVQRGREKGIQPYNEIRQKIPNLGRVASFDALSASMDKANIDLLKNTYQSVEDVDLYVGLLLEKIADPTALLGPTGSHLIAEQFNAFKRGDRFFYENTNTSGALTDGQL >PPA14716 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:316614:317795:1 gene:PPA14716 transcript:PPA14716 gene_biotype:protein_coding transcript_biotype:protein_coding MHWQETCLAYAYRDTSCVLLGNIINKDLTNPQLDQPFSNTNWTGCWHARNNYFDQAFSNTNGAGFWHVKASRVLKKRISLGQSQCEAIPAQYGIVCNDDQCYCEDTNNQLVVLDASNNVVSAIAVLVCRKGPRWEVISPSTKAFVYASTVHVGSPSCTYIEPPTHEQCMCDMPSIYWGRRNGDNLCGAGKIDYWEDYDKKKHTTPLVCTAAGWTADGVTVKPDTVNCI >PPA14682 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:144272:146832:1 gene:PPA14682 transcript:PPA14682 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLIHTVLSLFLLVSSDDSFNEFTDVSTTETGSKLDESELLLRDRRAVLYTTVTLWPKNETIKYGYVDVAQYSVSERDWIRNRLSQISENFCIKIEEKSSGFRDILFNRSSGCSSLAGYPGSAKTNVISLGSGCHNAIQHEFFHTLGLGHTQRRNDRDNYVNVSESVENAVPAGFAKLYPHHELHLTPPVSYDFKSIMHYGAYDFGGGEIVITPKDLDYIPTMGWSIAPAFSDYKQLNMLYKCGDVSVMTSLSEADAPAGKQLLVKIKELELPQGDNSPKKRCKDLCWTANFEFIDNEEGDLTMGGKFFCCGNRQGESFITKSNILGFTGTIWKGEKARIMFTVEVNEPRGNATHPYPDSVWAFPDGHIVE >PPA14772 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:591942:593357:1 gene:PPA14772 transcript:PPA14772 gene_biotype:protein_coding transcript_biotype:protein_coding MPHNVDLNLATLPVDIITNIIRMDEESLESMHLISRSWNVCAHSYLRDLRYKPSLERVFLRGATEADFPDDDNDENAPNPHEHVCMWAIVPDSCSRARIGLDNWLTVVQTFNNAIEVTFKTPQKVMVTGELKKVEEKLPRFPRSPPLFALTAATYAFLNQGGQTSQDINEVLSNGLTNRCLTRFFSSFSRIQQLELDGSPTYLEIRSALKTLGNLPVQTLKISAGELNETNQYVTFVFY >PPA14720 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:331139:338150:-1 gene:PPA14720 transcript:PPA14720 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVPLPDAVPSAPSGGETSHGTSSSGQIGFAATGAAAAADAASPPYPMDSSSSMPQMAAPATTPPYPETSTMMPVMAPPPSSSHAQADTSASGGASNPVAPYPEPPPSYQQAMGLPGAPASGHPAYQPQTNPAYPKYAPAPNSGVPPPPPGYPQSTYGAYPQNPIPPLQPAYPLHAGGGGGGHHHHTQQPTVVTVNVAPMQIICAYCHGTMVKETDLCCLMCLIFLAIFTFPFGLPFLCCIPCTVRRRCAQCHLACTAQSEERIASEPVPELKDAITRLTARVKLGDFKGAQQVLKEGTDDARNKAINKYMVDKCASLKSCLVCPLEMA >PPA14780 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:604587:606516:-1 gene:PPA14780 transcript:PPA14780 gene_biotype:protein_coding transcript_biotype:protein_coding MPFHFLGETQDERVRWNTKLRAINEVTQELHQLQQRKAILKEQAFDLLDRKIAVQNELSEIAERIVNCNKENELYFRGAAELLHHFLNKLCHEGDDNKLKSKAIDKASLKFWIDTTAKCIPSNPEAPDPPLLTYLTAPRIVNHSYCMPTPLRLHLIKPIFLTLCGLIIRYVTPTYINEIFSASLQRGLVIIFLTDKNAIEKLEDGLEALLWRGYRSRRLGPLITAVDNAAAAAIGACKRLHSALARPATSELELGLKPAKRLPPRRIVVCRPDHAAATLLARGFEIGLCLLFVWASVYFEVKQLRTAVARHR >PPA14663 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:56409:56981:1 gene:PPA14663 transcript:PPA14663 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPNMMDGVESRVEVDGACADPNHQLVVFKGGKNNPSRHSFGAGLTTSMVTTFSSKLTVDMTFIQCQAGIWILRFASNSQEWQITNATCAP >PPA14833 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:905331:905645:1 gene:PPA14833 transcript:PPA14833 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRVEDLSALSGLTIQNAFVIDDQIIGVSIDLDEPWAMYSSDMETFAVKELPVVFEDV >PPA14792 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:673638:675412:1 gene:PPA14792 transcript:PPA14792 gene_biotype:protein_coding transcript_biotype:protein_coding MENSNGIGADQANDNVRKEMAEIEEALEQLDMQSASARKTRRERRAEAHEQFEKENQVSIDKEKAMEALKDDEVARKEKARAEYIHEQTELQNEQNHLDHLNSQIKNDVLKIEGFKRDKKKYEDVRDSRSLEIKRFQSIITYAKALCERKGAMPPQQILCLLN >PPA14694 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:193566:195727:-1 gene:PPA14694 transcript:PPA14694 gene_biotype:protein_coding transcript_biotype:protein_coding MEVISEPGAIEFEIRAAVSSFHALSHRTCSSLIVKKGFADIEHLSTSEYEVKPVDFTKPQLTCPEGFNLTYYIGHAEKGGNPTLIPYIYCENNQWQTDFFNTSDHPLWEEFLDSSGVIEESVGDGQYKLTIVDKHCSTCVNLPNPFDNCANCVSPKLLRATKNMLCVNIEVRSQENSKFLITTHYLVGGTNKTTKKHRHQEESDEPEKTSAVSKKLYFVKKGEENRKKYKKSVVENAQIHGDPIPLLLKKNKQ >PPA14847 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:970046:971614:1 gene:PPA14847 transcript:PPA14847 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGDQDAVLDFAVKCLLPAGTSAHQTDDGTIFYYKYQRPQRLEVELDVQLPDGSSIADINAKIVHANAIFFLENGKIYRAEFNNTETLTASFVRDRLEGETITYGGMCSRVRDGKKFAYRLCDEPEKDALIIDVPRERLENLELRGIHRRKAIYLNTRAGREPSAMAIFDNVIVLQCLLSSTPFMRDSSRYIYITNQHCVFVLDSVYGLFMPLLSCGDTPIRSIVSVIEDVITVRGRNDGVECLMTAQLPERYFDEKNSSMELRF >PPA14787 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:648998:649458:1 gene:PPA14787 transcript:PPA14787 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIQNGKDLMACSQTGSGKTATFLLPPSVGRHYCRPLKQTQYLVLDEADRMLDMGFAEEVMGYLCTFNLIRVGSLVELS >PPA14714 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:308967:310099:1 gene:PPA14714 transcript:PPA14714 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLSKVKVLICRNGSSPDDYLHWQNHTNCNCPTLSSTDNLHFGTVPEPLLPRLRLEINYWGFNLRTVFIPGVPNHSLMYIRLPQRVACSVIPFVIYCIILLTTRSISKNIHLKSNEVKKRRSQELRFTVQFATIAAFYTLSWGLFSLLPMFEFSHHLSWLDGCAFAILK >PPA14815 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:777160:777804:1 gene:PPA14815 transcript:PPA14815 gene_biotype:protein_coding transcript_biotype:protein_coding MWVRVDFGSPSMVSDDEQRDRFYNHEIDGPIAHEEQDIEGEDCRALCLGQEKATIYSWTPADLDDDESSVGTCKCIESIKSITLNFNTYSGFLPQKRQRRGFRY >PPA14818 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:788244:795504:-1 gene:PPA14818 transcript:PPA14818 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATKKEFINPPLSPLLSAMSGRQQQQQQSCRLLCLPFTIAKQALNLGLAIVLPILSTVLGPFKQPAINFLENNRGLVILFFCLPASFIFDLAIKARIYVQRRFLDPDSTHAARVNEIRDRVKEWGKVPASDRKPLCTARPNWLSLSTTFFDKTKCHQIKIDLHEILSFDEKNLVLHAEPNVTVREVVEYLVPKGYTLAVCLEVGDATLGGLAFGVGMTTYSHKVGLYQETISEYEVVTANGEVITVRADNSHSDLFYCLPWSHGTLGLLVSLKLQVVPAKKYVHLKYITANSQDEYCKMMMKYSGANDKEEKVADFVEGTIFSKEKAVIMLGTFAEKRDAPDVKVNDVCLWYKPWFYKHVENILNAGHNHEELVPLESYLLRHNRAIFWVLESMIPFGNHPVFRLFFGWLCPPKPAFLKFTTTPLIREWTFAKQVFQDIVLPLDTLKDQVEEATRLFDRWPLLVYPCRIYDHKRGAQGQLRPPPASRITPGTNFAMFNDLGVYGTPGQLEKKLPYNPTQAMRDMEKFTRDVGGYSFLYADLFMNEEEFEQMFDLTLYKKVRKQYHCDGAFPTLYEKIRPEVDVIAIGEQYAKKSN >PPA14756 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:506956:509291:-1 gene:PPA14756 transcript:PPA14756 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPIEMGEDALKISYAGPSENKEMRDKADEVAPLGFSLNEWQEEKRKQEMNPTTNCIEDVAVDKTPLSFSDNEWPMQKKEQEMRLAQESSMDNVADETSQCYSREEIMRFREDENLGDNAFEEFDRCAFANVERKLQAALAYMFNAGSMREIACPVDSRTGVERILSRPLAATEAGLRQCSPALFSSFSGTTSPGVSSRELRNGRLMVSLRFVATPRARAYTRKDSLLPLALLRKSGRH >PPA14704 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:269818:271133:-1 gene:PPA14704 transcript:PPA14704 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEMPNSKRFENPQGFVAPESIDWRQRGAVSRVKSQGNCGSCWAFAAVGSLEGQHVIRNGTLEEFSEQNLVDCADESYGNARCKGGWLTAAFEYIRTNDGIDTEESYPYVAHNQTCRFKRDSVGETIHGYNVVPAEDENAVAAIGPIAVLIDAGHPSFQHYGGGVYSEEQCLSGWGLLNHAVLVVGYGTDPVGGDYWLVKNSWDTRWGEEGYIRMARNRGNQCGIATAAAYPIL >PPA14795 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:678073:679513:1 gene:PPA14795 transcript:PPA14795 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPKETRQRVGRSEGEAADTTTNALSGIEDCAMMEVRDCRKHDDSVLLSIEAEGRNFVAMAGRPASSSMTQWARDEWVEPPTYIQRMQQQLNARHGMSTTPSPMTQRRDEEWMMPRQTPVERMQQLLQQVQRHQVPQLNARDGLQPSTSSHPTEADQAAFDAWLRRQTQAALTQHQQPSPQFDLAAWQHLAMQQEMTQPMTPQPLRPGQQLYQFPPPQQQFNFGAQHFFLQQGGVFPMMPPTIDQQYYAAMQQLALQQLHPQDPNQPPHPPPNQPRAPFDHSHHRDRMP >PPA14696 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:224936:226911:1 gene:PPA14696 transcript:PPA14696 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSIPCTSNSINDHLASMPNLDDMNGPSCSISSAPSVHPLFSLLPPSSLPSLPPPSSSSSSSHLICRVCGDIAFGKHYGVNACNGCKGFFRRSRRLYNCRFDGDCPVIKEHRNVCRSCRLKKCLDVGMNADCKYPNPILTPLAYLYVDSVQNEREKGREAKKRKIKEEKSTQTTQQFSSQSSVSPYQSMEDSLLTPPDSQGGLFFSSKLFTPDSNECTSTPEMLIKLEDETYGFSHLSPDDSNRPEREPNLSFDVAFKRPELVESRYLMNFKNRRVASSTQDFVDTL >PPA14851 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:987474:989482:1 gene:PPA14851 transcript:PPA14851 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLFILLALAPLAVRADCPSILEGLDPNITIVPADASSMRMPGQAKVHELREAVDVACGRLNFTTAFWSNMFVQHLTVKIVQDELNKNDTEGFRPLAQSLKDQYAALKDEKAKKYADAFLAHHRKFLKRRLTAARLVKMMMAIMGLNFAHILPTHSQIALSQATKMAFGVVETDVMKQARIDTAMSAIERIGVYSKDKRMAELFPKLYELAMNNFTLGVSAFEGFANGIENDWENSTSISHLEVVPAVETLYIQYNTLNNTEAKEFIDWYLAVMVMKNSDYVPVKEDDIDKSQYTKAKEAIKTAGKGICSRPNFFMKSQSDQMCFKAVSTEAKESIKSAFPELFKYLNGKFSKNNWMFGMTKDSNKKVADASTTEGSGEF >PPA14699 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:240707:241034:-1 gene:PPA14699 transcript:PPA14699 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTGVISIKFYPERETTKTVFDVTSSGATEDSPTKMNTSLYQPYPILPDSYRKRPHIRKLSPQSAEYSHAHPNSGI >PPA14788 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:653910:658501:-1 gene:PPA14788 transcript:PPA14788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rab-39 MIGDDFGPLFHYQYRLILIGDSTVGKSSLLKYFTEGRMAEMSDPTVGVDFYARMIELRPGLRVKLQLWDTAGQEKFRSITRSYYRNSVGVIVVYDITSRASFEHVPGWLREAEANVGGPYPGQCVFLLVGHKADRDGERQVQYEEGEYLAKHHKMQFLETSAVTGENVLETFMMVAHEINGRLETGALKTMDGWEGIKKGSQRAQSICLSDAGLTGNDASSCSC >PPA14797 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:684178:684724:1 gene:PPA14797 transcript:PPA14797 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLSDEHAPRTRVPARSKDKSHPLSTGAEVCAYIPNVGHNLQEHSQVMVRGGRRRDLISVKPISSEANISLRLEESRDITSRIPGLHMFSQ >PPA14841 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:941796:945711:-1 gene:PPA14841 transcript:PPA14841 gene_biotype:protein_coding transcript_biotype:protein_coding MPDNLIRRTITKKFPLDIVLHGERLTGGVIRSEQAESVEFFARIADEYKLPKQIDDQLVHRSLPPTRGIQLIDFVTISYFNRANALNIIVKLLERRSTSLTHDLVDKGIMRVLADVVVGRSDELRSSSALAARHIAECCDDCRADLSVAGVVSGIMQQLRDGGFDASVEAARGAVSAIATFVLKLRKEFWVLPEVARELMIPALARALHRLGADDDDIGKMSLSVLLKINYYDGWILRHRKLLKNYLVAVIASDKRQLARIHFGAPYTGLVSWIPNFNR >PPA14688 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:168695:171459:-1 gene:PPA14688 transcript:PPA14688 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHTASQNFHGGVMCERLEKWCKRAAFVVSAFPGQETLVAMKDAASVALKTSGISDHFGTVSGFLDKYKLKTESVREEVEKKTKQAEADANEPSPIALPNTNSEHEKEFFSHLKDETDLEHLRILGSVEKTRLYTEQASCEAVSRANIVPSYKSNVELERINADIQKAKMETDRAKAEGELRKQEAEHRAKHESAQDHADKQRRMEDMYRDTVEDLHNCHEASKMRMEKDIDRKQASVKLEKQEKRLRRILDEHDAKERKRRMEDKADMEHLRNMREARERELTSRPWPITNDSRSLRNGSRFTATKIAQDGEKLFKKI >PPA14777 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:599407:601906:-1 gene:PPA14777 transcript:PPA14777 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSCPDCPPNAHLIEDHRAGDVICRECGRVVGDRLVDVGTEWRSFSNERSGTDPSRVGAPENPLMGASDLSTSIAVGFGSSDGDQSLANAQRRSSNNSDRQMIQGMTHIREMSDRIHLTRAIQDRAALLFRQMLDGKTLRGKNIAAQVASCLYVACRQEGVPRTLKEIIAVAGCSSREVKKCIKLIIRSSENGLITSEDFMSRFCGNLDLPHPVQRAATHIARVAKELDLVAGRSPISIAAAAIYMASQASAYKKTAKEVGEITGAAEVTVKQTFRLLRPDYISDPGGSDGSA >PPA14837 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:917517:920777:-1 gene:PPA14837 transcript:PPA14837 gene_biotype:protein_coding transcript_biotype:protein_coding MCEPIFSGESSFATWYKNGVPIANVTSKSNALLPARTYKPKQSVPEVGFLIVSDIRREDAGTYECRDAVTNASGVASTLRVAYVDALALEHHITLAPRRISLGEPLRLRCPPPAADPPPAVSWQLNGSPLSRYAPDAAAFPNGTLHIPRFTLSHLGLYTCNFTNFAGRATSRVFIDAKDLAIDRALPDSVDSVSQRCTYFFRACVLWFLIGCLATSCIVLLYLLCALFCLQPRRRRTLRPSFFARSHPSLGPGFRKPVMPLPDYYIPAPTQPPAS >PPA14757 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:511454:514873:-1 gene:PPA14757 transcript:PPA14757 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGPTRNTKKKFVTPNASDAPITPPLGVDPLALLKSCEGARRKMNPESISQSFKLLMGHFLQWKRPKVLDNLTRSLFGMNGAVCADAFDFWWNAVVANKNMSSKQPELAKKPAINEKPMEKNPDNKPPPPSAYPPLTPARGVDPFALLKSCPTATRKMESDTFVGRLKDLGLRYTRCDKQRLEQQTAAVFATFESKWCPLCKVKLATLNVFITHISSQKHIYSMKGQVCEDAFDYWSNAVNEADGARSVFQRPDARPEPAVRTRSPPGFEPKPGYSAETQTPPPGYDSRPTPRVVPKKDSGTGRRSPPGFEPRPEPGMRSPPEFEEARADPAMRSAQGAEPIPPPNLPGLELLRKERRVENPCPNATEELSRLGRAFQTCKKKKLDNDPGTIGLFVKPLACLLCNRCAIDKASNMVTHITGAEHMDMIM >PPA14745 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:448195:449155:-1 gene:PPA14745 transcript:PPA14745 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSITETPQRGPDVSKKVLKDRDSPSRETAAFTNLRHTIELADPQAFWRMEHVDIVEGAAYLIEKMAGGSSEEIPEREPIVFEKAASPEEKLTRSEVGTRRLEREKKAVKKLKELLMKHTHDVHAKSYKVDVLNAAASFLNSLARAKRANCKSGNHGPSSPDSEFGASPVSTRKFTLIVLLFVIT >PPA14742 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:437287:439050:-1 gene:PPA14742 transcript:PPA14742 gene_biotype:protein_coding transcript_biotype:protein_coding MPHYKLTYFDLRGRGEPVRMMFAIAGVPFEDKRIEKADWPELKKTFPFGALPVLEVDGVQIGQTLAIVRYVAREFGFAGPDNLTAALADALADQFVDFLTSTEKWIISTAGDLGVAASGDKVSPSHFDRLSLLVADEIYNTVYAPAREKHFAYFEAALAKSTTGWYAGTLELTHADVVIAEFLEFVSRLDKNADKLFEGFPLMEAQYKKFFAHPKLQKYLAERPDAKY >PPA14758 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:516577:519707:-1 gene:PPA14758 transcript:PPA14758 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDVCADAFDFWSNTVHEATESTKPGKKQKLKAPAPAVKATPAVKATAQAPAVKATPVKPPEPRPLRFTHFDTCATVHKENETTPAPPLPVDPFALLKSCEGARRKMTKLEIESRFEKLRHRFAQCDKHHLVQKMTEDFDKEKFKCDLCPIAIDVYSFIPHICGEKHLNEMDGSVCADAFEFWWSVVTKASESEAEPEWENNTDPGIRDEPVPDPARTRSKQPQVASSVEVSAKVDTPSSVHVKEKQITPSLGVAPFALLASCHIRFPLMQKETIANRFKELLTRFDRCDKTKLAEQTSSLFEAKTQTFGSQGMRPLQKMNGDVCQKAFDFWFKAFPDGIAGGAGSLLRVHRWAENVSCW >PPA14719 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:327735:329121:1 gene:PPA14719 transcript:PPA14719 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSTECLVPTTHPSAPPSKNVYQPSQFGPVPPPTQFDKVPVQTEQAKSVQNSSFVVKHTMKKKEETKEKVKTYPVHRDHRLRPLGRDREEVWKMGMRSFCTNEQCDHADHKEKIASTPAHPMHRVRLIFVHL >PPA14817 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:786154:786819:-1 gene:PPA14817 transcript:PPA14817 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLVILLAVVGYAAILPSTERTKQLKSLWNLERVTECVLGYSAIHYNDYGCCCS >PPA14657 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:33280:37676:-1 gene:PPA14657 transcript:PPA14657 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFLLLSCAVIAVRGATSPTPAELLEAAMKQALSNVALINVVADDLLGEDTRNPNTQKANGASIAAGMVGDIMQETTRILIANNGASFLPKLAGIDPIKTLESLIMPNRTKRQIDYGSSSNPLDFSCIDRPDVCDKSYPYRSTSGWCNHDDTANRMLGSTMHPVRRYMGAPKYDDGFNSVRRRSFNGGMLPSTRDISNKIFAEATIPSFDPKYNHFLMQFGQWIAHDIILTPLATGPTGALLDCTRCESADLTTNCAPIEVPADDAYFPKVTDQGRKACIRLTRAINGQTGIGPRQQINQNSHFLDLSQVYGSTDCVAKDLRTLKDGQMKMFTTADYNLPPQAANSSNCQSQRSTPPYLCFQAGDNRNSLHPGLIPMHTIYLRQHNRWAEAIKNLRPMWGDNQIYQETRRLMIALYQSHAYSEYLPNIIGSQKMQEFDLYQSGRKNTYNPAVNPSVSVEFCSGAYRFGHSQARKDIPRMTNKNVSFGSYIDLGQHMFYTDPLYDKTATVSSMTQGMVNCPAMSTDRQFSFPVRHEIFSTRGVKASGVDLPAFNVQRARGKGIQPYNEVRQMIPNLGRVASFDALSANMDKIIGSENTTCIAKYANIDLLKKTYESVEDVDLYVGLLLERITDPTALLGPTGTHLIADQFNAFKKGDRFFYENKNTAGALTQIEYDAIRNYTLAQLVCENTFGMELVQTDIFQFNSRKVQCTSFQPFPMQRILRMPSAKQYTVFLYTNANAGGRRFSTQSEFCENILLEMTNTDGGVSSVDTRGTCVVLYDKSGCTGTKVSVDKNKIDNLTTVGFNDRTKSVGPC >PPA14855 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:999144:1001449:1 gene:PPA14855 transcript:PPA14855 gene_biotype:protein_coding transcript_biotype:protein_coding MCQLLSDSLANGLITTHAHAAFVEDEGEYTPHGILRAFEMKLLLLLFAISHLAVSNCPPFLEGLDVTVIPLSRFDKAVDTACGRRNFTHDPWSPAWIQMLIVQIVSDEVESDNSTSICFRPLFQSIKDQYKLLNKSDAKEFITDLFKHYRQSALHNLTKTELIEEIEDHPFYASLTLPVAAQIELAKATKKGFGMEETSETKQKRIDTAMKMIERFGTLGKNERMAELFANIYVLVQEEAPMGRTSFEMVIAELGELWEDTEQDISYLEIAPALETLYLQYHTLDNAVAKAFVNWYLASMTLANPDERFKDGNEGDPLIANAMKDSRYISCPVEKVIIPAKK >PPA14717 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:318389:323017:1 gene:PPA14717 transcript:PPA14717 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQTASKVLGRKRMPDRPMSRKLDAEERSMICILREKLEDDCITDDERTALAILAAIDKMDDSPCEDPNKGLELRRVKDLPTANEADRSTEEMFDLDRDGNVIARSIGGQSANRESENPRKKTKKLKKPKKDEPQHSFSLLAPPRNRQKTAAELTDTGDALNYDSLFEDRLVPPVPPRHPPLSKQRVGYAPTPVFEITSKEEATSAALQKFAETSPFALFRNLASVMEMDLSVFHLDTFVVDHADHPIEIREQLRLSPDENTDRFGKATWECDSEKSDSTVIKYFTGAFDENFQEAIQRVLGMPTEIEKDDTPSLKKLKTEWKRLSYGDQKAHLKKIKFGTNLDLSNPPHNPKLFKEQHDQLSKLPPFCRIKDDVDLLSLIDHDILGMNTAQCYIKVPANRTPAHIENNAVASVNINIGPGDCEWFGVDYKYYGVVEKMLRKQGIDFLSGSWWPNAEQLLEMGVPVFRFTQREGELVWVGQGCIHWVQALGYCNNVAWNLIPNTHAQLSLAAHQYEINKLKGYQSVVPLHNLFWDIAKKQRVTDEKVQIHSLVKGMLIRSLANVRMIAQWAEKKKGWAITEREREKGEPAYYCVKCQVEIFNIFFCKEEKQTEEGIRLSSMVKEEEVEDNEEEMDEDDGKVYAVYCYDCTRSSGCKKFYAMQEAPLSELEQILDNFKLQIESRDSLSVAPKACNVLHVSLGRDLTNRDGRNKEWQR >PPA14801 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:696253:696984:-1 gene:PPA14801 transcript:PPA14801 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLVLVFTVLAFTTSRIFLQASFDEITNNGTLSKAQTQAAVGSWSAANNITDEVTAYNTQLQQQQNTTRTKLNSAVAQLPTVLTQIYSIEDNQNLTIVQERQQIGSIFANLTFPLNALVGSALKEERPFGRK >PPA14749 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:462769:469924:-1 gene:PPA14749 transcript:PPA14749 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDSSGNSTESAENMNGVVCADTFDFWWNMDRSTEQIWYKGAPDERHHVVPLTNVYDWYNNHMILHSARLSFDEGKTWDSIVELRRRNGPTFPFIAKSETVEAESGWGGIAAARRMLSMERAEVERLERARNELQRQVDEQERMLEKMKELEKQLDQLNLKRAENYSQIEGRMCTVAGRLTAETRAAVQKARAHPQPVVAAADDEEDYDSDPNEASLMFNEKDLFVDNGDGRIATREGPVEKPPTIAVGPLCIYPMLQASSLPDDYPVHSAILASLRAQLREGVDPAVAAATAAMFVPPPKCSLCSGARPLTTLTAFLEHVVDQQHIGKVSKAKLKKQSRRGEETELRARDNERGRNEDEEEDRQVVRLRFSGSSTVEDGQVAYVYMKRKAVFLPHMDEVRLVSDPQKHNEPLLKVQVSRSDDPEWTHMTILMMDRDKRSSIWSVAGTRRAPSASTAYPWRRSDAVARTECAIITIIGSYCG >PPA14853 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:992671:993595:-1 gene:PPA14853 transcript:PPA14853 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRQFIVLLSLSSISNLTLCFGEPDNSAIEKSSAIIREEAKLLNISAVKLIRMSYEKEIRWFNEMTNDLSNESNKFLKMAILALEEFLTTMDAYDESMEFSNDKNITNLQLRALEKIPHSHNKVIRAYNKLSTNSKMELETTFCLRTIYNHFRHNLLVRNAGSEMLTQEYWDKIEISNHTLNFPKLEVISGYV >PPA14661 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:49400:50994:1 gene:PPA14661 transcript:PPA14661 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCLVLIGSTYELVDRDDIDGASCPFTKVDIKRPGGKTDAGMFVNGGTLTQMSAEMEMYQKGEKKITKRNLKPLFSVASPHCSEDEIEEEGQPSASRPMRIARIKKEKNEKTPQQPLKKRKRGNSLPPVVPPLSSTVIPSTTVTSAPAQSGAVTMDAIFNKLLEIQSSVALISSRQDRLEKRIGDITNDVVGTRYESRTLVDVTRKIQTDVKSLATVLEEVKDRVPPPPQGPEYGIYADLTNEKVDEIDNTNDGLLIFAGKLDRALFGKTYVRHQDRDQNKMKWLIEVILHRRRHSIGKEVAKFRSLIYQRINANAKRVEDEVYLERLDQARAQSQPFTPPIHISSSRPTANHSQFDLATTTPSRPNSGRIQTPILASTSSFRSCVSRVPKRPNMISSRIMNEEDPIPPSEYYQDYPDNFDW >PPA14656 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:21599:32707:-1 gene:PPA14656 transcript:PPA14656 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAITNVESINNVAKANLGENTRNPSSQAANGASIAAMRIGDILQETTRLLINEHGIAFLTELAGIDIVAELEALLKPRTKRQIDYVTPSNPLELSCIERLATCDKSYPYRSISGWCNHDDTANRMLGSTMHPLRRFMGAPKYDDGFNSVRRRSANGGKLPSTREVSNKIFAEASIPSFDPKYNHFLMQFGQWIAHDIILSPLATGPTGVLLDCTQCESADLTTNCAPIEIPDDDAYFPTTTDRGRKACIRLTRAINGQTGLGQREQINQNSHFLDLSQVYGSSDCVAKDLRLLQDGKMKMFTTDVYNLPPQASNDPNCQSQKSSPPFLCFKAGDIRSSLHPGLIPMHTIYLRQHNRWAEQIQVLRPMWGDNQIYQETRRLMIALYQSHVYSEYLPKIIGDQKMQAFGLTQSDRSNTYNPNFNPSVAAEFATAAFRFGHSQARMDIPRATNKNVSSGSFIDLGKHMFYTDPLYDKTATVSNMAQGMINYPGMSVDRQFSFPIRHEIFSTRGVKASGVDLPAFNVQRAREFGVHPYSDVRMTIPTRLFAPTFEALNNTMDQANIELLKKTYESTDDIDLYVGILLEKIVDPTALLGPTGTHLIGEQFGSFMRGDRFFYANTNTSGALTQAEYDAIRNYSLAQLICENTFGMELVQADIFQFNSRQVQCSSFTAFPIQRILRAPSPKQYTAYLFTHSEFVGDRQFIIKNEFCENLPAFMITTNGGVSSVDTQGTCVMLYENDGCSGNSTKIEGTNNDLKKVGFNDRTRSIGPSNEVGWLASYRFSVPSMPLHPSRALVKEHRIMSKMVEERKAAQEKENNNMETFRFLDKYTPDGEYDPNFPDDHMVLEVYEYLDDEITKTLAKKWDDHANSTGENSDCYASIFPVDYIIASTKRNVLVKFTSYPVPCWEKEEKVPEIERLTYILRQARLDCVEYFIRAIEGDAFFEKHYPHRYLKAEKGVGNGGYIKNERGLYKNRLRAHEHHYNNVNNKSGIQPAYVEDWTKSIDGDVALTHFGCIQQIIFEFQYIQQIIKNDVVNGILSTNKDGLAHIKCVAKCEYNCNGVRQRGAPACCSQNKHIAACTDNIPRFVNKRQKEGFPARFECTDECKCDPEQCQNRVVQKGRQHVVLCFRHLIKQWTLRCLWEMSSEDLIGAYCGEVVQDNHCEDENAIIYDYNLMQTVQINHDERGPLWVSAYNKGNETRMISHACAPNSRAESVIIERNGLFYKTMAFFPEREELTFDYFDDGGPEDLQCEYTKFFDVCGCGSPACRFTKKKIADADALVQARAETRLPNEAEMGEENVAISDEDGDSESDENNNKI >PPA14677 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:107406:112263:1 gene:PPA14677 transcript:PPA14677 gene_biotype:protein_coding transcript_biotype:protein_coding MITVIFWATPDCLQAVDSAEQAMHRMKGPQTPAGLCLTNDCVRLAANYLNNMNADVRPCDDFYEFACGRYSNSKVIPEHEKKVTVLSEMTSGLNRHLKRILEGTRDANETAPLAFARTYYESCMDNTALEEADLQPLNTLISRLGGWSLLTNSRFDSTHFQWELLAGHNALLGRSGLFHLFVHKSFERSDENMIMFAPPRLLLEKKKFYDLAPFETNEYIQKYRVYMLEFLEQLGADRDALGPHVDNILQFEMLIANVGAVVVVVVVVLATKRDNIRNHTAINNVVNWDLFLNDEIRAMLAPISEDTVVNIIDPAFFDALGALLTGNRMQIINDFLMWRLISSFEEYLPRRFRLPGHKFRLWLRGSAEEPAWETCVRIVRTHIPAPLASAYAAEYFTESDRNQAVEMITDLKIAMEQTLVTAGNFRANTMYMPHWMDEATRTAAIAKLQQMGHKVGFPDWLFNTTHVMAPFEGVKLHAKRYFENAMALEKSQFKEMLSRLHNTRRSSSQEVWVASIIAVDAFHYFNANEIIFPAGILQFPMFVRDAPSYVNYGAIGMGIGHEITHGYDDLGGEIM >PPA14836 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:913642:914440:1 gene:PPA14836 transcript:PPA14836 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTNNEWAEVKYDEGQKDLDIFAQDRGFKTLGNLYCFGVDKEFGCRQNALFRFDATQKKWIKVHQFGPSEIIHSRPEIVVVGSREVSHTTEEILSSEMNPVMKKCRNE >PPA14812 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:756630:759791:1 gene:PPA14812 transcript:PPA14812 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEVGYLGAVTYQCLYSGALDRVRQSMRTDEKAPSAVHKLRTAMKQSEAASPSFLFDLTKLLLADSGLSVNLQESFLRMQANAPTEDLLVHGQENVPQFMELSSRAIALRRVLSRVPEEMSDRRTFLETIKEIASSIKKLLDATNDVITVVPAHAQQAVEKRKREFVHYSKRFSTTLKDYFRDQNAHQVANYSSLDKTIVETIRTLTF >PPA14721 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:347861:360398:-1 gene:PPA14721 transcript:PPA14721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dnj-9 MSCSSGSSLCGDDDDFIGNDEEELDFYAILNVPSNATNDEITKAYRKRCLIFHPDRHTDENDKLAAEKIFVQLKRAHETLMDPKQRAIYDALGVQGLDQHGWQLVSRSENPDNIRREYEFLQRLKERELMLQRTHPTSGFHVKTSFAGLFAKNPEYRYGPQFISIGISQGVDCPLTQTDRVGLVGRVRAGNGRGDGSVAAVWKRSTANWHLENTLTVSADTLQWTARAGRNLSPRSTLILQPSVQWYPLQQMVNPAVALIFSHQLRRNWQGSIILSASPTNSALQTNILHSENNQPKALCNIALSPTNPHVRLVYFTRGPASDAVTEMACTISPFGIAPSIQAERRLSRFTRVGASLAFAFPSCTLTAKFKARAGGASYEWSIVLCDDKDEFSRSALFGVALPYVAFQIGRVMFRPWLERLTTLFDDPTAEAEVDAAKAEESERIINLMKPTAERIAREEEEKKGIVIVEAKYGQTDPLGSRSYPVMGDRSIDVTVPLQSMVHDSQLRVFSVKSQLPGFHDPAPGFAKVLMVKYRFRDEPHAVCIPDDMPLTIPLRWARNHWAESSSINDGKRTIIGYSNR >PPA14695 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:210596:210791:1 gene:PPA14695 transcript:PPA14695 gene_biotype:protein_coding transcript_biotype:protein_coding MYEIAYMEDQRYKEGAVILEKAHFKEEEGIDEAVCDPKEVNHRAFIISEICSSH >PPA14821 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:829462:831871:1 gene:PPA14821 transcript:PPA14821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sec-6 MYVRLSQACVVARQALSYENRPTMNVEEEARKRALEHVAKLVQRPDQLDRIGEMKKKSERKKAAVEAMLRTGVQSQLEGISQPK >PPA14728 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:392540:394829:1 gene:PPA14728 transcript:PPA14728 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTCAPSPLLSPGRYSPVKMDADTARALAVEHDERMRHIEHFEQDPWDMGQLFPLLEVSEVIQEEEDEALEEVVEESEAIEEEWEVEEVEEEQEIEEDMEEVEEVEDEEIEEMDEMEDVEDEEEEYVETVYVEDDEEDEEEDEEEEEVEEMVERDETVSDEEQIEVDVASNELEWSEDYCPSPIGDKPYSADDEGEIEEDDEDELEEDEITSESDEYEESSDDAEEEDDTSLSVRNAKRMRELQSANERDLRRAELGHYLGIQYGMAGVCDVGAARMYAASGLPATCCAIEGWAKN >PPA14683 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:146936:149849:-1 gene:PPA14683 transcript:PPA14683 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLLLLLQICLHVDAFKFLVYNPLWSQSHTNFMVKLSEVLVEAGHEVVMLAPIVDATLPDVRNHSSRFYDVWHAQCNATINHPGLLESLEAEHFDAAFLEPMDMCGYGIISRIGVRSFATTMSIGSYEGNFEWTDLPSFPSYVPGMMMQFSDRMTFLQRVTNTLSIGIGKYLFPYLEMPTERILLEQFGADLPSLAELKRATSLWFLNSEPLIEFPRPITHKMIDIGGITVNEGHKELNDTWSALMDLRPQNVLISFGTVAKSYLMPDSYKRTLVDTIKKFPNVTFIWKYEKPEDKISENIANLIEATWLPQIDLLYDPRLSLFITHCGQGSTIEATTAGVPLIVVPIVGDQKRNAAVIARIGTGIVLEKQSLEDAVMMESTLRSALTDDKYRKKASAVGEMIRHRPFSPRATFVKNMEFLARFGPLRIMA >PPA14652 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:10580:11298:-1 gene:PPA14652 transcript:PPA14652 gene_biotype:protein_coding transcript_biotype:protein_coding MNARQFKEAAKEFYCGVISITAGAQIMSLIIMVIAVVSEAVTIATQRDPVEQAVVVLFQLVSAGLVLLAISKRILKLMMPMLAFCTSNSRQK >PPA14839 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:934433:936019:-1 gene:PPA14839 transcript:PPA14839 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHGAGRLVLGHELSPVRFLLNTGCGLIPPCTIIWTIVSFYFSVTPDRLSCRRLLVCPGDWFDENKQRRSVDQPTHLLQSKELYNILVGKDQQHHFERSGRNKSLDSVNETVLAAVRNVTTAFGVTDQIPVYSRRKSTSSPTWFSRERKEC >PPA14707 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:281294:283856:1 gene:PPA14707 transcript:PPA14707 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVFAGQFVRQCKAVIDDKEILKKFQAEKFDVMIVENFDMCGVGYAHLVKPKSLITSGASSPFSYMFEEFGVPLALSYNPSSYMSSLNVHSMYDRAKNIYADFLMHAIFYPGRWMIEDLFREKIGADFPSLQEISSHAAYTLTNSEPLIDFAAPTLSRVIPIGGIGAKAPKPLDKYWNEVLSRRSKTILLSFGSVAKSVYLSDAIKQSILTTIGSFPDTTFIWKYEEPEDNFGKSATAAHPNLVLSSWMPQNDLLNDDRITLFITHGGMGSTQETALRGKPGIFIPIFGDQPRNAGMMQHNGFGKAIDKFELHKPEVVIAAIKEILGNESYRKNAVRVSKMLAKKPFSSKEQLIKTIEFAAEYGPSRALRPQSYDMTFVEYHNLDICAAFLVLSLLSLYLTKKFLCCLFKKCCGAKKEEKKSKKE >PPA14734 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:415317:418608:-1 gene:PPA14734 transcript:PPA14734 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPLVVFVVGVLASVADAPQIQCRHGVVDEALRVTARDAFDKKNEINIKAYKQKWSTTELVKKLHSTEPDASFTSHFQNTFKPVKILGQGGFGCVFEAEKHVMGKLTLWRGAVKRIPMKNGENEFGKAWKKVEALLKFEHKGIVKFYNAWAEQPPEGWERSADNDMLKHLNSQETFIYKDAFLYIQMEPDNILFAGETYRGEKILKVCDLGIVTERAISNEENEWEVREFPAERTFAKGTKMYMAPEQMGWADYTSKVDIFALGLILAEMLIAMPTEQAQEVFDNYRCGRPNTILEDIPEAETFVAWLTNPIDSERPDSREIKHHKFTLSEQRQKVIKRATSIHRGRIRTLARGLGATRRINQLEAKIAALTNNDVVILAISYFKNGRAAEDCERELRCAEVVC >PPA14706 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:280378:281201:1 gene:PPA14706 transcript:PPA14706 gene_biotype:protein_coding transcript_biotype:protein_coding MRLISLLFLIAFVSEVASHKILVYNAQYGHSHSNFLGNIADILVDAGHDVTSFIPIIDPSVRDGTSKSKKVFLAQSKETKELLDKMMEHKADFFSMNNFDPILTFIVRSE >PPA14844 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:957040:963003:-1 gene:PPA14844 transcript:PPA14844 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGTVVLLAAVTASAVASFSFPEVTSVRVKRQQCRCLRLVNGGGMQCSCMQPLATNELGSGGLASQVSQAAGAPQASCQCIQIFISDSPTYRCLCDPRPPTPTVGVTIQTTVPIVTQPATTQTSTLPPTIITVPSTISTFPPITTPPTTTTTTVTMPPVTTLPPFSYPTNPPVTTTVPTVPTVPSTISTFPPITTPPTTVTMPPVTYTTAPTTFTTPPPITSPPLITPTSTFPPITTPPTTVTLPPVTFPVTIPTVTTASPLPTPGPSSSTTPGYEPPVTITVPPSQACQCIMIRINGPQNAQYQCSCPGVANPIPVSPGGTGTGVTGAPAIPSQNDAPTLPYPATGVTNGTSAGCFCLDGANPNLGCGCSCDCLTLTVTIPTGVCVCPQDDSGRVVTTPGQPSQPTPGYLNPVTPAPTAPVVPGQPQTLPPITPGHTYPVTYTPSPVTLPVVYPTGGQSGLPAQPVQPTQPNLQPITTTLAPIVQPGQPGATPSTGGTTTLPLTTTCILYPVNGGSTQQQQCTCMPQYEQCAQNVCCLSSRFRSQKNEILRAAADATGVQESTLDIFVDMFNKIKNRFSATA >PPA14725 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:378075:385751:1 gene:PPA14725 transcript:PPA14725 gene_biotype:protein_coding transcript_biotype:protein_coding MYIDESEADYKIAPKNPFNINMRVLIIISVFCASVYGADLETTPSTTASSTTTTGSTTTTTEGSTTTAGSTTTAVPTTTTPATVPTEFLVPLNMYLNSRTGTHAYLTFQPLGFYKKRTGYQHDLHGKGIQAGYVPYTSQCGATKAMRAYRDTGVAQQRTSESEQAFSDDSRKQVLPVGITNHGTKQGNRPDWLHGDPIMLTSEKVPNNEHISWKTTLNTKISSYFVVPHAEFLVPLNMYLNSLTGTHAYCATAACVATVRKAGFTGAPSLRGYIIAATDAAKTAAEKACPGVTFQPLGFFKKRTGYMHDLHGNGLQAGYVPIASQCGATKAMKAYNGVGDDIFYTTGPANGKSVRHEGRAVFYVWPNTITPSPTTTTTGTTSTTTTGSTSTTTTGTTTTASTSTTTTDPSTTTTDPSTTTTDPSTTTTDPSTTTSPEPTTTTTAGIPPTEFLTNLNMYLNSGTGTHAYYRRLLVIVKAKFFSHSAEQKIKASGDACVFVAQGTITCATAACVSTVRSGGFTGSPSLRGKIVAATDEAKAAAEKACPGVTFQPLGFFKKRTGYQHDLNGNGLQAGYVPYTSQCGATKAMRAYNGVGDDIFYTTGPANGKSVRHEGRAVFYVWP >PPA14700 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:251934:254940:-1 gene:PPA14700 transcript:PPA14700 gene_biotype:protein_coding transcript_biotype:protein_coding MDRATAEALAVERILKEGQSSPIFKHLSLPLPSEESARDDETVRVILGQIWLSLLQRLN >PPA14807 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:726113:731333:-1 gene:PPA14807 transcript:PPA14807 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFLLVILLAAAIDGKAIRKRAISEFSNDGAQPSIVFSQGSNDEQITSYKSMLEMLLRQLSDIEKKNYPAGDTSAQVADQYQREFYTQAASDIVQKLIQYGALSAIVDAEEAAFYDDIEGEESKEADAGKVEEPAAVHIDVKVVEAAPSTADSGEYLTDEQAHEILKLIIDELMNKFFADYMKNGENSRVYVIGAGDSQQEENDEETDHTEESAGAEEEEDEEGDSSSSSSSSEEDYFYRDSDEYVNVEVDEDDDAVLVVNKQVSTNIIRIPAATFVDALTKLLPALKSDKYPAHLQKLTPLQVEDTRVYSEHGEPSLIGPKAPEPTRVSAHSVAPIASVAPTAASAAAPATTKETEYYHRAFDLGHVLLCLAAGLLSMWAIAQLVSMYRRRSQSAGPAPSMALPPKVIPAIIPNIYATPPAVLTKTNDAEKPPVYVENPTFSKPEDKPAV >PPA14782 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:619623:620673:-1 gene:PPA14782 transcript:PPA14782 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRVVLPIVVEDGQDGGTGWRQYNDTREKSANTREKSAHTGPAYKLLVGVKAGIRQCRLLGKGLRGQADDLERASERLAALEEAMTKGPPSKSPINIWETQTHRNQ >PPA14789 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:659356:661181:1 gene:PPA14789 transcript:PPA14789 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAHMDSDSGGEDEVKQGMSAVEEAIAARKRRLMEMKSRMNGVEMKEEDYDKEETTTKKSKGQEKTFRSYQPVDASVGDVDPSVKTNLRAVEEEIEEQLRLSKHKYYYYYRLANDTSHVDKIDLQALAPKKVAGVDWDLKRDLASKLEKLERRTQAGIAHLIRERLAEGTTNLAEVVASAH >PPA14732 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:410533:411823:-1 gene:PPA14732 transcript:PPA14732 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDERMLEMTLREGISDDTFVHQIDNGTTFYRKARSLWMMKKLIYRAEFKEPYAIETYYLRDLLKGENIHPSGMCSIVCDGKVYVYRLCDDPVRDRIHVDVPVDVLEWLELKAIHRQTAVFRKITREPISSSVKRMGKNAIVLACYRAQTRKFFESGDSRSDMAEYNAPWGSQAIVKNGLVYMTNGESLFTIDPETAELMPPLHFW >PPA14654 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:13701:16872:1 gene:PPA14654 transcript:PPA14654 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDDGFHNMVKFGPLAIQLFARVGMQVTGAVISKYSHESAVPLAGGSHKLAIGLGEPGEPKSHFYNDVKEVTNFEQFKLRFNAWDCHEYGENVRDVLIDDGQYAVSGLSKTTTIKLEIACSDMAAENFEASEEPREIRRCVFDGAQCEELILEVEDEDAEGVGHFVIDDEEEYSGDFSQSLAHGYGVSSALKEYAIFAGHWERGYATLGEHLWPGQSYRGEWKGGAPHGVGMQTTASNKYKGNWWAGKKQGYGTLFEIDTKTTYAGYWYNDERSGCGTEIYKDGGMYSGQWRNDSKHGFGIEIRLIDNGDSYTYYGEWCAGQRCGFGITEGSDGTTYSGEWKDNLKDGYGTTTMKTEMSEAGLYVENRFDKQIQKKDKARLEKCIEEASKCALNAKYKASEERSCAMLAYTQASKADNVAKEAFNEAELARIQARLAKLRSDE >PPA14708 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:284781:288768:1 gene:PPA14708 transcript:PPA14708 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASLLLLVAIASQAVSHKILVYNSKYGHSHSNFLGNIADLLVDAGHDVTSFIPIIEVDVKDGTSKSKKVYVQQAKETKELLDQMAVHKADFFSMNNFNPIMYLFMPKIFASQFLYQCRAVLEETEILKKFQAEKFDVMIVENFDMCAVGYAHLIKPKSLITTGASSPFSLMYEEFGIPLSLSYNPSSAMSSFDVHSMFDRAKNIYAEILSHALFYPGRWMIEELYREKYGADFPSMTEISSHAAYTLTNSEPLLDYATPTLNRVIQIGGIGAKPPKPVDKYWNEVLSRRSKTILLSFGSIAKSVYLPEAIKQSILTTIGAFPDVTFIWKYEEPEDDFGKVDASAAHPNLVLSSWMPQNDLLGDKRLTLFITHGGMGSTQETAFRGKPDPLLLTDNDAAFEESVVLDKFDLPKPEVVIAAIKDVLSTDSYGNNAARVSAMLAKKPFSSKEQLIKTIEFAAEYGPSRALRPQSYDMTFVEYHNLDIYAAFVALSLLSLYVTKKFLCCLYRKCCGAKKDENKSKKE >PPA14740 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:433645:434654:1 gene:PPA14740 transcript:PPA14740 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQYGADPCVRETITVGFDANPICPFKQGTDYVVRVVLEDGSRRTMDNDVNNRISYSRTTRMWQYKYSTYSGNLLVSFVAGMCAIADGSNCPCSPLGPVDTGSRVANPVLINKLGACEKPEWSIWMLWDKNSGAVYKRPTKCAESRLACQAGEWFLYEGDRQYGILTLKASCV >PPA14790 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:662854:667238:1 gene:PPA14790 transcript:PPA14790 gene_biotype:protein_coding transcript_biotype:protein_coding METNLDGKDPHQHLDEDTRKLLQFQLPKKSPDEMTGAELRDDIAARKILTETKKQLYERMRLDVEAKMAGLARSQATITKNEAAVIAEKKRNMSAVEEIQNANAREMLDCQARSTVELLNSEDQRRRFQGATEELKRVEKELSKEKEELNKTKQELDGWRLNMQELQNRDQCILEQTRKLQARLDEVNAKTNRLRGNMRQALLIEKADPLTEQSQEEPSFVPDKKKEIVTTIEKVLPTSFSSSTLSPSPSSSVNQSLGHKSSSTTESAFEESEASNDDESYYEEDNDMDEDDQEFSTGEDVDEKQAIDAKETSESPSTTSSDSSDIEILNDDQIPLPTTRTMMEPSPLLGEYEGCELYFSDLEGILGTGKMNDRLMDYSLATIWKKESREEIRDSTFVFPSLFYTRLIREMRQSESDEAKETATQLRERRVRSVAENFRGVLGFTKAVDIFEKEYLLVPVFDRHREPVSTCDHNTKYDTGPKKGKRQDDLDHSRLAPPRIRLAKRLCGPSPMPVPHDRPGRFEKTRMEVILPGSVPSQKNNVDCGFFVVRYAKTFLDRPPTDEMLLRKAPKWSDWFPRISAHPTQIRKDVEKRIRDGVDPTASLH >PPA14803 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:702959:704923:1 gene:PPA14803 transcript:PPA14803 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSNCGLRNMDGLLNIAHPRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAGVKGGGIFAMSLDQLTVGFRRRSTQEKIMKQNGAVLRNPPLIIELQHSLTGIPNVLYPSAITGIVWVCAPCLPAYTVILIVGRRMYQTLAANVTHMSERTRSSHKQIVKGLAFQACLPALYSFSVGTYVVGQLNILHLVPLEYITHIVGEICVASSPFMTLYFVQPYRRILQRVTSKRDTVVTISLVPSTHR >PPA14766 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:551916:552589:-1 gene:PPA14766 transcript:PPA14766 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRDDDWHQVPTPLLRRKDAPNETDQIYYRETVLHIGGYKVGSKIMISPEIAMTYDQSYRNNTSSLNEDAIENARRRNRSNPLTNENSMVRYRETVMSPT >PPA14783 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:628086:631648:-1 gene:PPA14783 transcript:PPA14783 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCRRSLQPQWRRVPVQEPEAAATERVSKRISLPLIRHCSSLPPSPSHSSLHSTPLSLMPGSGHRLSSPRASLPSLLSALSPRLGESAASPARPSSMTSPADLNPLSIAHSVRFRRKLAQDGAAGQLHADSSHDAQLRRMQEQIDATNRMLQASVDRCTKLEQIVSSVVERFLLFSGELSDVKAEWQTIRCH >PPA14711 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:296730:297190:1 gene:PPA14711 transcript:PPA14711 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMFFVCILLLVISATMAIRELDESKTWLECMTVCLDTPAIRNAVPSCTLTCKNIFSNQFSTGRPISRG >PPA14735 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:420101:422101:-1 gene:PPA14735 transcript:PPA14735 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPCGICNKRFVSKSYVATHMRLHLGNEEDNLRFQCDICGRRFAHIANCERHKRTHLANDDPRKRKVKCEICGEMLAPCSLRYHKKTHLDANDPEEADQKRPYKCDICGKGLTTPYWLKKHMHYHKYDDNPEAAKQYPCNTCDKKFSLLSNLTRHMRSHASTDEAKKPFACDICEKRYADSSYLAEHKRSHDDNDPEQAALKRPFKCNLCGNSFRSASTLKHHCFLKHTDDSDPAIAASKRPHECDKCGKKFSRAFELNAHRRTHSTSDVVRKPHKCDHCDQRYACSRSLQKHMQQHQ >PPA14776 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:598179:598614:1 gene:PPA14776 transcript:PPA14776 gene_biotype:protein_coding transcript_biotype:protein_coding MMTKHGKCTKSLPKSLKIKVSKEYSARDVSSLTYRTHLPNSIQTVLDLHGAAQAVEPTLWAQSNCPDCPLDKDTTTALRLDADCLTAQVIDPADTRYTPAPAKCGAIA >PPA14761 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:532366:539505:-1 gene:PPA14761 transcript:PPA14761 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKMSISAVAVTPDFWWDAAVGTEMSEQEPGIKTEESQGLKPVVRTEKAPDPKLKIGTEKSSETVVRTERTQDPEPAPAIALNENESVKPRVASNDEHPTPRLINSSPFTPPPGVDPLALLKSCEGAKRKMPIADVARRFKELRALFDRCDMFTLMEETGRLFPENKLLKCSFCVVYLETTNNMICHLGRERHIFKVEQMNGSVCADAFDFWWRAIMSAKLSNEPPKAIPNPIPKATYVKTRQFAHVVQVTPPPGVDPLALLKSCEGAKRKMPRADIVTRFTGLGMIFNRCGKYRVLQETCSLCPAYRLLKCHFCMVNSTGVLNMISHWCSKEHIANVQLMNGAVCEDAFDFWWNVIDSAKLPDEPPKAIPEAPAILSDAVKPVTKKPVPVVVKPVKPKLVAPSEIKPIKKPVPVVVKPVKPKLVAPIKIKPIKKTPAPPLPVDPFALLKSCEGARRKMTKLEIESRFKKLRDRFAQCDKHHLVQKMTEDFDHHIRIKKEKFKCDLCPIAIDVYSFIPHICGEKHLNEMDGSVCADAFEFWWSVVTKASESEAETESENNTDPGIRVAPAPEPTQTRSKQPQVASSVEVSAKVDTLPSVHVKEKQITPSLGVAPFALLASCHIRFPLMQKETIANRFKELLTRFDRCDKTKLAKQTSSLFEAIIIDQQQYRLRLSGVKGCALCKKYVKSVDDMIIHICDPIHIERDEGPPAWREADCFEQSACKQRAAGLPVSEGAPAALPREPRVVSNKINALVDEIANLSLLEVSDLNWALKKRLKLPRCAHDVSWHDDGSDACISSRGRAPEDDLQGYPGQFVETAPCTMKEDMGKAEAYELAALLTKAGGTCEIA >PPA14754 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:496940:501797:-1 gene:PPA14754 transcript:PPA14754 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAIVDRLEQLRKRFDRCDKKALVFRTMSMINKKQPANCRLCDLKMESTSAFIEHVTCKKHANKEIVTMRDMTRLRDENKAIKLKLPAVCAESLEFWFNAIEEVSKDLSKPSISPLALVSYCSNLPNPHPDLPQAASIMLRKLKVCDKKVLDGEAELKALFNTQISCSVCVRRVYIDKPANLIAHFATQAHIAAGLGGFWRVLTMASRNGGQMHAEVIDYWMRSLDRAMMAGSSRSVTPESSRNTTYNSCVRIWYRQSSNSEKTGPFPLETVSRWYKNQAILPSAEFSADDGANWTSIADLRRRNGISSPFRTLMQGYEPSESNSDELIDTKEATIARLEKEVAPMLSELREDEERLKKMRAIEKKLEGNSQSSEDEEEDDEEEDEKNETDGLEPGSSSASEDQCLINQRASKFWENALAKATEFHPSQGESSGETTQQVGRPPANQMLPSKLNPHAPSFIPICGDTIQFSPGASKSVFHAAARQSPLADSQSVCRGTS >PPA14794 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:676333:677220:-1 gene:PPA14794 transcript:PPA14794 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFPSITVAVDGVEKMRAKEEKEQRMFPPSVDQEKAAECLCQQCPPRSTQETADYCCSSLFKFTPLQKGVLLRDGLASKMEEFGRHQCIIGDPLFVNYIMRESAARSSAETYSMMMGEPITDVNRSYRYGCYRLFVATAMGHLGKGVRVRLPSCFVHAVRQRWPSANYSGFVTYDTNDY >PPA14774 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:596336:596553:-1 gene:PPA14774 transcript:PPA14774 gene_biotype:protein_coding transcript_biotype:protein_coding MINSEESEKSKENSTQIISSADDFAIALQKSAGLLATLIKGDPQERYSTPLG >PPA14824 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:856301:857061:1 gene:PPA14824 transcript:PPA14824 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVEPNARDDDIVTPNRPDLFNPDGAPKQSLFYCRDCGASFIRYTNLLKHIERGKHFIRPEHIKLLDRVLGLFMRAIEDTLVPVPLSPVSEVVKAFKRKSDPELPQGAKFDEYAKRGAKLKADEAEILMRADRFIEPKDWMTKSQLRNYINTLKSQLPKTRAWRRQVEHEEEEMDDEHFEVEVEPSEEDIFLTENDFHHYLTPTKLKKFFSYVNNPVYPNTPVPAGATEFD >PPA14830 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:889378:893887:1 gene:PPA14830 transcript:PPA14830 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIPKITHIAVLPGFKFPLLDSQYNGLWAIYNALEMDLYWFEGINKPTAAICYSDGAITVQQDGVTVDGGCKIFVPKRCDSGHFFSEKSGDCEYSAFLAYSGSREEFGDKCALLSQASTSASAWVRGDQMPDGYEFKDGTGKTLERGLKHLECFCDDYMKLPTVAESKADFMTIDIDGGFVFSASNQGASPMVPVTFTNSDGDAPLIIYFGSGKLIVDNLQIPKALVPGHYRSFIRPPTPGSMDFASQPLKLGDFLHFNGVPSNGGFNISLLDTGSKPLYTLEWRKSERKIVQSLLKKGEKHSVESRTVSLAIGVEFDIVLANKAHAIEVYINGEIIDVLKLNVAHPEKEFRYAQLNGEVKIFEQRRGPKKIEGVPKWKKNS >PPA14832 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:898218:905017:1 gene:PPA14832 transcript:PPA14832 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYNLCGACNRDKANLVGKVNCDAQGRLVAQCGAGAIRMKVDGKFYDFDRVTCIGGDWFGSSCAGDMVYLTTEDIELPSSTCPYDPEISLEGSQCKIRGIEINDLYDRSSLYFAAFPQIRRLKSTFRFRKGVEPGVRGGLYKRDVTDPNGYVAIGDHNARQMLLCLLNPASGKWELSLDGSFVGTNQIECLYDRCPSFQEFDWWNGRCKSAANRCGHAIGNQTFLSLSSVRMDGTSTTSLTNYDDITHIAVYPGYKVTVLGTRMYSNPIQFPLVSSQYNGLWEIYSTQEMAINGFAGINKPTQAVCYSDGYAMWTFDLGTDDTFSNVVMEQGFSMSFTGNGTGRHAAANKWEWMVIDSTDFHIQQVGVTVQERGCVIFVPKHCDAGHLFSEKSGDCEYTAHITTAWNEYDDNQAQTGASAWVIGDQMPAGYEYNVKFLTNTSFVQVRQGCVAYAYNGEDEEPLTLKGSKRLEHWNGSYAFPSYEARSILKSPLYYLRSLSRMPITLDIEGEFVFGGYSQAYSRTPVTFTNSDGDAPLIITFTSTKFTADNRKGGVNEGYIVESRAAIGTGTFEMRIHYGHAGYELWCNGYLALTYPYRQPNKWIKKAEAWSQLGNLLGSNLDSHARVPSAIVLAHYRTFQIPTRPGFLDFATQPLLLGEFIHINGVPINGGSFNISLLDAANNPSYTLEWRKSERKIVQYLNRKDWSIYETESGSVPLSIGREFDIVLANTPLAIEAFINGELIAILRLNAIQTEKEYRYVQVKIFEQRRGPKKIEGVPKWKKNIGKK >PPA14698 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:234454:238247:-1 gene:PPA14698 transcript:PPA14698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-plc-4 MNFALRDLVEVRSGYSTDNLHKGSKKYKFQLAAPEEHCFSIIFNHKQYIHKSIDFAAPDRFSVDQKKAEAILDLYEGTNQGKKEDKVMGVMG >PPA14674 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:91356:93625:1 gene:PPA14674 transcript:PPA14674 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEFLNSSAHSISPDDYNDRYRAMFRSPDETSSTASSSDSLVTAWDVITARSDSDYEPEMRTARHHPGLIDDDESTSSSWLTLLPSDEELLSECWMDAIPSPYCELVMGSVAEQQSDYTPVQLYEALGIAMEEVDVVQEKWKVAEAEDPFERARRIRKLRADDEIRSGPDVAPEAYSRECGVCYADAPRERAVLTACGHIVCAPCADTMARGGRLICPYCRAPTGYVGLVEEQEGSLAADDGASDAETNERIDNSPVSCHLPLNY >PPA14845 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:966529:967210:-1 gene:PPA14845 transcript:PPA14845 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLIFDLSDALAKVIEWVGTKEKTLKEERMKLQENIGVMYAEISLHNSHT >PPA14762 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:543221:543838:-1 gene:PPA14762 transcript:PPA14762 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGEPDAYIEADDGRKTPCSLREAQCWLYQGYFASKELVKRNGRAMPFCEWMSNEEEEELTSLHEFY >PPA14779 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:603537:603991:1 gene:PPA14779 transcript:PPA14779 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLIPSQISPSWNKACYSHLSDPLHKPVLERVLLSLASDTDFIDDDEGEADSNECVRLWAVFPEHCAPSRIGLGKWLDVRERYEGAVEVTCAPQKLQEWKEECLDEVR >PPA14810 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:745207:750496:-1 gene:PPA14810 transcript:PPA14810 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLALPFLVFSSISTSATKKPLKILVYNIKFAHSHSVFLGNIADILSEEGHNVTSLIPEVYPPFEDGTTKSTVIRIPADKATLDFFNQVMDGDFSFVFRSDDWDRTNALKQMPLLRRIFEQQCKYTLTFEKIIEDLRREKYDVMIVETFDYCGIGLAHLLSPRSLITVSTTNIFDYLAYQIGQPLFPSHVPTSFGSVVLPSLSSRVNNLIALATSLWMFREIEKPTERLFKEKYGEAFPDMTEIIANSSFTLTNSDPFLDFAKPTLRKVVEIGGIGVREAKQIDEEWSSILSLRRRTVVVSFGTVARTFLMPLKMKKALAQAFSRFPDTTFLWKYERPEDKDDFAVEVDNLILSKWLPQNDLLEDDRVQGFLTHAGKGSYFEAASRGKSSLLVPLFGDQVRNAAAAEFVGFGHLFDKADMEDADKIETALTEILEDEGRLARAREVKRVMDSRPFTPRELLVRHVEFAAKFGQQKTLDSQGRDLSYVVYYNIDIIGIIIASSFCSRTPTTKFVSKSMPSNRGAVYVIAVAVDEMHGHIANMAVCCPDVSSESKVEPELLLAHKLQSSATHEFDQIFQEFF >PPA14798 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:684777:685947:-1 gene:PPA14798 transcript:PPA14798 gene_biotype:protein_coding transcript_biotype:protein_coding MWTNRRLFVLYGVLWTLLILASIPLLLPGSTTFVLNSNVYGSIGLEFALLGNYYMIYAVRFWFV >PPA14665 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:59857:60253:1 gene:PPA14665 transcript:PPA14665 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGPKTETTGTCVDPTHRINFLGAKDPPGSLSVGYDAAEAMSITRASGCSTTSTTATDGRY >PPA14709 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:289365:292525:1 gene:PPA14709 transcript:PPA14709 gene_biotype:protein_coding transcript_biotype:protein_coding MRILVVVIAAALAAHVAPYKILVYNAQYGHSHSNFLGNIADILVDAGHDVTSFIPVIDPSVKVGTVKSRMIFVNQSQASKELLGKTAERKANFFSTNFFDPTTVIYQPKQFASQFIHQCRAVLDQAKVLKTLQGEQFDVMIVENFDMCGVAYSHLVKPKALITSGGAAPLAFMFEEFGIPVSLSFNPNRAKNIYANFLFHIHFYPGRWMIEELYQEKFGADFPNVQEISSHAAYTLTNTEPLLDFAAPTLNRVIQIGGIGAKPPKPLDKYWNEVLSRRSKTILLSFGSIAKSVYLPEAIKQSILTAIASFPDITFIWKYEEPEDAFGKSATSNLPNLVLSSWMPQNDLLNDDRITLFITHGGMGSTQETALRGKPGIFIPIFGDQPRNAGMMQHNGFGKVIDKFELHKPEVVIAAIREILDNDSYRKNAARISKMLAKKPFSSKELLIKTIEFAAKFGPSRALRPQSYDMNFAEYHNLDICAAIFIAVTLSLYLSMKLLCLLYSRFSGAAKGDVKNKKD >PPA14785 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:643104:645696:-1 gene:PPA14785 transcript:PPA14785 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRCIRDVKTEFEAPGESGPPSKSKNTRTDYYVMDSMQRLEQQVQKHKQLVKDAPAAAPAAGPANERIVELEEKVKELEAEAEKAAEDVHNGVIEMLEKLAVDDPRETVQDSERELYKMEQKLIEEREEAARTLAELEEAKNNEIREWVKKYEVQLTPATTANNCDSQDSYRTSIPHHAQDQSARDEAAYLRRQLEQCQSDFNVLKERAEWAESRADRLKRERDESFRSNARVKAKYEHLGKDFDELRREWRISCKLAVMMFIFRAHKLHEKLQVAKKRIKELEKIAVNKTYVSSSSNRECRTPETITVRSNSATPFASPIYDSSTAGDDDDGSRIVTRSSGPSNARTAYSSASVNAHLYYDAFMN >PPA14672 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:85072:86850:1 gene:PPA14672 transcript:PPA14672 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSQEEEEEGPVAKRPHDTKDCANLSDAERFRREIAKHLTTRDLHCSSRILNKTSTIEEQACVYASLVLQDDDFERSFFAHSIQTSAIRQLLVIVKAKFFSHSAEQKIKAAGGTCVLVAKGTINCVYDMNIDYYGSSIMPCVPSMLCHLSID >PPA14691 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:180513:183406:-1 gene:PPA14691 transcript:PPA14691 gene_biotype:protein_coding transcript_biotype:protein_coding MRLELLCLISIAQSYKILVYNIRYSHSHSNFIGNVADILVEAGHDVTSLIPTISNGKDGTVKSKIIYVEGSEEAKKGLVSMLDGPSFLELGESNLLRMGFALAPVFAAQCERTLEEKELIEQLKNKNYDVYIVENSDMCGMALSELIKPKSIIMTVTSALYGHQYEEVGVPQPLSYNPSLSSLGVSSFWSRLHNIYAEQITSRIAYCFTNTEPLIDFATPTINRVIPLGGLGAKAPKELDEHWQRVLSLRPRSVLLSFGSMVRSANLRLDVKRAFLKTMVAFPDVTFIWKYETPSDEFAVDEAAKVENLVLTEWMPQNDLLINREE >PPA14764 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:548081:549150:1 gene:PPA14764 transcript:PPA14764 gene_biotype:protein_coding transcript_biotype:protein_coding MQATCVVCLKKNLRTSMRRFASNIAKREQWVNVLCSTPEEKKALYERVNARNPPMLCEEHFKESDFTCPSPDSRLLNASAIPINATPTVTTVTSPTTVVSSSMVPFTPPSTPHFSFPPIGSTPHRRPRSTTRPAMTEDVDDDPTWTPPASTTHNEPDCEYLLVSKESLMGLLRHCTVCKKGTNNLSFRMDGYGFTCTRECNLCGMKSPWENSKPLYTANRSGKERLPKINVDIVAGTVLTAMGGTKLRQIMMTSGIHSLSTRTFNRIKSTYLAPAVEKEFVKTH >PPA14767 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:552983:558536:-1 gene:PPA14767 transcript:PPA14767 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEDEPEVFYQADDGKKMPCTLREAQIWLYQGYFTSTTRFALRGEDGKEGEWWTLEELIERNGPAIPFCEWVSNDEERKEGDEEFGILLEEIADLNERKKCLLRSIDQARERRAYVQKELDRIAQKFLAADDAPAMKHSVTTSSVSHGTTDSLPSHPPGVDPFALLKSCEGARRKLAGDIGARFKQLRARFDECDKPLLVQQTKALFAEHRITKCTICELKIDSTNNVMEHCCSRKHINTMRGAVCADAFDFWWNLLDSVKHPDNGKTRLISPAACEEAANAPPPPVVYSQIVPLLGVDPFALLKSCEGAKRKLTGDIADQFKQLRARFDGCVKKRLVQQTIELFDGLVVQGRKVTLCTLCDVNVVSTNNMIAHCCGKAHLEEAGGVACADAFDFWWSAVREATVAQPEPEIAAKPEPAFSVRKTDAEVLAMLRPEPAAAEEEWPESALDPESNPWAYQPEPTVSFYSRPWRGERQPPFAAKPEEFPSLSNKPKQPAVEAAQPIAGAWARSRTGPPTGARPKPEAWPDIAAAAEAQKRGVVSAARGVDPRNVPGLAILRRAERVDQPCVNPRAALTRVLSAFKKCDNRKLNTDPTTVPFFVKPLLCQICDRCYIDNASNLVTHITGNAHAAQ >PPA14840 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:937986:939942:-1 gene:PPA14840 transcript:PPA14840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-20 MRPLIARPNFMLHLAQWVQWPDDMADPSEVVRRPRRRRPHGCCCCCLLFVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVAVVVVVVVVVAVAVVVVVENPALICALAATIRPEQGWKGGGAVAILMKILEGLTAGGPTTESQYLLGFGFILRVVVYVADLDEDDPIVEITRTALYSVIAAHPEWARHLHEAGASLPPLQLAIRLRAERAPQQNIVMDEDCDAI >PPA14747 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:452458:455368:-1 gene:PPA14747 transcript:PPA14747 gene_biotype:protein_coding transcript_biotype:protein_coding MPHYKLTYFDLRGRGEPIRMMFAIAGVPFEDKRVQLQDWEAMAKSKATPFDALPMLEVDGVKFAQTLAILRYIARETGFAGPDNLTAAKADALADQYADFVMAFMPWHIVNAGYAPGDKNDSNFIRDGREVGMLARELIPGDLVLLHTGDRVPADLRLVTDVLYDSTYVPTKAKHFPYFEAALKMSTTGWYADTPELTHVDVFIAASLEWLKRMDKNGDKFFDGFPLMEAHYKKFFAHPKLQKYLEERPDAPY >PPA14755 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:501988:506227:-1 gene:PPA14755 transcript:PPA14755 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFKHNLEGEAGGGREEGETGLYWRQENIDKRNNISHSADEGVYSRESMLRLRGLPGYAWSGNLKQWILKWTARHPRTWNELPISEYWIWHNVNDQKSFARKFGHFPFHLPHFDPAGFEEASAAASPVLRYLHSHLFQSHLKAREQYEGAPNEEERKSYERIVAGCDLREEWPIDGYSREEWQQRQIMRFPLTDEQSVVHWEDPDGNLQNCSVDQAHAWLRAGHFTSEMRFLVAPKDADPKEWSREREWAVCTLAELIERNGRQMPFVFSSECAAEERREANETEESRMELSVLAEKRGRVATQQALQRIAKKYVTGLDDTLHADAVGNVLRSESGILRLVPPTIRTQAWRRPFPPAVGDPEGVHREEV >PPA14802 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:698835:701413:1 gene:PPA14802 transcript:PPA14802 gene_biotype:protein_coding transcript_biotype:protein_coding MHHFNRRGFLLNFGGSRHHNLEMSNSIIYWVLCNPPLLTDTSAIIDLLHISNYIREVLRHSLSVSEFQHNRLTRHYCLSADIAGIPNVLEPAVFTGIVWVILIVGRSMYKTLAANAVHMSERTRASHKEISGEIIVTASPLMTLYFVQPYRRTVRRVVSNRDATITI >PPA14835 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:912519:913613:1 gene:PPA14835 transcript:PPA14835 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRVEDLSALSGLTMQNAFVIGDQIIGVSIDLDDPWAMYSIDTETFAVKELPVVFENKIAKKTWDRIHYPVVVHDNVAYAKRQLPLAIRGYTRYANYGASSKHRLPGRIVVYVYNNVQEALFMNPSIWTEIEKLLRKGEEGEREIS >PPA14846 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:967834:969062:1 gene:PPA14846 transcript:PPA14846 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTKIIKSKLPISQMRPERFEIRINGVFTMLYPYRNGNLEGDYGNNIEKAQIFNGMWIYHYRSDREKNDVGLIHDWLIMRDPLLFGEYVHVNILSLGNEFNISLLSDDVHQLPRFVLHVDPRAHTITQRLFTARGVKQLTTSCSVFTDVEFDIVIANKPHIMEVYVNGEIIPILGENLPFPERSYGAVYAKADTL >PPA14805 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:712155:712600:-1 gene:PPA14805 transcript:PPA14805 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSIVHSAVVDCLQLEGVESADDVWMDIEIAYGAKPFIDKVFSRVEKSLERDETRTYSMRSSSNDFSEVQQE >PPA14814 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:774986:775835:1 gene:PPA14814 transcript:PPA14814 gene_biotype:protein_coding transcript_biotype:protein_coding MCYLNETAPHTATFRDTVRCFNTETGNSIAGADYHRVRGVDHRSCAIECRDDPSCLAFEYNVKDKLCFLKSRSLSGALQKNEDTMVGFCLDEGSLPFASDYFDQTTY >PPA14710 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:294401:294598:-1 gene:PPA14710 transcript:PPA14710 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRYRFYQTFSCFLTSSMKRKLALRLAKDQAKDVRLHYLRSGANSDEGTVHFN >PPA14842 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:948003:948414:-1 gene:PPA14842 transcript:PPA14842 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVIPPVRVSRPCSWRSVQEQRDSRSCTSYSTRTASPSEEIDSSIIDRLIYRSEFLSYPFLIKLL >PPA14819 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:801599:809063:1 gene:PPA14819 transcript:PPA14819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nep-1 MTGPPPLLAAVLLLQLSCCGILAAPADVTASDGFKEASTLLARAVNLTADPCEDFYSYSCGNWIKSHEIPSDRVSISRFVLLRQKVTKEMKEVLESTSDVSKSKSKQKVRQIYRTCLDEEALEKAKSQDMIKAIKAVGSWPMIEGSTAKFNPREFDLTNLLVEVGFRGVSPFLDVYITIDPKNTSRRVLSLDQGGLGLGSSARNYYLNTTKYEKQVTAYKTYIFEKASLITEDYGAALSEMALKADIEEIFNLEQKLAEILLPNEDRRNYTEMHNVRKLSELSTLFPLIDWPRYFKAQAPFDVHPYFDTDPDVIISEIDFLKRLANLLSNTEPRIVANYIMLRYSGSFGLTYDKRYDDVYHKLVKILLGKDDKSERWKDCVAAAQGKMAYASSALYAEKYFDKSAKATTLAMIDEITAAFNEMLKENSWMDETTKKEALEKANNMIRLIGFADWVLDDDKLDEWYEKLDVSASDTLEAMVEKSHRWSNDFSYRRLLEKVDRHEFTTNSATVNAFYSSIKNGIIFPAGILQLPFFSPSFPKALNYGGIGAVIGHEITHGFDDLGNQFDASGNLHEWWQQQTRKEFEKRAKCIIDQYGNETIPEIDMNLNGKLTQGENIADNGGIKAAYRAYSSYLAKHGPEPRLPGMPQITNEQLFFIGYAQTWCNKYKPEALTNTVLTDPHAPGKFRVEVVAKNQKEFAAAFNCPIGSRMNPDERCAVCNLDDCDLFVHYDYSPL >PPA14778 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:602265:602780:1 gene:PPA14778 transcript:PPA14778 gene_biotype:protein_coding transcript_biotype:protein_coding MSASYSSGQKFELLRRVTTAHRLRFIPRNKLLQCLESYPFIEATLQELSREQQDLVSAKLSGASTPAPDDMIARLRKENEQSASRRFSRKCGVCHTPSPCRRAVLKECGHALCLACAEQMKKNNCFTYRM >PPA14650 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:568:2668:-1 gene:PPA14650 transcript:PPA14650 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNHRFLPEEGRSDEYTSVREELYNTERAYLQYLKALIETFLKPLCETAKYADRLDAKKLLAEINPIFAVHKEILRSLRESPSIHSSFEELTPYLKLYSSYAAHYGRTQDAIVSLSGNLKFRSFIEKLESNSMGHTLTYVLLLKRLQEATDRQDEKESLEKVLHEVEEIAEYMQICIRDYENVQKLLEIQDKITIPGGIVIPGRRFIYEGTLFHRVNVGESIYRERMFWLLSDILVVGKAKPSVWPPGKK >PPA14791 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:668859:670485:1 gene:PPA14791 transcript:PPA14791 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSTPANDPLMDIHGSDTNEGFGEPVVEGTDDWNQLRREYVETLEQDELATQAKVNLNDFIDEYAWVESDALEMEQKLASSQKALDDLISENKRAETAAKEVRPDYEKLQSRLEKQVRNTLARKDKADKAVSDCQQMKDDLTITKEEIMSLRNEIEAAQKELPLLSSRTEAIEKRKNDIWMELKAKEELYSMVYFPLSK >PPA14715 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:313198:315592:1 gene:PPA14715 transcript:PPA14715 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSTPLGVVLICISGVGVVCNGLAIWGIFALGKLKSTYESIFPASISRYASYILDSSQSYCFFNNSLSHVSLAMNRFVYTVLLRMTFFTSARTTSIAIGQHFLALAICISAQFLSPCCRFTIQFASIAAFYTLSWGLFSILPLFQFSAHLSWLDGASIIFALVNATSNSLAFLLNDMKSLRTYCLKYSSPRSGSVSYHSY >PPA14667 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:65035:66645:1 gene:PPA14667 transcript:PPA14667 gene_biotype:protein_coding transcript_biotype:protein_coding MLILALAMIAQVSGMPDSMCFLDSPAKPNFASPILEQSEKETKRACEVACGNNELCLSIAYNEPTCTLLGNPAEVACQGGTTTTVYTKSEACGDRDDITKELGKDLCAQEIFPENTNMDRAGVCAREHIIRAIDESGARITIDNHSGNTLSYDSVRRMWKIYNSESQYTYWLVAVTCALPINTGSCACETLPLLDPGRFGLQGGVNAYVGPEKTCDDAAQTMKFWNFDNSPGDDPGYYNPSANVPMHIECQAGIYVLSFSDNSDGWQISNATCLP >PPA14668 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:67830:68443:1 gene:PPA14668 transcript:PPA14668 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSMWFIDEKASNRPYSSTILLEKSEQATRRACEVACADVLCIGIVYNDPACFHLGDNVGGVSCQAITVSTPISIKTDFCQDRDNITKEFGNNPFAKDFFP >PPA14769 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:571962:574680:-1 gene:PPA14769 transcript:PPA14769 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSVCADAFDFWWKAIESAKLPDKPYEVFREAIPMAMPSTEIGSRFKELQALFDHCDMFRLMEETGRLCAMNGSVCADAFDFWWKAIESAKLSDEPPKAVPEAVTKATRHSESCSQTRYKENKYQHSRHTFQPVPAVVVKPVKQKPVVPSEIKPTTKITPPPGVDTIALLSSCKKAKRKIPYSEIDSRFHHFDFWWNAVKNSPESEEEASQAKADATESIKPPKQLQFEPPCTAVTSTVKKVTLPPGVDLLALLKSCDGADRKMPSTDISPRFMKLGALFDRCDKKRLIEETGGVCPVSLRKAGDDL >PPA14760 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:527150:527923:-1 gene:PPA14760 transcript:PPA14760 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEEPEVYYESIDGQKMPCSLRQAQIWLYQGFFKSDIRFSLRRSDGTMGEWRTLGLDCTYQATRGDNEITES >PPA14702 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:264767:264977:-1 gene:PPA14702 transcript:PPA14702 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIPSEKRGARMPKLTNEWKGPYKVIGKGRTSATIVNVNDEKEKHRVQYELLKRARECIK >PPA14752 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:482967:492955:-1 gene:PPA14752 transcript:PPA14752 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEMVSSLCTLSHRVIPLESVYEWYNNHLIQLSARFSFDEGRTWDSIVELRRRNGPTFPFITKSETGEAESGWGGIAAAKKMLSMERAEVERLERARDELQREVEEQERMLERMKEIDKQLDQLKLQKTDKYSQIEGRMFKVAARLTDEGRAAQKEPVVGAVHPAERARLSTPESLVVDSGTARVAVTPQRIFPMLQASTLPNDYHASASILDLLRLQLQNGVRPSILVATAAMFAQPPTCLLCPGALPLVNLTSFLKHVLNEEHIGKVTSSGGKISAMQVFYWKSILDGGSIDSMVDSGPASFEETYKMLLSCYTLASELKLHPSSFAAFDCDNYEDMQGYYPVAAPPKDYSREEMLELRPVPVLCLLPIIPDPLTEQRREKEIAAMGKEARKRKRLMITRRRSERNLRVEYTNLCRVFFSSEAFREAARPHSCLYWYLEKATMKEATKLKPAVTGNASHCKLNGLMCYDTFRVNPADEPTIVYYEGPNRELQRADRAKRPSRSISDELEELKSRSLGIKTSIQPLQERLTVAQAERLRIKNKVDSLYPSIGNNETPLTRGNIDEDAECVVPSSDEVVVGEMADRSELIESSDESSIYSSDAVTVIEVNTSSTTESSSIARGEVSLMSDGLDEDAECMISLCEIVVEEEVIEKSEVIPSSEELSSKLENRFDCMYRSIAADEVSSDGPDEDAECTIEDAVVDEIMEKSEVIPSSEELSTDSAVSLTRATEVNLEELLGRFASLSIYHEEKETEGISALSEGIFSRECMQRFKETNQTLDDFSSFVVVDRVALRQDFRGLDSREDVYFDNILLDHRRDYSREEMIALRDNPRRGRFHKKSVPPGSISNPKQYASLHKLHFDLAGFRECARPESDLLWCLVAGHRHRRDISRERR >PPA14664 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:57481:58221:1 gene:PPA14664 transcript:PPA14664 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDTCFPAAAMTNYARPILWRNKTETERRCKVLCGDDPLCVAVSYVAPNCTHLGAADGTMRCSAPALEINVKQEGGCPARTDITSGIDNPPDKCVDFFPGKTKLGGQNGICPRDGTGYIRHRRVWQSSHAG >PPA14705 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:273944:277987:1 gene:PPA14705 transcript:PPA14705 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSKRIPGDEGLPVLGHMLEVGDSLEYVKELRRRCSKSNGLIKLWMLSDNEYTPTNGEMLKHIVDSSEEITKGANYGIIEKWLGQGVLISTGTRWHAKRKMLSPMFHFKMLESYIETMNRQAKICADLLEEKCGHEVDMYRTVKLCALDIICETAMGKDLEAQRNPHQEYIEAIDKIMELDMKIGFTPFLWVGFIRKLLGVEEEFNRNVKVAHEFTKTVLRERSAAIEKGEETNKRSFIDMLLMEREKAHLTDTDVREEVDTFMFAGHDTTSSVFSWTLWCLACHPKIQEKVHEEIDGVFRDDPDRECTKEDFGSLNYLDRCIKEAERLFPPVPAVHRQLQNDMQMGDNLLPRGASVSIAPIVIHHNESIYPNPTVYDPDRFLPENVATRHAYDFIPFSAGPRNCIGQKFAQYEIKIVMSWLLRRFRFVTDRPLESQKYSVEATLKPVNGMRITVVKR >PPA14687 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:166986:168639:-1 gene:PPA14687 transcript:PPA14687 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKMVTRAAERAKLRAPLDSLVVVVLTLLILNGKNSFDDIAVGIWNGVKNIAREAMAVIGVQSGDTIAIKSSKTWKDQADEFFKHLDDSDLERLKILGSVEKRTALIAEQESCKGVSSGKIVESDLRHFQEASKKRIDKIAKKQKQQKGFRKYSVN >PPA14850 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:983071:985390:1 gene:PPA14850 transcript:PPA14850 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDRANMGDVELTTAWDVDVATDTYDRQFSELDLRFFGASIAGSTNDFEDRFNKLDMKFPDVPPTEKPDQTADVFSTFYMDIVAISILLTLFILVVCLIRELISRRREYLRQRRQLQQGVELQPLRPAAAAGETNMRPLSDEPVDVKFDKRDKRKIVSDLDERYEPLICEMGARKAANA >PPA14813 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig29:761349:764643:1 gene:PPA14813 transcript:PPA14813 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDLFNDSDEEPTTSAGKKPVAATAAEKAAPEGKGVFRKELARMMYGFGDDKEPFDKTLEMLESIVLDYIKFVKNRILTSIKSVMREMCERAKAVGKPDKIQLEDIHYLIRRDVKKFARVKDLLSMSEELKKARKQFEDASTEKLEKL >PPA14693 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:188244:190161:-1 gene:PPA14693 transcript:PPA14693 gene_biotype:protein_coding transcript_biotype:protein_coding MQIYAVKDEKLKLIKQIPDVYCENNQWQTDFFHTSDHPVWEEFVDSSSLFVEFVGDNQHKLTIVDELAIGCVRKHCSTCVNLPNPVDNCTNCVSPKLLKPMTNMLCANLECPGGYGFSKDGITRVSMGQDFPCNSSNWLGKVLILQMETDDYDFSLLPIKKLSCIPNNGSEVVAEQPRKNKAPGSSAQLGSGTTKTPRKLRHRPKNDDPEKVSAGDWLKKNWISSILVPLIILSGVTGCIVFLACMLKTKDEQEDLLRAERRREQEQINEVSGRERTSSSRSDSSLV >PPA14823 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:848940:856255:1 gene:PPA14823 transcript:PPA14823 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIRDAREGDNRIDQYYKDRQIPMNKFMPPGRPRNWKDAALSIIGLSVRERVIDSQIEDNRATEKAWLARSLECTRIHVVADLLMARNLSATCFPPDYQTIIIESSFWMFAMYDRFVGMYHESVQRKLQGLIEDDRQGGLQKAEIVQLLKWIKEYTNDKLLGDRRLNLSPMDIVAEDPLLPPPVMVQMQEKLKQGSPELIEIYPREEDRRGTSSMMSSRPHALNATRQLRATSVFLAELVETSVSSTKIKKITELSYFEYHGSDARVWKFHGIGDGDVIKDLKHTNEMLDIKNQGGKLATAAVNIEDRKIIIASLDKTPG >PPA14854 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:996475:998021:-1 gene:PPA14854 transcript:PPA14854 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFFVTNYASVQEEADRGRASLEMKRIRELGELWEDTEQDISYLEIAPALETLYLQYHTLDNAVAKAFVNWYLASMTLANPDERFKDGNEGDPLIANAMKDSRYISCPNSFRFKKASVVVHPSASSSSFERSSKSIITASGGLSRAVCGYRRVRRDRGHFKQLQSTFWVLKLRASGWVRISSFRLRFLYAQSPLPEAFGPREVYANTMSRPFYIGTLNTRTLAPKDKLIEIENALNEIRWDVIAVQESRISLLRITVSHSLAVFRGLSDRLATLQLPDVKLFIVNGYAPTSSYDDSIYDDFIDKAEAALKSAPKGYMPILLGDFNCRVAREQGNERYVGKYASTAPNTRG >PPA14741 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:434940:436826:-1 gene:PPA14741 transcript:PPA14741 gene_biotype:protein_coding transcript_biotype:protein_coding MPHYKLTYFDLRGRGEVIRMMFAIARVHYEDVRIQKDEWERIKESTPFEALPMLEVDGVKIAQTQAILRYIAREFGHAGPDNLSSAIADSLADQYVDFLTSMYAWLAVVAGHFEGDERFAGHFEGEADALYKSVYLPAREKNFPYFEAALKKSTSGWYAGTPCLTHADVLIAASLEFLIRLDKNGDKIFEGFPLMELQYKKEKSFPVLRPAHDSEARCGAAGCKILRADDGTNSDENITH >PPA14738 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:430805:432525:-1 gene:PPA14738 transcript:PPA14738 gene_biotype:protein_coding transcript_biotype:protein_coding MELRKHISVFSDFFTSIQKWLAVLMGRVPGDEGELYNPQPDGTADLTHADVCIGAGLEMLSKVDKTGDKFFDGFPLMEKHFKKLCHFALAEWLAENATPESRSLTRMKTWFKQMVQAVDYIHEKNLIHRDLKGAAQPRVMRSVEQLKTVRGN >PPA14713 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:303479:304150:1 gene:PPA14713 transcript:PPA14713 gene_biotype:protein_coding transcript_biotype:protein_coding MNFIYCSILLIATSAAVVDSQKIQKLKFMCDDALSQVSDLGNGAGEQVLKTYEDCKNAIWTAYQKVVKGETKADKVKRIAKEYGESAKDIIAAFRP >PPA14689 pep:known supercontig:P_pacificus-5.0:Ppa_Contig29:174315:176749:-1 gene:PPA14689 transcript:PPA14689 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPFLAKATGILVQTGKVAAMGGAAAIGTAVLGGVSVAVAGGLAIGQALIERDTEIKKEKIRVELEVEQVKADLKQKELQAEVELKKLSVEQAVAECEAGKDAAVAAVRLEAAKEQETVLREAEERHREEQEALAAQAQAAADLLKAQNADQAAMLAFVQEQRINELNAHNNDLKNIIASTNTMFGAVFTELTGIIGYHSSAGGPAGTHTNTAAVDVIVLRGNEGVPSAFDSSGSGGALIVLLRLMCDQNEMK >PPA14858 pep:known supercontig:P_pacificus-5.0:Ppa_Contig290:11268:15020:-1 gene:PPA14858 transcript:PPA14858 gene_biotype:protein_coding transcript_biotype:protein_coding MACLLLILSLCSVFTSCASMACTPLPALSSVPAGYVVLQADEITLPSGDVLLICPEIALAQIEANGPQLFSGIYLMCNTATKTISPATGFTLDTRLPVAITCVSVLGNIPDICPADAHATNAQLWNVNYYYNYGVGVHAYCADSGCISVIQKNGIGYDMTNGKDMMYGENLEWNTWYKGMVQDGGKVQFYMCALDWSAEHPGNNALDWSAEHPGNKLFFDVGFLPKIDLLRALPRLEDLQITTPIRYRIGFSDQYDRTTEISADLFFELLGAHQNVHLDNVALTPGELDRTLQTIEEDPTERLIHLGVKRSMLAKWMNGISTLESSLDEGSFDLLDDLL >PPA14859 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig290:16327:17069:-1 gene:PPA14859 transcript:PPA14859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EYE9] MAQSVPPGDIATQPGTKIVFNAPYDDKHTYHFKVTNSSARRIGWAFKTTNMKRPGVLDPKENALIAVSCDAFAYGQEDTNNDRITIEWANTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA14857 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig290:3363:10254:1 gene:PPA14857 transcript:PPA14857 gene_biotype:protein_coding transcript_biotype:protein_coding MTYIGPDGNNYTNLCHYHDPQAKCNDGYATYTCTCSPAWKGAMCDTDVDECADAAALSPPESLCENFGTCINTRGAYECKCIKGAFGFNCSENPDDCALSNEFVDEKYWPNRCISRDHYFREPNCTDGFDEYTCNCSIYWTGEFCMTDVDECKVNDPYPCENNGTCINTPGFYQCDCINGTEGFNCSITCMFRFSDPNDCENITQCGLSDPLGNCTDGFAEWWCTCGPDYTGEFCDLEMTIYRVLQLIGGKTANEKDLIKMMKDLLTNPSMMKDLVPFVIGLQSEENRTKMSWSAEDLFEWIAYEEKSLNPETDLVMWNDVVLGNCFTFNHFNSTRQYRMRQAGAQGGLKAAIKLNSHEYLNYTETTAIMTFIHPNTETIFSESPRYNAEPGAHTTIQTTESRFVRLGGRYGWCVNHVNEVNSYYYDGSYTTDGCLRSCYQDEVFKACTCMDSRYPKDTNARACELPDRDCVDSITSKGDVSRWAHCECPLPCANSQFDSSFSMAPFVRGSAKCNSLKREDRVNNTACNTKGEQPDYLILSVQVPNLAVKIYVETASWDFNKIIGNIGGLGGVVCGLNMVSITGRLGCINTTGQWIPMPEAEVFLFEDDEFADPHDLLAKTVTDKDGRFAIAGEEKERWDYPRFFLGFYAYCNGKKYGNWTGGPCADQEFIDECDTYARYRTTHSFEKGGDGSEKPGASQLYRDRYRLDCTLGFAGGGTMPGLSCGGSMCWHGGSWRPKPKVNNMI >PPA14860 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2900:309:876:-1 gene:PPA14860 transcript:PPA14860 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFPVLEVAQQAKARMEMREENEQRVNGTKLRDGLVANMEEFGQHSCIIKDPLFRNYILTQNVSCAFCSGNVRHVRRKNH >PPA14862 pep:known supercontig:P_pacificus-5.0:Ppa_Contig291:29948:32209:-1 gene:PPA14862 transcript:PPA14862 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQVFHRPKELRIAVFDLIWITALAGAVAIITGGIIYWRARRLAMAQEKEDTITLVNYPVSRTLPTTESAERMPWEVKDTKVKMDLSTRLGEGYRSNVYLGHLIGKSPFMQWSPKSSFADCDIAIRVTREYGMEEEDELTREISCMQRLRVHDNICMFLGWATLNGAVCSLLQLTPSTLNKYLSQVKNTMTSDVMESARENTIERLREIATGVASGLDYIHSRHLTHRDICARNILLSADMQSKIAGLEYCSSPKDPKFREGSAVLSRLSLRWQSPEALHGEFSYKSDGQGPLCPFYGMDSMHV >PPA14867 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig291:53949:54859:-1 gene:PPA14867 transcript:PPA14867 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASAIQTLSTTLLPFPELMIIGRIIMALFSPLSDAALILYLQECAPTHLRGTLSSLFSTGYAVTCLIGMPLGHESLLGHSLPLLLFIPFPVGVLSTIFLVWMPETPKYLMITKRDREGAIRALDFFQGKKADHESTLEGLTQEMMNNSDTKSGDGSLKTMKKMQEFS >PPA14864 pep:known supercontig:P_pacificus-5.0:Ppa_Contig291:40148:44188:-1 gene:PPA14864 transcript:PPA14864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cdh-10 MSEDIKSQSTKKEDESEIAVDSREEIEGREVKTSPKITPTTTELTTTEITREEPTTTSFPTTVSTTTTTQTTTVPTTVSTTPTTISSTSTETTPVTVPSTTVTTTESTTTSTTTEPSTTSTSQLTTTATVPIRLNIEGLSESGSIPVMTSLSKGELINNFAITVERDGRPDGTLFNVTVDRSDLFDIQPKSVEQGGKAFLFVRNPHLIREIKGLTITIKAASSLTSDSTSLPIFLITDSSSEIIRFSIPESSSNGIKIGDLPDRSIERILALDGLPFNLVKSSLFLSCETPQCLDRETNDHYEFFVKFTDSAYPIPVVVSVSDINDNPPTISLTEHIIRVASNRLLNPVAVFISDLDSSSDSNAILLTGDASHYFTVKHIEEGIYDLQLTSSPSNGNYSLTVTVVDTTHPEFPSHSIDVAIVVQRGKARFRKELYERTLAADKITSGDSLLRLELEGVPIDEVDIMCLGGNPGWITVEPYGGKMSVATLPRKGIEGGEFTVVMGAIDRETSELVADTRVKITVEGGVKKEKKILSPFHKNIYTFKTIREHSESDSHSSFSVDLDVRNSSVSPSLIPSSLFALDSNGISTSFPISSLSLNGSTLSIERSSFANLRLLQIEVEAEEQTASVLIFFSSSSIVMERLRRERSRPLFAIPEGTEDDPIEISMMEESPSGTVVAVFPATDVSKGENVETRLEGEMKEFFHIQQTTGALIIAHPFDLESLSSPFFNLSLLAGEEPFESRLSLHFTVLNIDDNPPIVHTDSRMINTTIWENAALSSRVAIIKASDIDSPSLRFTLVGEKADKFAVKSSEEGGIITIEKEIDREEDGDQLSLIVLVIDSAGHTAEAMVNIRIMDVNDNSPSFVSIHSTQLKAVENWGEGRLLSILTAEDEDEGENGRVMYRLTQSSPYISIDKLTGELKLARNLIGMAGVEIGMTVMAHDMGSPQRSTLLNLTVSILESMGKESLTPKIINLPEDFVIQVNDQSPIRSRVFTVSALSPSGGKEGLKYDLLVSSPSLLSSSFLLHLSHQLHQKQVGWM >PPA14868 pep:known supercontig:P_pacificus-5.0:Ppa_Contig291:59295:64817:1 gene:PPA14868 transcript:PPA14868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lin-49 MHHRIFIVEGRRLTHPYNQTPSAFKTDSITLKPAAYQEAPYTLSLSCHLLPGPFIKTEEKSAEQVEEMVEYDLDEEDQIFLEEENARRKQKKMKVIDFNEFELAIDRLEKETFFKNANANDDNSMDESEHLDDVCCICGDGDVENVNQIIYCDMCNIAVHQDCYGVPYIPEGQWLCRKCKLSPMESVKCELCPLRDGAFKQTSDGKWAHVKCAIWLNEVHFGNTAFLEPIEGVQNSLRRRAKLRCLVCKRFVYCHVHGAVKVGDNQFARNKKNAVDAIRSARLRLTKPSTARSASVPTVDPEAKKRIVDKVGHANIVEDIMGFWFYKRKKRCGLPLIRRLQVKKKIVARVASPRGDAKRKGRIMYERTRTLLETMKKREKLYLDTMLTKRRVYAALMTPFDDILVKFIKSIKSLDTSNFFIEKPPADLPNYDKFVKKPMYFTKIEKNAENGKYETEGKMKEDLDLIFKNSQKYNKDNNGVLLYTKELKLLVYKAYDELVEYLKFTRKWHYELFGGEAKEEEVEMKEEPKKEMEGQMELRDSKELERGEETNKRKRKMERPTEEDKSDTPSMKRDRKTREKEKDAPKEKSNNAPSPKSCIYFLLI >PPA14869 pep:known supercontig:P_pacificus-5.0:Ppa_Contig291:66501:68121:-1 gene:PPA14869 transcript:PPA14869 gene_biotype:protein_coding transcript_biotype:protein_coding MPATNSLITRWFPQAERAAAIGLITGGRQIGTLFILPTAGYLCTRKDILNGWPAIFYLSAAISLLIALFWIPIGADKPGKQCCISQRERLYVESRIACESIGKRSDRSRRVPYRQMIRSTPLLAAVFALVFNGVASAGLSASILIVPLFNKNEAYLAVGSLSLAMIFAGLHTPGVQTSLVQLAPPFSGVITGWSFFVVAWFGIANKILTKHIVQHGSSEEWGIVLRVAGVVAALPVVVFSLYGSAEKQHWAAPSSKSSVYSLSNSSRKTSTVSRKSAITNNN >PPA14863 pep:known supercontig:P_pacificus-5.0:Ppa_Contig291:34567:36020:-1 gene:PPA14863 transcript:PPA14863 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPNAMDDMDVCVLARRGSSKEGTVLRFTILNEEKEKKKELFIPPHAHSIVLGKDGIYENSVFTMEKDEVEYKLKSVNFTRAEKSFIDSGMKKEDFSSLFVIDPSTARIIVDPIVRMKDNGFYDVTIEAMKDNETIGEFKREIHSVPSSSQVRLVFDMTTHELGRNLETSSVCVHVLLNSSLMPQSTAFSLLSLPPSDSPLTNLYHSYKVSNLGTCDASISVSSLISSSFSSSFIITSSIVSLLSFILISSILYVCCVLRERDRCRKMENSMNKESESPQMGAPGQFYSLTGTQAMITPYGLY >PPA14866 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig291:52525:52759:-1 gene:PPA14866 transcript:PPA14866 gene_biotype:protein_coding transcript_biotype:protein_coding MCFIPIFIVPAFLLILLLYFYLPETAGRETHDIIHELRCAGKIGGEKRPIGA >PPA14865 pep:known supercontig:P_pacificus-5.0:Ppa_Contig291:50766:52195:1 gene:PPA14865 transcript:PPA14865 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGMGGMCKHDHMRMKIIVDEFDPSTVIDTTRSTPLSTSSVPNVSRFSVPMKGGEKGEGKRIIHEQRRHTKKEHDEPTVERFSESDIREYYYHATSEESPESVPSSGWDAIKMNADEMVGDLRGGYASSYPDYIISEHTLSSRLSILYK >PPA14861 pep:known supercontig:P_pacificus-5.0:Ppa_Contig291:2076:3950:1 gene:PPA14861 transcript:PPA14861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hgrs-1 MAKRFDKSLDKATDPTLLEPNWDAILDCVDQIRAGEVSAKHAVTGIPKKLVSENPHSILHALLVLDACVKNCGSKVHTEIATKEFMGEYRDLVQHKSESVKDKSLEMLQCWAMAFRAQPAYKIIVDTHNLLELSGFSFPSLKDPETMYIAQLQLTHEFVYAFCNQTPP >PPA14871 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2915:219:728:-1 gene:PPA14871 transcript:PPA14871 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGGVGKSALTLQFMYDEFVEEYEPTKADSYRKKVVLDGAECSIDILDTAGQEDYSAI >PPA14878 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig292:32266:33805:1 gene:PPA14878 transcript:PPA14878 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S6 [Source:UniProtKB/TrEMBL;Acc:H3EYG7] MKLNVAYPATGQQKSFEVEEDKRLRVFFEKRMAQEVEADSIGEEWKGYVLRITGGNDKQGFPMKQGVLTNGRVRLLLGKGASCYRSRRTGERKRKSVRGCIVDAQMSALSLVVVKKGDNDIEGLTDSVVPRRLGPKRASKIRKLFNLSKEDDVRKYVIRRTVKANKDTRKEFTKAPKIQRLVTPTRLQRKRHQVSQKLKRCNKRREEQALYHKMVTKYAKEKQAEKIARRRSSASQSESAKHSISK >PPA14876 pep:known supercontig:P_pacificus-5.0:Ppa_Contig292:22422:23156:-1 gene:PPA14876 transcript:PPA14876 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHLYSYVFGSETAEDCSSIGCMRTRTKEDDGWLLVHESASGRSSPILIAPPQLIELDEFSCHSTHSPSPSAIRKAEILREAKAANRMRLNLEKAMFADPPEKTIESKGETKISMKLNATKLKR >PPA14875 pep:known supercontig:P_pacificus-5.0:Ppa_Contig292:16549:17969:-1 gene:PPA14875 transcript:PPA14875 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPRTYFSYLGEIATDNWYSTLCNMNMILFEYFTKITDQAKNQIVQFFRLAIRANVGKIDNVIMNFVRYGSDGSDFTSRVRLIKAVVEMLSEKEGKDWILSLKGLSLLMPTLLNIVIRLAVDLPQGAASESTRSLFLSFAFFIVARRLQCCLHMGRDLLLILMRVSKTTQFASLWRDLIKNPGQFGGAFKNVEDLLNRPPHNSIFPLKLSIPMQRQLEFLLIHLKHGNHEKHLEWFTEWVRRVG >PPA14872 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig292:61:580:1 gene:PPA14872 transcript:PPA14872 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDALRGANGLPREIKQELKTVIKSEPGVAPPPSYQELSSVRGPQQLTQNPLLRGQLMKPPTGIPYLTNLNSYAWGPLGVPPNSCGVTASQVSVQSALPIDLENLTLPDQPLMDMDMESILHE >PPA14877 pep:known supercontig:P_pacificus-5.0:Ppa_Contig292:24078:27064:-1 gene:PPA14877 transcript:PPA14877 gene_biotype:protein_coding transcript_biotype:protein_coding MENVGLQIYTIDLVEAASSPLFNNSKLNRRLDSEGIKLVFANLEAKKHVEWVDVNKTRCHVFWRRPEEWAALIYEWAASNGLLNTPCTLYEITHGDDTVDESFYGLEKEVLLKALKSLEIQRRAQLMNLGAEIVVGGPSFVCLSDTIEEGDTVIVYVSFGSMYPIVVKRGMSITMKFGQLRHEFLIGKQVNDLRWGSRVTTTAGYIHALRPTSDLWTRCLPKRTQILYTPDVSFILSLLDVHGGSVVAESGTGSGSLSHALAIGVLPDGHVYTHDIDETRTRKIEAEFKEHGLSHVTTAVVQNVCIEGFFVENSCDGVFLDVPSPWEAVENAARALSKPRWRRMCTWKGKKEKDSKWRKR >PPA14873 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig292:7472:10044:-1 gene:PPA14873 transcript:PPA14873 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLFNGNGGSRMDEEDVLPPGWEMQTTWDDPRLVIEGNENRSLPQGWEQRLSSDGKVFFVDHINKKTTWEDPRFLESEYQKRGYDGHTSAFKRKVDYFRHKLPRSLWNMKCTLTLNRHSIMEDSFTQVMAKNPNELRCKLWIELVGERALDYGGVSREWCFLLSKHVFNPYYGLFEYSSLDQYTLQVNAHSGMANPEHLEYFHFMGRIIGIALYHGKLLDVFFIRPFYKQILGQKITLEDMETVDSSFYSSLCYLRKNDAADLELIFAVDDEVFGEQKSIELVENGGEISVTNENKEEYIDALIEWKFTKRIEAQMEVIDVSDWRAHTLYKGGYNPNHIVIHHFWQFVLSLSNEMRAKLCN >PPA14874 pep:known supercontig:P_pacificus-5.0:Ppa_Contig292:12129:16396:-1 gene:PPA14874 transcript:PPA14874 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVAYSMLTACNPGVEMQWSKLVMWWDWFGFTMTENPVGVENTLFVLRYAIGTQPVIGQTLLEFAMKEIPKMHPPMTPSIRASFNNVLRVITERHGQQLVSYVLDNSRFDMNIRELCKMELSGRTNNVTDRRGVAGTGVKVGGTKIGGTSQGTPNIPDKPKNVRNVSAGSGSASVPSVTSVSSPSSPSSSPSNSSTSVTTSTSSTPSIPSTVPQRGLKRDADKMEKNGEKKEGKKGDEKGQEIEKEVQEKKEIDEAIKGLKGELKNIAIEVHTLFKDDKSDEEEKCGAVQKVMEFVQDNDELHEDESQLESLGHLLGCLLRPLISIETTNFLPDTKPVHKLDNESLAECFNAPIHVVFRSLSLAESRSAIESIISWMREKDSSVTYLLLFFLKVYSEDSNLPVASYENVATHFESTLDSRLSEDLDLMARDDRRLFTLCLPFVFKSFSIVASGCIGLFRTFCHFADNDILCQFLGALMIEDEECCTKTADILSSIIKKQMASGEILVSSVNSKNTKTKNSSNKLSMEHIIRFYDYAIFTRNDTFDEEI >PPA14879 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2924:385:974:1 gene:PPA14879 transcript:PPA14879 gene_biotype:protein_coding transcript_biotype:protein_coding MREYEIEAVELACFRQAVSLAFSVYPFYNGSLLGLITPPRQDPILHSSPIRFHSIEDYYEDVVTCNESLANLPKRFKTQSSITSGTTIDN >PPA14884 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig293:11933:13519:-1 gene:PPA14884 transcript:PPA14884 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAASSHTSPRRSEKRKSQSPTQRGRRRPTDDREPRDKSMRSFDASSTSPRRVSSRNAPTSPVATRRFNLLEERDNPTRFSDGSSSQPVRVSSSTSTMHTRSDHNEVGTLNHTEGQTAILESSINSSGRAARSYPTRNTTRPDWRRIVDSSSAYVCSPFAQTEQFSETSKCRCLYEFANSPEQIQKSSYCKGKGHIEYTVRGREKREVEKEKERKQRISEWSKQPQKVAVS >PPA14882 pep:known supercontig:P_pacificus-5.0:Ppa_Contig293:8286:8686:1 gene:PPA14882 transcript:PPA14882 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKNSDPMNLASLTVTTSATPSCPTRDLWTRCSWMRNLARRLPSVRPEEAAEEACEEQIYT >PPA14885 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig293:15635:17654:1 gene:PPA14885 transcript:PPA14885 gene_biotype:protein_coding transcript_biotype:protein_coding METNHHRYHSHIDPDATSSFYGTATAVSKAGHAIFAFAFAFWARNSGMKIPLLAGRGICLVACICYLFVEFLPSNRRWWMMFCYFLFGVGYSASVLLRTYIARVSSTENRASAYALQNGASVLSVVVGPTPIWIALITNVIAIIFITVMFRNLDADGNAVEKDNENRTCIVGILALTLSLSFYFFKLGTRVGCRLFFLLANILVVVGYLLTYPVPFVSSPMKMYNDTTKAGCDSATHNWCETELVTNLFVFLIIMILTLSFALPVSNLSLDTIYSKIVGHADQNLMQAVFVIADDISLIVAPIYSSQVFAAAGYHTVQIVNGSVYIGATVLWIMAWKRLGPFN >PPA14881 pep:known supercontig:P_pacificus-5.0:Ppa_Contig293:5989:7931:-1 gene:PPA14881 transcript:PPA14881 gene_biotype:protein_coding transcript_biotype:protein_coding MCLDKIEHFIKEIFGGGDKGSKAFKKAYPNRYLKWEKGEGHYGYVTKKRTLYITRLRAIEDHFNFVNEKFGLPRVYIEDWTDNEEELDKALSELFYTQASRFTNEVLEIMKDRSRNLDAICCGPQCSTRKKPCTYNTGAPCCVMDKRMSLDNKDVPHFINQAKGEPPKPLPGRVHQQMRRGRQHVLVIFRDVKKEWTLRCVSEFKSKEFVMEYVGRIRLGSSTGRAQQYDFDLGYDAPGHEESLKIAGYKAQC >PPA14883 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig293:9534:10577:-1 gene:PPA14883 transcript:PPA14883 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQRPYLKTYTEPRITEKLRIYKEVLKGENKDHEVLDAKAIKEANKKWKVNFPIGIEERELGDTDFQAANKRMCFKWQGYERGTWELASEFASNEGMVEEYQLRLFRLDTFQGNFQHEHGNSQ >PPA14880 pep:known supercontig:P_pacificus-5.0:Ppa_Contig293:1060:3956:1 gene:PPA14880 transcript:PPA14880 gene_biotype:protein_coding transcript_biotype:protein_coding MTVETTITHHSLKYRLNGDKQGPANISVLNTNSADAVPNTMFLYVIEMSKAEEFSYYNSFDAYTYAVYDPNNIAGRKIKLQAEVVTFFSSHPFTVKADKGGMWLDITARLTGFDNNVYNNEDDCPIVFHTPTTTSSPGLHLQVNSPMMSFLLWRNGDLEVELGVGSNGFITSPGYNGCSKLTIGGFQNARSPLYQKSSEFNITSEDIFGTQEKKLNFPKTKAINIAWADLPDSQGFLMKYSTILTDPKTTTRLATTTTKASTTVNMIPTTTKAQLITTKIAVEEPKTTSNGVIPTTSPLTVTTSSTNNLAFDLILGATFIICCFH >PPA14886 pep:known supercontig:P_pacificus-5.0:Ppa_Contig293:18272:23247:1 gene:PPA14886 transcript:PPA14886 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLFVLIVAFVIGYALLINKIKGLPPGPPPIPLLGNTLQLDVDMGKVLTKWKAQYGNVFTVWMPAGPSVVVADHELLQKHLIRDSDKFAERINPTQLMRLITGGENGLVFNDNSIWKEQRRFAMHALRNVGFNNATTQSTVIRYAHEIIARWKEQGANRTPVDLTHGCTVGVANIIWQHVFGRTLVYDDPLIAQVKHLAEESIASMGHPLVMALETIPAIRYLKHVDSPIKRLSNVNKEFLNLLDNEIALVMKNFNEDEEPKCYAETFLQEMRKRKERGEDEGSFTHQQLVIACGDLWGAGFETTVTALRMAFHFMINNPEVQRRAQAEIDSKIGQRPIHLEDQKVLHYTNSVIQARNAEKAMTTLDRWRRLKEEEKKGPVAKRPRDTKDCGNLSGAELFRREIAKRPHSAMSCVATQRLRTKRQTLDEAYAPPDNFLEIEFEHRRLLVNVKAKFFSHSAVQKIKATGEACVLLSQLLF >PPA14887 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2930:117:476:-1 gene:PPA14887 transcript:PPA14887 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLKNEYGRKLRTALKHMGVTSIEALPKVPGPVSPMELKLAKEVSQLKRKLRQKRAPLSSPVAPKLFLAKTSDGYRSEVKYASLRLTTLGVSDAKQGQVIDVVAQMLDVRINETPCEST >PPA14888 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2932:97:1171:-1 gene:PPA14888 transcript:PPA14888 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDRRDRMDIGDPVVEEQTELASTVEGNTGQVNKVMTRGKVEEIRKNKMSVNERMMTEYALITKAFKDCRDKVKGQTSLRTSGEVTVVNELFKGAIKEADKAWESVVTAVNTALDGNAVNKRESNFLKRVQLESLEQVETEMGENREELRELELVDDIPSLLAKVNEEREAAEKKYSEWEAMRLELQELNELAELKENDRLVDYWKDMNIELQTIREQFKELKLKIEKGEDDALVLVDSVEMLEKQVAVLEKEKRKLKDINDEQLARLIQAEDSNQEDEGAARSWRTFTVATRFEGESSDRRTTYLHDSDV >PPA14889 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2934:327:1086:-1 gene:PPA14889 transcript:PPA14889 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPYPHSPSPDPSAASSIDSCRSSAVFSASSLFPSRFRCRPTMLPLLLQERNGQIHAADVEKDPNWTPPSPTIQNLPEAEYFLVSKASLLELLTGCNSCSSGKNSLSFTEDAHALTCTRKCTSCGNASKWSNSPVLETGNASSKEKLRKVNVDMVTGSTVTAVGTARLNNFLKAVGMNTRRGHSIGTKTSIYSLQSRMFSLMLKMRFSLVFKIAYA >PPA14890 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2938:85:923:-1 gene:PPA14890 transcript:PPA14890 gene_biotype:protein_coding transcript_biotype:protein_coding AAEALKDWGRVRGYPTLTLFRAGKTTEYTCECLFATRGRDAESIVNWLKKKTGPTTVTIESSDALKAFAEGKAVYTVAYIEFTDLTTENIVSFNERFLVGELKQHLMSADVRNRLMYNRSRGWDTKPVKVLVGKNFNEVHKNSGKGLLVKFYVP >PPA14892 pep:known supercontig:P_pacificus-5.0:Ppa_Contig294:2854:5553:1 gene:PPA14892 transcript:PPA14892 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRVSLVTLLLFVSSECSMKWDTKQDKNMIITQVEVLEVDRIVVGPQTNGICHWKGGWGCDPGNDCDSGYTYVGRTRQNAYNKWAGGAGGFCWFGTRYLCCENAKVHKNTRTSCKSGGGRTCDDGYEMTFYADWNGPHLIALFSKGKFITLLSLFAIIFQITWFIACWYLGEPEDTDEIRHYQALFRAEYGKDTNDGPLIMNYWV >PPA14894 pep:known supercontig:P_pacificus-5.0:Ppa_Contig294:13484:15278:1 gene:PPA14894 transcript:PPA14894 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRVSTAARLFLFVGAISALPAKQLDGDSAVAGAGPNVSVSTLLSAVGTPYCMKPCIGTFAFTVKKVLTLNETTERLKDLCSEYSESKECLDNRKWCRLRNVFDATTSGIEHACITKRTEYNKVEACLKKNIDGILQKCDSSCNVRTELAKLSVAQPIKTAAAVNGNLVMVAKQVPPFCKAVRCGLPCVMKAANAACPNSGTLMIDSVLQPFDKAAALYAKATEVIKKMARDYLTDECLKFLDAKKLAEMRKGNF >PPA14893 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig294:8465:10473:1 gene:PPA14893 transcript:PPA14893 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRSEYNPTVNYVAVWCFNATGVIDPANLQAENAPICPNTPIDGEATARGPNKHYVGLKSQNGRDVYKNLPIYAASCAYFPTGTTGTTCPCNPLPLEYSTRRASGATPPTAADSGACPSGYSGIFIQANTNQQYTCSSPCSDFKLQCIGGLWIHTFQSGNVTARVIRVY >PPA14895 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig294:21756:22230:-1 gene:PPA14895 transcript:PPA14895 gene_biotype:protein_coding transcript_biotype:protein_coding MIPILTYIEQQCSFYRYLNRRERVYALHGILPTMNLGVVATTDISTGFSESCTPILLDTHVAMTRPKLP >PPA14891 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig294:1427:1655:1 gene:PPA14891 transcript:PPA14891 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSRLGNLEFDDSATGHNCPCNVLPLEDSTKDQPGAMARAPSGYSGIKQ >PPA14896 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2940:25:393:-1 gene:PPA14896 transcript:PPA14896 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLTRMCKQQTACVSTVGVAIEAAFCSNIKVAINGKIESKSINTAVDITIVNKETWRQIGIPDYKAADDLLISAHGDDWKLTIRVYARISYCGNGAAGDVQVTHDCRNILSKNFVMLLKLVK >PPA14897 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2943:117:443:1 gene:PPA14897 transcript:PPA14897 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKNFRNSYANSSGSVIRKALKSLEVLKWVDKSENGKGRILSKQGRKDLDRIAADHLRRDERGGRTRHAFQKQRNLENYKI >PPA14902 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig295:49199:52557:-1 gene:PPA14902 transcript:PPA14902 gene_biotype:protein_coding transcript_biotype:protein_coding MMYPACTKSVDLVQKDENTWEADIDGEKTTLLVSSLSHSECGHELHFRVEADGEAWRTKAVRTESGTLVVVGKGEHTYEADAREWGDADGAAAAGGGHALAPMPGIVERILVKKGDVVKAGQALVVVVAMKMEYIIRASRDSVVEDVTTCRVPPCSSS >PPA14899 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig295:13132:13413:1 gene:PPA14899 transcript:PPA14899 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEIHLFNTTNTSPRPVLDEYEQSIADANHAHFDEIEAKPNNIQRFIADRIHRSISLKK >PPA14903 pep:known supercontig:P_pacificus-5.0:Ppa_Contig295:53318:56315:-1 gene:PPA14903 transcript:PPA14903 gene_biotype:protein_coding transcript_biotype:protein_coding MEYASFPTDIARVDTGVESGDEVSVHYDPMIAKYMYPPHMLPYPPSQVIVHGRDRAAASAALDAALAKTTIVGLPTNISFVRRILTHPEFAAGNVYTEFIPDHAKELFREEEVSKAELLEGALAQALSARVSSDKLFSQLVPFRVNHQPVYTKKCGENSSIRRMEEEDIRI >PPA14898 pep:known supercontig:P_pacificus-5.0:Ppa_Contig295:9399:9998:-1 gene:PPA14898 transcript:PPA14898 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFNVGHHVEHHDFPFVCGVNLPKIRQIAPEYYDSLEQHTSWLHVIYDFITNPKMTLRSRIKRKWAKETEFHYYGVGQLESCKVYQKIEKLLSLSSPDYAPEVDRASSGAGDFEEISPEDLKEE >PPA14901 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig295:46928:48765:1 gene:PPA14901 transcript:PPA14901 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPFASSPSAFGPKVDETRVDVGEATRTVEGVRKAIDELRDWEWIYGRTPAFEFETRGGELIKIRNGRVAEARDQSIVGERFTRELIAVL >PPA14900 pep:known supercontig:P_pacificus-5.0:Ppa_Contig295:13783:16859:-1 gene:PPA14900 transcript:PPA14900 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPNRLFGFIANLPMMVPMSVSFKKYHLEHHRNLGEDVIDTDVPTEWEARTFTSTGGKALWVFLQPIFYALRPFAIYPKLVKLADVALTDLELANLAVQLVFDIGVWYFCGVKGMVFLFGGFFIG >PPA14904 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2955:40:657:-1 gene:PPA14904 transcript:PPA14904 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFHGNGCKCPGCLKRKQIHHPKAPTPANLSLAASVASVKNHVESAQSVPATYYVPNIGSVSPPPPLMMQASMPAQNGLVPGTAPVTLATQGSVPNHNPLVLSTVTPTVSQSVITARPIQDAAVPTMDAENPEAYFDQMMG >PPA14905 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2956:234:1056:-1 gene:PPA14905 transcript:PPA14905 gene_biotype:protein_coding transcript_biotype:protein_coding ARESTRIWYCTRHERLFWRRYRRLRKRRSYSESTHPVSLSSSCIRSSSIRRSSVRDDPAHPVYCRLPQLSRLLQEWRCRCSPTPYLAYYI >PPA14913 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig296:22613:24143:-1 gene:PPA14913 transcript:PPA14913 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFFRRHCTIEKFMRHNLSPDEWLFVMDADIAVPYLMELLAPGPSKIKDVCYEIWYKSESSRELFSMEACSRMVIGDRVEFPEKSLRILPKGTAWARDIYLLSSAWAENDFMAGALQLHAAKEDNFRPYDSKLDDFSKMSDKDLIMWLPQDRTHSYVFPVLTKLNLEQCMKGDAVWHLDGRLKISNERKMKALEMFEYGVLKMRMGFVSLLAGIFQKKSGRFSPDY >PPA14910 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig296:15861:16475:-1 gene:PPA14910 transcript:PPA14910 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLSSNGISILVQRTFYLNDSPDSISEQLNGTRTKGRIFLPLGGPDISTYNNFMQAAQMADLASYDYAIVLQLNQNSEHKSGKEHA >PPA14907 pep:known supercontig:P_pacificus-5.0:Ppa_Contig296:2884:5842:-1 gene:PPA14907 transcript:PPA14907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-daf-11 MKLCVHDNINAFLGISYDSPDMYVAWQQCFKGTLTDVLQTAASEQTQVEAKKMTNNIRGAFVRDMLKGLEFLHNSGVSFHGALNPNNCLIDSHWILKLSGFGINRLLNRWRHKRTISTTDKSTFIPNSELHYYAPEIRRFWKDVHLSGRTEMDQLTDVMGKKADIYSFGMVLYEILFKKKFVVIDDDFGVPVDDEFNVGDAPNYLFHFEAEEQIPLSIHVPEDEDVHIDLSTNMQKCWNQDPEQRPPLILMRRITDTTLKVSGSLVDQMMKNLENYTNDLENLVKERTQQLEVEQAAAENLLSIANDLKSGKHVEPKTFKHATILYSDIVGFTSLCSESTPMEVVKLLSGIFQAFDHIISRHDTYKVETIGDAYMIASGVPEASKNHVRNVAEVALKNREFLEGYEIPHRPGQHLHCRWGFNSGPVYTGVVGFNAPRYCIFGHTVSLAAKMESSGIPDRIQMTVKSHQLLTARFPEFKCSPRGQVKVEGLGTFLTYWLDGVEELLISDRSSYKRTIEDSERGSMERETSMVPDRPSID >PPA14911 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig296:17941:18924:-1 gene:PPA14911 transcript:PPA14911 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIIFLLLCGAQQGISQTNIQVGLVTDTSAYPQASNVLNTAITDSRQNGRMFNLQPTTTSSACKGTGIQGAGTFAMVEDYEKKNISAVFGPTCVKDLEMTSRLTFQWNIMQFNIWDDHNSDTLDNTVVQALVP >PPA14914 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig296:25842:26932:1 gene:PPA14914 transcript:PPA14914 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYGDDLILNDSFDDNTLNIVKSLLERCKVNSLDYCAFSGEIDERLCLFLSNLETTHITLFTDEPSIDPNLLLTISRPIHIYYLHSSGIEYWDDKVLFELHSSGKSVVDITTPNALQRIANTISSLDHQCASYSVPYNVIDNIFNFLGITWTIEGMKKKKDIDGVKIITASGINCILEYEGTRIDIFKTFLKDYPESPWTFHMTNLEVSL >PPA14908 pep:known supercontig:P_pacificus-5.0:Ppa_Contig296:7120:12637:1 gene:PPA14908 transcript:PPA14908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:H3EYJ7] MPRFLILLLLFLFSSRADAKDSNESLLIERHNRSADAEIIQERVHVAEFKWHSTSTAFIIVFWLFLACIAKLIFNRASSLTKIFPESSLLIAVGLAIGVLLEEIHVNDFIFVNVFGEALFNDGVTVVLYELFKQFSSLEEVQVVDYIAGTASFFVVSVGGLIVGLLAAFLTAIFTKYSEHVLILAPCFILLVPYIGYLAAETLSLSPIIAIAVCGMAMKQYIKGNVSTSAANSVKYFTKMLSSSSETVIFLFLGLSTVAKYDIEWDLAFIALTILLCIVVRTIGVIFQCAFLNRFRGKTFSMVDQFILCYGGLRGAIAFGLASSISSSVPAKDMYFTDFRQIRRLKLRKGSTIRPLVNYLNVERKKTEDPTMAETVYNKYLDYIISGVEDIAGQKGHASLVHDFERLNNNILCPILMKDHAKTRDFDATKIIRAYAKLTLRDAMGVTKWQPQHKQLATIKNEELARAQKHCSCPSNIGHEANCPLGQPNMEALYNLFSHMFDKKMEEIKELREAVSIHGEEDICDDYMAQAHRKESLEPRSRPLEMVVSLAVRQRTISLDRAVQSLRPNFPLPS >PPA14915 pep:known supercontig:P_pacificus-5.0:Ppa_Contig296:28358:29126:1 gene:PPA14915 transcript:PPA14915 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGLPATKGRRTTKIYKCYQCEHISETKEEQWTHARSHIPAEKLMSCDKCAFVTQYKHHLEYHQRNHSMPKPFQCKRCKYSCVNKSMLNSHMKSHNNNYPFKCDDCNYATKYTHSLKLHLQKYSHNRRVEVNENEAVAPIAAL >PPA14906 pep:known supercontig:P_pacificus-5.0:Ppa_Contig296:1168:2564:1 gene:PPA14906 transcript:PPA14906 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fbp-1 VEERRRGNYIVTFDPLDGSSNIDCLVSIGTIFGIYKKRHPGAPTVADVLRPGKEMVAAGYALYGSACMVVLSTGDGVNGFTLDPSIGEFILTHPDMKCKPRGSIYSINEGYAQTWSKGISEYIRTRKEPEAGKKVYGQRYVGSMVADVHRTLLNGGIFLYPPTASAPSGKLRLLYECIPMAYIMEQAGGLATTGKTRILDIQPTAIHQRSPIILGSKEDVEECLSYIEKHDN >PPA14909 pep:known supercontig:P_pacificus-5.0:Ppa_Contig296:12701:14852:-1 gene:PPA14909 transcript:PPA14909 gene_biotype:protein_coding transcript_biotype:protein_coding MPWKINPALKEYYNNAVIIYNDCYSASAARAFASKIGVSTDDADQLALYMTLYETVFFYDNLIEAQKFVQKSRDLLNYVRNQLFTGPFGNYTLNEVTNRITPFRVVRVRNYEPLELSTITLQSSQCPTDSSKQCMQLVARLIDTGNATMELPLDMPVCGFEGELCEQTSTVLVIIGIVAGLAVLSILFFIYRRVKGNEIRDMPWSLPSVLILWIDFNKAEGGESRAQQDNSFQSLQLLQELGPPPGTAGGGSRDETGKLKAERSRTRNIPLPPRTKLATIMNNFACVYTYPFIEKRSNFNREEIQLFYQDGVCRE >PPA14912 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig296:19942:22152:1 gene:PPA14912 transcript:PPA14912 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFLNYMPNQKCDGTIPLEAFCYDIVGRCYKCLERCGIDENVCLNNLFRVETIQFVGVLLGTVLFGLLSGEVKHVVWFYLFRFLVGLSNGAGLLVGWSYVSELISAKNRFLLRIASSWPVARIIMTLVCFATGEWRMATRMLVLLTLPLFPVLFFVLPESHVWLAAKGRRDEYDYAMTKLNVLAGCVYGSTLFMWFWIAALFSIELCSEVCFLTANELMPIEV >PPA14923 pep:known supercontig:P_pacificus-5.0:Ppa_Contig297:29525:30848:-1 gene:PPA14923 transcript:PPA14923 gene_biotype:protein_coding transcript_biotype:protein_coding MIAQLRNNLLFDAQIEYNCSLVDEEIDWSTRGTRNPIFGVLCMICGVLGIIPYSICLWIMWGMKKHACYKYANVTHAANNSNPGHYARTIDKAAMMLSVQCGVIVFVHMSTFFGKIEN >PPA14921 pep:known supercontig:P_pacificus-5.0:Ppa_Contig297:21421:24080:-1 gene:PPA14921 transcript:PPA14921 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKSPFSPVPITNQSLPKKLLKAIWKHGELHPTKHAIAHKTRAFMRVRGFKEGDLACLVLSNCIEWVIFQLGVMAAGGAVSGASALFTDYELERQFLDSRCSIVLIDEYNLEKVMKAAEKCARIKTIVCLRTSSTDNSPLPSNIVEWADVISCKPEYDIPDVDVDSMVALPYSSGTTGSPKGVMLSHRSIGTNIDIFIDHFSREVFNVIGKNHSWYNESFIVNLPFYHMFGFGVVNISLIAGATSVVMERFDPEVFLKGIQKYRPRLLMTVPPILVFMAKFPMVKQFDCSSIEVVLVGAAPTGKEAGDEFLSQHKNVKYLVQGYGMTECVMGTHLPVLNVKDPHLGVGKAFVDVSTGKEVGVGERGELWVKSPILMIGYLNRPEATAETIDKDGWLHTGDIGYIDAEGRTYIVDRLKELIKVKGYQVPPAELEDLLLSHPLIRDAAVIGIPDTRMGELVRAYVVRANESLSEQDVINFVAQKVSKYKHITGGVKFVNEVPKSPSGKILRRFLRDEVAKEMKAKI >PPA14920 pep:known supercontig:P_pacificus-5.0:Ppa_Contig297:17809:21089:-1 gene:PPA14920 transcript:PPA14920 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKSVFPPIPVSKESFPEKLLQAIWKHGELNPTKHALWQLVHDVFHSQSKFNSTYLIVFLAVSNALSVASFLRHKGFGVGDVACVALPNCIEWPIIQLGVMAAGGAISGASAMFTDYELERQFLDSRGSIVFTDDTAIICIRTSRFKPLPLSSHVVDWIQWIPRSIVTVPPILIVLAKHPMVKNFDCSSIELVTCGAAPMGKDVCMEFLARHKNVKYLVQGDIGYMEKDGRTFIVDRLKELIKVNGLQVPPAELEDLLLSHPLIRDAAVIGIPDTRMGELVRAYVVRANESLSEQEVVDFVARTPGSLDTTQKVSKYKHITGGVKFVKEVPKSPSGKILRRFLRDEVANEIKAKM >PPA14919 pep:known supercontig:P_pacificus-5.0:Ppa_Contig297:10855:12072:-1 gene:PPA14919 transcript:PPA14919 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVPPILVFLSKFPLVKEYDCPSIEVVLCGAAPTGKDVGIEFLSQHRNVKYLVQDSRRENGKGVRESGEIWVRLPMPMMGYLNRPDATAETLDKDGWLHTGDIGYIDEEGRTYIVDRLKELIKVKGLQVPPAELEDLLLSHPRITEAAIIGIPDTRMGELVRAYVVRADETLTETEVVKFVAQKLSPYKHVTGGVKFVEEIPKSPSGKILRRLLRDEAAKESKAKI >PPA14922 pep:known supercontig:P_pacificus-5.0:Ppa_Contig297:24471:24862:-1 gene:PPA14922 transcript:PPA14922 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIACVQTFVPLVLVGLPYTCVLYLPFLNIPDYGMFDYFPLLISLFPGWDAVVIGCLIKDYREGFLSIFGWKKAKVELLSVPSDMTTRTSGIVRWTSA >PPA14924 pep:known supercontig:P_pacificus-5.0:Ppa_Contig297:31847:32643:-1 gene:PPA14924 transcript:PPA14924 gene_biotype:protein_coding transcript_biotype:protein_coding MMFFLAMVDIGTLVGNIYAGAASMWGEMYCHHPRLNYFVNAFPVGEGCFYASCCTCFMIAVNRLIELTNTRSLLFIYKDNRPWYLMSIPFAYGFIAVIITPIAMLNTEHHFFMVDPGFNNEYEYTSNFMTLNNICFPLLSALMYTIMIKSVMAKRKESDLELAQDSVYKAALMLSTQCGLIIIVHMSTSLGML >PPA14925 pep:known supercontig:P_pacificus-5.0:Ppa_Contig297:34852:35378:1 gene:PPA14925 transcript:PPA14925 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGHALLRLGMLVTFFFILVAVVICICCFKHCKESEQRRRNIENFGPNDYQMTVNNPPQPVESVDFDERPREPPPVPRMHFYAHEEPRPSFAPPPIPPPDR >PPA14918 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig297:1189:4395:1 gene:PPA14918 transcript:PPA14918 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVDKTTAIDKTRPPADSNETVPEKILREIWKHGELNPTKHAIVCVRSSTVMMGYFNRPEATAETIDKEGWLHTGDIGYIDDNGRTYIVDRLKELIKVKGLQVAPAELEDVLLSHHQIRDAAVIGIPDTRMGELVRAYVVRGDDTLTENDVSDFVNSQCSKISIGKDSEKIPERRRYGKDGNTRCPSIFPFSMGRKKDKGVGKAVANVEQKIIDTTVLEVAIGELGDVCIRSPTVMMGYLNRPEVTAETVDKEGWLHTGDIGYINDEGRTYIVDRLKELIKMGELLRAYVVRANDKLTENGVVYFVAQNVSRYKDITGGVKFVTEIPKSPSGKILRRLLREDAAKES >PPA14926 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2972:62:658:-1 gene:PPA14926 transcript:PPA14926 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVFPMREMTMDDGEFCILKALILFTVDRRLTEAGRLHVQRIRDKYIDALYVHVKSQHTSATEMQIAQRISKLLLLLPSITLLSQQEDDTVQFLALFNIANLNGLPYELHSNQKMSIQSDPDPSQ >PPA14927 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2979:90:591:1 gene:PPA14927 transcript:PPA14927 gene_biotype:protein_coding transcript_biotype:protein_coding NKVFPGTHLLPQEVAPAMRLGKPIPPPLKKVKGDEIEKDNENGDEKGKDNGLRKKTLKIKATMRDGKVKNLFAHMHTTVQALIVQAGATAPCSLFHDGRKVAYARSLRSIESVVEAEKEAEEIEVDIY >PPA14945 pep:known supercontig:P_pacificus-5.0:Ppa_Contig298:40226:41484:1 gene:PPA14945 transcript:PPA14945 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPEPLSPSPNPPPSSILSEEEEGRVSPTPSNSSKKTTSSNDMRSNLRSKIREKAQSLVDRTRESAALSRRLERKMTLTTTITSPSDIDDADTVIQKAITRGAVIISEEEGTRNELSEEMQTMFVTEKEKIEEEKEKEERPLTIFRGAVASPPIDDSILKIYSELGGEDVEDFPSFFNGAPSLNLSRLANRLGGILPTGTWATNDDHLVEPSSDHDYLVVYQSPQWSAINKSGVLDEIQLDIGRIVLISHWAFSRESLLFFENHTNNKQRWH >PPA14940 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig298:33807:34454:1 gene:PPA14940 transcript:PPA14940 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKRKHQEPTVTDSSSKVEKGGHSVNETVTGATATGISDEKKSKETEAKDRDDGRDRGVRRKKDKDKEKKKVSKKSESDKNNSAHAEKLSEFKASLKRTQQPTTPSKPSKGGTTKLSVTPLHHRNKESRKGDKDGGVSKMALPSGKKPK >PPA14936 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig298:24541:25278:1 gene:PPA14936 transcript:PPA14936 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKERMRELMSALKRGRRDEVKEILKGIPKEKWPSAQIIPFVVQDFLKKKKAENTRCMFKKDTVAQKEILELFNELISEYPPGKKVVCLVNRRSLSSVKCSRRRNSYMEWLDQYTSIKEESFYHNASIVIQDMICILYHEDSPDLIDL >PPA14941 pep:known supercontig:P_pacificus-5.0:Ppa_Contig298:34666:36017:-1 gene:PPA14941 transcript:PPA14941 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDILMRDKTVEELDALQTALQKEMEEEREELREMVGRRYRDVLDASAEVRTVHKMAEEVVTSLTASRSTHVPPETLAPSRVNTAMDTHKLIALHYLLPLIGNSPDLDSLNSGYALVLAEQLHRSLLHSGENSLCSLLPSMGRRIALTRKQVVQQMAEDLSSCSRSDWASNELASICLVEGRGIEELLDEYLKARKCYLRDELETGSLLTVVKEVKETLTVVECLFSQGELLAVLQSVYGSRGRFGLLFFFVYDFTRDGEED >PPA14928 pep:known supercontig:P_pacificus-5.0:Ppa_Contig298:2564:3701:-1 gene:PPA14928 transcript:PPA14928 gene_biotype:protein_coding transcript_biotype:protein_coding MATFHVNPQYARIDQVLPDLFISGVTALSPSILEENGITYIINATNEVPNLRCLSHLARHKLWLDDTPETPIYNQLEETANQNWRKEREPEAGKDMDNQQTIPPLPYSLQIAILLSEGHTVLVHCLAGVSRSASLILAYLTKYHTRSLEKAFHLLQSIRPLVRPNIGFWRQLIQFESDISGQSSTIRMIRVEDQDEHYLPPCISPTSRGSWPRNSSFIKWSKWKVPSSTRISSRNYIHSTGILRHTR >PPA14935 pep:known supercontig:P_pacificus-5.0:Ppa_Contig298:21877:24433:1 gene:PPA14935 transcript:PPA14935 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVESASEPVMFRKPKKKIETRKKIVEEDEDFDGAKKLEDVKELQKSRERKNGLNSLESAVGKERAAQISSGDGFSMGSGGSLVLTGQQRAKLEAAGIEAGMREQFEKETMLRDEDEELRKYIEAGIDGDKKFESSSSGPTHRPQETEILMRAAAKMTGVRSNEEKELLSNQMLAGIPEINLGINARMANIVATEQKKKELLEKAMRKAAVIRESFSLFCLTSQYIESSSQLRCILRSLGIPITHDDSVKYFERAESPIDMERLLEIVWKENNESPDPLDEVKSALVAASNGTHLIEAIDLARILETTGEKLTQQETDAILMHLSPEGEPIPVNVLINFLERQLY >PPA14933 pep:known supercontig:P_pacificus-5.0:Ppa_Contig298:13189:13931:1 gene:PPA14933 transcript:PPA14933 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rlbp-1 MDSSLPLHFTDPHEAAGLVKNSNIFEMFRMSFNWSGNCNCSVISVVFPVLFFLLPSLHSQQNVNVNGEEDVHVVQVGKEAFRLVPRSLRFLFAYVFIHSQNVVRMEGSNKMGLAALGLLLQTILDMDGGTVLLFLMNATERMGVTRKDACFIFDDVPFRK >PPA14938 pep:known supercontig:P_pacificus-5.0:Ppa_Contig298:29152:31958:-1 gene:PPA14938 transcript:PPA14938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cogc-1 MCTSARSSVSTIMEYYENLDEIIQFIDALEDIVGTKWPRIGSTNSSFFTEKLLGSVVVERFKSVVSSLISSHYSSFSSSLHSLSSSLDPPPLFEKRRTKFDPLMARGISHSLNQSVLDLLSSISSVRETVTRFEKAVGVASQSVLAAESTSTTVTRDSVRDALADEVVQMVQRVCSSFSLPSSVSPSHSMDNHLFKARVALALLQSDPATMCKVLNRDGIRISACSTLLHTAIDESLSSFVNEICCEFVSADRGLLSEFILSFASPLHSMDIAMEWDKIDLPEVGQVHVPLFISAKVHNSLVQLCRRLSSLSLSHLLSRSIRVQLTSTIARHLVQLYTGIVTKQSGWKSLSSSIESLVDPFDLSLLSPLLTTNTKIAMQRIQLTIAPLLLDIIPSKDSTSSSLLHLIDILPRSTDDYLPRIPPIPRLDRASSTESSKTRVNRSASRAKAKNSLLMGDSNF >PPA14947 pep:known supercontig:P_pacificus-5.0:Ppa_Contig298:45314:49380:-1 gene:PPA14947 transcript:PPA14947 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKYRDRLLTDTVFLPGSRDVWGGPLLIVVPPQTIASVTPSVEEGTDLPLGYSQLVPCVSIHKLTKFVQIGSLPEVFGGVYGYEVKEWIKTRERVEKMNRDLESVLSGLNIEKATRLNETHEKILKSGEELANELSTCSSNEDDHHWAIVIRRLVAQCYDVLSEPERRAKVKEEIDATRLADCQTAQMNGLLDWLEGVGETWLLSIREIGESRDEARQLMKQHDQLRTKVQELEAQTEQLDDMGTKLIEVLPNHAAVLDKSRNHLKHVVSQFSARVQRGMEMAEKSENFHDKMNLFTRRADSLLDALCSESSANESSSAIAQRTKMEEEVEQLKSAYDDLKATGGEFIQDLASNEISPFGKKACRDYGPGIYHIREQLDSANERRRRCLDLVDVRLLRLQHVIQLFTCERDAEQSIEWLSEMKNTLDNQYLQIEYSQDEVDHLREDYTKLEAAARSTYDYGKDLCQVALVLRRSLRMEQKQGVSTEDKLEQICTQLCRSLSEKDARLAMTEAYIGTMKTVEEQLEMVEQKGKAMDKDRPAKHAYLSADRRRISSQLADLKHLGEAICGHINNQTSLPAEIRSGKMRTISEKVDNLNRKQQEVEKLFVENE >PPA14946 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig298:41818:45010:1 gene:PPA14946 transcript:PPA14946 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVTNEENKRLAMVQKIRIQIVVHYNDIFVLRTQAKNLVEFMASFKQKYFIKNVSISFSQVHSPPLSITISIVERYNRRDRVLAVIGLALPQKFLPMSFANHQVPQGYPVTNNEILRGFVEVGVTWMGEGGRNEEMEEEIKDRPFSLFIPECELDEFFYDESIGFKLDDDHLRDEPISPIGMRLRLERERNVNKMTALNIRKNLLDRMENRRPTVEDIVREEQIPSLSIAIGSLFGPADVSRKLKPMRKDPTRTQTVMTSSYRLVINIQSSRKLPPRKDGTNLRPFVAITVNGKIYRTPTANGANANWQHTLIIEGEGSRSDISAVSIQVFDEVVQKLPQDNRLVNTRHEESLHNILGSSEICSSTFIARSKVDAFIKLKSPIFSSSYNVNETIPSYLKVLIALEPATVLPSYTFPSQYLGEPKEIISQCLQWTRECSSLFSDRDFISTIYNVEGKSLLATRFLRPLNAPPSIDAHRSNPTEFCRLATRAVSLIPFLPYDSTLSSLPHLWCSTDQVDLPIPSLLSPPLSQFLSLEYGTREEHGVLLACWCLSLSLPVSLLLGRSTAENSSIVMVELPTGKVLLNPEDGSMLSCEDEVSSFSVHTLITPSNIYANLQSQAHTAVIHFDITRKDAWRPLFDKEISLPSVQPQSLNYSLMEEDSIVELRSSLERALKLKVDESRSFGLPQWNLLTSRTLRESINSSSPVSSLSDVLSRSQSSFVTSILVLSTSFTSISDTVEQIITSRVHLSAHPQTQFALSVSIDNVFNTTVSITLALAVLTPTQNFVP >PPA14931 pep:known supercontig:P_pacificus-5.0:Ppa_Contig298:11175:11664:1 gene:PPA14931 transcript:PPA14931 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEERGKKKEKEKRDERAKPKRRSLSLMPRASSSGKGLGKWHRLGGEKEKSVEIVERKRGVMGEPIEEAILLDPSFDDIPLPAGLRTAIDYVEEHG >PPA14939 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig298:32565:33615:1 gene:PPA14939 transcript:PPA14939 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTEFSVMRAGRCSGSPYFTEYGERGQKPQRYYFFTMQLVHHNLWDLLVRTKGNGFSLYTSCSVASQTLAGVRDIHEIGRLHRDIKPQNFAVGRKENDRDSIIYMLDFGMCRKFRTAHGKLRGRRESSVLFRGTARYASIGSLDGKEQSRKDDIEGWFYMVLEFTSGNVPWNNIGKNRKEMLNSKREFRNPDKFDAYLIDCPVKSDLRRILDYVDNMDFYSIPDYNGINRIIVKIIEVAT >PPA14929 pep:known supercontig:P_pacificus-5.0:Ppa_Contig298:5471:8486:1 gene:PPA14929 transcript:PPA14929 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLSKVSDLAGKAEEMLVRMDQNAGSAIAQAKVQGKTSSTVSLNRTQPEPTITEEIGRSEPSHAHSDFIKPQKKKQPTAGYAPSTSVLPEHRDANLISFLNDPDVEEMEMGKSTYSVHSITEATDLVLVNNLRSQLAAKDTQMEILKSTNTQLEKRLEEEKLKLKRSEEKVKESAQQATPSVSNESLIKERSALQSELSNLKKRVASQEEQMSAVNDQLRLAKFNLTENKKEFDGYKEKAQKILQTKEKLIESLKSEGGSEEKSNGVLLAQIEELKLERDLARSDLESAQVMVYNMRGEVDEAENAAREARNALSEMKRRINDETHSQQINLTLWKEKAECARSEVEVIRVERETERSEWQRKIDEKEAELIGLRDEVRRKRSIQAPPEDGGRSTMLLLQKEEELREANREKQILRVRLERLEKQSKETVVQMGEIGQSSGGMTLNRGGSQSHNFLQSIMMKGVSTLRGSSTARSYFAVSWRRFSL >PPA14944 pep:known supercontig:P_pacificus-5.0:Ppa_Contig298:38569:39782:1 gene:PPA14944 transcript:PPA14944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-xpa-1 METKDRRKRRFNPTEEDSIPDVERLYREHQPSFACAGGFTAETNEEVEKKKRIVERRKKELGEIERPDVDDCDKCGKMLLDSWLWTNFALSVCDECREPEGDHKLISRTQVKDEYLLKDEDLDLRKPRLRYLAKKNPHNPRYGDMKLYVKKQIIDRVLIVHGSLENLEIKKESKKEAKERRAETAFDAKVKEMRQHMRGAAAAKAKAIEVHVHEFGEEKNVKDDEWEKKCLTYLSLKLLTFT >PPA14942 pep:known supercontig:P_pacificus-5.0:Ppa_Contig298:36323:37172:-1 gene:PPA14942 transcript:PPA14942 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLNEDDDTPLANFIAGPGNLRSDCDEQLIISLPFIQPVKIHSIVIKGVGDRAPKTVRIFTNLPKTLDFDNAAGAEAVQVLEFGDKAKEEEGELQQLRYVKFQNVNNIQFFIEGNQGGGEVTEIEDLKVYGTPLSAVNMNEFTRVAGKKGEVGH >PPA14937 pep:known supercontig:P_pacificus-5.0:Ppa_Contig298:25828:27758:1 gene:PPA14937 transcript:PPA14937 gene_biotype:protein_coding transcript_biotype:protein_coding MSSELAELNNDLIRIREEADRIMDSSSSSSSTSKQPATREKIAKMSAQVVDSNPYSRLMALQKMGVVEDYEAIRKKTVVVVGVGGVGSVVAEMLTRCGVGKLILFDYDKVELANMNRLFYQPHQAGLSKVEAARQTLVHINPDVEIEAHNYNIITVDNYDKFLDRLEHGGLNNDRIDLALSCVDNYEARVVVNKACNDLNLVWMNSGVKENAVAGQIQLMDPGRTACFMCIPPGIVAVEGDEKTLKRDGVCAASLPTTMGIVAGFLVQNTLKYLLKFGKVSEYLGYNALADFFQTEGLKPNPECSERKCIERQKEHLARVAAEGPKVIEVKEEKKEVVHETNEWGIEIGAEDDLVETTPAPSEPKFAEEESAVTSKADVAALMAAMKNM >PPA14930 pep:known supercontig:P_pacificus-5.0:Ppa_Contig298:8967:9694:1 gene:PPA14930 transcript:PPA14930 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVPAPLKIISPYIKLANEHIQRDPVVYYWFLYYAVQTGMKIDRSPDSFKFLGNLLETLEGVKKQLMSNEAITNEIVAQAHLEEYGNKIFAFANTKEQKGEVDTKIAQMFHLVGCLLDVLQLFGELDGDMAATKKYAKWKATQIFQSIKSGTPTRMNSLENKEQE >PPA14943 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig298:37392:38054:-1 gene:PPA14943 transcript:PPA14943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:H3EYN0] MPVKHINTDLEFAEAMGAAGGKPVVVDFFAEWCGPCKQIAPFYEQLSNKYPTVAFFKVDVDKCEVTSASNGISAMPTFAMFVNKSKVDSVRGANQDALETMVKKFAVSDGKNEVKNDQNKDEQIDEKTERRCALM >PPA14934 pep:known supercontig:P_pacificus-5.0:Ppa_Contig298:13967:18250:-1 gene:PPA14934 transcript:PPA14934 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGNLSAVPDCPDLSSLENESIASSLDNLLLCFCQVIQPKEVSVICLYGSTPDHLRRASEAVNKAGMTVTQLSIQHMEFDNSSISSSLFRTLTPSITQLDIRECSGNSPLTIADDAFEGMQDTLVNVTIHDCKLSAVPSALASLPSLSSLVLSSNNILALEGKPFDGKTKLKYLDLSGNQITDIDEGTFESITNLESLLIGDHNYLNETLLNEIARLGSLQVLDLSRADGIFTPPSKLFTHLPALTTLKMGGCSLSSVEMGAFSPLAQLEALDLRVNLIENISALAFDGLGSLKRLSLAGNYIRKIETNNWAGLGSLEDLDIGWNELHSIDSDAFESIETTLTRLDMRHNPINELPPLSLPKLDSLTISETNVSSIEANTLHGMPKLTTLEAIRTNLSSLSPSSFSSLPSLRRLLLSSNLLRSFPVSLSTLPSLELLSLSENPFLCDSNLKPLIDDINSAFRRAAQEGRDFSIPNTNETLCARPWTLKGKGILSVDLSELTEYNESLDTTTAPSTTTTQETVTEAITLPPFSLVSALRPDLFAGKDSNESIFKDDRERLQYDLTKTDTTHQKEEGNKTTAFLVTLGILLLVTLISIFAIVLFIKKRKQSVSSHEKKTKVEDGMVEIELESGKGSRKGSSDFLAD >PPA14932 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig298:11767:12618:-1 gene:PPA14932 transcript:PPA14932 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTGARFVCNIIGIAYPAYASLKAVRSLNALEEKEWLGVIPLSPPPSPLPIFSLLFSYWSVFGLVTLVDFWIEFILQFFPFYYVVKSLFYLYLYLPYVSYRFIVPVLYRNPSQTRGADIMFLHYLEPLICSVENKMTISLRESVMARSNSVLHSSLYSTRPSSIASLQDAISTTASNSLANVVPTSTPEVKSEETTTLKAEATPEAAPPPLKEEKSKISPSTKKSGSLFRMWPFG >PPA14948 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig2985:53:352:-1 gene:PPA14948 transcript:PPA14948 gene_biotype:protein_coding transcript_biotype:protein_coding ELNNAVLYFTWYLICFSIILLCLERLLASFYRTATTEFVNHPTSCTLIAVMVVFSALFAGCYEKNVPTRYVQIGTFLVTSP >PPA14951 pep:known supercontig:P_pacificus-5.0:Ppa_Contig299:15964:19365:1 gene:PPA14951 transcript:PPA14951 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTINIGGVEEHLPDEHAQYEEVFTAAGDVMKHALDVLNDPDFEEKKGGWKPDCSSDDVTIHYKDNSSGRYFAGRCKIKVSAKDMNTEFWDHLDRNHEWNDNIKQARRYTSNDVFIIKSREVVAARAMREIDGAYILACRSVSLPKEIPELKGSVRAHLHISVSRVRPDPDYTSQDPAHSCIYDYVICTDLKGMMFRSAVNQVRIPDPVWYHDWYQKTMFGTRDPCFR >PPA14955 pep:known supercontig:P_pacificus-5.0:Ppa_Contig299:32910:35712:-1 gene:PPA14955 transcript:PPA14955 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPLLLLVHVGSVLSTLVCPPKYFSIEGRCIRPYTLNANDYLDIIMDYAQAACALDGATLPIIRSDEDNDMFTRIAQTFLQPSGTRIYLVLGVVCDEETDMTRWVDASPIEYQGPPGSDPALFNCSLSPTMPTSMLPENQWKRLLLGDAHLFTSLCVLEEQIATTDEVTEKPDNKCGDYERMEEGMDDETPCFKVFTEPQSWENAQKKCEADFGSLIAISSAEENSFFWNVATTHKFTGGMHIGAHQCPDDSTTWTWIDGEIPITSNTYNNFIRSFPIAGSGKCASMVTESVAAAWIFSPSYPKSDISCEYFLTVSEDKLVEVEIISLISEKNIDYLEIREGTSGMKLLANLTGTLEKPTKFTTSKSNVLRVNWKPVGTADGRGFKIRYTEVDKVDVGQPATVPVQDEVTTTKSATPQGIFAVIATLLFCFTL >PPA14953 pep:known supercontig:P_pacificus-5.0:Ppa_Contig299:25987:27695:-1 gene:PPA14953 transcript:PPA14953 gene_biotype:protein_coding transcript_biotype:protein_coding MSRELDWTRTGKWRFLLSSSPIFTLPCLARNGTSVTVVCQERHCNDMNLDANIELCTKELLRRNEAGNQHSSTCDANSASDNQTLSCLCVEGLLIVFLLQLLQYVHAKRNAAKLEKMRHKLNASELHCNKEIVCGDQKYVLSEEDCNRGLVNQYCTKTLIRSSNDEISSHAKNRLPNANYNQTNNGPGHTNEVKFRKKC >PPA14950 pep:known supercontig:P_pacificus-5.0:Ppa_Contig299:8965:13986:-1 gene:PPA14950 transcript:PPA14950 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPAQVPSDPELSLSGTIISMLKDWLQIEMEVAVIPFETDHVWDYNRPGIDPSTNAIAMTQAGVVDTTCTFWQDDPNVTDVMYSNPVFMLQLELVFIVREQTDHGDVFATAVCLLTFLVNALTFAVVSVAEQRLSGQGVTLRDVVDRVWNVIRMQLRMAVETPNRIARESTAGKILFVEFNVFQTFLLTSLYSGLLLSFLLYETSKLPFADGNEAVSLIASGRFKLVLLKENDATDRVRFSPLPMMEALRAALIVNPPTYVDSSEEAVSFIAAGTHVTMDFVDSNIWMEAKSQCNLLSIPSGLPRVPISFMLNSRASRLRKALNNAIAANTDFILRTFQKYFDPAFRPYSLKDNCTSEQLQPSSRLNLTKISGIFALLCTSLTAEFVSEEEKRQKSVDETSLTTITASEKEKRMQSVDEGSISTVTTTEMGERAQSMDEASTITTTETEKEKNSINDSSISRSTNAETEKKVQSVDEQRVAAADELDIYKNVNKFLNH >PPA14952 pep:known supercontig:P_pacificus-5.0:Ppa_Contig299:20582:23370:1 gene:PPA14952 transcript:PPA14952 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRKREIETRLKALHDHAPTNTRKFLAFKKDDEFELIDAMKHDGWWYDTAYKVLMWKFGKLAKHLVTKKKGLVPSSFVARECDIEMLEWCSIDCSRADAEKELNETKYAPGTFIIRPSSTNRNYSQALSLSIKSGRENAEGQAIIHHYEIERKEGQMRIKFHMKDEYIEVAFPSLTKLVKYYARYPSAVRPRLTYSVKKNIDAWEIDISEIDNGALVGTGHFGQVSKATLDGRSVAVKRLVLDGNEENMELLAAEYTKFLSGGDLRDYLERFAKETAPELSNKQYLEIARKIASAMAHLETNKIVHRDLAARNVLVGESLDIIKLADFGLARPLDEKTYYRSQRTEFPFKWTAPEAWVLHGPDKSVIQKEMVFRKENPLLHLMSGALPLYCGRSTVWERSVANVPYGAKLNHDIFEYLKEKDNIPLERPEKCPENTIYDELMCRCWSREASERPTFAAILTLLEDYSNLEMGKVYAN >PPA14949 pep:known supercontig:P_pacificus-5.0:Ppa_Contig299:4588:7679:1 gene:PPA14949 transcript:PPA14949 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSVPTDKSCETSLDVLELQKPLDEIIEGHANKVSSDIVDRSSSPQWSDNEIDTLEELEHFLSTFCPGYHKDFDDFIECSVCLNAMSLSEVVPDNQPIKVTENKKTFKITDNQPKVSSATRSPLHRVNPCHGGVVSKRFPSNAGRRDEALYEGASALSALGSVTAALDCGSQLKVSAVCHPAPYSIRAGLRAMQLAYFNSTDHAVFVGSCGVAQRDKQETYCATPVLWGFPNCNHQTGPTEQDWLKLPRVHIVPGNWMPERI >PPA14954 pep:known supercontig:P_pacificus-5.0:Ppa_Contig299:30368:31389:-1 gene:PPA14954 transcript:PPA14954 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLLVALHFVSTTAVTQLSCPPNYFFFEDKCIRPFAVMADDTLLNLLPLARESCAQDGAHLPMIRSHETNLMDLPNEVLVNIFDFCRHPQSIQIEIKHATRSDVFEWCRSPAQPA >PPA14956 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2990:135:685:1 gene:PPA14956 transcript:PPA14956 gene_biotype:protein_coding transcript_biotype:protein_coding FIEHVLPSICNEALKSVVSKFNASQLITQRQQLGEAHRPSTCFPRPSRMNQLVSLALVGLLVVAPVLSVDIEEEENVLVLTNDNFESALEAHPQYQVLVEFYAQ >PPA14959 pep:known supercontig:P_pacificus-5.0:Ppa_Contig2999:41:450:-1 gene:PPA14959 transcript:PPA14959 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGMKVEMSVDSGSDLTYISKHTWKLVGSPRARCTNVTPECPNGSIFLVTGKCDVHLEMNGVITFGEVYITEDANVLGKDHMQFFFTLIPKRAEAQLNHSIGSIEVIEKDDRLHSGTGDVKDHSRNYSIAIEGTE >PPA15174 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1078978:1079782:1 gene:PPA15174 transcript:PPA15174 gene_biotype:protein_coding transcript_biotype:protein_coding MERFDNGEMVFVEGQWRRSYYSPTFLPPSCGKVNDQEQRVGSWMALCDSRLTATIARQIVKSLRMPSTGKQFTTLHNIALIVAAELPVITSSCPDGHTDDCAYAKISDCPTKNRDARADEIDEPTLTYEIIILDPSITDYVYQDIQTQLGNIDDFDDQTITEPEYKCGDDTPLPFQSGDEILCGE >PPA15005 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:196375:197232:1 gene:PPA15005 transcript:PPA15005 gene_biotype:protein_coding transcript_biotype:protein_coding MVVITRSAECPICFDRLNKLTGVTPSCCICRAEMSGMRDHNDVKMMPLPLFNEDDDLSVEQLEQQYLAEDEEGRDKFHKVLHGLLNYLK >PPA15058 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:513789:514865:1 gene:PPA15058 transcript:PPA15058 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRAACVRNFRAKYRDFLTGKHIFASAKNNRAIGLHLSIAHSQIDHEADDHQNDTEDNDCESGRKSDGTEIRISKFEL >PPA15289 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1662737:1663983:1 gene:PPA15289 transcript:PPA15289 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEELIRALIAKDTLMEVARVPTHILDAMLHAEPVDDVIKTGSVDVTRVNLTYLRALVRELETQTEKIALSRWRSAVMAPMGTVAHPETPSLGYYPEDSIDKDIPTPPPPPLTPMKDSTEVVPMEISSPSDAHVPSMRSLLKTSKSFRVILEKNKVSNPRQSHWS >PPA15062 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:553921:555253:1 gene:PPA15062 transcript:PPA15062 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIFCRKQPGVAIGRLCDKCDGRCVVCDSYVRPCTLVRICDECNYGSYQGRCVICGGAGVSDAYYCKECTIMEKDRDGCPKIVNLGSAKTDLFYERKKFGFKKN >PPA15206 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1216446:1217466:1 gene:PPA15206 transcript:PPA15206 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRKLIIDTDGVADDIRAVSLALQHPDVEVLAITTTHGCVKTEQAAANVARALRANGVKVPIYKGATTQFIKSTPVKENTWDESVFFGKDGIGGQPTAFPEVLESDFSCWETEHAAQALIRLTREHENVTVVAIGCLTNLALALKLDDDFKRKLEGVVIMGGNYYG >PPA15115 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:787227:787672:1 gene:PPA15115 transcript:PPA15115 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRTNDIDYLIGDAIENLMDKVERIEAAAAENYKAKLATAQKMIDQYHAREMQKKHQFLNRLRNANMEYRDVIKKLATVEQINE >PPA15131 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:855870:856641:1 gene:PPA15131 transcript:PPA15131 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQGHIAHRSSARKITYFVTDSDLIGSLPAADQFKANDGIINVNNFIKAGEVRPGLFAPASPANCYCRKEAGFTPYANYNKDPTKPASGGCFKAINSNYKFEDAKKKCTQLGSGLLDSRQEQGNFCAKM >PPA14989 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:141088:142950:1 gene:PPA14989 transcript:PPA14989 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFDRVEIQIRVESCDDCPSGHIDCITDGPSITCRCPNDKPGLSKEFMGPELAYFTIPAGAKAECEPVSWIVREWEYRTLGHKYIGLYKAQRMEHQKRPQTPTFLKLFGK >PPA15002 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:180373:182451:1 gene:PPA15002 transcript:PPA15002 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASRSGSCAGQWAATSGAVACASDAEKAIVLASDADSETVPAIDPEYEEEEEECSICKDPLLLKRHVDFSTCAHSFHRTCALDWLRTFSNIADHSCPLCRSNVWRVYGENRRMVNNLQLTYGKDMSPETFVETYMSDYVFTRVDNAFNFFRYTWNREYGLIERQRRRARNDGRKHAPEYFEDMAKEQKAINDWLIELNKVEWVCQGTYGRICKQRLEAIRNGVEMPPASLPLLPRRYGESSFDALVRVMESNAAADVKDGSHRAVSLTWARRVATAEKLAMKRLAATSVDVVDEGHSDLTDDRMHHKHFKR >PPA15196 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1158787:1161166:-1 gene:PPA15196 transcript:PPA15196 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLTLFLLIAFCSAATKKVTFDNKFKKIVSTYLGANTATATKLVNDALVNQSTFEQITDILMANALSLVPASKYISALSMLTTFESCVKKTGGDMTEGMALLGTAFKKVLNAPYTKIINKMKTMKKNKKPVANMQNQAYVLATSAMTKALVQKIINQSKAVVTADQYACALGPLNAIMLTNLYQMDYTKTG >PPA15319 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1808524:1809725:1 gene:PPA15319 transcript:PPA15319 gene_biotype:protein_coding transcript_biotype:protein_coding MISRNKLKPLEPLPRLHVFCVDGSRVVLNGGPHDYIHANWVDVSPEKRQYICTQGPITVTIDDFWRMIWQEKCKSIVMLCNIVECGKKKCEQYWPEGAGQEIKYGELTVKATTKGDFERLMTVTNLTITDGAETHELEHIIWNNWPDRGVPADTLTCFKLLERLKKLSPTVIHCSAGIGRTGTIVGLDLILSKLKAGEVKTAKEIVIDLRSKRHGSVQMDIQYLYIHRVIISLGVQRKVVKESEVKGFIDAYEALCKQRGFL >PPA15433 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:2459604:2460686:-1 gene:PPA15433 transcript:PPA15433 gene_biotype:protein_coding transcript_biotype:protein_coding MQPMSSMQTSLLPGVTPGWNDPPPLGNSSSGSRLNRYRRVVDPSLTAAGGSPAPYAASPLQGMPMGMANGMASPQSMQPVQHMQPVQQQYYAQPGYSMPGSNSVAPTGGFGTTPQEQQQQQLHMQQQYPQQCIAPMSH >PPA15264 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1584923:1585339:-1 gene:PPA15264 transcript:PPA15264 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLWMLAPPIKDSVYPTKEDYGPFEVNEQMENNEEEEEVVIEEGDEEKIQEDNGENNEEE >PPA15332 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1884657:1887420:-1 gene:PPA15332 transcript:PPA15332 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVERTKVRRRPVQSSVGPSTSSSLFNRRDSTGHVTLLSLHGKDFVLDIENGRVLLQLTTEIEKLGGRIVNEVNEEKKPWCLVSDSPHMAKIEQLKPEKITPPLLRILPMLVRDAIRLRVRYRSTESFTRSLENLKKNIKTQKKAMTTPTKAKAESNAVASTPKSGPINLQQPYLKLEDNRGAFTPLYKQFTPQANCQKIYLGKHMGRSLFHKNHHPDAPRTEKSPIEKTRLALQPKGGFCEICCKKFENSKEKR >PPA15246 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1472424:1474881:1 gene:PPA15246 transcript:PPA15246 gene_biotype:protein_coding transcript_biotype:protein_coding MHQPMLRTVTLHPCQHRFHRTCISQWFEAGKFTAPKDFTCCLCRSELNATYDEKEEIVPLFFPFELTDFIPAFIEQLPNYEEDLVEVALAIHKYILLQTIDVLDEEKRLAIDNVKNEEFIEDLDDEMEKLEKRVEAVEEIFVTLALEKHIEMESLEEEKEELEDALANTTISPKIAKRKEEELEGVTRRIEYLEEKAAEKFMKMFFFY >PPA15366 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2071242:2074417:1 gene:PPA15366 transcript:PPA15366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pan-1 MIFILLLFSLLLPLSLSAVTEPSVCDQFEKEFKRIVDQHKDSGFPNSPCRCFDSEKGDGLWIGCTGKSMPLVFDSLKAMPEDKHTDVNKLHVWDSSANIVPKEFLQSFHPSSLIIERSGVSLIRQGTFLPVAFRLRTLALPVNQMKEIDPVLFSELQRLEKLDLSRNKLSALTQYSLSTMKDVTELNLGDNVISSIEDSSFVFLPKLRKLILGGNKIASITKRVYLLPATFKGLSNLEELDLSRNEIRSIDLDAFSDMKQLKWLDLGTNRLSALELRGLTSLQRLLLNNNSIDALKKISLRDLPALNTLSLDRNMLTTLKENDFFELSTSPLLTNLAIAANNISYISPGAFEPIGSSLKVLSLQNNELKSLSLNGRPWMAPLRKLERVLLSGNSINMLKDNELPYSLKHLALDHNKLQKIDVAAFEALRLSHLYLNNNHLHHLPKGTFDPLTNDTLQVIDISFNDWQCLCGEEWLGDWLRENSDKDIAIPRKNGCLSSKSCEVPEAKDDEEHSAWITCIAGILAAVSVLILLVIAWLFMEEGKPPRSLPPNKAYKVQLAAWIRIDYD >PPA15094 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:697725:698679:1 gene:PPA15094 transcript:PPA15094 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGLICDCPLPCDETVYKRVVTNSVFPSDQYLVSLGTQAQRDALLKEQNGGRPGQCNDHAEKYDDMEDACSTSHGPTTTTTSGSTTVTTDDTSITTYSEHSPTSTSSDTTTVTAPTMSTVTVNRDNYVIIDDLSPDARTCLNDPVYTNDNLTVIAGWPCLSQAKCKA >PPA15402 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:2300697:2301405:1 gene:PPA15402 transcript:PPA15402 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLLPLLSLLLVFIVTLSTAQHHNKRSSKDVTEATMTTRKAFTPMRRRPMMFRRRPTPSGRKPTTPTSRAILARRGPAPPAIPTKSPNSEPDNRNAPGIVPPKGIASQLNQAKFHEFMSLARSLMKSRRALA >PPA15414 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2369803:2370477:-1 gene:PPA15414 transcript:PPA15414 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSKLFAAPQPSLRDQLDLLEQSSSTTSDSSMKKQLRAKLEEALAQIDQLTRSKDRLEIDLRNAKRTIESKDADLVRAHEIIRLTKQAADRVQRTKPQRSTDTKLNESERSIETTSLRMRIFVRRKWRMKPRGWS >PPA15178 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1091888:1093202:-1 gene:PPA15178 transcript:PPA15178 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNTCRLWYYGCPKYERFHYASQYIYSKAEKGKVLPGPARNPNPFGLPQPAQPLPVAAAAPAAARAARTATEGFILQSDVPRQHDSPTPRGGRGWIVPPMIPRDEKERFRRAGHFICRCVIKAFSRCVIKAFSFVSAIVSAFVGFRTLLRIVIILIDARSLAADRAAGTIQQ >PPA15398 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2277351:2287924:1 gene:PPA15398 transcript:PPA15398 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-let-711 MRNEPNRPGSGSNPSSRMPPGAMPFRAGAPMPPPPTGGRPPFSDPSTQPPTLNNAFGGSGLSMSPSNQMMRSGPFGGIAGGPDSRDRMVPQAHGGFGAPMPPRQEQGGWRQGAQPPPIRNTPGATTPSSAIDFRPVLNPGAQALNDLSEGRGVGSNSMEDIAAVTFSERIQQEANAYFEKIYSHTNAMTVTELLNKLKSFKASPHQKDKQVLHCVVKNLFEEYRFFKEYPERELKITAEVNSMLWLFGIVALNACKTKLHGYPKVCIMIANHENYHRMPEPLKSYVTSGLSGQLPQNAGRESPGWISAATSAAGPSAGGGGGATVGGIPSFSAIGAMRNSPVGGMPGALPGNAPRTFQPGGGVSAASGLSYNVTNVDTLVQSSEATGSVVQPPRDEVVDNVSFLFNNLSLSNLTAKRDEMRRMIREEGEPFLKWLSQYIVMKRVSIEQNFQPLYNQFVGQIDNVLLDRFIKEETFRNIRILLRSDKRQAASNYNERQLLKNLGMWLGSITIAKNRPIIVQDLDLKSLLLEAYYKGQQELLYVIPFIAKILLSCAKTNLFSPSCAWIRALLKLLAELHNEPDLKINLKFEIEVLCKELSVDLATLPVEGVLKDTEKLVRVPQQLSDLKALTQATAGSSPIPPQMRQEGERATPPAPGVDTNRSTPVSMEAEPGSLGSREGGSSAAVAEPVVVPAAFHYSDINVLSIDGIIPHLKIPGSIPLFQMHPTLKSIVRLAVTHAIKELIGPVTERALKVALTVTENVIKKDFSLNPDESQLRRGAHSMMRAMTAGMAAITCREPLHASMVGYLNQAFANSYRGTHTAEILKMFDDAAQQITSDNVELTTNFIVKTACEKASAELDKRLETEVEARKTAKAEKRQFGTEAAVAEQSTMPERLRIRQGSVSQTAMSVYDEFAFKICGFKATDERTEFKVPLDQLADSDIEKFVQMLAVLIRELDTHLNAIKVNPDLRNNVSWQACTEIRNAMHLLAQNPRDPSIFQRLINHSLEQLLFSYTNTYVSGGTGLDLDWTRRLRELFITVCKVICTQIPQQELSRKVTQHVISSRVDPRLNVYAIEVLVKQGLLQGPSYDAHLASIVDSGSLEAAIFATKLCKMIGGVEGIKHEAVRGLFPRTIESINKAMSMLGSTITTMGPSGGDQSTGPSTPGSMPGSMVPPPSATPTTGAGSPIGTPDRTAMTSAASNGSAAAQAAANAIISDSVMNLLPQMAGREEDTPEMCGKVETILRDWIALCYTPMAQKEPQQALATMIHLMHEQGVLATDELITRFFRLCTELCIDVGYRLLKNEPAALTTVVRQRCYYTLDAFVKLTCLMVKHSDGTQHQTKINLLKKVLNIVTSVLHTDHEAKIVRGDFQAMPYHRIIIIMFNELTTPDSSLEPIAWPILEAFGQAFFILQPRRCPGFAYSWLDIIGHRNVIARLLGDSVDPLRTSAMYTQLLICHLKFLAPFLRSLEMPKSVHQLYKGTLRVLLVILHDFPELLCEYHYVICDTIPPNCVQLRNLVLSAYPRNMRLPDPFALTYKQVDTIPDMATEPKMCLNINAIMNAELRAQLDNYLLTRSSVDFLQRLPSFLTISSLPGNKYNTTVVNAIVLYVGMRAIETVNKKGQSVNIHTIAHSAFMDIFQNLTVQLDTEGRYLVFNAIANQLRYPNVHTHYFSCVFLFLFQHADAPQIKEQITRILFERLVALRPHPWGLLITFIELITGPQYDFWANDFTKCAPEIERWAKRNLGEDARDAFFKNRARYAA >PPA15401 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2294289:2299193:1 gene:PPA15401 transcript:PPA15401 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ger-1 MADVILVTGGTGLVGKAIQEVVESGEKREGEQWHFLSSKECDLSSLEETRELFQRIKPTKVIHLAAMVGGLFHNMANNLAFFRVNMAINDNVLLCAHENGVDKVVSCLSTCIFPDKTTYPIDETMVHDGPPHDSNFGYSYAKRMIDTLNRGYSNEHGRKYTSVIPCNVFGPHDNYNLQAGHVIPALIHKCFVAKRDGTPLQVFGSGKPLRQFIYSHDLARLFVWTLRNYEETAPLILSVDEEHEVSIADAVDAVVEAFGFEKKFSSCFGRF >PPA15047 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:451261:453516:1 gene:PPA15047 transcript:PPA15047 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVIRQVHGRTFTCPSSQFFLVFENAVVVRARNIAVGYVSKFVRSARNSLNNRFMPYDRIETEVGAKIERSTTREDQRSKINKLKDQTNLQAVFSIDDDMDVAHDELVYAFKVWRQNRDRIVGFVDRFHSWWEDTSRYGNVGSCEYSLLLDSYFVAHKEFFYEYTNNMHPAIRQHVDDTVNCGDIAFNYLVSHLTRKPPLKVKKIVGLWNSKSHPGLSGQGDHYRERDGCVQKFNAIYGYNPLLFSQYQAMPVMDQCVRGM >PPA15105 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:742889:745125:1 gene:PPA15105 transcript:PPA15105 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPLKVKELLQLSDYGVQKDLIQDSTMQSDNFIVCRVKTGNSSQVTIIDMADPLNPESKPISVGSVIMHPSQRIIACRNASVLGIFDVEKEIKLKEHRSNAKIVFWKWLDEETIGFCTLSNAFTWSLNDNSQPVKMFKLLESLAPHAIVNYEKSDDRIWHAIMTGPRPNVTKEVGIMQLYNAASGYISQQLDGFAACFCKYTFKGQSSPTTLLVHCTKSKTNPHNKGKLCVKDMNSGSIQNIAEEPFIFEFEDDFPTFVQANLTFGVIYLASKKGIVHLFDIESSMRLYSIRFSQIPLFAVTSSENGGIIGINESGQVLSVTINHGTMLKYIGKTKPTLVSMLYTRWSAIPKSPKKPLPRKKPPRVTQRPVVPSAPSLALYDEPPVTAAAPVIPTAPVFPSAPRAPLMIPPSQIHLYAMPPPYSPRDETATVKGPKNCISK >PPA15241 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1458422:1459232:-1 gene:PPA15241 transcript:PPA15241 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNNERLVSGDKLSQDDAMSTNSESGISKGAWSQGGDNEKEKTPGSAVVVCQNANRKVTIEPFPFQNDIVALDVLGWKDVDVDARELRGRRLIVGH >PPA15412 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2355794:2362402:1 gene:PPA15412 transcript:PPA15412 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVAGAISTERRMTMCNCCTTKNGGYGEIDFSNLFGSVYKNGNILFSHDGNSVISPVGNKVTIIDLKNNVSRTLNIEALYNITTMALNNTGSHLLVANEKGEILYVNMLTESIIFRFRAKRSVKGIQFSPDCKSVALTRGEDLQIHEIASFSNLFSPLNLKKTYKISSEPLNCLSWSSDSRLICVGSQDKQVKVVGSKSIANAYIVPLGGHKDAIVAAQFFDGSYDIVSVDRRGLANTWKCSLNESDIVYSDGSTEGAIDEKVPRLTYEKNTKCHLSDHNGEGRHIDVSSCRLHAPSNLLVTAFANGVFVLHEVPSFSLIHSLRVSNMSISSIGISPSGDWLALGCGQGSQGQLIVWEWQSESYILKQQSHQLTIKSARFSPDASLLATAGEDGKVKVWNCRSCFCIVTFDEHTSAATGIAWTQSGKAVLSSSLDGTVRARDMKRYRNFRTLVCPDPTPLGALEVDSSGDVVCAAAVEVFQIFIWSMENGQLIDVLSGHTSTISHISLKGNTLASVSWDKTLRLWNLVNSESESMDLTGEGVALSYAPTKDLIAVLSLDSVIALVEGATSNQIGSIDCKHDLDSARSVHDKITKDSSEKSKTFTTISFSPDSSLLLVGGQSNNVCLYSVDDKLLLKKFTMTINRSFDGVIMDINRRNFSEFGNMQLIDTSDSEEENDGKKAIKLAGTKNGDKGERKGRPLIEVNYVAFCPTGRRFCVCATTGVEMYSLDAHSTFDPFQLDESISRGEVKSLLGRKEYTRALKGSIQLGDAALITRCIHEIPVQNIGLLCSSLSLQYAERLLKWATDPEGFQASNRIELYMKWLHSLLVVHSAALKEKEKTSLLTDIQQTIQYYHRLLSNLAESNINSLEYLIAARKLKRSKKEKKEEEDEEMEE >PPA15142 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:905581:911285:-1 gene:PPA15142 transcript:PPA15142 gene_biotype:protein_coding transcript_biotype:protein_coding MQALIKPIVVIFCVLYGLLWFGVEFEDVKRLLNGGDLFVVEKGVQLGLKDDIFDKCKLENGPLWTEYLWEWLDPVGDPMRSCNHSYAPWSSLLPDGRVRLTEACPNEAECRARAILWKGDYGKDFREWHEIREEYVFENDIVEVECSIDGKPAYAFLHTQIWAEGRKKEYPRSKVTKPASNVPKPPSVHIIVLDSIGSSHGRRVFHQTHRYLKDKFGAIELKHMNKVGENSRPNGMAFLLNKLITNIKRDIYGVPSVKAEWNYTAFCRTYLDDKGFILEEFRKAGYKTLMAEDWALGVFNWPGCHGFQKKPTTHYMRPFQNRLKMNVKELRDSMGWQNCFEPHLFLNDYHEKFIRAYPDSPKAALTWASDLGHDSPQKPFHADGQFRQFFERNKAEFDDSFVFFIGDHGLRFGWAIQSRSRWREGREQSDDNGVCAEEVSDAEMMANLKQNSGELLTMFDTYATFIDILETFRDGGEGRNMSKTMIKPELKGSSFLRPLPTDPRNCKTLLIPPQYCICEITKEQLNITNDHRAIGDAIAANVNERLAEANISYICAELEMDKLTQLKRIVGATDLYDVTVQLRPGGGVFQVIVV >PPA15185 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1116781:1117073:1 gene:PPA15185 transcript:PPA15185 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAPDTTERKRKEALAKKKEMWALLTRPAVPHPMDANLDWSSDEEEDEDDGIVPPILTPLVQLHSLADANLEETDEENDEE >PPA15065 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:576433:580371:1 gene:PPA15065 transcript:PPA15065 gene_biotype:protein_coding transcript_biotype:protein_coding MMCRDVQSVSATRTQHAASLAFIAAARTAALAFPFKLPVDAVVKESVSSASTPVSSARGSALRSGRGSGSGVASSSGASRARVTVTPTYTAPRLAAIVLGRGVIEHYQTLFHSIWPVYSTRLALSEARNDLLFISDASRRLGKGTSASLRAFQLSMATAGAFFDNLIRYFIQGIAMHSSQLTARMDRAGKVEECDKAHLKFLLQMADHVGTMLTGDKRPPAMRNEKQLSAIQTARSVLLDEASDLLDLFWTWVAEAEREQLLRKQKSDEMELGADAQSTTLHEFERLEENFRREEAEKHQVASATKIMAIFDRFSLQMGQFIDLYETDGKRVELAKLLVTRDEA >PPA15371 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2101835:2102598:1 gene:PPA15371 transcript:PPA15371 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSLTNQLTLLALLACAFTAYSLTPCEDFCQGTILGLTPYCWCNENFLKFNRTCFRKCIANCKAKPSYVGCIPSDGIPNAQLWICCIKKVDWQKNFKCDSECWSTALPV >PPA15029 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:327921:332963:-1 gene:PPA15029 transcript:PPA15029 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-toh-1 MWEESTCLRFRENMQSRDAIRYVLEKGDSCFTEYIGRNGGHQDIIIGSECAEEYVVAHETGHALGFWHTHQRPDREKFISINWKNVQDEATASFMPFRSMLQAFGIRQVSPRRIPYDYGSLMHYHAVAHAVKVTDFTIVPKELKYVTTMGTEKMAFLDAKVINDIYCPNACLGRNNLNCLGGGYPDPNNCAVCRCPEGLGGADCSILAPTPCGGELHATDTWQTLSSPPGKGVVCYWRISVPEGSRVRFRLSDGEFPCSYGCQSYVEIKHKLDIRLTGFRRWESNYKGTS >PPA15235 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1395771:1400619:-1 gene:PPA15235 transcript:PPA15235 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGRNSSGTNAKGEGTSTEMNEENAFICRLFYFFYFASFGSLFPLLAVYFKQLGMTPAQAGLLLGIRPLMEFASHPFWGMFASRFKREKLLLIFSLIAMIVFTLMIGFVQPTTPFCIVYAPEGNQSCQSVLSPAGRTAHGLLGLVKDTAGMGRKKRQASPQLIDTIIDMSMLDNEHVAGLAPKYITTKEVCNYDEKAQGVLVSPPHSTRVYREPGVQQAFMLILLLICLGEWFSSPAVPLADSATLYACRENPKDYKMIRIFGSIGWSIAMCLMGVGLDYSDTFRNHPCPARENSTSEKNYTLCFVMCSIFTFVSCLLATQMKFGEDSTIPTAVGGLIMDTRTDEVAPAVVEKAKARQLQADSSAENTWLTAAKALRNIHIVVYLVWVMTIGLGAGIIFAFLYWHLQDLGGSPALFGIMTVVNHGSEMFMNMHVFKFINKYGHVKIMIMCLGANVIRFLLIAMLDNPWMVLPLQIMQGVVLATTWAAATTYITLIAPENIRSSAQGILNFLYNGVGRGLGAIAGGLVINVIGTRAVFVLYALWCAAAAGGGYAINKFFRYEGIKYSANMFDDNEDAIDMMSAPQGLPIRREGGKLTEAFSAQTTAVNSNYGTIDPTQDAYQVDPIAFLRFTEL >PPA15390 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2227026:2228561:-1 gene:PPA15390 transcript:PPA15390 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-clec-149 MFLLLTPSSGLRGTSIIEGREATASSDDWPTPNSALIIDALKYELSREIEEKIREANQKFDAKLASYQVQMEVEMKKMNKEMRMIKLKVHRRANYEYILSEERESWYTASEVCIQWGGHLVSIKDSKENAFVAAFLPAGESAWIGLNDIQREEVFVNHNGEKTTYRKWEEGQPDNLYHNENCVEMMGGGEGRWRDSLCLLTKRFVCRRA >PPA15160 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:997107:998200:1 gene:PPA15160 transcript:PPA15160 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTTLLLAAAAALAVQNVSAIGFDQSAAVVGTLMCQGRPAGNVKVKLYDDDTGPDLDDLMAEGTTDSQGRFSLSGWTDETLTIDPKVNIYHDCDDGIMPCQRRITIFVPSSYVSSGKNPTKTYNAGVIELAGKFSGEERDCFNRRK >PPA15153 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:953689:962263:1 gene:PPA15153 transcript:PPA15153 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ddp-1 MMFGGGADAAAAASEKAKADFGTLVTFIFLVEAGAYCARKFGIDWVLMEGDPQLARFLAALQTETQRQKFTEQVHTLTSRCWEVCLGDSRPPSKLDSKTSTCLQNCVNRMIDASNFMVEHLQKMEKNLGNWSRVAELVKAAKSRQSGLPSHLAKLIEAEAEIELFLESQDVLTPRSSHSSGLKASEERLRAVLADNDAEAVYLEARLLLAKCAYVKAEGKTAIGLIDESGMEKATTAFRSLRALRLVAEAYAIKGLCLEQQWEESEREGDMMRRQRIVSSFEKAAELTISYVGELEKTLNPMRGGGGGMMGGGTLGTSLVVSSANTSLNVTVGGGGGSTTGSLQRGTRGLGGGHERIGDILEYCLERVAKLRLRETGMERRVADEGVEWYRHIMTSLGDKSTGERLQMRLSRQFAELLLRAIPDAPQSTTSKALSSKSQSLGYRHIMTSLGDKSTGE >PPA15046 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:445457:450537:1 gene:PPA15046 transcript:PPA15046 gene_biotype:protein_coding transcript_biotype:protein_coding MREAEAPYALLGDTEAPQSNFSRRILQLIILCGVLLLGAVVDAWSSSLGGETWTRNGCGYLNNDLVHGLSDGNCDEIPKIRTKKDGQLLSSASSTLKFCYELFPFILRADKDAEIAFAPSDFDFSAEDFDYSHCEPFSTFKVFVPRVDHPLAKALAQHPAATSSQHGACVEIEFADAQKPLYSLPRANSNLLVVNLDPSSTVAATGSVLIAQSRYGPGTFRSSLDFALHPSVPAFDVNEWKQKPSILPAKRPILSAYISDSSDPTNGGSLARVLLYAVAVSAVPGPSPALPSLRLHPGSDVSHATTPVPGPPRLEACVASLPALCHWPSAAEDILEMRRQGTVFSRRLDNAEALARSLLAAVAEKMQLQLPTVSTSSTKPVFATYETNNSYQMELKDKKYRRITSPFDHHRYSAHRLYSPSRWNSGRDLTFTPRTLHDVHGLPAEAEYYDDSEIIRTAGSQNYGAFARGLGINREPEQFTVLLMTYHRDEGVKEIIKRLNNCPHLNKVDNENNELLWSFDLSR >PPA15369 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2086294:2089072:1 gene:PPA15369 transcript:PPA15369 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFNLLFSSLAASAAALPLLISGCAGKKGTKAGNADLADDDDVTAGSGSKKSQKGSKKPSTKKDSQSPNPDESDPKKAKGGAAPAGKKPPAVPPGGPKAPAAGGIADTYDPNYQTLAACKDGQNVFGQDKGGGAAAAGGPSAPKPPAAGGMAGTHDPNYQTLAAVGGDAFGADKKQGGAGGGVGAPKAPAAGGMAGTHDPNYQTLAAVGVDAFGADKKVGGAAAGGGGGPKAPAAGGMAGTHDPNYQTLAAVGGDAFGADKKVAGGGGGGGPKAPAAGGIAGTHDPNYQTLAAVGGDAFGADKKVAGGGGGAGPKAPAAQGAIAGTHDPNYQTLAAVGGDAFGADKKVAGGGGGKPAAPANKAAVAATHDPNYQTLAAVGGDAFGADKKVAGGGGGKPAAPANKNAIAATHDPNYQTLAAVGGDAFGADKKAAGGGGKPAAPANKAAVAGTHDPNYQTLAAVGGDAFGADKKKW >PPA15356 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2012463:2020267:-1 gene:PPA15356 transcript:PPA15356 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTSKDKRDIYYRLAKEEGWRARSAFKLLQIDDEFAIFQGVTRAVDLCAAPGSWSQVLSRKLRENDDAAKIVAVDLQPMAHLDGVIQLRGDITEVSTAREIISHFDGEYAQLVVCDGAPDVTGLHSLDEYMQSQLLLAAFNISTHVLTPGGTFVAKIFRARNASLLYAQMRKFFKHVSCAKPKSSRQSSCEAFIVCQGYAPPEGYEPTMKNILFEEDYESAVSSLTDCNRVVVPFVACGDLSGWDSDRVYPLDTSQLDPTHERYVNREVVAPPTDPPYKLACELKKSGRLNLSSLESTLMAVPTRAQRKEMNRQRYGNRIEEDGKKEIGIDDQLAVAFSHGYRLMRGATVVLDVEGVLFKTQLATLTSIRSGTLAQLVAGGEWRKQLDQAGHLFIDRDSRIFPVVLAFLRDGTSIPLPRDEWMLQRIAHEARYFHLPELERLANSKLSDILRGKKEMRNPANRGAITNKGPTTVKALLPPPSLPEGISSPPLSPPQDEKPSEVYEKADKVEIPMKKSEVARKLKRKPHIAHIGWKGSELILSTSSSSKERDPQMRAMAEVASQSSASQVYNLVDGDSTRYGSQGVEVLISSQSIRSLPHPKKAPIPPFRPPPPLVDVVE >PPA15353 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2001377:2002908:1 gene:PPA15353 transcript:PPA15353 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTHRQSSLFNWLDKSSSSSLQSVHNPAIAKSEPRTPRTLKTPTTPRIPKIPRTLKTALTPRQIVAQMLPKSIMTPKVFKTPRSIGRTTGMMKKTEPVCAICTKELIEMRNGRIGCGHRFHRTCILKVLETKENTSEQNCPICKAPYGFILCGRSKIDSVDAFGDLQQPGMMYARRMANAKRIADRTEETEYAFVRLVHTTALETIHETLEIVRSERIEAEIFEKSDEFMNDIDEEIEKLEKRKKIFIDMQKVDEGVEILLRELKGDRSGDLNSLFTYPEDGDCCGIHDCSMDEE >PPA15181 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1107630:1108363:-1 gene:PPA15181 transcript:PPA15181 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIQWKKNNDIFENSVRFWTQHYANGTGEKNAELVRKIVSLMEIGVCEYGNSSSGERDEMGVGLQPRVFNRNWCYSGLKWRRDGDLKRERNGEP >PPA15285 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1648223:1649147:1 gene:PPA15285 transcript:PPA15285 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVLSSVLLGVVVIQGFVIDRPGKREAEDPNPDPNLAIGKTNFRPGKREAEDPNPDPNLAIGKTNFRPGKREAEDPNPDPNLAIGKTNFRPGKREAEDPNPDPNLAIGKTNFRPGKREAEDPNPDPNLAIGKTNFRLDPQSQAHTTWQARGRGGTVPLEALRNGSRKRNS >PPA15071 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:598208:605679:1 gene:PPA15071 transcript:PPA15071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-asna-1 MGDEQMEASLKNVVDQSTLKWIFVGGKGGVGKTTCSCSLAVQLAKVRRSVLIISTDPAHNISDAFNQKFSKTPQKVTGFDNLFAMEIDSNMSADSAVPGLDSLPDTGDALGGMTKQLMQDMIGGLPGIDEAMSFSEMMKLINSMDFEVVVFDTAPTGSSRLESNLDGHTLRLLQFPSIIEKGFTKLLSLQSTLGPMMGQMSQMMGLGDLTGEDAGRKLHETLEVVQKISAQFKDYKLTTFVCVCIAEFLSLYETERLIQELTKQGIDTHNIIVNQLLFPDMDGAGCISCKKCESRHKIQTKYLDQIADLYEDFNVTKLPLLEKEVRGPDAIKAFTCVVLFMGYPYQRIDL >PPA15384 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2186592:2192621:1 gene:PPA15384 transcript:PPA15384 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVLTWSRCSPVMALSLLTPRQFPIHPATVQYAVNVLRSYSPQILLLYIPQLVQAIRHDSMGYVSDLLIWLAGHSQLLAHQLLWNMHTNMYTDEDAKCKDPVLFEPLNDITRKIISQFEGEALAFYESEFELFRQLTSISGTIKPYPKGDARKKACLAALAPVRIETIAYLPSNPEAILLDIDYASGTPMQSAAKAPFLARFKVKRCEVVELESMGLSAHNNGKNVLTQLKNPTSEEERSRIVWQAAIFKVGDDVRQDMLALQLMHLMKNAWTALGIDVSVFPYRVVATSPGCGVIECVPNSKSRDQLGRQTDFGLYEYFKTTYGDESSESFLRARKNFVRSMAAYSVFSFLLQVKDRHNGNIMIDLDGHIIHIDFGFMFESSPGGNLGFEPDFKLSEEMVAIMGGKMEAQPFKHFAALCVQAYLAVRPHHKAIVSLVSLMLDTSLPCFRGKTIQQLRQRFGPTLSEREAARYMQTVITNCFMNIRSKMYDQLQYLQNDIPY >PPA15025 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:304993:310971:1 gene:PPA15025 transcript:PPA15025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-eat-4 MARNCLPVSAFLVSYVDWSAPFYLYGVAGVIWAVFWFSLTFEKPAYHPTISLDEKNLIEGAIGHIAQSHPTLKSVPWKEIIMSKPVWAIIVANFARSWTFYLLLQNQLTYMRDVLDMKINNSGLVAAIPHLVMGGVVLAGGQLADFLRSNKILSTTAVRKIFNCGGFGGEAFFMMLVAYSTSEFWAILFLIFAVGSSGFAISGYNVNHLDIAPRYAAILMGMSNGIGTLAGLICPFVTEKFTAHSPKHGWTSVFVLASMIHFTGVTFYAFFASGELQDWAEPKDEDELVAKTGEGGVKGYGSSGQDTNVLHGMQPARPPQPPPPAAAAPAATTAFNSWGDEAWNQPAAAANQNYQAY >PPA15166 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1032372:1036743:1 gene:PPA15166 transcript:PPA15166 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSVAQEGNAYLCLFTGPFLIGRFSSLVQTVAFFAGLMMVSYLVYTTFLAPGAPPTATVLKRIQRAGRNPGDGYVRVKDDSSRRGPGEQGEGVRLSGEEKTKGEDDMKTWFMNVVASDKISLDRSIRDARRGECKAISYDLSKLPRASVIIIFTDEAWTPLMRTVHSVINRSPPELLEEVILLDDNSQRDELKGKLDEYVKRFDGLVKVVRKNVRHGLIRAKLAGAREATGEVIVFLDSHCEANHGWNVKLPGKK >PPA15201 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1176178:1177411:1 gene:PPA15201 transcript:PPA15201 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVYGHTPGESLECMSLAIELRKEEHIDPHTRKVVLRVGFKLGGGIDQDPARAPYQYPDNGIYVTYIEPDSPADRAGLRRHDKILRHNEGKAHR >PPA15349 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1990616:1991333:-1 gene:PPA15349 transcript:PPA15349 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAIDRLPEGTTVSVEDGSHLMTVLLGETSLSASISKDVAIAVWNVWREGVENGWTEIELSDRIKEKKGALVDALTTPYKDRLADLRKHFASIRYDDSTVVDSEWIVTKEKESGSSGLVGRKGRTTCEFNLKYLAAGKVDTEELKLNMNKEELQDLYWTLKKAQNQMNKIAQG >PPA15017 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:271216:274587:1 gene:PPA15017 transcript:PPA15017 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDFPHFVMLNSSVYAEAPLAFYAIRTIDISIHLFDLMLIPFSCIAVIRAGVMHRNFRLQICLANLYFVIGGVSRFVILYYELYDIPMRDDDYLLFTAETARMFAKYTLSAVRSGATKFDSGYYTSNTISNRRNHARLRIFLCIYHINVGLSKGMAKGAVLGAYSVSKTFQVRENIEYMLSMVLPAAVGGVPSFLCFAFRTYGPVEWRLARHLAWACFDIFTGLFGLAYLVQSILANPRIFKEFCRIGIVEGILRRLGCEKAPKIQPKSSSVDVGDVCFR >PPA15171 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1058487:1059116:1 gene:PPA15171 transcript:PPA15171 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHAARISQNKVAVRIKKDAPTTSTKVTKRKKTTVKSEVEPIEIEPWLQRQRKWRRRKERKPIQAMTTNTQGRL >PPA15214 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1241385:1242890:1 gene:PPA15214 transcript:PPA15214 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRKVIDQNSKLIMTLICFCLLYCAIAFSAQARMLPMPYSITYPPWHPWNCWWDYGVGPIMFERDSSPTDSSSISVDSTVYRPWDWPCWGGIIDDPLPSVDQVATPPSPVAVPASSPTPVPAPPVVAKPPVNATAS >PPA15321 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1815900:1820075:-1 gene:PPA15321 transcript:PPA15321 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGGVLRDLLDESKKCPNLGECWGGGGEGVRDESIATATIMLMGDTCTRGCRFCSVKTSRAPPPLDPLEPEKTAEAIASWGVGYVVLTSVDRDDLPDGGAAHLARCVHQLKEKKPELLVECLLPDFAGNLENVQLLARSGLDVYAHNVETVEGLTKWVRDPRAKYRQSLAVLKHAKSSNPRLLTKTSIMLGLGETDEEIRQTLRDLREHEVDVVTFGQYMQPTKRHLLVREWVTPEKFDAWGEEARGMGFAYVAAGPLVRSSYKAGEFFLKHELEKRKKAEKISQSSM >PPA14976 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:107830:110884:-1 gene:PPA14976 transcript:PPA14976 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLLPVSVLFIIVGLSAQSSDERVKRGAPRKNATKWTMPIPYMISSTYTAEQQGHIRAGVKSIMENTCVDFKEDSGPGQKGYTATEKDLSSNRLTQITPTLWEPCMCTNFHEGQHCETEKGLIDLALLKEPKVLEHSNSENTDHMNLTEFNWEPVRVIKAPAGRRIRITIEKIGSLAYPDDWLIGACNDFGVEIAEDLALVGKKYRAFSAKERPFESKIIFSIEPGTDQPITTTTTKPAAPTTTTVPTTTTKAIVPTTTTMPTTTTKAPVTTTTTVPTTTTTIKPTVPTTTTAPTTTTKPDPTTTAVPTTTTAATATTTVPAMPPQPIPPKPTFSPSNCANWNVYTFDASRGRCLAYVVQICTEQDWTNAQTKTYQACKMASPIPNPVVEECTCTMQYRQCQRVPGEPVDILYGPATGPSICGENVPYVFGQTKPAKRHCCVPANKA >PPA15253 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1513616:1524369:1 gene:PPA15253 transcript:PPA15253 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAEAGGDFKMPSLPVAAAKESEPAATPKVAPPAPPQKKLIIAPPFEYTPPPFACDPDDSAGYRLEVLKDGSIVESIDLSKRKAATYLTIGRLPECDITLEHPSISRYHAVLQFGAERMSKGKPGWYIYDMGSTHGSKLNKNTLPANQYHRVQVGMMLRFGGSTRLFAMVGPEEDVEPEWDCSPTEMRERMAKKALERKLAKQAREELEREEAEARQAEGCNWGMDYEDAGPSGLVLGETDVSDSHLMEDREAYYMEAPVKALSKFFEREGFDMEFTWSETGVNSHNHKWICSIEYGNWRGGYPCRLPIEVGSQKSPIISATVTTSKKDAQVQCSLEACRFLDTHGVLRKSTAVSRIKRKTAKTEKSLLTQRFVKLKHECQKLEKLVKIAKPVELPALKTADGKIGMAVAGSSQQALFRKMMQMRKAQPTVNESKKREEDERKKKEKEEKEGDYNYELKTIQGIHPRKVESKDSRMDSARNKKSREATETFVPSDDAAKQFQAEEDEEIDEKMTHYEVTTSIVYDISGPRNTHSEIFHIPFGWFLIHLSPLLLPGNVETGNVWSL >PPA15136 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:874581:874783:1 gene:PPA15136 transcript:PPA15136 gene_biotype:protein_coding transcript_biotype:protein_coding MLKESSNNDLDDTDSSEGDVHDVAECYILRNGTKIYFKDSRPQKLYIEDQ >PPA15104 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:739431:740859:1 gene:PPA15104 transcript:PPA15104 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSVEQKIRERCRIVDYRADSDQKMFVVVAESKSSRESSRIQFFDTENGLSQVFSVSINEQAMIDHISQSNPDLARRLSERWNVGVPPRAPPLDLTDQVEMGEPRRRRITTDTDPYPLTDTIKDILRAAGQDPSKMRKKEIKFVYDFIGKYQEDWIASEIDTPLYEILPQKSDDP >PPA15198 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1162755:1163700:1 gene:PPA15198 transcript:PPA15198 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKFEILGPLPALLVGCFSSKKNPKPKAPLTPEPTPPQGVPLGGSGERDDHTLKNVSDAEALGTVPSLQKDLKKNKNSALWKETKNRSCTHTLFHAIR >PPA15126 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:836601:836997:1 gene:PPA15126 transcript:PPA15126 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHVKSGCFRAWIGSRCVIMSEKERTQAAFISWLTQLDHKMRPTCHEIRLRIPSLII >PPA15327 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1871596:1873179:1 gene:PPA15327 transcript:PPA15327 gene_biotype:protein_coding transcript_biotype:protein_coding MQSILDAYSVMPPITRAYTTACVLTTVAVQLDFVTPFHLYFNWELIMKHGQIWRLLTSFCFFGSFGFSFVFNMIFNYRYCLMLEEGSFRGRQADFVYMFILGALFMMISAVFVHMLFLGQAFTIMLVYVWARRNPHIRMNFFHVLSFHAPYLPWVLLLFSLLLGNNVLVDFLGIACGHMYYFLEDVFPRQEGGMRILETPTILSYLFDEREPEPIAEEERPGGFDWAEAPIEDSN >PPA15422 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2413690:2421317:-1 gene:PPA15422 transcript:PPA15422 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNWDDTDSEDDNVDIVEKPVIIARSRNPSIAKSLEKAGVEILENPIEGSENNGQDDSDDSMSVGSNDSEDFESHEGEVIVLDFDTTANMKKMIAQFNVEQRNIISEFADTEIFVISLDSLIIECIEHKYHDWTLAGQSLVLSAQIDRFLNQFTLMGGRFKLVVFTDLGTHFSRDTTLSFVRCSAISHIQSGPYGKDLEYFHSPLDQRWTAFLKQITPSFMMISTDNVSVEVSGNEEINMSPQLETIVLHALSQKVPIVPLYEIKVNFSDVTAFFVNPKLMVMHNWESFCAAHWDCSSDQLRNATNPSIDASSITTPAQLWTRIIAETSAPTKNGETPVQKSDHFDALCCAVLLSSLVCTKLGSKRTYLPSRTDGKRGLDVIRDRRLLLNTAVIVLEKIDCKTVKFSVGDLWDGRMILSIFDEICANEAVMPYRLQEEFAKLHSEAKLTKSIAVDTNERLFEVIEETDKPLSKLPILYEMESDFLSKLIPELKELDSENKVKDGRKQDYADWFKENLAWKFREVEEEFTKPPEKIENLWQLKKANKMKQFMSRWYEMFSNSLEGRGSNLLVDFSRTPKGYMVAETEKKEDKKGGKGGWSGQKQGGGGGKAGAKPSKKDVIMEANKNAKTVKIADGEKAKIKFGLQQGKNAVTYLEGLMSSLDLGESKALCEFEMTVRIGKTLLDEMAGKDKVAERRVEGVKLVGLIKNCLTKHWQYLDDKQKSQVVDLWVSLGFEAPTGSKPSSDAKNKKLDLDINMVYYQMEYAGELIDIQSDAQKDDRVTGFAPDAWQRRMLDAVDLGNSALIIAPTSAGKTFVSYYCIEKVLRSSDEDVVVYVSPSKALTNQVCGSIYARFRNKTLLRGKSLFGALTNDYDNNALSCQVLVTVPDALENLLLSTNPIVQQFAARIKYVIFDEVHSIGASVEAPVWEHLLLFIRCPFIALSATIGNAVKLHEWLDKGEQLKSGGKRKVDLITYGERYSELEMAIQKIEMPTISEDGEEEGEKRVVKKIEGDCIQQFMPYGVFTPEKMRMFGIPEDQQLTSRQVLQLYRMMSEVDETIKKNLEPCKYFEYTSGAPVWLNRAGLRALETELKKKLLEWLNQDESKITNVLQKLGEPVKEQLEYRAVPFNRVSEGLVV >PPA15134 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:863384:866540:-1 gene:PPA15134 transcript:PPA15134 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSLDVLRCMIKSNADPEELAFAKAFFESERFPMNETIRARSVRIYDLTKSVEKTMNFIIDKERYRVKDPEGKMEPNDLHHDDSYSLGGDVENNERSYDEDSEASEGEDTDPYDDRNYEGRAQMTANTQHFECTVCKRKFGQQRWLLRHKCAGENADVRKPYKCDVCGKCYRKPGLLAEHMRGHADTEEARKPYKCHLCDNRFRTEQLLAAHIFCHDDTIEARKPFQCSQCGQRFTGKRGMQQHELFVHLPDDEKPKEECPTCGKMVRCILSHRKAVHEKDPNDKDKRPFKCEQCGKDFRREDYLRGHMKTQHAAEDDPRKKKYDCVICGKTLANSQSFNKHKKSHSDVRPLFKCDQCDKRFTTKINLKAHVSTHTDSTVGDSTDSEADPDRFRCKLCGQTYTTISNLRGHVKIVHGVHDARLTSESMSREQLRVLVRCCDYQWGKV >PPA15275 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1620236:1621342:-1 gene:PPA15275 transcript:PPA15275 gene_biotype:protein_coding transcript_biotype:protein_coding MVSANPLPAKAPDTSDGEYELIDDALKCNKVATAPSKDEESKEEREVETRIMDEEGRADSEDKIHNGELEPVMPSSDLPTSTRYCLSSELKMIIARARDTLSRNVPYNPAAALEKVNETIKMLPEGELRTVTITTGENGEFGIQKSMIFPRFIGKVVVGSSAESVGVKVGDMIVSIDDTDNVTNGQIGDLMRIAKGNGVVTLGLRYNPAHFKEGNNFVAIRLVVILE >PPA15408 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:2342279:2347412:1 gene:PPA15408 transcript:PPA15408 gene_biotype:protein_coding transcript_biotype:protein_coding MHACPLASSLQVVQAACRGGDRLAALIVERTISQVSAREPRVSLSRLMAFLLVITFDADVTFLESHLAHLMHIISCVSGTGPPFLRCATYTLVSNIFQSFGANTKLVLSDDARRSLGLFLRQLANEDTMRLFRIYDYDNGQEVVEMIMNHVQTDTSCGDRSEDSDYESICEEDVPSSGGKHANLHQILNLIITAVNEVQGEGGSSRDWLVEWRVLSRHWAFEGRGEVQIRSLIAFSSLAHTLCEAEMKSIFQLLVQVIKRRESLSSVAGVALSLVRVHTLTPSNSSIHRLVFWISIVLFQLENAVVYEHAIQLLHANLSHLDQMGVFEHTMVSEFWLDDGLGVHVKQENAAWSLEKLVMEARTPLEWDLKTLDQFAGLSFRANFNFSLVGYLLKGLRQPSLCMKVVQLLHLLLRISAKSGQESGVHSNPYVLTKHNLAFLLALLPFDEKVMSRFRLTSRERLPSERILPSNPFSTFSLTIPAVEKQRKLVPAPSVWQGTEEEEEDSHPLLDPHILDTEQQQSLAATVLAIHARSCPNIDFVLMYLLEAVTLFPGVVPIVESLLDQRITGLVQSCTSSRMLNTLVRLIENSVTGETPGPQPQQLLMLQQSGMAGLWRYPGVFLNPRASLQAINSNLCSCLECILASLYIKMDPDD >PPA15245 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1468133:1469489:1 gene:PPA15245 transcript:PPA15245 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKQSSHEEAALKRVAFFSVALSTVAILGAIIAVPMIYNYMQHVHAGLQDEVEFCKARSNSLWQQYTYYSARTAGSVRMPRAAWFGDTRQLTSNGKRARAFGAESYSSGGSAPVAPPPSSGGPTDIAAQFVAGGGAAGGGGGGCCSCGMGKAGPPGPPGSDGAPGNDGQPGGPGSPGADAAPAAPAHPDFCFDCPPGPAGPAGAPGPAGSDGNPGAPGNSALAGAPGPAGPAGPPGPAGNPGGPGAPGGPGPAGSTIEAPGAPGPAGPPGPAGAPGPAGNPGSAGSSTAGPPGPAGDKGPDGAPGNPGAAGSDGSHGGKGDGGGCDHCPPPRTAPGY >PPA15388 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2215342:2220806:1 gene:PPA15388 transcript:PPA15388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-frm-8 MHHINERSSYRDPRSPNISSGLRRVVLERDESVGYGFVAAGSNAFVVQFVSPGGPSDGLLFPNDRIVSVNGENVEKESKSSPRRGARPIAGFPPSIPNVLRVFLENGQTRSFKYDAATTVKDVCSSLCDKLQLISRRHFCLGLEYSIGNRSSKLTLLEGNVTLQELASSRASSSTRCVFRFAFVPPDPYALYLEDPRSFEYFYSQCLNDVVCGRFAFDMRYEACIRMAALHMQQISMDSHLTKEGRVSLSRMEKELGLGTFLPTILLENVKRSEIRKHIRFYLKKDRMAGHQSACTPRVCSQSIGTEEPSQSSMTSASVDGPILIRLKYIQILSHLPSFGCRSFSVTFRDSQVDMMMQVDPRSGIVVRNCGKTVNGGAHPSISIAFHIISSICVQGLHFLVENNELSELVIHIQGYFELQVGRPLPCDYSKESGEENSDHPPPYASVHTVFPSGWNYAAESSPGEKNKENGETSKPLPGEVPTHPSDPFCEIVNSLHFPWSLVDQRDERVWRMERAFGFSFVIPARLPNEFLYRSSISDNAFLLMKPHRISHSLRRIRPLLIRLQHSDLTRLLEEEEVHPINGGIGTIDIVPQEVIEKPRLLLRATDSLHCKNSRRLHSRENGSPLLQRVF >PPA15038 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:414626:415019:1 gene:PPA15038 transcript:PPA15038 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRRNRHTAFQLKRLCTDSDSKKGSDSKKSSRTDKEEKKPKLKKVEKVKVRVSQSRAVRTETVDVSIYSKLFTCNPFITV >PPA15122 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:818517:823054:-1 gene:PPA15122 transcript:PPA15122 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDRVVNEVEAEEDPDVLRVLEYVGGAEEQLDDQPELERLREAFHRVRQGLEDEGDFVEIEGVDEEELVRVEEERGGVTNEMTREAETMRREDESNLSTRYTRLCPVCRSENPCKRAVFIKCGHIVCYPCAVEIARSASTGGKCVFCRQKSDFVKLFEEEYCSEEQNEENRSIRRRVVDWGKTIRLCKYLLVVVFTLISHPFPWAIAKDVTCIGLAVILHRRAPKTARFFIGAHLIALASRYLLPEKLSITLTVEYTTRKMADDDVERLRELEEAMGGIGEDAVNRRGPLDDHPNDGNGLNQMAVDEDMRLIMEGMDPEEIEMNRMLLMDAERRQRRRERGEDRDAAQERDVDAFARAVMGVGLAPLVAPALVARAFGEPIPPPRVPFPGLLARRANPNWRDEQLRDWGDGQEQQQVQQLQQQDLQRQQQQMEQRQLLLQQRRARHHDNLRLLFGREREGAARPAVVMRRIVRGGGGEGGDGEEALLRGHGIDLVQVVDDLLMDGGRGEFGGVRLEFGGGAGGAVTEEMKKEAQMLREKDERTPCATRFSRACPICVIENPYQRAVFIQCGHIVCYSCAVDNASSDATNGKCVFCRKQSNFVKLYEEVVGSEKKDTVNDENESKTEENCG >PPA15217 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1251734:1252385:1 gene:PPA15217 transcript:PPA15217 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSNQILALFASFHIDSPSELDKWLQIMEHAVPCRLKNGRCETEGCGEMRRALVHLMDCEQRISCPLCKQLFALFCHHSKRCKEERCEVPLCVHIRKQEITASPQMIGQN >PPA15135 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:871549:873244:-1 gene:PPA15135 transcript:PPA15135 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNERAKAEFVTWFTQKQGAVQKALDVLQDMFKSDSDHKNEIGSMEEIDTVRSVPLRNCIKYLTKSFVYTMNFIIDKEKNRIRGLDVKTDEIDVHHEDAYSMVNVSEESENSSSDESEESESENSERAKKAKSLTSATIVENDNIEARKPFQCGQCADDEKPKEECPICGKMKS >PPA14995 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:154213:155807:1 gene:PPA14995 transcript:PPA14995 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYILYTKKSIMHPSLRIAYISEQISLIINELIFSLLTRPYPLFPFSGLHVNGPLGRGILPKTDLMFVVGFAVIAGVPSFIFLIMRMHTTTAEVAGSKLRLSNGAQIAVMVLTSTIMLLNWLAFGSFARDAPYYDKLLKIPEIAALSKRGGTNVLFGVPGDLGSFRMGRYCGLELLRSRLI >PPA15076 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:617389:618317:1 gene:PPA15076 transcript:PPA15076 gene_biotype:protein_coding transcript_biotype:protein_coding MLFICFHLIPVLIILVIISVLPIIIVDVVRLFSDEPIEGVREETSKRRQSVSSSSSSSWEYSDASPYAACISASPIPRKTSCSEGALLSRLRGLLHDLPSDGNAYQICFARAASI >PPA15441 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:2478484:2479424:1 gene:PPA15441 transcript:PPA15441 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLYLLLLLSLLLPIDSRGGRGGGGRGRSSVGRSRAGGKWGYGQGKNVHGSYGGYQSGYHGGSGGGSGMGNRNRGGSSGSQQPYIRKTPSIMTKTGPGSFHTSKVAMTAIGLAALPLVTRVFGNNVIREKEKPLNRIGSRTF >PPA15083 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:650740:651568:-1 gene:PPA15083 transcript:PPA15083 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAATARSTRSTRSSRSAKSPLRRRTIATARPARISHKPYAKLVLSHRKSPQLRGTAASRLSKRVTVRSNSASSKRSRKQTKTTMAVKSKRKNGTTSLKAAKSTGRKSTRTAQSQAKSTRAAKSTRAAKSTPTAGHCGF >PPA15314 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1789360:1790002:-1 gene:PPA15314 transcript:PPA15314 gene_biotype:protein_coding transcript_biotype:protein_coding MQCPPNATFNASIGRCRCNDGFFKVKGEAACMPRSARKVLNATSTAPPKLPSKEMDNLVVIEYVWICFSIALVVALIVAAIYCVRM >PPA15268 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1596609:1598174:1 gene:PPA15268 transcript:PPA15268 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSTLDHPLVSPRTTRKASKCEDSVAQQSPSSFSRKRLSRDLKTEDSNDLTRPSRSIRIFHLEKEETSSDVPTTSGAHATKIVSMTKVKKEKKEIKKEEKDTLKNLDEEKSKESRPLGLKRLTREMQKEKDRRAFKAMGSQLKGSSIDWSVIPSDRREGLMKFMEERKEKKEEKKIPVEQKENTRIEEKIAGSGHSNRFSIKDAPDWVKKVVVKNKKKDEVEEKTTRNWDHVFAPDHNFLDVEIVPDGVKRARKGMN >PPA15340 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1949328:1956833:1 gene:PPA15340 transcript:PPA15340 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRFPALFAELELDAARLGVTSFGLSLNTLEQVFLRVGELADPQDSIDGGGVLRRVKEVIDNKPSGGNFSERALMSMKALLWKRWLYSKAHLSVFISQFIVPILILFLVANILNLLSISTGQDRKMSLAALPPSRFVIFDQSMPKELPKEYLQRVTKMKNVNPVQVAAQSPDDWILNQRLSRPPFSAGISVDTDTHPRVHHSEVIIHSLPAAITLVDGVRYGVDISSSMHLYSLSADKAVDPSGSPMDQIIKSGMTGPVFAIIFSLLTSPFITFPIVDRASKFVHQQLLTGVPRVLFWLAYFSFDTLIFLIGCTSYLLVFIVTDWLMGYHTVLFLLWLSYYVASIFLIYTASFVFDSPSKGHIVLFFYQVLVTLAAFILVALVDLGIIAAAEWQSVVEGAIMILVPSFALCKGGYLISRAHIAGSMLPIDARPTEWALMEWDQLGRVFTYLLVMAAVSLTLFILIQQNRIRIDNGPLYGSSETRKVGNREVKFFVPACGTRCTRGPEDEGVAIERTMMNTLPINQVPLAINNLTKRYGRFRAIDSISFGVRSRECFGLLGVNGAGKSTTFSIITGDNLYYSGEALPTEGSCHVYGKSVVDGNVRMGYCPQADALLPELTGRQVLEILASLHGYVDARAKCRAILRAVGMEEHADKETGKYSGGQRRKLSTGVSILAQSRLVVLDEPTAGIDPKARREIWSVINGIREGGDTAILLTSHSMDECEALCSRIGVLSRGHLVALGSSQVLKSNYLGILTGVV >PPA15360 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:2043424:2044522:-1 gene:PPA15360 transcript:PPA15360 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAEKAVSGSLAAVFAAMVLCPTELVKCKLQAARETGQTITSSSVIKSIIKERGARGFFVGLSPTLAREVPGYFCFFGAYETCRAMLTPAGKTKDEIGLARTAMAGAVGGMALWTAIFPADVVKSRMQISGGGSFGGMLVKIAKTEGISALYTGLTPTLIRSCLASGCLFVTYEESKKIMGNLF >PPA15021 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:283332:283804:-1 gene:PPA15021 transcript:PPA15021 gene_biotype:protein_coding transcript_biotype:protein_coding MESGYFGVLKTRTQEGLVDYYLGVCKNYQGLSAASHDDFKAAFPETVSCLDEDLYKQMCAAAEQLKASNYKMDTKTMSLVGAIFQNKRFAKQN >PPA15380 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2162353:2164819:1 gene:PPA15380 transcript:PPA15380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EZW5] MHRISFNVGNEYFSHVTRSRNIHSFDEEPNMSLQMTYLSFDDEDHLKREFQRIREKRKEIMERDDHQRLKDIEREWLIIKAIKDTYWKVWQKSIEEIDSISRRFVFYVEGQCYAFDLYSPDSDLMGPNSTRFWFNDRKDLEVEWSRIRDKIRKLPGITSGRHIRFLEPGWDLEWSIIDGMMKTYLWAEGKEESVLESSDTEDTISSIEEVHEDLGNDEEEESAPTPQQPPSGGATPAGGPAPAKKAPLNLTNKPGEPAFQLKLDPGNEVVLTWTKGVSSHVDMKITNSTSDWHSYKVKCTDNNIFRVRPPLGFVEPGKTEIIKIFLSSMSLPEANRHFFALYHKKCTADDSKKQPRLVWKSDTKPDGVVRLLAVFSSNATPSISGAIPDEKTEGSKMDAVREAKKEDKKEEKKEEKKEEKKEEKREEKKEEKKDDKKEEKKEEKKEDKEALHCKIKLY >PPA15238 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1429832:1447516:-1 gene:PPA15238 transcript:PPA15238 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKKALKSIIKNDPATDEVLSSEHWSKDTCSLCQVECPTREMKVAHFKSRRHKSAVAKFLADDIPPNAILKGRPSKLAERYKDREHDGIGLETIYELIFPFAESGWWACTICYDVGFNYDQLDEHLDSAKHLQQFLDEMHLSKSKKMAKDWSQTERLEYLLDAKKQIHFEYKKNGKQIAPDEIVQLNWTLQATRKRLMVNDMQSEYTVHPVSNDSSNVIILCQTCSEVIPCRKDYVVEAWLKHKTFERHRRLVGVNAILRQYHFERVAEEERLLSELKEEVVRWKKVDDRVYGPVCGIKHLARMGDTALCTLCGVMAQAVDEHFSSESHLIRFMCTQCPRDAWSMMQASKEERRDKLLAMIQTVPRENEESRATKEACPGRVTWLAVECESEQLPHFPPPQEQFGMDASSFSVFCGTCWLVLPIPPDEKVNSVWNEHVVSFDHLDYAARRNTIEYDDSYIVPLESTVPLLPKDPHGKWITESVGEQVTWKYQEQTDVGLEYVVEDADLGIAVCTLCARSYSLGESCQMGRHVRSMQHLMQYMHVSDRLMLKWVMDEKKELVADDIMLDYIVKNRVSAYDFIRVHSKKKTKELESWPNIKIRTMQLTSLTSELRPTYDCVYSLIDKVANDKDEQRTVSAREALTIAGMIEIPVTKSLLQNTGLTLFRCKACYLCFVTHPSQLEVDVWEKHISSEDHIRRATKFAEHMFVQKYFTPLSSTYTVKPFVQKDPTKKVTWRWNALDKSHDLVLAIVGLEELVEIKSTESMMIANNSDCENFTAHFMCRVCAVVVGRRAQLLEDHVRSTSHVFNYVNKHYPQTILELERLDNNDGGKERRKILATLLKDIKPPAEYCIPVYDPVGETDRRVSEAAVKAKQRDAMKKNEEMRKQAMEERKKRDEEKRKQKEIESKKEQEREEERRKREQVVMAKAAALAVERERVRKLEIEREQMAAIARKKEEIARTQNQLTRDPAIAALLQTRNTLTATLEQLRSAKAAGAVPEPRLVIPGPNQLAPLPPTAAAPQGAMPGSMIQQPLPIMAMPPPGLMNPFSIPPPGMMAAPPPGMNSFTMPPPSMMGVGGAPPPSGPLPLMSIPPPSLVTSMAVPPPSSSSSLPGGGMMDLVGPKPVLSTFVPKVDAMPVYPSQGGSIGGLGAFKKPDFVRPSLAGAQPDMYVTNPGIITNRQALVDYRRKPHFAQSLTCLPGERLCEMGRAREETRSARDEKKMWKQGNEETPETERPARFSRLCAATPACLGMEYIYEVVCLDSADLTTMYCSMCGYWSTPMDTVKHMLTSSHRLLYLFRNYKLYHKTVEAERDQRTKEILLEQFAMQIRSRDNPPPCVTHRLKCYLNAAAIQRLWPQYVTILDQSWRTNPGTSVARRPRNVAARAHDRTIREATREATPAVDHARPMIDTGEADRETETMTGNVVAAGRDRGDESNQPFRLILTNVVMNKTWQPEAMAPTASLSPVPPSVNGANGPMMGMRGVPGTNFGGLGPVNTSVPPPSFGMITPNMSVPPPIIASPMSQHTTPVKQPTVVTLDDDEMQISTTPPNSAEKKEKEREKERERERDAKREKESKKERSRSRERSRRDSGRRERDRKKDEVVDPVREEQARRVMEKIKEKEKEKREQKEKEKEREREKRKEAEDRMRRDRRERSRERKRSPSPSRKRKRSDSRERKRSESRERRSSHRSSNGGGSGGGGGGEVIDWDSKAAAFLAKIGDLQGASKLIQGGVPRKLRSSLPTIGPPVYNGAPTAAAAAARPGSGMGGASYSPLPDSLSSLLTSAAAKKRKEISSPDYEPIGDEGAPAPSKAAAAAPSAEDEEKKRKLLGVIVTMQQENQKGRDLSEKDLDRLYAEIGLNRGVHNDKLIAELIEQIGGRPAEITPRINLQEYGIGLPSSSPAQHHDSPYYGASSSRGAPPPGYGSRDPRAPPSTARGVSEQLDEGDIELLRNVKSTLALMRNLAPAPSGPRSLPMPPGFGGERPYDRREPYAPPSSAYPPYRSPAEEDPRLRRPMYDDLRGGDPYRGDPRADPRVDSYARDPRADPRYALDPRDPRYRGYNDDPYGDRGRPPPQQQQPPGYGSPYDRDMRPAMRGSA >PPA15233 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1386145:1388580:-1 gene:PPA15233 transcript:PPA15233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rcq-5 MKIRPFVIHWTCPSSLSAYYQESGRAGRDGKRSYCRVYYNKGDRNFCNFLVTKELNMLKGKKISDEAKAEQTQAIKAGFEAMLDFCEKPMCRHAAFGKYFGDAVAACEKHCDMCTHPDEVKKTVDNYQALSASRPFGNGKPRAEKDVDEELYGGGKRGGHKDDEGYTRYEDAADRIEREEREKIRNVVTSEFAKRRKAANHASTSSAAEDKDRVTLVLRDGDWKVPGLTLDKRELMLNSFREALETNASRFTAFSSANEHVSTLGTLKTLKVSAAAQMEKELYLLSKTPTTYTQKCAMKLHQIKKATKDMVKFGVETKS >PPA15223 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1296150:1299957:-1 gene:PPA15223 transcript:PPA15223 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAHDNADNFREEPHPETISTAAQINEIENSLDQSEETENRRGNEEVTPQEEEEEVAAPRRNEGIRMWDCFILASLLSLVILDLYADQRVIEREKNLTQTYEQRIQKHEMDLEGMKRRVWEMQRENFTTAVELAAKEDAIDYLKEEMIKMMEKDIKLEQNYDEKIRHSNNVIRELNRDIEIKTTISKNLEAQLKMMKNENEAKAAHIPFRTVSMPLAKKLGFTLTGPFVSSVKEGGIAFLNSGLREGDQIFSINEFVVGGIITRSVTDLIDNVRAQKPIPRKFKMVVRHNPKGLAALEKVFHEQDEFAKRQRNNSRFFIRLYQIIYRLSFIHTPLHLSMATFVSTPPPARLSDSDEDYEIIESSTNRSNSVSPEPSEVQSDSTVTSAVNSEAEKKLEEKKTQSKKNEFTATGMRKKAEENCLKKKNEDAINISDRRKKREEAKSKAEKRRNDAQTMSKLICFAFLVVATSSTMALGKKDKEMTLNAQKNLLELQNDNEHLTAQLSDALRKIALLENDKSGVDQRNNAADRKIVVHQSDMSDEMKDYAIELAKKAIDMPGSESDSELLEIVTYIVLAFEKKFRGQCNDNKHNYDKCGDMENITEY >PPA14972 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:82269:87238:-1 gene:PPA14972 transcript:PPA14972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ugt-57 MVSIRLLLFVAALIRAAAALNILVFLLGTSQPERATMEHVAQQLALRHHTVVTIKPILIPEEPRLVKQKLHLVREKTMKNLLHKSMFQPLEKAYDVAPWLAGYDYDDFLVPYYAAHNASCSRIINSDLVDGLRKDNLDVALVYAGNPCQLAVAHVLGVPTVYFDLDGLTDETLVASGSPLDVQYPLSHCRLASQLSSPLVSRLAASACFLSEITSQSGVAPLASIVSRRYRQMDGPITKQFREDYTFKKRFPSFPDVNTLKQRATLYFVNSDPLLEYERALPPHVIPVGGIHMEHPKPLFSPWNETIAQSKEGTIVVSFGTLVNNAKMSESQAAALYGALSQLTSYRIIWNIGAGLKLPGIDVDKAPAHMNITAYLPQNDVLADKRTKLLITNGGMSSLMEAVAYGVPVVGVPLYGVNKHNLDKRTTLMHTAVSVYTNSRRCLMTAVAHKGLGVVLQKSELSQTNLLQAIRTVLDSAKYKTVAKDMAREFKARHGKEIVFERAMHYIEHVGRHHAAAFYRPKTDFFTPLRQLSIETTLLLLLILGGPLALAFIILNKSLSALFSSRATSIIAESLATPVKKTKAKAQ >PPA15280 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1636092:1637971:-1 gene:PPA15280 transcript:PPA15280 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPPMNPVAFDAPPIQFRQQLLAEYKNDAIIPIAQQFDLPAPHHPSIHKNWHVDVTNDLRHHLVGKLVRAIFPSQDPAAMHDPRIKDLINYARKCELGFFEGADDREEYYHLLADKIYRIQKELQEKKKKRLDAALANSIRTVDLTRGSDGKFGIIHHRASIIEARMGTSADEKGIKKGDEIISINEIRIETFTHHEIEKMLACAGANVKLSIRFYPSLLNGQENN >PPA15125 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:834112:836081:1 gene:PPA15125 transcript:PPA15125 gene_biotype:protein_coding transcript_biotype:protein_coding MCTFWAVLNRLGIPTARFPFDRQSCLLCFALNGYDPGDVSFKAWIDPPALSADISEWRVQINNETSSFDYCAHDLCMTVLHYSIILSRNPEFWVGLVIIPIFMLGFLILIGLFFSGKENLVNNAINFGLTTMMSMMVVVGILNDSLSKIESIPSMGLFVLIQIAVTSVAVLAALVSDKIHTALWRSARRKNHARTAAGTPLAGGAYVGPTLRRGSLCSTHDHNCAASSIRKGEYGCLYHDYNTSANKI >PPA15256 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1545578:1546780:-1 gene:PPA15256 transcript:PPA15256 gene_biotype:protein_coding transcript_biotype:protein_coding MFTALLSSLSSCNQSQGLHYSPLLPTSASNSRLFIIKVAERAIGPGGLMLSGARAKKRLKKRILLLLILLGFLLAWSVLTFLILYIQDTLLSSEAPKTTDDTFDNSRNRSLQWQLTQGQAQLHPR >PPA15052 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:489679:505580:1 gene:PPA15052 transcript:PPA15052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ppfr-4 MAEHSEESLQQRFIACEQTMDALENGTMSTKDIQARFRLQLSLLILLNLEPLKATIAELQSMTMTVNALALFSSNENIEELPNGSLHCMLIPCYLGIAHQNLMNCNPEAKSEELRMAKVYYRDFLRRLREYGVAELGEFPWEKDEGEEEERKKVDASVKSPAVVMAEAETKRKEKIDRFRNQKEQHDRFLELTRQRAINPEDDSAQRDLLLAQLRYYAYRALSELESIEAELPMLEMMAARARAGITEPIKPERGPSRKPFIITRDKAQKAVYGLGYPSIPVMSVDEWYDQKMASGAWGEGGVNFGVGVLSTWQDYIASPGGSGTSKEPDSRLSDSENEDDGRDDERGEAAMGRVQGHAPTRLGQHAQQGMRKVTRATMSTNYRQARRDDSSDDECSTKRVKHAHANDDDDDEGRTLRPMQPQPKKAGSGRNTVWADVVQEEELTIRGNVMDMEDYRRKGEGGTFVKIRRGAESYVVPSAQLEENAAALEAGKNMEELPPPTAAVSSDDPFGDTADLGEVEAFGVGPSSGGGGRGGRGGRGGGNWQPRGARGRGVRGGWMNQQQQRGDHPHPHHGEGDAAMEMGEGNDSSSHNGGHHRGRGGGGRGWRGGQQRGGAGGFVPRGRVPPPPLSGGPWRGGVDNRKRRWNEGCGQPKAKDPAELMDTKYSLAGLMATEFQEGLSPKELGEQISKALGERDSDTVVKICVAVGEKKALEIFEETKKAEQAGGVKVADGSRRRTPGGVFILLFKTDVDVDPAVKGSIFEESKAIQRKFVKAKRRGDKPPQDISKGLDELQAMLDARKKELAEGKPESPEEGEATPSPENDGAEELDYTEEEEATKMDYRVI >PPA15221 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1285341:1296456:1 gene:PPA15221 transcript:PPA15221 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-atl-1 MLAEIDDAKVGATVQRLASGVQGASGRDYEVLGGKNRAKAKIQTVEVGYPGIHAGLLSPRMIPGSWHFEGGSSMDRSESVAQCASSIEPMLRVRRELLRAAGGDIGESTKDGVCGLLLQSCRLARQAGHLQIAWTFLVEAKALETCHQAVGMEEARFEFQKGNQSEAIGLLSRLLNVRFSKMHEIFSVFSNQSPVGSQAVLATQTNAAARSKLSVEELKINAKSLVAQQRKEERAAYAEVQLLRTEYMLKAGASAPEDLYKTYLGLKKLEVQSEDLHYRVAVFIDSLLNMAEIRSELIPNILDSYGQVIKCGRSHLFHAVPRMLTIWLDVTQKWAEEACTYAPTSSDREKRVKEIRALNQTMRGIIESLPLSVFFPSFPQLISRILHPDQSVFEELMNNIYNVLAKLIVAFPHQCLWQAIAVYRDAPDPMQDKHSEKMIKCKQVFERAKMLDKKKTLDDLIDQYDYFARQLINIAESDKVRSDPERLISKHFKHLHNFFKFNKLETMLLLGSKTRKLVGVRSIKPKIMMPMTEMLEQSISEKEDAKASTFSQFNVVDSPLSAVRESPIVEAVFIQDIVDEFVVMSSMMAPRKISLRGSDGKLYSLLCKKDDELRKDARLMSFCKMMNCLMRRTPEARRRQLAVRTFSAIPLQSGGGIIEWVPNLNTFKQTLKPLFIEYQVKNLQRIDYNDKWPIDQKLKMMRDHFYKVYPQVAAEWQRFVCGLGDRHADNILIDQTDGGIMHVDFNILFNRGEKLGVPEIVPFRLTRNLVDGFGPTGVEGTWKKSAEATLEVMRANAETLLTVMQAFIYDPLCEFGEGRAQQNKTGQRKMTEQKSSKPSVNEVLEMIKYRLEGHIVTPLTLKKHSLTKKMSVNGQVFERIVQNTMIKN >PPA15202 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1180712:1184334:-1 gene:PPA15202 transcript:PPA15202 gene_biotype:protein_coding transcript_biotype:protein_coding MITIIIIIVSALSAIYTFYLRSSTYWLRRGVVAVPPKSMIFGSSADLLQKDYPRVLKYRDWGTEYGKTYGIKEGVHNTLITSDLEMVHEIFVKQFDYFHSRKRVLVGPDLETDESVNLFQSRGRRWKRLRALSAPTFSVSSLKKIRPVVEDSVMNMVRIMEERHANGGSFNIHQFYCEYTMDTIGRLVMGQKGSLIFNNPRVAVAQSLFLRDFSSPMVLANFAFPPLNPLSRWAMNKFGSKLTKDNDNLKAEMTAAVYARIEQRESSPEESNETYDFIDLFLDFAADMAVKEKDEFKLSESQVTKALTVDEVVAQAVVFLLAGFDTTANALGYTSWMLASHPEVLKRCQEEIDEFCCDESISYEDVVNLTYTEAVCKETLRFYPLGAFANSRMCMKETDVCGLTIEKGTNIQVDTFGLHFDTSIWGDDAAEFKPERWLDADRRVPPHAYIPFGAGPRICIGMRLAMMEEKLALAHILRKFDIVKEHTVTDLVLQGSITVTPAISSLPHSCPPCPSHSCRPVW >PPA15375 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:2133386:2134895:-1 gene:PPA15375 transcript:PPA15375 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNDSDGKDLEKNQWSCNSCNTFLNAGLSVLKTPLEDINRAAATFFCGEQQECKSEFMTVIEITGVLGKVIREKVYFK >PPA15293 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1682937:1685944:1 gene:PPA15293 transcript:PPA15293 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ztf-29 MPYRPEAELKRPDLKGEFVCATCKKTFCHAASLNRHRLNFHGGNHYCTLCEDVIQPKETVRRHMSIHGFERIFTCGCCNWAFPDKKELHIHTSSMAKTNTPGSAKAIATTSSVNGEGPAIGVFKEPKKVRDARLAFKAAAAAAAAANNAACLPTGAAEFPAAAAHQPMSPPQTTLLPSCTMPAALLPTGNIYAQLLAQLMTAASSSPAAQQTAWLRALMANNIASSCTELPMMHQPSAAAALSPLDTSSLLLNTTGASSSSGLPSTSRSEAQDTESDRALSPHSPPSSEGNHDVKPLDISSLLGGSSIVQFAVKTPSSMSPMTSGLSMDDCSASTSPSSSSSASHSPSYEDKFSLNNNAAALAAAAAAAAGLQHSLQHSIAALISPDKSAKDSCSSSSSEIEPVSSPEAARQTPSPSAESRKRRRTINDVVSFLQQTKKIKEDDEEEE >PPA15395 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2264007:2265391:1 gene:PPA15395 transcript:PPA15395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rnp-5 MVDKKDDRKARSGSSSSSSSSGSSSSGSRSSSSSSSSSSSRSPSPKNKRRVSKSPARRSSPARRPGGSRRSPSGDRRPRRRSGSPPRRRSPSPRRREGRDARQRRTFAQWIFKHCFDAMEDDLVVVNHNSLSTSRGRERVARRSRTRSPRKASPPKKLCVRHLSRNVSKEHLNEIFAIYGALKNCDLPSDRNHPHLGRGYGYIEYENVEDAEKAIKHMDGGQIDGQVIQVEITHTPAPVIRRSPPRRSPPPRRGFSPKRRGTPPYRGGGGGGGTGANQAPLGGNRRFGGGGRSRSRSPVRRRRSRS >PPA15411 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2353638:2355816:-1 gene:PPA15411 transcript:PPA15411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dnj-16 MAPATADITEDYYELLGVDKRATEAEIKASFRKLALKYHPDRNPGDEKAQEQFKKISIAYAVISDPVKRRNYDVSGPSSSQMDFDGFDVSELGGVGRVFGALFSKLGVPIPTQISTKTLAQARHLAEGTPCDTTATLLEPGRPISAYVAKQEAAFYKVEVKDEHRSKGVSIVCKSPSMSKFKLVLFDRDGGVRLIHESQKRKSCTMASMFFVPQQIANLEEFVPMKFHMEDRDTPLTFHYLDTLEAQAAQLLDPRAHYLCVYGDNWLNQVKYSITFLPLSEKCDESMNGISLAEAALLIKKNEMNTFCEEFKEAKRK >PPA15042 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:430989:431512:1 gene:PPA15042 transcript:PPA15042 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDSFGASHAKRVFPNTIQFLKDTFQSVEMHHLNKVGENSRPNAFPFLFGKTVQGIYRDIWGEQNINDLSKRQYCKSYIDKEPLVIKAFEKGTRGCPPDQRLLYPKCYKRH >PPA14960 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1060:12824:-1 gene:PPA14960 transcript:PPA14960 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hpd-1 MITGMEHDFTELPTCFAVSPSEANSDLLAVGFIDGKVQLMKISGNADEDGKATLELKLPSNTLTLAVFQKVTKNLEFSSRWDRQQKKSIRSLLFSTEADVLYSLSMNRGLCSYDVETGKRTRVIQKSHDAKPTTAVRLPVNAWHGQTFATADEEGQVRTWDWRAPDPIVCTYDEQHEAVNALATDRTQLLSASSDGTLAAYEVRRKKLVVCSELMHSELMSIAVTKKFTYVGAGDGHIEVFKRGMYGNLLERVESGFEKSVSSLVELRRGLLLTGSDEDSTLRLLHTEPNKRLGEAGQHGEGGIDQLATTVDGQWIVSLASFDQSINPSPAAAAKIVSSSSAYSSTFKKRPAKDAPMDEHVSAAGNPTSCHFCGTLNRSLYRCPRCDVAYCGIKCFKGEAHAACSEDFYRGCVKEELQGKQAEHMMTDHWVLRDDHPLPETFEEMMKAYLAGKRETMPGTSGTDEDAGEPLYDSDDEDPSARGEGGQGQGEEEEHYLDKVLQGTVDGYEDEEEADVERKLMCMGVGSELEALMAALNDDEREAFAALAEQVYQEEQGLGASCFKKK >PPA15141 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:899824:900878:-1 gene:PPA15141 transcript:PPA15141 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFIHFFLPFFVLIEATRVLLLLDLDYDDLFYRLFTESQNFAPSGVGRLGAIGEINDTIFDECILRSNPTWTEEMRRILNPNYDPLKHCDRSYRPWSSLEPDGRISIRNEFSDAKCRARALLLKDDYTNAPGEWHGVEERFVFEVGNDIVEVECKRSGQVAYKFLHSQIWTGENRLSFIGLM >PPA15339 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1927060:1945118:1 gene:PPA15339 transcript:PPA15339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-chc-1 MALPIKFHEHLQVGESAQVVIIDMAEPNNPTRRPISADSVIMHPVQKIIALKSGKTLQIFDIEKKAKVKAHNHNEDVTFWKWIDEHTIGLVTEASVYHWALSGDSAPVKMFERHQTLSGCQIINYRSDAERQWLVLIGISAKDNKVVGSMQLYSTERRVSQPIEGHAACFVKFKLEGNPHPSNLFVFSLKNDQGGKLHMIEVGTPAAGNQPYPKKSVDVPYSNELAADFPVSMQASSKQGVNYLVTKHGFVHLFDLESGTRIYSNRISEETIFVTAEYSQGGFIGINRKGQVLSVSMDEATMIGFVTQTLQNPDLALKLALRCNLPGAEDLVVRKFNMLFSNGQYAEAAKCAAASPQGVLRTQQTIAKLQAVQPPHDGSPAPVLVYFSTLLEQGKLNKYETLELCRPVIAQQKKDLLNKWLTEQKLECSEELGDMVRPLDAQMALSIYLRGNVPHKVVQCFAETGQFDKIIMYAKRVGFEPDYLFQLRAVLRSNPEMGAKFAQMLVTEGGEEPLADINQIIDCFMEVQAIQPCTSFLLEVLKGNKESEGHLQTRLLEMNLLVAPQVADAILSNKMFSYYDRASIGQMCEKAGLLQRALEHFTDLYDIKRTVVHTAHFKPDFLVNYFGSLSVDDSLECLKAMLQANIRQNLTVVVQIASKYHEQLTTEKLIDLFESFKSYEGLFYFLGSIVNFSQDPEVHLKYIQAATRTSQIKEVERICRESNVYDAERVKNFLKEAKLQDQLPLIIVCDRHNMVHDLVLYLYRNSLHKYIEVFVQKVNASRLPIVVGALLDVDCAEDAIKQLIINTRGKFDIDELVEEVEKRNRMKLLSHWLEGRVQEGQTDAATHNALAKIYIDSNNNPDRFLRENQYYDSRVVGKYCEKRDPHFAFLAYERGQCDAELINVCNENSLFKNLARYLVRRREFPLWEQVLNENNVHRRQLIDQVVQTALSETQDPEDISATVKAFMAADLPNELIELLEKIVLDNSAFSEHRNLQNLLILTAIKADRSRVMEYVQKLDNYDAPDIANIAISNELYEEAFAIFKKFDVNSSAVNVLIENVGNLDRAYEFAEKCNQSEVWASLAKAQLKQNLVKEAVDSFIKADDPNAYLDVVKKCTETDKWEDLVRFLQMARKKSRDSYIETELVFALAKTGRMTELEELLNSPNHAQVGQVGDRCFDAEMYEAAKVLFSNVSNFAKLAVTLVRLGEYQGAVDAARKANSTKTWKQVCFACVEKGEFRLAQMGGLHIVVHADELQELIDFYQDRGHFEELISLLEAALGLERAHMGMFTELAILYSKYKPEKMREHLELFWSRVNIPKVLRAAESAHLWAELVFLYDKYEEYDNAALTMMAHPTESWREQHFKEVIAKVANVELYYKAMQFYLDHKPLLLNDLLTVLTPRLDHSRTVHFFSKQKHLPLVKPYLKAVQSQNNAAINEALNNLLIEEEDHAGVRASIDAHDNFDNIALATQLENHPLVEFRRIAAYLFKGNNRWKQSIEQCKKDKLYKDAMEYAAESRQTELAEELIGFFLEERLYDCFAAALYHCYDLLHPGTDVDDSYAPLSPDRNRRRTTTKDKMTRALRQLTLLTWKNLVVLRRSIVWTIFEMVTPLLFFALIWIIMQLFKCWQKPVEFRLGDSVITSEADRLLQGVAGRFRESKDVTVDVEAVREGRGEMEKQLRVDYKEEGAFCEQKYIGGLFIDRMDVFSRKLTYQLWTPYAMGLRFTEEFAQQDSPFSFPSSGPGHQPFSIGMYCKLGTMSLQMAVNAEFGKLTGGSVDSQLGMRAFPQPDHAESSLDILLNLAHIMLRPDDHLDRPPHGEGDAAESESGMKDFLMVMGMGRGVFYLSHILMAFAKAAIAIVVNCIPFFMFLPLGGVVFQEGERERDMGWEFRGHDREG >PPA14983 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:127322:129092:1 gene:PPA14983 transcript:PPA14983 gene_biotype:protein_coding transcript_biotype:protein_coding MENTSPMDAIATPSNEAEQPMSMVAHAIETLKASEEPKAPDAASSSSNREEKKDYVEMDEEENEKQEEKKENEKEEEKKEEKKKTMADFGYYFNEEGKMRTIEGDGGFTFTTQKDYETLGDVIGEVVYEMMEKEGLQRRWMNGVKNRRFYFASPGYEEKERILVLIHGSGVVKAGQWARKLIINESLSRGTQLDYIKRGLANGWGIVVLNYNEKCMGTEEKRSCHDSRDHGIVEWEHAFDAVKEDADILIVAHSAGGDIASHVYFAIIIAQWGPVFFLGPDGNRRLLSAAKSFIRDADA >PPA15306 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1751869:1755546:-1 gene:PPA15306 transcript:PPA15306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tufm-1 MFGRTTLLASRLVAGRRLLHKGVDSTPPIRFTSIGEKMTLYAAITITFLSYPTYVLLNMNNLRPADANVLSEEVQAEIDEIRASRNIQITGILQGESAEMLGLRLFGVPSTSQLVSGLSRGAIVKSHVRCLAVPGGKENFKRVKPHLNVGTIGHVDHGKTTLTSAITKGKDKGAKYRKYEDIDNAPEEKARGITINAFHLEYETEKRHYAHIDCPGHADYIKNMITGAAQMEGAILTKEHLLLARQVGIPKENIVVFMNKSDEVEDEETRELVEMEIREVLTQFDYNGAELPVIFGSALCCLEDKRPEIGLDAVKKLLDVLDNKFVIPERHTEKEPMFAAEHVYSIQGRGTVITGKLERGTLKRGDKIEIVGCDREGVKSVISGLESFRKTVDVAEPGDQLGVLLRGLQPKDVRRGCVLLPQGHHHKPTDKVKAQLYVLKPEEGGAKTPLANYFQEHVFSLTWDSGAMIKIKDKDFVMPGEAAEVELLLNQKMFVENQQRFTIRKGTTTIGTGVFTEVCPPQTNEEKDPKTKKKLMKAEMERLGFNPYGELAEKRLKPDYSNSPSNNPAAKAFGDSNQTVA >PPA15099 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:712091:715449:-1 gene:PPA15099 transcript:PPA15099 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVLSSDYSIGQFKYLERLLLVHGRWSYLRMSKFLRYFFYKNFAFTLTHFWFAFFCGYSAQSIFDDRSIASYNLLFTSLPVLAMGAFDQDVDDHYSVKFPRLYLPGQFNHFFNMRLFIFSLLHGIFSSLVVFFIPFGAFSMEMAANGKDVGDLAVLEFTTFTALIIVVSAQMALDTAYWTWLNHAFLWGSIAIYFGLSFILYEALSSSLLSKMGSSMSQGVVIRCMQTPHFWFSILLVCIILLLPVILSRFFWFDVRPSFADRLRCKARLRARMARKTSIPSPVILRSNRMRRSQKEVIRSSYAFSHSEGFGDLIVRGQLFNDMPSTHLSPIREHSNGSFDERSTERSFSSTTSSVADHSLPPPPPSAFQSPNAPNESPFQASSIADRPLPPVPFRPSHSQRSQRSDMSESRQQVETAV >PPA15345 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1974463:1976718:-1 gene:PPA15345 transcript:PPA15345 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSVDGAVSPSLDEHEDMDAEEHLDSSSSHVHDSSKEGEIDDQSTTKPKRNRKSTQRLLDSFTDEDFAFAHKHKKKKSHKKMDSSSDAHNESGGEASNDHEPEQVKEGRAKVPPRVVPGKLHLQCTFMESKKKSGTQCKQRVIDGYLFCIWHIMNDKDAPYKKCAHMRDKEKNGVKSRVQCKTAIRDTTEPPFCLAHSSDRRKKPSKKKREPSHAPSTSKGGSSVTASPIVAGSLAPSSRSSSIFNLRNEEFAQDIEEAIGNMDAGLNLMDTFDDFESLDDEEEIKEEDEDTVEGGSTVTPLPFEIDDELDEHERRLMDDIVVPVYAVGVKIIARLAKMRKNYNAGAAFWSACGTHPEVPTTIEEYNQKYIRDSRFDGMQM >PPA15227 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1327796:1340894:-1 gene:PPA15227 transcript:PPA15227 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEQQKKAKEMAMGSVAPQTKRYVVKGGPARVVRQEESSRSVGTVPSTASARVPAILKRAQADTVRPALTLLTPRNTHEAGPFREARLSIQIPMRYENTMAFLGGARLSLGMATMKGESGGGGGMMMRGSPVLAQSPMGNQNGVGGGGGAVMRGSPVLAQSPMGNQSVLGGMMMRGGQAVAAVRANGELSRIMKWARERGYSVTRDTKVLDGMAILTVTTPNGRQDAGRNTSIERRPMLPPSNPSNHLHSREAGGDASPSSPSPTQNTPSTGMNTSSTRRFPGVSRTLSSGRSAPRRGKNASRTTNTSISASNCISPVFASIMEGRSAARGQIGLAAIDLTTMDFHLFAFVDSSAYSSLKTQLQVLEPVEVIICDSINERHSNAVLHEAVRSVCDQATISAVQRRYFDGSRGHDMYRSLRARSDPKSKLENPADKELCLAAFAALVKYIEHIEGFLFASSSIRITFHEDAALCNMDAETWENLRVIDRTSAAKGKDRRTMFSMLASGTLTGAGARLLRVSLLQPLADEEEIAARADAVEEMLEKPHLLERGRSLLACAQSIDSILPVIVKKYREKTIVKAEHRITQLVHLRQTLQLVEKLRQLLPNFLSAIIANKAETLLDDRIEEIRSILDDTLNVDVLSLNGKKNSMDARNQKIYAIKKGLSTPLDVARQAYEELLRDLHSDTRELDECLPDQNVQLAYSASRLFHYLWITHEANRVPVPGNFINIVRNKASLTFSSRHLMRFNDRIEQSVCEVMLESDDVISEAIDAIRPHVEVLYTLIDTLSTLDFLCALVVYATERDTVRPSFGTSVIIKAGRYPLLDVPGRPVVPNDTYLTPSSRFALITGPNMAGKSTYTRQVGSLCLLAQIGSFVPAEAACLPIFKRISSRVGQNDTLAENLSSFAAEMVGMAGILSCADERTLVMVDELARSTSVEEGIGISYAVIEELIERKCFVVFTSHFLDLATLDASYAAVEK >PPA15208 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1221831:1222574:-1 gene:PPA15208 transcript:PPA15208 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTTVCGYHIEEGTIVQADPHAVNLSKELWGEDAEEFRPERWLEADNQRAATAFLSFGAGPRICIGMRLAYTEEKLLLAHMLRKFDIIADEKVSNLKLIGTLTMIPEEVPVKIRARA >PPA15418 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2382510:2384808:-1 gene:PPA15418 transcript:PPA15418 gene_biotype:protein_coding transcript_biotype:protein_coding MCDAYFESTCEYYFERPPALFHIVYSFYLTGQIHQPSHLCPTDILDEFDYWGIVPDTHLAACCCIENHVDDISMSSASSIEIENEFKDLFASEIRRKVWNVIEEPTSSCSAQVFAFLSVMFVLISISGLVLGSLHDLQLPMIKNVTAANGSIIELTEMEPHPLLTQIEYVCIVWFSIEYTSKMIVSPARKKTFFQLLNIIDLMAIVPFFIEISLMIFGIDTESLRDLKGAFLVIRILRVLRVIRVLKLGRYSSGLQMFGKTLKASFRQLGMMAMVVLTGVIFFSTLVYFLEKDEPGTQFHSIPAACWWYVIS >PPA15311 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1770077:1773380:1 gene:PPA15311 transcript:PPA15311 gene_biotype:protein_coding transcript_biotype:protein_coding MIINFIGVLLTFRVRALRTSFGRLTAVHCAAECAILAIFTACQYADHNSYISRKIGQVSLYFWFVTLYSQFFIALNRFSLLFFPRIYKEVFQRRTHWLILFYALICVCHFCVYFGDGCDFYYNAETYFWEFADTSCGHAIAFWLDFAFGCAVCVIVLLLDVICVANMRKSDTVLGESLTLYEKKIRWRREMTFLAQACCTDTLVEFGHRSLQQHSYGSCRIWEMGGLYRLSTSEGNLKIMVIIYELRIYLSEPD >PPA15295 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1687403:1688056:1 gene:PPA15295 transcript:PPA15295 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQLTLLALLACAFTAYSLTPCEDFCQGTILGLTPYCWCNENFLKFNRTCFRKCIANCKAKPSYVGCIPSDGIPNAQLWICCIKKVDWQTNLKCDSECWSTALPV >PPA15031 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:342288:345064:1 gene:PPA15031 transcript:PPA15031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-32 MSSSPDDTTPGIFRSEEVCLSQLFLQSEAAYTSVAELGELGLVQFRDLNPDTSSFQRKFVSEVRRCDEMERKLRFLEREIKKDQIPMLDTGENPDAPQPREMIDLEATFEKLENELREVNQNEETLKKNFSELTELKHILRKTQTFFEEI >PPA15101 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:726997:728348:-1 gene:PPA15101 transcript:PPA15101 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDGFDLTIANSKSDRLFETLCHDSEWLKTERPDIKAVIVRSSPASSRTRRYFLFGENKDNYLSGTARDVPLVQPPLLSWFIEMSDSTEENTAAQEKSRLERENPIQRCIESFIHAGGCTDENCERKDCAKVMKLIRHVCAKCAKNSTDECQVCKHAKLLSAYHARSCNAVDCTMPFCAQLRQKLIERKAFYKVDPICFCFGKDLINSISQRDKLFDVAISINTKI >PPA14982 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:124521:126835:1 gene:PPA14982 transcript:PPA14982 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKKTLADFGCCFRDDKLRKIEGQGSIVEVSNRKEYVELNEAIDEAVYGMMEKEGLQRQYIREGRLRRFYFASPCYEKKGKILVLIHGSNSAKAGQWSRQLITKSLSRGSQMDYVKRALANNWGVVVLNYNENCEDCGDWCHSAREHALAEWAHAIPDSVKGSIVIAAHGGGGDIAAQVVHRMHLKSDCRVVLVGLIDSSSKITGVYAVNWNMSMKKLHYDKESGAWQMFAGDDSHEGTPSACINSIFALMEPITWNTSNSQFQSLLKNSEEIVYDDFKKMMMMTKKELRVKDVNVSLEPEKEMEKNDERMDTDENEKRNTLKRKRVSFVDDQTSGRSEAQSAKPVAGPCTQTTVSPTSSILKNNNSDAHNLLSIAMGAMTPVKRIKFSNDLLAFLTESCEKYCEVVPEEFKD >PPA15372 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2103578:2104323:-1 gene:PPA15372 transcript:PPA15372 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKEAWSILPFSASEKNPFYASWVQANYREVALTYRIARSPYCWQQAIAAFIEWSTTASIEMGSFGELKMIPLRDGHFILASQVKM >PPA15279 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1632056:1635386:-1 gene:PPA15279 transcript:PPA15279 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEAVPPMSPLLVRQQLLAEYKSDKGAPMDKQFGPLPDWPFPEPPSNNKHWHIDVNNDLRHHLVGKVVNSIFPSSDPAAKHDQRVKDLINYARKLEKDMFENADDTLSVIKMNNGAMNPAAAMLPQQANGPIWSPPHQPSIHKDWHSDVTNDLRHHLVGKLMQAVFPSTDLAAMHDPRINDIINYARKIEKDMFENADREEYYHLLAEKLYKIQKELQEKKKKRLEEIQLRNSTRTVELTRGTDGKFGIIHQGASIIEARMGTSAEEKGVKKGDEIISINDIRVETFTHAEIEKFLGGAGANK >PPA15213 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1239275:1240532:1 gene:PPA15213 transcript:PPA15213 gene_biotype:protein_coding transcript_biotype:protein_coding MLALFTLFIILSTIEFSSIQTVMSAKHPTPCSFLRGTGRCCKILQTLQLEQQLYKPAPAQSALLRWPCWWWPKPPIPIPVPIPDPDV >PPA15318 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1796372:1798229:1 gene:PPA15318 transcript:PPA15318 gene_biotype:protein_coding transcript_biotype:protein_coding MMQHNPYWSGVSIPQITAEITIELEEEKMWRDFGEHTTEMIVTKPGRKVFPKLNLKVGGLNPIANYGIMIIIQRADNFKYRFQAGRWRSCGEEDEETGRAGKIVYHRGKRFNLMYDVLYNIKNGFVQTGKDWMRKPIDFSHFKITNNKELDERHRVYAESLHKYYPIVEITDEFHHVLLHKPFEFASFVTVTAYQNPAIKQLKKSYNPYAAGQLKKSEKKNSTATPVGLPQAQNWPMFDPNQTAFDENNLPFANTFATEKEDDPQERDHQVYGQNQ >PPA15270 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1603674:1605376:1 gene:PPA15270 transcript:PPA15270 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMTATTRRPRTAASAALSRIQKSAIDKAAPKPKKEKKEKETCTICMNDLSQTRQTKIACGHKFHRTCILNWLEKQLRSSDQACPNCRAPYGFIMNGRKKDNTIAAYGDHQQPTLWYIHRLVQARVQSDKRGMTKPIYKTHLELALRTELEKTMKLIRQLQAERAHFYANGYAPARQFVYDIDDEITKLADRKKIYKEMEILAGSIGKRLLPNRSPEVPWHLKAELREACGLSKPVREPTPIDSSDSSEYEIVEEEDESESDSDSGIPIFNGMRIHAPRIHSRRRYIIINDSDDEDDQENTSVAMRTGGRVPRHLLETREATSSQ >PPA15281 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1638497:1639003:-1 gene:PPA15281 transcript:PPA15281 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLNFPPPDAPSINKNCHVDVTNDLRHHLAGKLLQAILPSPDRIDVNDQRTNDLDLIQYSRKEEYYHVLAEKIYKLQKEMRDREQQRLAQAAGQNG >PPA15437 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:2465756:2468300:-1 gene:PPA15437 transcript:PPA15437 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAKIGKQRRDKYYRLAKETGFRSRAAFKLIQLNKRFEFLQSSKAVVDLCAAPGGWMQVAIQNMPVSRVDLSPIRPIPNCIALQGDITTEKTRQAIKKELQTWEADCVLHDGAPNVGKNWVHDAFMQNTLVLSSLKLTTQILKRGGTFVTKIFRSQDSHCLITTFQKLFKRMLRISLINLIYSHSRE >PPA15030 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:340795:341268:-1 gene:PPA15030 transcript:PPA15030 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMRQRNRRIDAPYLHDYQVAQPIIHEPPTNSQMVMLWIRPYGFILVWLVRMKNSLLHSWCSDS >PPA15012 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:251027:252329:1 gene:PPA15012 transcript:PPA15012 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSIGRYWAEIARTLADAPLLPFNVTRSAEEMHSNYVVELKKALAALPADPNLDEAEQQIKMLEKASEKFLYRAQHFSTHALLVKDDDRKLRGINERIMMTERCMRFDRSQRTERCFLNPRGSPGASQNRHVLYSISDKDTYAGRVMAALYDAIDDYVANSDKKTSGRAVAEQISIIYHAVHCATNTLKPFL >PPA15190 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1128391:1133970:1 gene:PPA15190 transcript:PPA15190 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIIGVFVWDVEGKRYFDFLSAYSAVNQGHCHPRLVQTMKEQSEVLTLTSRAFYNDVLGQYEEYITTLFKYDKVLPMNSGVEACESAVKLARRWAYDVKGVPENKAKVVFAKDNFWGRSIAAISASTDPDSYSGFGPFVPGFETVEYNDLKALEESIKDPNTAAFMVEPIQGEAGVVVPDEGYLKYLSRTRLIPAALTIHRPLLELYVDDFEGVAALCKKYNVLFITDEVQTGLGRTGKLLCHYHDGVRPDMVVLGKALSGGMYPVSAVLCDDHIMNNIKPGQHGSTYGGNPMAARLAMTALDILISERLSENALEQGDRLMTRLRTLPKDVVSTVRGRGLLCAIVINQDFDAWQVCLRLKERGLLAKNTHGDIIRFAPPLCINQAQIEEAADIIISTIQEFASKKQ >PPA15043 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:434103:435726:-1 gene:PPA15043 transcript:PPA15043 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRGHTSADGSRCPVKMSKKDVGDVEVLEISVNRGCKTSVDTFFKQQKNIPKIDSITVREAKKIVKINIPIGNTDEQMFKCINKAMRKVTVTSSVSACGNTACGRTRPRSWILHEYKI >PPA15297 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1692889:1694072:1 gene:PPA15297 transcript:PPA15297 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIFSLLCLVASAAAGAAVDDLFGTISISEGQHLNLGVPWTRKIPLGKLTSIIFYVLKNKLDLNPENIEVIADVSGVTMKATNMGFLFTARAKGTAFFKNPDYFITISGTIGSFERKYAYGAPLEIETKDCSIQISDKKAELYFIGSTITAAVKEVGVDNLKQKSGKGAAGIKTMFGR >PPA15430 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2444903:2449174:-1 gene:PPA15430 transcript:PPA15430 gene_biotype:protein_coding transcript_biotype:protein_coding MKVALAKFKLHGRIYDDDVYEKVVERMNRASKNRESTKMLLRLFERGIGFHHAGLNTQERGAVEILFRSGHLALIFSTSTLALGMNMPCKSVIFGVDTPNLNPLLFRQMSGRAGRRGFDRSGTVIFMGIPTGKIRRLLTASLSNLQGNPPFTSSYLLRLLAYVHHDAIVADEKGNPINSVANRSESALTLLTQSFSLFTRVQATDGSLQKQLRLFVAFSVQLLRHLQLIDKKGRAKGMWQLAVNVKEAPGNLLLVHLLHNGVFHSYCKKYSGEELKRKMLILLANLFNRVRLPPSFRPDDKGSYPSGTNAAVFLEAVPKDVRKHIDEYNETVLSLFRQFTKGAAPNGRLVDDRFSVSGANDDSISLFPQYLVSPLYEGHSAIIWI >PPA15130 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:850005:851965:1 gene:PPA15130 transcript:PPA15130 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHIDGWRGRIMRYNSDSGFIYIQMQRCDYSLENWLERNKDQNSRSLPLMKSWFKQVVSAVKHIHKMNLIHRDLKPANILFVKDSILKVCDLGFSAETNEKDIPMDRTCGGCDLYASPEQTGQHNTLDCDNTRPKYNSKTDVFSLGMIFAEIRDRTNSEAPVFKDLRRGRRFHCETHKG >PPA15421 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2395489:2397422:1 gene:PPA15421 transcript:PPA15421 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNKKVVNLTLRYDNEKWFIANKNPSQAAKYKFDNIVQLIEHYRNHAPSKHLKLSKFISRPIWMLKHENVKYEEKDKLGAGNFCTVYKGKLTKKNGESVLVAVKISLVQPAATDAENEDAKEARKAMMHEAHLMSYYTHENIIQFLGVACDHQPVLVLMELCAGGDLLSHLIKHGKKTEDREKMIYLYEASRGMFYLHKAKCVHRDLAARNCLISNIGRIKIADFGLSKLMDDNEAENAANAGNAQVPVRWMAPETLKRPAVYSRMSDIWAFGVMSYEIFSNGVKPWPDDPVKYVATQIRKGNMPKLPDNTPHKVVDLVGRMWHVTPDKRPAMRVIGRELAALLKETFASQGQLPADKMTINKIPGVTRNTCFDHDETSEFDDSSGSTIEHDSHDKSGGDKGKRRYRYG >PPA15040 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:419652:425820:1 gene:PPA15040 transcript:PPA15040 gene_biotype:protein_coding transcript_biotype:protein_coding MNWKYIVLSLLLPSSSSSACTRTANHGEKVPTTTEPKTSFTSTTGQNGEESEWLIKSVHGTYLKVSSDAVKRVAVDSAWDASSRWIIGQRGDKTYIKAKESSLFLGAHKISTIGLDTELTDESLFVVHKNADQSADKPGSKVNKKEVVEAVTILETPPMVISGIVGYIDTPNGPRPFKTVFAEQLSEDFRRRMIKNCAQTPRFYLWVQKLLKHRNKKAHIMEVQLNGGSIADKVERAKERLEKQVLIDQVFAQDEMVDTIGVTRGKGFKGVTSRWHTKKLPRKTRKGLCKL >PPA15057 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:512761:513556:1 gene:PPA15057 transcript:PPA15057 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGPSLHGNLSRKYCDEGECSLFMDEVSIYNKTSGDYEMTTDLLHIIQLGSRMVVAASSVSGHFSIPLVHRKICQRTCGDVPRPHISMCERTCEQRSRYDQIAVTGEYKRE >PPA15207 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1219456:1221253:1 gene:PPA15207 transcript:PPA15207 gene_biotype:protein_coding transcript_biotype:protein_coding MKHYELAKKAASIVLSELECPITVVPWEMMREGKKLQEVVDFDAHLKLGTPLSDFLATATSTARGRLALRGSKYQYCDEIAVAVAIDAERVITKSLKLRVAVELAGKLTRGQVMVDWIDQIWAENESESNDRGIDKYGI >PPA15148 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:936288:936977:-1 gene:PPA15148 transcript:PPA15148 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLRLQWSRMILKNNNDEPSSYFNEMQKQRFANEVLETAADMERRMPPITRELRDIRHEIATTNSLLREIRKVIETHGLKVLLDEHDYFIYLSLFMEW >PPA15034 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:365212:370483:-1 gene:PPA15034 transcript:PPA15034 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDDSAEGEWVEERPSVEDKGRQSAAQQQRADAHVILVLVDDRSGEGTGVRYKLRFSEGQTPVFEMITPKSQKKSKSKSGSMSKARSIASEAEEWSYSDSKEASSRRTEEEEQEEEVGGWRSYFSGRCLIKFYLLTIFSTWVIFAACVNFDRVLPWVVVDTLIIAYYGGGLVWRLLRVSERMEEFRERHPMPWADMAREYVCRFFETGSRLLIVPLAGWIVYDAWQSSIRLRSIVAVLAYVAIAVVCSANPARIKWKPVFGAFTLQLGVGITLLRWSTGREKLVLVSNQVVYFLDYTANGTAVVYEWIAFPPHICGFGFVFLYTAMQIIIYFGGIVSLLYYYGIIQAVLAKFAIVMQYTLGTTVIESTNAVACIFLGQTESAVLIGPAFDTMTSSEITTVMCSGFACIAGALFSAYISFGACPEYLLSATVMSASVSLGIAKILYPEIQVSSQKSVEDMKLAKHEYHSFLDAISQGAIGAARVVGAIGMSLVVYLSLLKCVNHSVMWITQRLGYEDTSFNSLVGLTFYPLAWMMGASDAEDPQAKKSIIYRAPQVNRDETLKVAELMGIKTTVNEFVAYSALAEMIQSGTLKGARAQMVATYALCGFSNIASIGTVLGTMGAMCPRRMKVFSKLALRGLLGGCISCFLTASVAGILVEQPTSCQPKVFNACLNLTTLKGHLDQRYGLI >PPA15400 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2292361:2293670:1 gene:PPA15400 transcript:PPA15400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ubc-18 MSSSRRLQKELGDLKQCGVKAYNSVEYDEQNLLHWVVTLLPDKEPYCKGAFRVSIDFPADYPFKPPKITFNTKIYHPNIDEKGQVCLGIVSPDNWKPATRTEQVLMALLGLINEPEPDHPLRSDLAEEFLKDRKKFNKTAEEFTKKHADKRPE >PPA15177 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1090254:1091093:-1 gene:PPA15177 transcript:PPA15177 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLVMNEPCVFDFSRFVGSRVSSKWAELVAPRALQSRTFPVLPSDAAYGAGAVQGREGDPFTAFDGLTDSKGIAHRPRSRSPFTKPGLWEANPDDPHNRDHANKWWYAPESVGVDWLNGQATWGAHWAVPAAGVGGTNGFSAIHFPSIGTFLGIPDDYD >PPA15234 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1389049:1393416:1 gene:PPA15234 transcript:PPA15234 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETRDPNLSTRPAAVPLCRCRVLYIGSAVPTITKDGLQGVQQPLRERYPVEDTPETRGIDSWLSVWSDGVLLEYIEGDKKLETAFFEIDTMHYCGAVRYVNVTGFNVEGGGERFIPLDSPFANIPNSPHPPIFAAIFRRTTGVLECHGFICANENAAQALVRCTFFAYFEKRYLETKGYPTKAIKDADERQKEMSVVGGESPQSDRRDEGGRDGYREEARRHHWEWRQQAGECETASISSSTEEKRGGVRRAASENGRHAHGSLVPVYNDRRSRRFGSEADLPMSDEEEERVRGRTLPPSPFMHHRMPPPPFFMPPPPHLLPPGMQNPMMMFHPPPPHLLPPHLRGGPPMPPPHPAAFFGGRFPPPPPPGAMFPPPPFPPPPGWMGGQSPFRPPSGDGPIITGPESVYGTMARGRPFEDRPSMGTHDSSRMSYVGGTMGRGEPRPDYEETTPRMRRGTNGTNPTAGGEEMDSPWDRYEDGIYRKPHLNEKAFSNTLKREANDGYDTLRSRGKTGSHTLETEVHVARPDTPPVDYEAIDGMMRDGNRKRMGNGNSRQEASAC >PPA15161 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:998737:999414:-1 gene:PPA15161 transcript:PPA15161 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSMRHVRRFSVKEYLELHGDYTIMQEYVRLLKQMDLARDAVRDCQDPNQLDRKALEFKQSIMQFEMQTAHVQNQLNSFDAHIVNHVRNVIDFVSHIKKYYDEPKLSKIDPSKIK >PPA15086 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:669373:672124:1 gene:PPA15086 transcript:PPA15086 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFLLQYPGASKEGLPYVFSHYCGIFLTSSIIFIVYIAATCNKPQVNPVIVGPSLAAGFMWGIAQAAWFVANENLSQAVSFPIIGMLPGALSALWSVFYFREIRGAKNLKILTIAIAVTAIFPDG >PPA15425 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2425924:2434370:1 gene:PPA15425 transcript:PPA15425 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vps-22 MRALLVFVSLLIPISSVNDGGWITDDKDIVSEEGPCNIARQDGDGLTQEEFLERYAFTQPVIIYNVKNEQFSELTERSRMLSDWGDEKVVLNSANTYSYTRVPSTFGEYLRTQLKPQNMDTLGNETLYLFGDIDPTTWDPLLSSYSQPAWKLPLHEPALSFGIAGAGTGVPFHFHGPGFAEIVHGAKRWFLYPYEERPEFDPDRTTLEWYLKDYPNLPEEKKPLECLMQKGEVIYFPDKWWHATLNTRTSVFISTFLSPSFTMRRRGVGVGAMQNKQQLQAKFTAKGNELAGEQLRLFSQQLDAFTVRLEDFAHKHRDEIKKNSQFRRHFQEMCSSVGVDPLASGKGFWAEKLGMGDFYYELAVQIVEVCLSTNHINGGIMTVEELRTRLLRSRSRTRKETITADDVLRAVEKLKVLGNGFELIPLGGGRFLVQSVPGELSEYYDMEVGMDHSRVLQLAEDAAYVTKELIIDRLRWDEARAQSVLDHLVKEGLAWVDNQPTDTIQYWVPSLFLQQYCHSSSSTSVNKERDGVHVKDLGRDFLKLFKRKKKKDQSPHPPHLPPPSSPAPSSDTQKEDASDPVSFAIQHLPSTSPVHSEATSMTEITSTGATSGESVDHDNSVEDNKKKAVRIHIVADEDDTTDHEESHCPFRVDRRLIDRLQWKDGVTPVEFGKLRKPRFVKRREDKDGEVKLKQQPILMDAIPLGVSRKRSRERIGSKEDLKDSLSPMQSPMTATSLENSRKRNDKKKKDDDGLSPKNGRPTEATPLAVSRKRSKEEKKEELKDAVPLEDKKKSKDDKKKDEEDPMSRRKKKSRNNGSAEEGAIEDESDQEKRRRRKGDEGMKDGLPVEDRRVGRKKESDADRKKEEKVKEEKKKEEKSGGGDEGKKKEAKGGEKDNEKKEGRKEKQMEGKKDEDGEIKGERELREARRKEEEKIGDKDNEGPKSDDRLAVVPYEKWPVPAILETIDGYTGYHVSYSFVSHLLSFDFNRSVPPSISQKLLTPTEKSKPEKRDESKSPSQSTTPQSNTPRSTKRIVIKTPVEYSNLSVHSSDSVDVAMCN >PPA15102 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:729859:736804:1 gene:PPA15102 transcript:PPA15102 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLKKCWLSVDNDKEKRLSDKNEELMEMKKTIEMKDQENKDLQAEIEKLRDELHDKKKEDEGFKADYDKFLQTKKDNRVAFCKYYNEAKKIFTDRDSNQSIIFAVMIVNVFLTFISIFMESHTVKNTSLHSAYSLTDQSDGRLSLLPMVLIGFLDIRASNTLRALFYYLALFCGLLAYNGEPVVSHQKLRMFLGAKILFCFIYMWMLTADTKQKLHTLCTMVEKASGLDIRSEDRKDAEVAATTDAAEDSAESSSTTAEHPTNSDAPSEPREVYLTKKCGAELDMIVSSTDTESFVVSFILPRGASERMDAVDIGDEILSVNGVKLKGVEIEFAQTLVKSIEGSVRMELTNVGVNYASINFKNVTMESDKFIVCKDKSGDSAQVVIIDIGDPSVQMRRPSDADAVIMHPRQKIVALRFGKKLQIFDLDKKEMIKDHSLDEDVVFWTWIDEETIGAVTESAVYHWALASNSSPVKAFDRHPTMNGCQIINYRADADRKWLVLIGIAAKGNRIAGSMQLYSMQRSISQPIEGHAACFIRFKLDGNPHPSNLLVFSVRGEQGGKTVIVELGNPAAGNRPYQKKGVDVPYPAEIAGDFPISMQASPQQGVVYLITKNGFLHIFDVDSGSILYSNRISGESIFITTEYLQGGFLGINRKGQVLSVALDEQEMIEHVRQTNPELSRTLARRWAQQFSLSTSVVYGSVSVDVDDGRYSDYDSIYSPANSASSPLPPPSSLLYGRQYTPSPRHYTPPPPTPPQLIPSYEPIHIEVNDRIESRQLDDVASRYHELSIDNMESSERAERAEAERDQIAARYQSLSSINADLAARADRAERERDEIAVRLAKLEKKCREVMMILGGDMNESTVQSTAQSVQPKELWVIDSKQSSIVNKADAFIDLLAQGGTNYSSLMDD >PPA15132 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:857442:857968:1 gene:PPA15132 transcript:PPA15132 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVLLRLVFIGGACHTKPSKNPVSNCATVDSYDSIDQDFWYAVACNYAGPFVCEFPPCEAGNQIC >PPA15354 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:2006539:2007963:-1 gene:PPA15354 transcript:PPA15354 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPLLLTLVVVFSVGNSIEPNSDHQEYLEILFGKTLDVSIVNDIVEEEANVIEITAEEHFNFCFLKDKGLKLRYKKDFDDNYSEFKEMIWNMTFDNVDDVMNELKEKTPEVHSVFTCLFKEIIANLGRESSIFVVNVKDTLIDTLFKHSNLVMSGKSNRRAPMYGKSIKTVYDEYGALSVKAKNDLERNSCFRTIYRIIDLTGDRKGSITEMKRTTLAQVPREEVEM >PPA15244 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1465238:1467301:1 gene:PPA15244 transcript:PPA15244 gene_biotype:protein_coding transcript_biotype:protein_coding MYTFPCFPLGQYKEMGRVALHGRTSETGVAWVVQVQGWLVRTAVEGVDEGKAERDTTYVDIEPTSGVPIYAKRVMQVNVGMKKGNLNKLSATHNVIVPVFWVNETASVDPETRNQLANQLQLAKRWAFFGGVAALIIGALLFIGVVLTVLLDLALGNRDDETEPLVQNQGEVNEGADSISQEE >PPA14998 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:163221:163902:-1 gene:PPA14998 transcript:PPA14998 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAGTPGANGDVTAIGGGSNEKEGGAGVSGRISIHGPVHDSKESAESAGVITGEGKEPSAESVNMAKAAPGKVEVSKEKTEKSVTAPAP >PPA15145 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:930728:931625:-1 gene:PPA15145 transcript:PPA15145 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLLLLQSSLLAAAAAKEECYAINGKVECEFADETTTSRVPVGKVQVDLMDEDSFSSELMGRTWTDDEGYFNVTGCGSDFGSWNSPDPYLKYDLFSD >PPA15108 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:756386:757982:-1 gene:PPA15108 transcript:PPA15108 gene_biotype:protein_coding transcript_biotype:protein_coding MWSQSSGISPQSAAASVPSSGQHQSNAELAVYYTDLAIKQQTTVCELDRQQSIATAAAVFLSDMAEKHRAAAARDAEEQQARRVVAYAHLQSISTIQSLMQAPPPHMNAFEARNELYRQHLERQGMDPASAALAAGVPERDPTQWNSPYAQMIVHGSLDGAPLRLRTQSVIARDEQPGDLIILII >PPA15154 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:970619:974947:1 gene:PPA15154 transcript:PPA15154 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLDKYMKKKLLIGRTFASSFDTIPLSSNDVPPWQAQAVGTAKAVYNLLTLMFTTLRQFYSLSSVFERAMKFANDDPFLWQQFALSSVSRGASSPSSSFRALRVLERSLAVAHASPDDEEAYARYVCGKMSPVAEAPQTAAQHLVAAQLYIENGDYDKGVEHADAASLLAGAGVLKGRALLLRAVSLQQKACTSSRSFYERLQFLSESGHQLEKCIELDAHDYLTLYYAALHYAHGQWDKKKHGIVH >PPA15035 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:373063:374354:1 gene:PPA15035 transcript:PPA15035 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDSALSPPPTSTTAGQLDRDGKRDDRQGCESVSLSLPSFLLFDEAVWQHKKGALIGMREMVFRLPPLPSPSFLQWEDD >PPA15329 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1874579:1877505:1 gene:PPA15329 transcript:PPA15329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vha-14 MSGGGKDRIAVFPSRMAQTVMKARLKGAQKGHSLLKKKADALNMRFRDILRKIVENKVLMGEVMKEAAFSLAEAKFTAGDFSHTVIQNVSHAQYRVRMKKENVVGVFLPVFDSYQDGPDAYDLTGLGKGGSNIAKLKKNYNKAIELLVELATLQTCFITLDEAIKVTNRRVNAIEHVIIPRVENTLSYIVTELDEMEREEFFRMKKIQANKKKLKAQEDEENAQRAIDFADTSGMKNLLDDGDNIPILFA >PPA15406 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2310920:2314298:-1 gene:PPA15406 transcript:PPA15406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nhr-20 MCELGKHKKEEDEDDPNNVVSPLTQFEMSLAAGGSASIVKNEPISPEMLFGNCKKEKMEPASEEDVVGDQKMDPSALLILDYQQPHSSSMESGTEEDHSFFATTSFASLQFPPSFPPEMVQLMSVPVPPPSDLITPQESATNSIGTTPPEMPSTSDSTNYLNWNLSLNDVLRTKEPPPAENLPHLEMSGRQLVENLVAFEKEAMDKRRMMFTAEPMIAFTVGDGSVPYTRDTLKTHTLQGQAEAVKFDHLLGYEYAKKMPFIQDLEDKEKLMLLRFCSLGFSVLDIGYISSVDQILVFTDGSYSTCGPGDFSVGWEDESIISTEDKKKLFLCFNHCFHKQVIHPMRMLKLDRHEHAVLKAIASWKLGLLEYTIKMKSMAKTVQRKLLEGLIDHYREIGREDIEVRIGEIYLLIGTFFEMYQQVTEMYKQLDIFNLLKVDQITKNLLCV >PPA15355 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:2011264:2011689:1 gene:PPA15355 transcript:PPA15355 gene_biotype:protein_coding transcript_biotype:protein_coding MHCYSPSREGEVDLKTCYKNFFECDVEQKRIRDQRYVYELRKACGNNLVYCKHPTDITEHPEYNFYDELRRIVSNFFVYGV >PPA15072 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:605982:607763:-1 gene:PPA15072 transcript:PPA15072 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFTSTLRGLLVAGEDLENPFNRIRLVYELIAAKDTSTAPSIWYTDGVQGHRETDLLARDFIAPSMNRRIRGEEAILLFNRDLCREEPDLPDSYISEIYHPPDPNGVARRTSIIRPYSPSAGMLELAIRTVIASRCVRGLAHIVFLVKTDEMVRMPAKIAAMNLARFSNEIAVPWQEIIDHATRIRGEIINNRRSAHHRAHSDPLTDCPICFDELADYVIIPCGHEHFSRQCAESIKMTGHCPICRRPVDETRKTYKVQPAEAPF >PPA14986 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:133459:134941:1 gene:PPA14986 transcript:PPA14986 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYHTDSSDDEGYELIDNCIRGHANTQSAITKIEPFAVVLRDDIQLRAQQEQEQCLNREESNICQESKTRIAELKEQMPIWENSKARRSDYREQAEFVNDDGPIVYLRAAEKEASHHNEMALKDAQIEHLNRMMKLMKEEREEFETMVRKERKQRMVHVNQINQAKAEEEKISKKTMEQKDKEIERLRRILELNEIIIDEDTQKINELSNELKNVKIMAEIESSHYRDQVQALKSNSDCERFVQKEIQMELQQHRIFGFRLTNYIAPRIAEVVWGSQASLKGLRVDDDIVKVNGVDVDGLQQHEFDWLINGSAGPVHLTVRRPV >PPA14977 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:111747:112062:1 gene:PPA14977 transcript:PPA14977 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMQIVPDPEQLHKIKRHLEFMLHARSCHTGQVCKVPHCEEKQCRHTLRTAKMGDIVTMLTVQLLD >PPA15051 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:469637:474957:1 gene:PPA15051 transcript:PPA15051 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWRSRRLQRGANIQEDPRGCPENSVEEAKELILTAVAVRITNEVTSTRVSTTTTTRTTTTSSGYATSSRSDAPSFSTITVASSAVQQSIGISSTTPISSRKHARKQPARGRLASTLATVEEDVLLTMPSPRSALKRARAPATITPDTTLIMGIDQETLRQRPTKKQTRKERSESERSDVDARLHYNHDENDDDVVWGVPNGSAPCWSRVDRGHIAARRAAGRPSQLQISSQVESK >PPA15090 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:687255:688553:1 gene:PPA15090 transcript:PPA15090 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMFNNGTAREIEVSSVRVSKVNATRTRLGEKASKIGEKLEHFGQNMMNNLKSFGVSLKESDFVQGMKKTMDDMKDRVTNMFDKIKNFFGW >PPA15001 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:178260:179959:1 gene:PPA15001 transcript:PPA15001 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVHNFFNSHATEATPPIAVRQEQEVLAAIAEGEAVRRRAIQQLVDDELEELLAQDAAPAGRGRQPRAAAPAAAAAPVRAGGTRQPRVAPAAAAAPAVPARAAAARRQPAAQAAAAAAPIDTSARPSRKRAAPERLLN >PPA15173 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1064867:1078903:1 gene:PPA15173 transcript:PPA15173 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWPLLISLSLVSPATYDFTWDARQLAYTCDGLKEATGKEWISNGDFCVHFSDDRFTWSEASDICTKPSFASSYALSELSWLQLLLIPEKTEQYWTALRSQDAETILDGPISTSIYNPSWADSDPTSLDDDVCILIDLSNPSTKTRGWHFEECSKQLPVICQTFACINDEFRCADNTRCIPRSAVNDGFEDCLDGSDEYTSAKVSAAFLNMSRADRLLAVTEKSSTVKPQNNDASTSLGCPLPQDLRDMKIIGHQGYAVGDALTWQCNAGFAPIGQQYSVCEKSSGWQPELECNKMNCNEPETTNLTSLTGTYHGDRASYETRASSINNELSRVAVCDEGTWVYMNDDLNDFCVAAPFMYGHFDKDKYAIDEIMASTCEKDFYLSFSPVKCRGDYRIPECLADTIKPSDCDGTIQKGPPVFARDWCMCDDGGVQQDDECITFPVSSDSCSADHDTCGVGKTCQRNETAGEDYHCACPTGSCLYPMEGCNKMAFLPDNSFDKVLGECATIRCSIEDLKPLVNTNCRIATYEQVTSEVDSRLGTTDVICTHTADGEKIQTRHSVECVNGQWIGAEFNLKCNATYGLIFDNDEYNEFMQTSVHYAAIPYKYMVIKCGGDGEWMGEAPTESCVFGELTLEENLVRCICRDGYYGAACDTPCDHGTERNDGSCECQSGYRGDHCQITETCMDSPPTISVNGEHIALVFIIDMYKSGFDQFVTNADTGIIPSIQQLIRDISYYDKRFISNITLITFGSDVQTHSFLWENVDDFVRSVSNLQSQMDQTCTYELFGGLMAQLVPVLNTYPRGSIINMYTIQPTTNPLDETIIDAFVANGLIANIISDSGGNVVGCKSYGGTYDAYQRLAKLTGGYYFDGLSAATFMQILPRFYKSSIVLIPALMNSDDGTEGIFPIVLDSAAQTIMTYEYDGTENTDPFKVTSKSSPGVLWINGPSKHVFEVRYNDLKGSSGWTTYDSMDGYYFSDLGLDVMDWSTAVSTCESMGGTVADFLTEKSCPGSAAQYWLPIRLDPADVGNPWKATYRGTKIPVIDAKFDGVPPVGFAAPCDQAMAVLCQKSVFDSTKTASLPSDDIHSTAGFELTAHNLLDPNTFYSVRVQSNIVFDYKLVDDRIGEQVLKIDSGNTHLRMALSTGSGLPDASQWARYASLTEQSAIARSPVQQTTCTSFPMSTAPLFCSDGGDVTIHILNSDGVARSFIARCSPQSFPEFQPPPDCASTGCISENTGYCYLDDQRHYRCSCRKGFSGTFCEYNDFEVEYCADNLQTQLNDVATGQTIMKTGCGCTDDMTECAFNRKDGCNDQCQTGVCKYTEVFDSTPRPGQPKRSVAACECYPSYSGEFCDRKQAPSPSDCTGNPCHNGGWCEPLVDPTGYTCHCTAGFTGPYCDIPLLCTDATCENGGTCYNLDPLYTFCLCRSGYAGDRCERFLDLGCFNGGVLPYPSADHCDCQLPWTGRYCTVLKDPMPLEVCGCANGATCVYDVKEGMAQISCKCPEFWVGPKCDMMQMPCDLTPCGEHGDCVPQANEHDYKCKCAENYAGDICDVFVGDDGCKEDTCLNGGTCKGGVCDCPKPFTGTHCQNTFSCDGYCLNGGTCDEVNEKPVCQCTLQYTGKQCGEENKDKSYNLRISPASQQLGFEMTDLDPIFSLCSWIRATPRTSLKDPIMPILEITFGGTEKLRVTTRNATIKGSTVGELKLKMQIWQQFCVRCNVSKCDAFANGDSLGINLLTGFSHSSTDLIIAPTPANGEILLNAEISRLSIYNRAITDKEVAAFTFECASTVNTDSTAEYVWWGILQAAPRSSLVSPGLCESSHCWPGTCNGPTDKTPPLATFCPPDMFKTSLRRISISWDEPVFEDDQKVLKIESNYRSGDIFVWGEHHVVYTATDPSNNTGRCEFDIYLAPNDCKSPPQPFSGVITYLPTFDGESGSSAMSSVECKDHRYPIDGPKFYVCDYMVGNSEVN >PPA15336 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1900151:1902135:-1 gene:PPA15336 transcript:PPA15336 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTLRKSCPDLNESREEERRRRRMKKREEREWAKKDLMKWRLDDVILWLQEIGEEEWASLLIGYEIKGEDVAKWDEEALAKFGIDDIATRRKILSERDKMIKKKGGKERTSLFDIITRANGDQVVVVETPLTVRDLIVSRHSSGCLAVQKVAGSNLPLEEDDCLIPTGRLTRFQLTVKSLLEVNSVPGEQFTSPLMLTKLISDSGGRPIRFVVLRRARLCVHPEEEITRDSSSGVSSSSPVHHSNEKLYS >PPA15045 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:441909:444117:-1 gene:PPA15045 transcript:PPA15045 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLSSRSVQVIFFIFGVATLFLLLTPSRPNNGIRMRRLYHYKHESAAPSPITEQKQSSMSSSSSSGEIIDYSRCLPQRPLRIFVYFFDHPLARALAAHPTATKNQQKACLFFVFTDGVSSPLPSSWKSHNEHGLNHVLINLNGNVSIRPEGREMIVQSRWVEGAFRPLLDFSLSPDVTAFDNFTWQIRPGIMPLKRKMDCILVVDDEMRQTSRIPQITSFPDLFLLLSPSSIPFVPYSTAVVIEGRMHSNHSVDQSTPAVPRLASLRFPLALIDFVPEIIEELSKEEVIEMRRRGRVFLSRIDDAEGQ >PPA15413 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2362592:2369683:1 gene:PPA15413 transcript:PPA15413 gene_biotype:protein_coding transcript_biotype:protein_coding MANQSISCGMPVIKASKDKGAWKNVALEGLKMDEWAEIGSFEEWIPGAEEGDDGADVGQVSDPKAEKDKKGKKEKKEKKEDVMEVDEKNEEEEKNEEEEKKKKRKRKKKKNGEEKTEENEESELKKRKVEDGEKKDEGKREEKENEEEKKEGTEGKKKDKKKPLPKGKRRRMKLAKKRERERLAKESGDVKKDEEEVKSEETKEKVDMTEWINLGVPATIVKSIAKLGFTSPTSIQREVISSAIRDRLDILGAAETGSGKTLAYVIPLLARLEEEERKGGGPRALILAPTRELVIQVRKVIDALLVGTRFRAASIVGGLAQQKQERIMKHKPEIVVATPGRLWALMRQADAGSYLDDWSGIKCLIIDETDRMVEKGHFSEMTKIVDKIKMTVEDEQLKMQSLVFSATLTFIRVGTKSDDEARKESTQAKIEELATVTGLRKERKVIDLTAGKGTAETLVESRLNCKNLLGKDTSLVYLIERYGGRSIVFCNSVDCSRRLYGILSKLRVRVLLLHAKMIQRARLNNLEKFAKEDNVVLLATDVAARGLDIKGIQHVFHYQVPKTAETYIHRSGRTARASSSGLSIVLVDPTESSIYRKMCSSLNRSTDLPVFPVDCEPLFEAIKERVGYASDMDSAEHQLQKKSHAESWFDKAAKEADLDGGTERQEDADEAHHDMNTLHGIMKGLAAKLKHALATPLPAIDRANLPNTRYVSADLATMASTGLTREAVDTAMEGEKVAAGLKKKAREFLALKRKTLKKKKGEETPKMKKEKKEEKEIEEVEESEKLDLMGAQSKRDVVREIITAKRRELGNQ >PPA15081 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:643773:646589:1 gene:PPA15081 transcript:PPA15081 gene_biotype:protein_coding transcript_biotype:protein_coding MWTYAHWVRQIYLACIPAYPIGYLLVNSIRDDFFFTKLYVRRSELAPSDHLKELVESEFDRVEDVNTPKLHVTLTDSMEPRVFGGFFLRNGAEIQFPLAASFVDIEYARRLGGHIEMDFGRARNRRKLENASSIAEEMLTRMMMSEQAKRFIVQRELQRANDGVLFCLPVFGWALFSTVGYPVMIILSRVYQQQ >PPA15158 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:987704:990103:1 gene:PPA15158 transcript:PPA15158 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPMNSDSQEEKWLSMTLEECAEEAKDLAERFPDHKGTPPATSVLSAMTTAQIHFLLCALLENWDDDERPSLAFLQWVHSLLLFADLPIMPDVCSSLRSFVRSIRTRRVTDADDATRLQYSSFIAIAAHAFGQKDLAD >PPA15157 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:984687:986050:1 gene:PPA15157 transcript:PPA15157 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDQLLPVPDYIDDVDTTVPPQSAEHYLAQVISSRRSCPMVVRSEVKRKPAPPPNEEDGVFDAPSAAPTRHDLAPTEEWVQAKVATFCANRDELMSQRDKLPKRKIDWPAMFVQC >PPA15152 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:950960:951610:-1 gene:PPA15152 transcript:PPA15152 gene_biotype:protein_coding transcript_biotype:protein_coding MMISSVNGTMDHDDDDHVLLSRVEGLPGIVYRSTVVLRMPDGIRTPVSQLSPALI >PPA15039 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:417420:418249:-1 gene:PPA15039 transcript:PPA15039 gene_biotype:protein_coding transcript_biotype:protein_coding MSASKSDGIKSLDTLPAIPMNAICLFLVDAEDYKALDSLRKINKGCKAAVDSFLNQKKNILPMDIIEIECVRDNFHVTLVMQIDTCRLRPNLKHTTRIHFSIKLDNDEILMDAFYKVVGTAGTTST >PPA14962 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:20432:22535:1 gene:PPA14962 transcript:PPA14962 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVYIRSSHEGIVPATVKTKPKEVRVPGMEHRATGKSSLVLRFVKGQLHEYQESTIGVAFLTQTAHSERILSFAHRFRSKSDDAS >PPA15383 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:2184366:2186321:-1 gene:PPA15383 transcript:PPA15383 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQRDFTSLRPLIFVICVLYLLDTIGTIALSFTLAPSNKMKNAVFECAPSGNHRIMKCDKLSSSTAIQVLVWIECLLLLPIIALCMLTLWSRAFVERLPGNSAFAIPISLCLMFVLQIVFLIICLAKGYMRYHWHMDLMIFFQSLFTLPVAYLFFNLPQINGDSEPPHLRDDRFTLLKNASARDKTGPSSGPNSNSLKLAAPAAKPTSGGTATEHPPSGTGTGSREEDGSVPQSHTGSKEPMPSGESVLPVAPVSDPVAGTAKSEMSTGADKSGKPAATGSKPSTPESRELTAGAQAEPVPPPREIEDSESRKLKEKKEKEQLKSKGSASGTKSASDRLKHTKIVIPPKSKSKSSRSGVA >PPA15137 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:877942:880567:1 gene:PPA15137 transcript:PPA15137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 [Source:UniProtKB/TrEMBL;Acc:H3EZ72] MKNIVSPRRVRMWQYRQLTAVHRVNRPARPEKARHHGFRSKQGFVVYRVRVRRGGRKWPVIKGQTYGKPKTHGVNELKNVRSHQGVAEGRVGKRCGAHRVLSSYWIAEDSTYKFYEVVLIDPQHKAIRTNAELNWICKPVHKHREMRGLTSGGRKSRGLGKGHKPMMKEIWRKKQSEVARYLQRVRMWQYRQLTAVHRVNRPTRPEKARRLGFRSKQGFVVYRVRVRRGGRKRPVTKGQIYGRELPGSTRQTTINFVRRCCLAYVHERMKRVKALRWKFGQIPLRYDN >PPA15435 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:2463771:2463987:-1 gene:PPA15435 transcript:PPA15435 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDDKKKKATNKKASWKNMSTKEKKKAQEKKRKHGAQMKAGRTANRRQKRGKPT >PPA15061 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:551337:553510:-1 gene:PPA15061 transcript:PPA15061 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDAELTVPQEITISTPYLKAVGPYMHMHCEAEIKEYMLRRRELEDPRATLKEGAAVTACGVRFLQSLKKNCAEQTKAFADCIDHGSSKLYVSKCRAEQAKMDQCVEEQLHIKRPALGYFSKPMVYESAKPRPVVVQRDYKAEAAKVIAELPEEYHLRSDYRNYRDWRYNVAESINMPPRPLLPFSSGVDVTRVIMFAI >PPA15210 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1226022:1227034:-1 gene:PPA15210 transcript:PPA15210 gene_biotype:protein_coding transcript_biotype:protein_coding MKLILHLLIFGVLFVFTVESRAKRTTTPPVDDAEPDVEDVEIAPELDEEEPSPKARKAPKPVAEVNQPINSKTCPMRELTAKELKSVKKTKEYTCYKLGEAIKEKAEDNQRPTAKEAEINEVCLKEYTEAYLKSEYGGWINKAMRFFKRTWKKIRGRVNKIPCAHDDKILDFALGLRNGKYE >PPA15252 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1510441:1513326:-1 gene:PPA15252 transcript:PPA15252 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mrg-1 MSGLTYKVGEVILCKHVPLDEAGYEAKIMESRPNTEGSGNEYLVHYKGWNTRYDVWIDHDEVDNMFFKHTPEDAIAHKKILDEKDKKSSKTPKKTKAVETTPTMSSRKRRHQEREGSTASSQDSQHDRIAANQDSAIVLPDKLRAMLLDDSDIVNRQHKLVKLPAEFTIETIVKDYGKSVSGQDEIPDVAGNDEMLIEYNEGKGHKKDVVLNKHDMIESSFGVIDYFNVLMGSQLLYAGERKQYENACVNPTPGAPGRKVNKTATPELEEMKILPSSVYGAAHLVRLFVKQVKDFIMFLSKNVHKYHDIKRVR >PPA15079 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:634665:640022:1 gene:PPA15079 transcript:PPA15079 gene_biotype:protein_coding transcript_biotype:protein_coding MARVKDFAEKEGRQPRIMIAKMGQDGHDRGAKVIATGFADMGFDVDVGPLFQTPAEAAQQAVDADVHVVGASSLAAGHLTLVPQLINELAKLGRSDIIVIAGGVIPPQDYDTLYKAGVSNVLDKLFVQLDGPKKQAKVPKKNASQRNPDKSTEVRIPDNFNELVAQLENIRMLNENLQYNINTAVSSDNRELTNYRTRQTLSHRFTEDGDNMPRVTADFVAADFHILALSVKDPHHRQFLQAAVKCMEEIAELQRSFYVSLQDDLIVQLRQWRAGEYILIKEQVTRLEKMIIKKRDRDIENPKNFTEFEFKARLKMNLEQQIQLTMKLLERVDAAAYSHSCIMMNLMALQVKYHQGCEAAITTALQEICVARPKD >PPA15284 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1644745:1645978:1 gene:PPA15284 transcript:PPA15284 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPPLSEGYSSSNDESFELIDSPNGNTDSNGIRSAGEKGINDEAITSEDVVSGVIDETALIDAQKSLEIGDGDDVDVDEEQADEEKSIDNVVVSSADLEDRQILETSAVEEQDKHGGSIEETEGVAVLQDLLTLRDAEIGELENEVMRLSELIDAHKDVEKKTPRRLFV >PPA15095 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:700415:701805:1 gene:PPA15095 transcript:PPA15095 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSEPVFNWPKGAEGPGNANCHGKDQQQCDKEIRCATKNVTANVSTHPLLDPEFAKLVVNMTNPSACQVSNLAREMAMAGGGSSPGSKGPGIVEAIICGIFGCPDKSNGKRKKRSVVTAGQPAQAVAVDLPGFGSCEFANKNFRGAKECVEWYRRNGAILHVFFENFEVRTYTQAATYTLVALLSDVSGHAGLWLGMSVISFVELIGFIAMWFLKLFCGKKVKIMDDDVVDGAIKRGREYRKMYEK >PPA15328 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1873375:1874157:1 gene:PPA15328 transcript:PPA15328 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPCQPNNDESTWVPKQVNDLIGEVDDLVYEVCQRRKRRPVEIVKILKEVNERKANRMADDSTINVIPLQDSLINAEEVSANVIGKLTDADERNKRLVAAEAESKQLQATLKEQREILEALHSILNSEYAPIHCPRFPSN >PPA15182 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1111311:1112176:1 gene:PPA15182 transcript:PPA15182 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPHYIEKEEEHEEEEDEDAQCVSKKEKKCVKRPKLESSLYRCPIESCSQEFLSERNLEQHLDVGRHLRRPERMNIQDISLNRYASFLEIGAPPKVCHVIDEAIGSLFDDTNAMESPLPMGWALSERKKSERFPDSVKSFLKKLHDEGKWIERKEKCPSHPEPEQDEVNDLLANDHYEGDPESEYETEPLFDVTDLMRIALTREHNNLWSKEGEKGGTEDGKGDAMEE >PPA15361 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2051176:2056209:-1 gene:PPA15361 transcript:PPA15361 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ulp-1 MSEAQLAAPLRVQADTQRKRVQLDTSGGPPAKKSIVTKAWWALKSLMPWSRSESDEDGRVVTVNEEEEEREDGDDDVIEEDEEGEEVQVLEEEEEEQEDEEEVEVVEEDDDDEDIVALPLLGGQPPSLHRVPEVVTMGGSTDEEEHVIRREVREEEVLIVETPDKTTLYKRTISPLPAAPPAAPPAPVVTLDSANDSDDEIVEVPQVASTPSCSSSRVFSTTSSFSHAHPTLTGLSPEVTTRVMEYVTEQSSRVVTAHSPSQSSTASYDRERLRVEEEEGRGDSPLPEDSASRQVTPKSAIGGTERSAYGGPKRDTWRKNNKRDRDPFRLVGRSRVIGKDTTSLTKKTTERLRMMNNQRHGEKNPFDDEAKQRYKQIIDEIAKGNAMGNGRLVMKEQATLPFTFITPDLNTRQKLGLEDHKKRLAVGTKMALNVLDDMFPRRRGVETIQVEGRETVDREVAGPSRDTTITLDESPSRADYSIRSPNVSSLSFHSVDKLSDLQSKIQEMKLISTCGKLQYDIYKGSKADFDRTVERLGEEGDLRTAHRLEVERLHHIDVRTKLALQGIVIPEPEKVIDEFPDLSDEAEALWRRAWVRGVETEKFRDGDPPLTRKDLQTLYGANWLNDEVILAYMNLIVDRSKADKNLPSTYAFNTFFYGNISNPSKGFATVKRWTRKVDIFSYDILLIPVHLSVHWTMAVVDVPAKSIHFYDSMDGKGGHREVAEKILNYLVAESMDKRKISLDVSEWERVSRTDIPQQQNGSDCGVFACNAKNGHSLRPIPYAVLPKAYGLPTVSE >PPA15111 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:771653:772771:-1 gene:PPA15111 transcript:PPA15111 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLVGVVSTGSLVFVALSMVYIASMVNDVQSLQEEVSVNMDEFKVMAESTWSRLVAMHVNPTGSSDAPPTFATLLGRNKRQANSQCNCGPSSRGCPAGPPGPPGNPGERGADGRPGEPGRQGANGIALAVTFDTPGGCISCPPGPPGPDGQPGFPGPAGQPGQNGFPGPAGNPGRDGQPGAPGNNGERGRDGQPGRPGSDGQPGVQYTRGEAGRDGAPGRPGPQGPAGQPGQDGAPGQDGQPGENGRDGQPGQDGQSGQPGEQGSDGLPGADAAYCPCPSRTSSYSEPVHAAPPAAQGYRA >PPA15189 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1126210:1126929:1 gene:PPA15189 transcript:PPA15189 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSAARVVALLADQVGFDNDPLAATREERKLADTLAHILREATLDSLVFETREEIGMADPKEEDEESDPTWNADDLDLVDDDPPPDRKRFLFSNGSATLETIIAAANFYRETTDKPHRSLSCMKTTYRFISNDYDLRKLEMFARENDENSSRRLSRIKGLQCLSDDLLKEGIPFMTWTWQLWL >PPA15226 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1323331:1325992:-1 gene:PPA15226 transcript:PPA15226 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYRNAAFFGVVVVHVSTSLLKSVKRAMGRYSIPPTPSTEEAMLASPLYGFEVAELAALPASMLIRARELAQRLHEEWKTRREQEEEMMETREILRCAHAFRDLLRQPRGATTKTNMMQIRARFLEVVRARNAMERDEEEEEE >PPA15396 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2265807:2270038:-1 gene:PPA15396 transcript:PPA15396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-riok-3 MAGANVWGKKNAWGVAEEVVEEPIVSFVDIMSEELVNQIGEECVPGSSTEEVKYDNDEDMARALQEQFDREMEVAAQPARPATDAVRGTPGKRTTSITEYKDDEEMARALQREFDREIEMAELLEQSKKTPGKLNSVAVAADRYCPTTRQYRGDENDDDSFESDEEDMRELNTNKKYEKMNEALGPFIGGLMRTPNGDVMSKHDPSIVSTKNVERVMNGSIDVPTGDCYSVDMNSGGNVFNKLRSFAKTEQKRINRLKDKEEKATIETSVDAVTRLTLFKWINQGLFDRVEGIVATGKESAVLSAEAHSLLEDDQPYFLAIKVYKTTLTDFKNRGEYVVNDFRFKNPRRVMRIWAEKEFMNLTRMFNKQLPCPEPIKLRKHIMVMGFIGDDQGIPAPKLRNVQFDEEAEKISAFEQTKNILLRMYKECNLVHGDFSEFNLLYKDGVVYVIDVSQAMDLSHPRVLHYLLRDIENVLLFFNRHETPNLPSHTALFNEITDLSMSEEGDLSCQVEEFEACNRNTQLRIDKSKPADLELRKMQSEQGSSQLAAESFEGSKEAIRKREKPSGMKDGGGKDAKAKEAKKKSIEEGSSRTKKATSDEEKKANKALWGKKKCKDMAGEFNAKIKRFVANGVTFHTCKTDANQMKCRYADVLCIDQTRVILKNRGKDDDFIHANWVGSPASGATKYICTQAPLKETQEDFWHMCFIEKVSLILMLCNFKEGSEMEKCSNYFPEKPKEKMKFGPYTVTMKEKLAEPEIEETDFSVMEIKCNDETVKVKHCFMRYWVDNCAPVETGPILKLWRWVRKYHNDRPVVVHCSAGVGRTSTFVGIELASHRIASNPDIHMLDVVKELRKQRYQSVQAHVQFLYLHYLVLDYFVQEKIVDAYNLKDSKFVTDYRKHAARRTVRAKQQASKQVAEPREDGSDAENPKEK >PPA15116 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:789738:794921:-1 gene:PPA15116 transcript:PPA15116 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQLQPLSDRPELFARGADGIIYNEQRPYDLLSSECSSGFREKICISLSIPYAASSLLHYAGSNDWQFSAYFYTKKGGFLQQNYFLVTVNLRTLDFRIIRETESPSVRQILDIRCAKEGELIFANTQQPQTELVVLRLPDRYWKSKMAMEEQLRESGDYLLLEELRSSIRLHEHKIGKLEMELEASRTENIRLQKPSKKMRLLGFVLVALLGVITILGAHSLASLLQEVTVLMVTIFVGNTILQKEDDLPFGRSQFKGAKASRTLLQSGER >PPA15391 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2230899:2231561:-1 gene:PPA15391 transcript:PPA15391 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGETYHPEFGKMVARVGAENDRVGRSSQLMSFVILGSVIGFILYTYLSHVIFVRKLRREMERA >PPA14975 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:100985:107325:-1 gene:PPA14975 transcript:PPA14975 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQKIMDVEVNLYIGNVDPKGNEKLFDTFSAFGVILQAPKIKRTPDSENSKGKTSRSVMTAFPIEQLFNKLDEADKNKILRGIAHRESRIKGDFDKHAKRILKMNRYCRADEEILEWQLLVKFNVAKAAAWKNLRKMLLPEAGQYRKASDPTHPEICSHLFHIICIEVMGNDRVMNRIRGVDQPVLPEFVRCFSDEELAKFIHSAGEHKREMTPTYFIGFMLLRPDLIGEHNNKDHIIEQMKGSPLRYRGFEVVKTAVNNYCNHDISERIARLMAQLKFFFYTPEQTDVLADSFHREVEVMYTMSNKDPLAQNLDDASSCKAYQQNSVKMFEGTFKFYMEYCYCGDAISNVADLLCVIPSDGECNPIRRVLAYAFMYHRRWVPDIVAKTPWSQGVSNHSNAQRRRYGKVMGISIKEFEWGADLNGNIDQARDEAFSYHKCFVSLARFIGRFNPKSDAFRSAIAQSGGDGMVRLIALALIYEGQYETALALLDVPVTSSTESDRMEDAISFQFIADRSYYESPHLHFARLQAMIKAKKFDDAIALALEALFHAAKVGWTSTDTAQIVKKPTFTDEDEESDDGKAEYIDDVEEEEPKKSTVYMIAEQDTGLYTCFLLVNIIRSRWEESEHKCPSYYQALLALSQLNFQFTGKSLIVELIAEIEEKKELNAPNLLSNLQNRYIIGEFSRIFAKSPELPIFVCPGMKDYRSFTTEAERNEYKREILSVKLTSCYPRNRNTKEEKKMVYENSTVFTGKLFEANEDPKTLISSIDSILDKQTAEIIRGVQGHEVFEKHGKEIAEKMRLKKTEEVAKDNSPDDQLKEDEPLDTGEPTNVGTSELPANVEMKNA >PPA15258 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1556123:1558933:1 gene:PPA15258 transcript:PPA15258 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHPLPSERESKIERSIHCLIVTSSFSFRSYDDELRIARQESMDHVVSNHTNISRISIFLAVTFIVCNWPYAVIDYFYDEELEANTAYAIVVLIINFMQIVYIQLNLLFFSLCSSVYRRALMHILKKPVNFFLAILEKIRAFISWKAKSSEN >PPA15106 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:748000:748553:-1 gene:PPA15106 transcript:PPA15106 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFVYFILIMFFLVATLYCEFLVKVPRFRTCDGANSTSLTADSQAEVVRSLQDMMRNCTEVPDVFSAFFPIITEEEKPIRDTLTVYSLLFVYFLFSALVQTDE >PPA15218 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1252742:1254219:1 gene:PPA15218 transcript:PPA15218 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVLPYSSHPIPFISIHSLPHLSMSTAVSSSLLLSDSDDDYELIDTAVNRINVVSTESFKVQLHTDPSAANILPFCMNFRKQLADENATPRDSIEIMEKSKKDFQEMDDEGKEEINLPDETKNDAKTGVNIKSENKGTRRSIGVYEIYLFAFLFFVALSSGMMKNNKEKHLNAAQDNQHYQKVVMDIHGLLGKNDILDKEMDLNQKKLDRIIAEMATNNAEIERLKKIENEMMKEEHSTAADFRFVTMPEGWQVKIVSHFVVEIQKNGGDLRVGDQILAVNDADLYGLDKKTLADIVGDGKRPITFVVRHNPAGMEAVKRIMELKNEWMEMKKNMKK >PPA15307 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1756689:1758528:1 gene:PPA15307 transcript:PPA15307 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEVLDAIAQDEQPEQVGWSGMLMAAFRAFVMVQVLSGAFHYFTGSGTGSSVNSTSAANLFTPGLHFDFYAHLSPSNNKELALREPPFWMRKDFTYDWALEEETFSQTIPTPSALLANESMYLHVFIVKANLSINPDDKNYAKASMIHGCKQLNRYMKRRYSKTSNLLTGTSAKSEEEQRKAETMTHETLNFWHPNMTISLVIDQTPWPHHEEQSKDGPEQPPHTAVWLCTGRLSPNSDILANYINPVQHHFSQRLFTRWRIP >PPA15257 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1550084:1556054:1 gene:PPA15257 transcript:PPA15257 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQDGNVDNGMKNSTTQFPQIPVLTWQLDCTDDELLPLSSSSSPSKASAVLPYSLLRLVDFKANDEVFERDLTLSATPDDVRLGHNSTQSCLDRVSDAVGGRLLCSVRSLFESQFEPRSGLIVGASLGTGTFAAMHKDMLNYAAHPCNHGTASGRSLDDPHYTPPGPSSEVIIALQGGFLLYGCHNYIVIPHNLIQFKECKEPPEEEHPPCTLTAVVWKQNSTLIAEDYVCCCNGTGCAAVIVDQGGLISPFPLLRFNIELDEAVTSQIERMIYPHGGGKYRIGGNLTVRRLRYFKNIDFGITDIVLVCMIFFTIIGSMALLVCFQWLCQCLGLVFCSCHLALLTYLVIKKNGKSYELLVSQAIISVLTLILLISGCILDILDDILPDVLLFFRAYIWLFLVNSLMGAYGNLVVVLCLDRYVAMHRPLYYRNSFAKRRMRYSLILGSLIIGFLTCLHWLWVNTINEDGDIVENSSIVDDWQYNLVRTLSYLLKYLCPGVAMVGRETNMPAHIRAKNAA >PPA15075 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:615118:616329:1 gene:PPA15075 transcript:PPA15075 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKRQSEEEAALKRVAFFSVSFATVAILATIVTVPMMYNYMQHVASNIQDEVDFCKTRANSLLQQYTFYSIGNPSSARFPRNIWFGDDRTASDNQDYRARAHAAQSYSAGGGDSGPTDIAAQFVAGGGAAGGGGGSCCSCGMGKAGPPGPPGSDGAPGNDGQPGGPGSPGADAAPSAPAHPEFCFDCPPGPAGPAGSAGPAGPDGNPGAPGNSAPAGGPGHAGPPGPPGPAGNPGGPGSAGGPGPAGSTIEAPGAPGPAGPPGPAGAPGPAGNPGSAGSSTAGPPGPAGDKGPDGRPGAPGNAGADGSHGDKGGGGGCDHCPPPRTAPGY >PPA15151 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:948246:950893:1 gene:PPA15151 transcript:PPA15151 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDTDTVAVWHVPLQDKVHKIEFEHGTTTGRRLIKVDGKEMIRRDWMFKLVGKEHFMLHDAIRCTITVEAIGTFAYEYSLEVGGKTYEKFREEQSKKLQTWHCQIGESDCRICLDKQTMDVWANGKPVETTGEFGDEGTETHFQINGVACKVVSVNSGKRSTGVVHELYNRFTL >PPA15028 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:325083:326818:1 gene:PPA15028 transcript:PPA15028 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGDGVKNLDTLPAPPMEVSRACKARVDHCFEQKKNAPAVDVVKIMCEDNRIELEICMRVATLPLRPHLKNLRPYVTRVVLPNMGRIPRVYRITVSMEGRDEALMEIIGKFLSVQVPFLSVTNVQNLEQLQLINRELLDKTKIGGCEVRLIDTFEQNDESSNELDGMADSHSIPFLSVHHRDEKMKMISASVCWEDGSDSDKDELDDDLDDDDDELYDYMARPYN >PPA15112 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:775942:777842:-1 gene:PPA15112 transcript:PPA15112 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSPEESPAADEALSHEVLRASIAEKSERPVKKILETRVKGKVLWFSSIKKFGFITRSDTEEGYDEMDIFVHSSGIAWNNPKQRFFTSLTEGQEVLFDIEEGGKCGSAINVTGLDGKPVKRPRRRRGSQNNQAKKESVDADHKIPRKKYGAAKKTSDEKCCVTIAWVSIDIA >PPA15392 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2238875:2242312:1 gene:PPA15392 transcript:PPA15392 gene_biotype:protein_coding transcript_biotype:protein_coding MREELMYRLEVLIDTDREEEEEFAALLFQLMAVGKNSFTLKEISLFVVYAQRRLRETEERMEKEKEGGSDADNCYFPSNDIFVDYIFASRLSLSVKVHLVRNYLLIRMAILQLRAVVTRGSRKREVDEYKEHVKDCLEAYSLNALDLPSFHPLRSLPLFLLLEGAKEKSIETFLVKRAKELKFTRVSLRGVSQLEGKDAVIRDALEDAWFNYKYPFIKKTRDQRSKDKIK >PPA15274 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1619453:1620037:1 gene:PPA15274 transcript:PPA15274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EZK9] MAQSVPPGDIATQPGTKIVFNAPYDDKHTYHIKVTNSSARRIGWAFKTTNMKRLGVDPAAGVLDPKENALIAVSCDAFAYGQEDTNNDRITIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA15188 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1123681:1125480:-1 gene:PPA15188 transcript:PPA15188 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTTSQQVFLHSILSYTFLPISLVLNALVFVLSSKTTKLGATLKLIHAFCGVCVLLSIAHAISLAHWEHLPYAIAFFPTGSLATLDYITPIAFQLQQVAYISIICLVGYMYIHRYRTMLAASQRGNRRWKLVIAIIIAAIVQWETICLFIMKPNDKMRAKFNVAFVESYDIDFMRLYFLAVDLTEPLDPWLIFNGLGVLIEVSVLIVLIVWCAISQAIHPLLIFELPSFINFFQLMLNVQFSEMTNLLLVLCIAVFPLTNPLLTLLLSREISAPLRVFLRIDNGQSRSGLPIHTSLHSVVSKSPHMPL >PPA15378 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:2154522:2156836:1 gene:PPA15378 transcript:PPA15378 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVAWSRARDNAYLTAGTFVFVEPDVVQVSKKSDNDWVLILRNAHRSHSGCYLCEANTDPDTIIYPVYLHVIEAASTTTVARTREEGKLMAAVNGDEVVLNCTLSVDETVQDDEVKWYRDNTLMDLNDTQKYVLKSKRSSDVLVHTMRIRAADAKDDGNYACILPSKAYKASQIVHVNMITIDHFIYGSQQ >PPA15089 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:683826:684367:-1 gene:PPA15089 transcript:PPA15089 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTGEALAEDRDYWRKLKRIMEQTGRLNMQLIEKINRDNIDIVSFKISAAHK >PPA15203 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1185130:1189663:-1 gene:PPA15203 transcript:PPA15203 gene_biotype:protein_coding transcript_biotype:protein_coding MITLVIVILSALSAIYTFYLRSSVYWWRRGVVAVPPRSIVFGSSQDLLQKDYPRVLKYRDWGREYGKTYGIKEGVHNTLVTSDLEMVHEIFVKQFDYFHSRKRVVLGPDLEKDESVNLFESRGGRWKRLRTLSAPSFSASSLKKIRPVVEDSVLNMVKIMEERHLGRSTFNIHQFYCEYTMDTIGRLVMGQKGSLIFNNPRVAVAQSLFLRNFDRPIVHVNLAVPLLNPLTRWGMNKFGSKLSEDSDKLKAEMATAVYERIKQRESFQEDSTDTTDFIDLFLDFAAEMAIGDKKEFKMSEAQVSKNLTVEEVVAQAVIFLLAGFDTTANALGFTSWMLASHPEVLKRCQEEIDENCCEESISYEDLSNLTYIEAVCKETLRFYPLGAFANSRMCMKETEVCGLTIEKGTNVQVDTYGLHFDAAIWGDDVMEFKPERWLESDRRVPPHAYIPFGAGPRICIGMRLAMMEEKLALAHILRKFDIVKENTQADLVLQGSLTLTPVEVPVKIVARNYSSVEKYYHSLEFMLSIAEN >PPA15195 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1153598:1157774:-1 gene:PPA15195 transcript:PPA15195 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNKVSPSQDPEDPTNAHDKCVNTGPSSSNHNPIILKPAEQFVFDFYSGQNQNTLVEPEQTILARKVRRAMSESRKNSQHEEPTLPPKSARTFHVAPALRPLRHIVHVVILVAGWMLGTCIFYAIEVPAEREAVAATFGQLNDAFTTIAEDLLVTGRNENQTVLKDKVKQSYIKLLEIEGKWRWSAIQKTEGPEAHYMWTFGTSFFFTWTLFTTVGYGSIYPGTDLSRGICIVYSCFFYPFSLVVIRDLGQLLLIFFTRVYGRLLIKIREARGYLTSDKETISLPWVITAAIAFAFMFACAWAFKAYDDAVGPEEGMSYFISFYFTFLSLTAIGLGDIMPTNEPWAPLIGIIIVAGLPMMRVITKMTYTRIERAYFGAFLYVESWLEARQTKHAAVDAISEKGEEDCLSSDDEDDDDEKRREFLCMNTEAREQMMQNFTVRSLAQYIASGDDVYGGEFGRVCMRKSEL >PPA15230 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1360829:1361663:-1 gene:PPA15230 transcript:PPA15230 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHFVMFHTSAEDDYRSCSGCNEKLRTDNEHKVHCLTKHTITELFKPKKMVIRIPQEFSDYIGILCRYHLNCSPYPVFGNRLYPF >PPA15011 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:246639:250951:1 gene:PPA15011 transcript:PPA15011 gene_biotype:protein_coding transcript_biotype:protein_coding MVRASVVLVAALLTSVFIAGSAKMMQPKNVMEKEIRLALLDEIQGENIKANLRVLTKEPHQAGTEANERVAKAIEDMYTAAGLQKVHTLTYDVLLSYPDWDQPNTVAIRNPSKGVDIFKSKGVTEPILPDEQGAPHAGHQWLAYSAPGIVEGDVVYAHSGTPTDFARLKEMGIDVTGKIAMMRYGQSFRGNKVAEAQAAGARAAILFSDPAEVAQGNRDDVYPKSIWMPPEGVQRGFIMNGHGDLLTPLYPAKPGAFKSKTAEEAKAEKILPSIPAVPISYTTAYEILSRMSGPTVFSDWQGGINVTYRLGPGLRDGLKTVVDVKSSLQNRHIHNVVGYIPGHDEEDRYVILGNHFDAWVYGSIDPNSGTAILAEVARAMVELTKTTSWKPARSIIFVAWDAEEYGLIGSTEFVEEFVQQLGGRAVAYINMDCFQGNFTLDANAVPSLIDVVIDVAKRIPNHVEEEVQAGRKTLYDTWIRTNPGPNGEPDIKPLVGGTDHVPFLTFAGVPTIDFRMENTTRKSYPLYHSLFETPFVNEHLYDKPDFATFLNTDILEHRQNVYENNYM >PPA14994 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:153201:153429:-1 gene:PPA14994 transcript:PPA14994 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLDGNSITETKRRIGSVLSKAHYYIFVGSILEICDQIKIRPERKKIRPERTRSRRAFY >PPA15434 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2462426:2463514:1 gene:PPA15434 transcript:PPA15434 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRRLLSSAVKVASRRNFAAAAGLPVSEGAPAALPQEPRVVSSKVNALVDEIANLSLLEVSDLNWALKKRLNIPDAPMMSPGMMMAAMPAAAAAPAEAAAAEDVPQKMTFKVTLAKFDDTKKIALIKEIRNIIPGLNLVQAKKFVETAPCTVKEDMGKAEADELAALLTKAGATFVLCYSNEMNMPRNPISPIVVCY >PPA15387 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2202344:2206348:1 gene:PPA15387 transcript:PPA15387 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDETWLTPGLSSASKDKSGSSSKGTQSDLDSWLSTTSSAIDFSTRSALSRRLKGYSDKKGQRRRESAWNGESPDDCRNGRNHSARRRQLRSASTVSLESVSEESSPRKQSVEEKEEEDTVTLIYSSPLAQSSPLVAPPLDRSRSLGYDSIDSPYGADEEDEEYKKLEEAALGLSLQSEEEEEVREVETTQDDDDEFLSAASEFEDEELEAAHHNGRSRELESLDEEPARVARQQVLGARLGVTPRSSSVSPRLAATVHPTSTPKAAIPRVERVSADIYSTPVGTLNTLPRRPTLEANKTGAMSVSLHMPSGHLPPRRIPPGRGVENGKSPLGGGGGKEADKKVNLHEDLAKIQNVAREQEEALRREVMGSLSANDVSNGENGRDYLDAETFSL >PPA15165 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1019299:1027616:1 gene:PPA15165 transcript:PPA15165 gene_biotype:protein_coding transcript_biotype:protein_coding MNTARDYRSVLLHYFLLGRTATESHRKLVQTHGENAPSLHTCFNWFTRFKRGDYNLEHQPHPGRPSSRVRGRVLRELKANPKSSVRDIEKTIHIPKTTVARILHDAGKTPKLPQVIPHDLTTAQLKKRVDVCQGLLHRRSNFNWVSQIVAMDEKWITYDNPERKLQWVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVRVPPKLLSEGKILMLMDNARPHHAKITQKKMDELEMEWLPHPPYSPDLSPCDYHCFRSLSNFCRGKKFKNTRRTCLPAVITTCLALGTRRMAKKNAIVRSLPSVETLGCTSVICSDKTGTLTTNQMSVSKMFVADNASGDSISFTEFAISGSTYEPVGQITHNGRQVNCAAGDYEALTELATICTMCNDSAVDYNEVKKCYEKVGEATETALVVLSEKMNVYGTPKSGLSARDIGNVANRVIQSKWKKEFTLEFSRDRKSMSSFCVPSNGGDAKMFVKGAPEGVLGRCTHVRVGNKKVPMTSAMMSKIQDLCIQYGTGRDTLRCLALGTIDSPMSPSQMNLEEATQFAQYEKDITFVGVVGMLDPPRTEVRRAKLFARVEPSHKSKIVEFLQSHGEITAMTGDGVNDAPALKKAEIGIAMGSGTAVAKSASEMVLADDNFSSIVSAVEEGRAIYNNMKQFIRYLISSNVGEVVSIFMVAALGIPEALIPVQLLWVNLVTDGLPATALGFNPPDLDIMDRPPRSATEGLISKWLFFRYLAVGTYVGVATVGASMWWFLLYEDGPQITYYQLTHWMRCEIEPDNFADLDCAVFEDNHPNAMALSVLVTIEMLNAPGDFVFQRHAKGD >PPA15409 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2347580:2350994:1 gene:PPA15409 transcript:PPA15409 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFKRGIERGGPGYVGLIAEEAEDMWHIYNLIRVGDTVKCSTIRKVTSESSTGTTSSQRVHTTLTIMVEAIDFDPGACALHLKGRNIEENELVKKGAYHTLDLEPNRKFTLEKLEWDSIDLERLDMALDPATQADVAAVVLHEGLAHVCLLTPAMTLVRAKIDVQIPRKRKGFASQHDKGIEKFLDNVSAAMLRHINLNVIKCVLIASRGFLKEQLFAHFLEYADKEGKKILGEQKAKFLLTHSSSGFKHALREVLEDPNVAVRLADTKAQGEVKALNQFFELMATDPERAYYGYNHVDRANKEKAIEILLLSDSLFRSNSIETRRKYVKLVESVKRENGTVLIFSSMHVSGEQLTLLTGCAAILRYPMPEIDDLLEDEEQNN >PPA15066 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:585421:587256:-1 gene:PPA15066 transcript:PPA15066 gene_biotype:protein_coding transcript_biotype:protein_coding MSELTRADLRFVRIPRRQEACVPEAIRRRTTGTGRMRHLKKIQHRFKNGFREGTVAVSQKKRTQSAASN >PPA15429 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2443642:2444686:-1 gene:PPA15429 transcript:PPA15429 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPSESRLVSLAQRWIFEFGGLEHKEDSSTRQASYSTYSGTQSACNSVNSPIGNQGSRTLSPAIATDISFLPTLNLDEVDHRGRKVYYNAFAYDFWVHESRSMIWTVNRIPSGNMWALISDFTGMLSHFSEALQAVARPQDPVAELMKQLAHEYDSKFRRAFGMKLNL >PPA15325 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1864122:1869719:1 gene:PPA15325 transcript:PPA15325 gene_biotype:protein_coding transcript_biotype:protein_coding MCRQLAESSPYLEVVKGEGKEVLFLLDPADEVVFLATTSFSVEKWAEKAEDKTEDKKDERGDKKELYDWVKNTLGSVKGQRASRIPQADPPSASQSSRH >PPA15261 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1567276:1568163:1 gene:PPA15261 transcript:PPA15261 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKSMRDVSLSSNGWAVSSASAAPLVLHDYCLSGIPTHVCGIRIVAEGRATTGNGIKFSYRTTNAPLMVYFHGH >PPA15365 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2066244:2068807:1 gene:PPA15365 transcript:PPA15365 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYRPTQAVSHSGPTPQNYMYQPTGNQSNSGMYYQTMSGYPQQASPYAMQPTVSQGNTMVNQSGASGATGNGRRTRDNNGPGVMTPGIPRNQNEVPLRMTVDAKYVGAIIGQQGNKIKEITRESKAKCIVDAQKGMRDPQGSSEKIILIDGTAENSSKAVVKILEVIEAEKEKERDRELAGGDSGLGDLEKSSSNEVELKLRAQNQLVGRLIGKQGATIKKIMSETETVIYVSNLPEGAASASNIAPMYAHDMGGMLAMITDRTITVKGQSISAVSTAEAKISAKLRQSFEYDVSSRMAYGPGMPMMPMPYADPMAVMAGMRPPMTSSFKTTKMYVPNNMVGAIIGSKGSHIRGINRSTGAQVRVEGNEKREEKKEEGVEGTESPVERESSPPVKTSPESEKKKDEDEGEEIEKREEERLVTIMGTDNQIYKAQYAIFAKIAEQQQLYLEEVKLRSEVNVPSRLVGRIIGKGGQNVRELQRLTGAHVKIAEENNPENREKKDEKEEDGETTSDGGLNGETTVRIVGNMNATINVEARIASLSLERMAHIPQPLQHLQYRIRLNK >PPA15212 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1237616:1238658:1 gene:PPA15212 transcript:PPA15212 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVQLFFLLIFYFPGMQADDTTTTASPLKTDASMMIYPRHWPCWWWDTLPELTADPNSDMTDAVPKHRPWWPCWWGIGPVIINPKPGPVPGPLLPTTVA >PPA15124 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:831735:832407:1 gene:PPA15124 transcript:PPA15124 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRASSTTSSERGNDRRTALVRPASKQHRRAGLDGEGIGGLPEPPHPSLLPPPDRSRGYGSWRALPRQTAPLTAPIVVSSMGRTASCERLDQPARLRPFIDRPRHSLRVRLPAIRLVVSRTDLLESSNIALLGIEWVSQEL >PPA15271 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1605872:1607138:-1 gene:PPA15271 transcript:PPA15271 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKTGDVKTPECSFTSLFVVVVGNILVFLATSFPFLACYAFAVSLIATLTAMVNVHPAENLSGFDKACIFEIEGNLNGAGKEKKKTTNLKKLKSRFRSLLFSIIKHGKNIYEIYPDPYITLTVNDGCETENDSMSTFVDNAQTDRIVTIRTGSDGRFGISRYGTSICRVRKGSSANLEGIKKGDQIVSINEINVETLYFDIVPDQFKQARETGRIRLVLRHNPDRLNQLKWLRRA >PPA15440 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2476587:2477985:-1 gene:PPA15440 transcript:PPA15440 gene_biotype:protein_coding transcript_biotype:protein_coding MRARLCMKCALLRTLAPPTFSFDDGSAQRFLFTAEFVSEGHPDKMCDIISDTVLDAHLAQDPNAKVTCETVTKTGMVLLAGEITSKAVVDYQSLVRNAVKKIGFDVQVSYAIGVAKPLSITLELLSIVNDNFDLRPGMLMKDLGLKNPIYEQTARNGHFGHETFPFEKPKELKIKPELLAKLKARDFNQIEQD >PPA15291 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1665469:1666307:-1 gene:PPA15291 transcript:PPA15291 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHSSSSFESRWTIMDGSESQFHDPAIENQLTLLALLACAFTAYSLTPCEDFCQGTILGLTPYCWCNENFLKFNRTCFRKCIANCKAKPSYVGCIPSDGIPNAQLWICCIKKVDWQKNFKCDSECWSTALPV >PPA15351 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1993029:1995783:-1 gene:PPA15351 transcript:PPA15351 gene_biotype:protein_coding transcript_biotype:protein_coding MTQFDNANPVIYQTKERAKTDLSIFDDTQVDEIDAGEIFDLIRDINDPEHPLTLEQLNVVQEELIKVEKTEREQFVHVNFTPTIPHCSMATLIGLAIRVKLFRSLHPRVKVIVSITPGTHNTEDAINRQLRDKERVAAAMENSNLIYAVNKRMASKAARTTAEYLKRQAEDPYCVAAREHNYRARSAFKLREIDDKHKLIRPGSTVVDVGAAPGSWSQVAVERSEGGLVVGVDLQAMLPLPGATLLSSMDIREKKTHEAMRGALKGRTIDVVLSDMAPSPTVCIFGDRATDHIRLISLCRMVLSLTQQEHSPIPLSKEGIFLCKIWDGTERSQFMSELKEVFSSVRLVKPEASRDSSAEMYILSKNPIVKE >PPA15399 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:2290024:2291339:-1 gene:PPA15399 transcript:PPA15399 gene_biotype:protein_coding transcript_biotype:protein_coding MPFMVPHLPLILFLLPSLIVSLPRPSSPPPPIYSDIDAHAVIEPFLRPFSHMYDMMQENHKAMSYAEKIEARRAESPLARSSGLFDLIEAVQESTTTTTTQSPIRQLLAPYIDPFERGMKMFEQSMIYHLTQEMKDVGLIPTTTTTTTQAPTTTPSILQKSLDLLFAPKRDEKGEASGPGLFASMLQMMSETAVKSFTGGSEQEEFRVKRRVKRQLGHPSSPFDLFDSMLGFNDPVALSNPFTPNPLMALFTTSKPLLSLPTAAPATPSPIGLPSLKIPSLGDSAFRIQDPFYNPLMPNRRNKVWEALEGMEKANKKLMKSMSLH >PPA14981 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:123128:123955:1 gene:PPA14981 transcript:PPA14981 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRWSRVPQPHALAEWAHAFPDSVRTEIVVAAHGGGADIAAQVVNRMTLKRDCRVVLVGLIDASSKIPCMYVVNNSAQSDMSSTEFTSAQTAASPSLPHSHLHKENSDVHNLLSIAMGAMNPAKRIKFSNDLLAFLTESCEKYREVVPDEFKD >PPA15121 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:809748:818021:-1 gene:PPA15121 transcript:PPA15121 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPMEVARRSLVTALNNEVAVRAGERPAAGGAQIGGEPERQREGAQQGAANGPGLFGRLRQMMMEGLGGGGGAVNEEMVRESNNLRTKDNINPSSARYSRYCPVCCHPNPRQRAVFSACGHIICYPCAVDNLNAIMPIHRHGKAGRKCVYCRQTSGFVKLFETKEQEMDMTDDRSGVDPLVQQVKNYVPQPISAPVSEPSSLTFKNLMLFLFGLMDEVAEDRLSSSDDSEDEEVDVEPEGQPIEDDDELDDHEDEFARDMDVVDGIRDGPPVDGPPFFAQRARDRFARLEQINRRADLQRMHRVWELEDEELRRHEERVDRSDGRDGEGGEEEPMEEGPRDEEAREGEEAAEELFAIANGDPIRIRFPWRRFDRWEDEFESDSDGEVTDQMVDEAKTLREKEDSAPFSARFSRACLICVTENPRQRAVFTQCGHIICFSCAVDNAKSNATNGKCVFCQKKSAFVKLFEDLDSSEKNMDLVTIEKSETKKDMADERDDQVARDIPNALEDREEREYQLRGGRERSPLLLAPIRYDDIRIIADFEARMDGHVVDQRGDQLERQAAARNAIVREQMARADDEDFARRVRRAQEERDRQQREDDVARRRMRQIEEEEIMNGLERAAEMDRQRRRWDRQDRHARLRAILREGDGRGGGGAERDERQPQGQPNEGNDLIQMEAVGGGADGAGGGAPIALPDGAQRARDRWARIEQRLADRNGGGNLDRMHRLMEMAEEQDMRRLREVERREALRDWRRRRRDDEGREGGEARDEEGELFDVADGDPIRMRWPWMRNLRWEDEEESDSDGDVTEQMIDEAKNLREKEDSAPFSARFSRACLICVTENPRQRAVFIQCGHIVCFSCAVDNARSHATKGKCVFCQKKSAFVKLFEDLDSIEKNKDLVTIEKKEMKTEQTGYSEELVFNNELDQAANCDAIEREQVERPEGRFARMRRHAAALLDQLRERQLQEIDYGVENGPIDACPHGRLVDVTEEMIEEAKNLRVKDESIGDY >PPA15303 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1738029:1740210:-1 gene:PPA15303 transcript:PPA15303 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPADVVKKVEAGFEKLQKADKCSSLLKKHLTKEVLDACKDKKTKLGATLQDVIQSGVANLDSGVGVYAPDAEAYTLFAPLFNPLIQEYHNGFAPDAKQPAKDLGEDKVAELVNLDPEGAFINSTRIRCGRSLQGYPFNPCLTLHNYIEMEGKVKMILTELKDEELAGTYYPLKGMTKDVQNALIKDHFLFKEGDRFLKEANACRFWPNGRGIYHNKAKTFLVWVNEEDHLRIISMQNGGDVGAVLARLIKGVKAIEEKAPFARDERLGYLTFCPTNLGTTVRASVHIKLPKISAKPDFKEVCDGLKLQIRGIHGEHSDSAGGVYDISNKARLGLTEYEAVKQIS >PPA15222 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1289078:1289620:-1 gene:PPA15222 transcript:PPA15222 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLPEDMLHYLFRFLNLRSKLSLGATCRKLYEFETEKNIKRFEFVSCDVTSVIATEHMNKHELDLSDHIRAKKAVTVFRKARIKDFAVRIDSDNSISRDNYELLSTLFEKIKTRTFEAIATLRTSMQLSNDTKPRIC >PPA15337 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1923513:1924153:1 gene:PPA15337 transcript:PPA15337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dnj-24 MFPSSHFGFHHKHHRHPASRIHVFYDEKRKPKDENECEFSTVIRFASSPTGNAQTTKTTTQTKVIDGKKIVTKKTEDSGQETIEVMENGQLKSRVVQALNSPPLTPTTPTPVTTTC >PPA15186 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1117563:1119555:1 gene:PPA15186 transcript:PPA15186 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVPVVAKNHSSACEADTVVKKSCPRSCHFCGPQDVEPKFDLKNLPAELEPLAFLVGKWRSEFGGKAFFPTIPRFTYGEELEFSISDQVEGKVPSLNYTAFAWDPNDLVELHSENGYLIVNNTHPEVPVVEMNTAMSNDHQMLL >PPA15315 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1790625:1791244:-1 gene:PPA15315 transcript:PPA15315 gene_biotype:protein_coding transcript_biotype:protein_coding MMPNRNRSRCFILVAFSDRIQSNFTVGIPWEDQEGAMSTYVSATNQLTLLALLACAFTAYSLTPCEDFCQGTILGLTPYCYCNENFLKFNRTCFRKCIANCKAKPSYVGCIPSDGIPNAQLWICCIKKVDWQTNLKCDSECWSTALPV >PPA15344 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1971856:1972831:-1 gene:PPA15344 transcript:PPA15344 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPCPSTLHSANSALNLNQDDFLYHFGLSKTSVDLEKLFGDVKFVCCGGSSSRFEHYAKEFSKDSGLPVSENLSRSDRFVIYKTGKTTFLPAISYFPA >PPA15278 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1630242:1631568:-1 gene:PPA15278 transcript:PPA15278 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAVIQPIQSLVVEDRSDDESEKKISDLELQLQLNTAIDNVNKEKIRAQDREIEDLKRGKLRLLEEINWKNNEFKNLVNLFDAKTSECDTLEIHMRKNVDQQKDEIQRLTHQVKIAQINKENAEKNEEQKRVEKEV >PPA15129 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:847646:849019:1 gene:PPA15129 transcript:PPA15129 gene_biotype:protein_coding transcript_biotype:protein_coding MADALEQRVKQLRHEYALQKDGEMMMNQRMIERLEHTIEMKEAELFRMQKESVDLLSRIDEVAKQRESMDAENQKLRRLLEKRNILTEKALKDTKRRLEESEKKRTAHLTQYNDVVSALKKENSDLVERAQRADERVGQVEEILVKEQVISFCLIGFVMAIMIVDPSIL >PPA15302 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1734402:1736665:1 gene:PPA15302 transcript:PPA15302 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVCKVLDAPTSAVAGHRSGKGAISIGTMNTPGLKTSKPCLSVFTASGKEHKYPLDGTSEVATVHDKFISQGKVTIVWKNPLRTTMISEARPEVLRNLVHKLQAVLKGENIETLKEITKEKKSDMGGQVALVVRKREEYPKTFPSASLKSLCLSGIGLKRVDGRWFTCTLLTTLDLSRNLMSTAPDIVKMKLVGRLVNLQVLNLSHNRFHTLPTELLDSLPPSLLALDLSYNSFHQVPSLSSVPSLTLLNMAHNRLTILPKELRFRRTLSLNLDHNQIKFIPYMLSSTRLNFSVTGNPLDEPLCKPKLSSVECPSMLQWAMQSIERNRIRLEAFLKVRFWEEEGIDFCDYCRVSFATNFVVGGLLFKVK >PPA14961 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:13212:17357:-1 gene:PPA14961 transcript:PPA14961 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxyphenylpyruvate dioxygenase [Source:UniProtKB/TrEMBL;Acc:H3EYP6] MKWIDFHFGSLDTSESVPFVIAINHEKGAKPDNGTFIGYDHVKFFVGNAKQATHRSRGVAAAYWYCANFGFEPFAYRGLETGSRHVAAHAIRQNKIVFVFETALLPGNTEMGTHLVQHGDGVKDVAFEVDDVERVLETARKAGAKIVKDLTVESDEDGSVKYAIVQTYGDTTHTLIERGNYKGLFLPGYKQHTQPADFFKTLPEVGLNFLDHCVGNQPDLQMESAVEWYEKALTFHRFWSVDDTMIHTEYSALRSVVVTNYEETIKMPINEPASGKRAVSQIQEYVDYYGGAGVQHIALNTSDIITAITALRARGLEFLSIPASYYTNLRERLKEANIKVAEDMDKLQKLHILVDFDENGYLLQIFSKPCQDRPTLFIEIIQRQNHFGFGAGALRVD >PPA15191 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1140001:1141457:-1 gene:PPA15191 transcript:PPA15191 gene_biotype:protein_coding transcript_biotype:protein_coding MFYDIHHSYLFNPYPLFPMPIFICNGFLCRWGAPTRLLMTFTGLIASCGSVGLSTVVFMRLRNLLPLESRFRLSVRESFALMGFTAIVFISNSIGMALYAGDDPRKEAILNRTEFSWIRQRPDALVWGDIGKKELLKLTSRKVSNDQGNRMLQIQVVRFLGMFLFVNNTMVAIVLHLMMNRVYQKIITYRVKRLFSAIKPPRRTEATMTASQAVSGHN >PPA15333 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1888161:1889467:1 gene:PPA15333 transcript:PPA15333 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIQLAHGSDMKTIPAFDSLPDLYKTIKEIFPAEATVDILYCTINSPKVDMDHLLSSSLGLGDVIYVHLVGETTTKKFIKTEKYRNPLFYSNLTVLDYSNLGLTITDNGAGRAFIKRIRDGSIGEREELSVGQQIYRINGEDMQGSRHYDVARVLRNIEEGQEVSLELISPLQSGFSFIAPRTNVKAPTKTVENGGMTLRLKTTGASVLQTAPPDATLLKGINVIFENYLGVNDDQLALLVAEMAMASQSFTELGDKVKNSELAVFQLPDEFIFDIWGVLSDIRRTEVVPQ >PPA14990 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:143615:145001:1 gene:PPA14990 transcript:PPA14990 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHLLFFLLFSTLVFVGSHTSFDLVEQKAAVRKCQDCPTDHTRCFEYEPGFLCECPNDRPRFGGHDHTIDAYYIPPGVKSRCEPAWWRVAGREHGMNIVWSEAQRLEHKGRQCQVYVDEQNEQRSDVGLTCFVTLSSTIRTRQWHCPYFIGDGTRPTDAKQHLSSVRDVAAGQDDRRIVLLH >PPA15254 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1527688:1528947:1 gene:PPA15254 transcript:PPA15254 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPQLPPASKKEEKKEEKKVEESKKKEDEGEKKEEIEEKEEVKREASKREGGEMDGGVEEKRRRVEGEEEKVEKEEPVQPSVGEETQLVQQQRKNRPRHRGERKEREEKEEKKKMEGGLDMSGEYGTGVTDADETYATWLPPSEGESDAQKKLKEKFAGKY >PPA14966 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:46275:49341:1 gene:PPA14966 transcript:PPA14966 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVGGVQMQLLAKKHLAAGGSPVSVDSNVKEMIRGIVSDESDDSIISVEVVDDQREEQGMLYPRTTPYLTEFDKDAIKDGYPYTMPKNYRYLGKKEDSFGYLRFYDTTSDSKADETEIPDYEYVEKSEFVMEDTDFLCTCDQTVGCQIETCECMQESAMRNGVVHQGLMRGRVGIVECCAMCPCRRSLKRCPSILKFRKIEFHAVRTHMGFALRVLQPVQRGELLYLNYGSSFGIDKRHCLCTQELCHDRKMMEWFSKLSYRQAFKVLKRREEQKRKRIIERQQDAILKVSLIFL >PPA15294 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1686842:1690693:-1 gene:PPA15294 transcript:PPA15294 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKQPRKKKTGLTLKDEGADADDDGTQVDTSAKRKKKSNPGGKTKKRQNTTLNENAYKTAFKEFVANSCKIGVQGLLAEFDDIKKQTQAIGATPKIAFDTNPDKNRYKDVFCVDGSRVVLNGGPHDYIHANWVDVSPEKRQYICTQGPITVTIDDFWRMIWQEKCKSIVMLCNIVECGKKKCEQYWPEGAGQEIKYGELTVKATTKGDFEKLMTVTNLTITDGAETHELEHIIWNNWPDRGVPADTLTCFKLLERLKKLSPTVIHCSAGIGRTGTIVGLDLILSKLKAGEVKTAKEIETSCIGPMDIQYLYIHRVIISLAVQRKNQLTLLALLACAFAAYSLTPCEDFCQGTILGLTPYCWCNENFLKFNRTCFRKCIANCKAKPSYVGCIPSDGIPNYTLWICCIKKVDWQKNLKCDSECWSTALPV >PPA14999 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:165005:167861:-1 gene:PPA14999 transcript:PPA14999 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGIVRSAHPDHQLKFIELLVSALFKGDKDDVAIVEAVMKEAIDDAAAADTAAAPAAPAAAAVEEEEAQCLICYDILSSKRAVMAVPCMHAMHRTCAVAWLESRTDGDAQFCASCRCGVEFIADMENGGELPEVIPYGDTGQPTTALIDDIVEYNHTALMELREHSERTIMMISQIAEEQHAARVAGKGVDYLEDFDFESSKLLNRMVLLEDLEREFAARRVARLIYSIAAPSDADEAARQAALLAQAQAAQAARAQAQAQARAAAAAAAAAAAAAQEEAEPVEAVVADLIAAMVREEEAAAAPAGSAAEQPAAEVSDIAREEELPEENEANPRDIPLD >PPA15183 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1113191:1114072:1 gene:PPA15183 transcript:PPA15183 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFLPNPQDAAKIMARFNAKQVKEQKVFVDDEDLEKASECSCTVCPSRERLDRHDYCCKSLFLYPLNKRGKMLKDGLDSKLKERGSSPCITMDKLFCERLITEVSAEAAVALHAYQNGHEAKDPNEALRYGCYRVIVATLLGPLGKGVRVRLPACVVDAVRTKWPSANGLYTGFKTSDLFDEE >PPA15424 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:2424827:2425704:1 gene:PPA15424 transcript:PPA15424 gene_biotype:protein_coding transcript_biotype:protein_coding MQNMDYNMGMPRQSMGSHHTYTYQQSQPIQIRDPTPLICNEVLDRSSPELWPEAVPGLVEKNRDNGLQLSTPRPHKFKTEMDQDDVRLVNDLGRLSADQLMEYVKNLQNNAFILGVEEKKQFAKGKFLKIFEKRSEDVYS >PPA15073 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:608888:610891:-1 gene:PPA15073 transcript:PPA15073 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQLVAEILIHLDDDAPHLLVLNHVNDHVLEQVEFSVERALARQYRRLSHIVFCMRKEEMETSISALILPLTRIHFYHRILTVTWAEIEECARKHKVTTATSNSPRASLASLFPSSIVSSHATKSHPRTRSIGTQTPPSDECPLCFESGAEHVLLPCAHMHFCECQHRASHIILP >PPA15023 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:287612:290861:-1 gene:PPA15023 transcript:PPA15023 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKLLLLIVAVAACAAPAAGQKTRSEGGSGKKTAAKGGPPNIELDDKTIDETLDGKEKRAAAEILVKYQNETVDKLDYDKIAKELKKKSPSMHRKMLVMSRMARSRYDRLQPETKEYLNNLGEKAVARRDAISKPQDKQKTFVARRDSRVATEMYDEFRTMKPSVREDLQRHFAEPMAILDSPYASAAKYFLARVADGN >PPA15044 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:438113:440492:-1 gene:PPA15044 transcript:PPA15044 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAERVHINLPLHPETASHLIAPKGKFNNKTSKSQRISSKFGSQKVAASRMYSSARWNSGRDLTYTPRSLYDTPMMPGDAAFHEGTQVVTYSSIILTHDRDEGVKNIIEKLRDCPFLNKVIIVWNNRQRRPSGNWPEIHVPVEFILAEKNSLNSRFLPYDRIETEVRTGTSSLAKQRLRFQAVVSIDDDMDVGQPELTFGFRMWRENRDRVVGYPERFHRFKDGQPMYGLSLSCEHSMILTSFAFVHKEFLFEYSHNQHPSILAYVDKHRNCEV >PPA15370 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2100320:2101559:1 gene:PPA15370 transcript:PPA15370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EZV5] MAAPHPPAGGTVTHTTVIYRTPGAKAKDESQRSALRPPTAEEKKTWARSPMPEAKPEGDKALPNCISCFPDVITYQPENKKQVRNLEIKNKGSKPVMFKVIYPLLTVIYRTLFEMKSTSPGLFRMRPIHFILQPAESKIIKLSFKGCSDGKAPNLKDRFTIVMAYPPGVESNVKIMWTQKAYAEKLADCTHRKYVKVLFDGYDVPDKSKAPEPEPEPAPAPPPPPAPVAAPAPPPAAPSPSPQQPGGFPFPGAPGGGGIVYVIYQGDQNKAPGGGGGGKKEEKEDDEKDEKDEKEGDNDDDDDDEDDDKKKK >PPA15427 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:2438141:2440088:-1 gene:PPA15427 transcript:PPA15427 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPTSSPSAEASTTSSTIVDLSFTSKNFITIKGLGEGDFGVVKEVQSKHSPDRFALKTSKVDKLKVKTGLNYCKTHLDEVRAHSGLLSHPNLLQFHQAWKEDGHIHILLELCDDSLDGFWRKMIELSQKDITAVLKDSLQALQHLSSLNVLHLDIKPGNILRTEEGLYKLADFSVAIDLNKVSVPASSPLSSHEWNTAKNDGVMPIRVSEALAGPHKLNETIESMIGEQSTRPSVDDVLDRISNNSIEAEREQAKFENNSIRNLMTRLSLSPSFERELKVNNTSLFNASQYPSVTFHTDPLFYEMPKPLRQRSSLLVCTGQSHKT >PPA15298 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1694159:1697844:-1 gene:PPA15298 transcript:PPA15298 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDLNGYDCRSDVYSVGVALTEMANGFPPFSDMERLEMLFEKLRGTTPRLLDSTTMPDPREDPARSCRTFSTTFHDAAEICLRAAVATRNMCKLNIGVVDDDIKQITVFTYRSDTMLDNNYCLYGRKVLIRHSAIDPFRPSATAMREHPFVSKCSRKPIQVLLQDARPIDTSKFGPEPQDAEMQSMKPVPSAMEIDDEGLQMK >PPA15439 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2471024:2473971:1 gene:PPA15439 transcript:PPA15439 gene_biotype:protein_coding transcript_biotype:protein_coding MILRSLPLSSTFLLLLLLISSFLPPVLPRRGGTGGISMGRGSSGARSGGGLFGRGAAPRTSGGTGGGGLFGGRAAQPPVPGHGASSGGAWMGGAAAGGAMGHGMRQHGQGGGMGMGGGHSGGGLFGGNRGATGMGGMAHQPRYSKSGVGSFVRSNSFKNAIVGAAAGYLTYQAGKAIIRAATGPMYPRSINNFRTLSWNNRQYYWGSNYYRPSHGQSNMCRMPIQPGDEQFGNVLSCSFDLP >PPA15304 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1740696:1741720:-1 gene:PPA15304 transcript:PPA15304 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISAARLAREMREIVTAKDIEATGIILENLDDKLTKMRGFINGPPDSPYESGKFQLDIVIPNNYPFSPPKVTFVTRIWHPNVSSQTGLICLDILKDQWAASLTLRTVLLSVQSLLDLPEPKDPQDAVVAKQKMSEPELFEKTARFWTQHYANGSGEKDAEFLQKIKNLKEMGVNEDKAISTLSCCGWSSERSIDYIFN >PPA15133 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:860082:861844:1 gene:PPA15133 transcript:PPA15133 gene_biotype:protein_coding transcript_biotype:protein_coding MADFNPAEFKPTPEIIERFNAGRALIKAKPTMIDSVLAKLSPAAHAPAKKFLDLVLSDEEDLNKFRSAAWCVLDATGQELPAWIQVGFPRPGYCNWIQVGNPFLLGSKLDSHTREDPHFSSWKSARKRLKRCAMSCDRTEASSYSSSDSPT >PPA15120 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:806650:808084:1 gene:PPA15120 transcript:PPA15120 gene_biotype:protein_coding transcript_biotype:protein_coding MNDCIHGGITTPCNNDSEHRTRSKSIGSADAKDLEIVDRDEKLRRNDGFDSPSNRSSASDSSLEEQRRNRATVVRRHPLADSIESLGVPSSISITPIDHRVVERSLSSIAIYEPHHLKPDRPTNKLSALKRPAPIGIPSSTSSSVQGLPTTSATTTPAASAQGRKKYCVDKHELDDNKMQ >PPA14987 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:136709:139010:1 gene:PPA14987 transcript:PPA14987 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSAHSLTLNTGAKMPLLGLGTFMASFLATDPDQLRATLRTALDAGYRLIDTAYLYQNEDIIGEVLEEYFSTGKLKREDVFVTTKLPLFAMEPEAVAAFIKPEMSKVGYDNADDIELIDVWRVLERGYKDGLLKAIGVSNFNVRQLQDLYDKAEIKPANLQIELHIYWPQNALVQLCEQLNIVITAYSPIGAPGKPNFGPAQSTVRAPLHDEVVAELAKKYGKTPAQILLRHIVQRGIVAIPKSTNEKRVRENIDIFDFELNAADETRLLAIDYRTRMFSFPFVRNHRHYPFDELKSAE >PPA15187 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1121798:1122721:1 gene:PPA15187 transcript:PPA15187 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGLVLSARAASSTASRGAAAAAAAKKAPAALSKSEEVFQREYKYGCHNYKPVPVALSKGKAYSR >PPA15169 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1049372:1054218:1 gene:PPA15169 transcript:PPA15169 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRDPPEAAQARADAAAQQLNEAIERRDGIVAQIREIDREREALINEMRIVMMQANDEEERAMERAIQRGNRFLEMQRELERAGREIMDENEEFARREREGAVNGMGRDNIPGNEQARAEGAPEAELNRILERQNRLLQLQQEIEREIPVMGADNEPGRQILRPEHQVWIDPDEVNVEIEDVQPIPEERPNPPFPLLRINRQPPVGLGARMQLMNRIRRENIEAARERARLRRERERAMIELNIELDNGEEGRQQRRPIGIPFEVVNRPPPPFPDLREDERNGYLERIREEERERRRRWRAERERAREEDREEEIMEEVDAVGDEEDEAQQVQALQGLGGRLLDLLREMVEAHAANRRGAEAGGQGDRPAVPEEMVREAQRMRAEDIIDESSTRYSRACSVCTIDNPQTRAVYSRCGHIVCFPCAVENATRGASGGKCVFCRQQSGFVKLFEEEYCIEKKKEENRSIMRTVIEYGKIMCSGGRIHSLITHPLSWAIARDVTCIGLAVVLYRKAPPNARIFVGAQLIALANLSLALRLKQFNYRSTIAVGLPYLPDSDGGIGDENEKDDEELNEGSHGGCLIFVLFE >PPA15436 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2464995:2465685:-1 gene:PPA15436 transcript:PPA15436 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVFALSGENVDSPMMDDDDEEGDYTDGEGLGEGEWETEEGADDSGDESEDDNELIHTEETGMSKEEKTETRTDRWFNKEEMGALLGEDGEDEDELAAVERHLEAKRRRGEKEIHKNTVSFHDEEDAKKKKRKEKKAEEDDGFNTKDGEESKEAASAFEEEEDVSSDEEYQDGTVEIIH >PPA15410 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2351639:2352491:-1 gene:PPA15410 transcript:PPA15410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-xbp-1 MERASTPRRIDPLELLNPTPITKTRKRERLTALTPEEKLARRKMKNRVAAQTARDRKKERTFGLEDCVKDLVDENKRLKEENEKLMERLNRLEEEAAARRETSPMYTTHHHSYPSTTVATPLGSAASINDLQQRAQAVTTVLSKKSTVKGMKSSRLDLLPIMMLLLSSLPSKSILSSKTSEMSTSIKCVPHSMKVSKERKERITMRLHRLLSKRPLPIPRHPP >PPA15420 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2392611:2394594:1 gene:PPA15420 transcript:PPA15420 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGRLLTLLLFLLTIGLASKTTDPPPKETDDKDTKRKGETSPQCSEDEKKVGNKCLEADKTKPDTTCAPPAFVVLIFTAYGCFLVYLYIYGFRQWIKYMEAKVKLRKLMALEMALINYDEYCERHGLHGRRELFIPASNDGFFIDPHVQEAWLSVNNLRKQVLQVPTHTYQILQVRGYTVIDITQHIMRSNWDIVKRMKQRDLKMKKNRKEKEQKEKKGNEGDPELHLDMIIEGLLNAPLFETTEPRKMDDGSKEGGDAPKGDGEEEKKGDGDMKVDGKDDKKEEKNEEKKEGKIEEKKEEKKEEKKDEKKEEKKEVKKEGTKDKV >PPA14991 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:145768:147626:1 gene:PPA14991 transcript:PPA14991 gene_biotype:protein_coding transcript_biotype:protein_coding MSILDTPFLAYARNPEEEIVHTSGQAMIQSKYCAQFVEEWNFENSPQRHFECVEGEYSYHRTMLGSTDCLLVGFYCIIKKDITVPLTWYNEHIPKDLRVPKEDRPDYRTTSSCDECFQGQKCEYSKIINQFECFCDEEHLNFYPNPIRDGNSEASCKPTKFLGNQKLFPWSEYSTIPSYYPIRHEPEACDEYAKDNNHVHANGDFTCIKGEFGRHPVHQGIYTCNFGSGKGFLYIRTGGPKDCNHDRSYEIVGGVKSYILYLEDTLKLHGQSMPHVILGSSQNQERLFLGECYIDGLNCMKKD >PPA15118 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:799150:804551:1 gene:PPA15118 transcript:PPA15118 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-snf-12 description:Transporter [Source:UniProtKB/TrEMBL;Acc:H3EZ53] MICLNGAKEGATRQGQSDVRDLWNSQIEFFLSCLGFIVGVGSTLRFPAKVYQYGGGVFFIPYLICLALFGLPIVFMHLAIGQYSGLSAAGAFWKMMPISSGIGWALVILAVPVSIYYNIINAWAVYYFWFSLKEWAQKFNCCDLRDFACLNNTYTITAPEAYFHFNVLGRDEDGDLSLGPVQAHLFLALAAAWIFVFIGVFKLVILLLRGTSLPGANKGLHFLFTPNFDKLWSLEMWKSAAEQVFYELGIDAGPLISMASFSRDALTSVLCGMVIFSFVGFIAHRADLEITDVLQHDPSYLAFTVYPGVTSFMALGPLWAALFFAMLMLSAIDAEFAWLEMIASSVMYQLGEKDKRVEDRILFFLCILGFIIGIPLTCKGGIYLFHSIESLNANWNSFSLGLMQIVLICYFYGVGNFMEDIREMLRFKPVPERISDWSIGRRVAHFFGPTGGYIKWSWCLFCPIILIALLLASLFSYERVSLGPTILPIGYELIAWVAMVGPIMVIPLTAAYTLYDAKRRSRPLMTVISTKEWRRGIPKDANEKEDKEKREKRLTEAYIDPASRGPSVRVHPAAFEGALFEPNDYGRMAGRISEWQTRDPERAMGESTSSGDERPRR >PPA15335 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1894969:1896639:-1 gene:PPA15335 transcript:PPA15335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tre-2 MYETARGMILNMKSLIDRFGFVPNGNRLYYLNRSQPPLLTWCLNAYFESTGDTDFLREILPTLIKELHFFDLHRSIIVDGSTVPLYHHKVIARGPRPESYREDMHTAANVPEEDRNRLYGDIAAAAESGRDFSSRWASHANTFHLHNTRTQDFLPVDLNSIICMNLRLISNMFITLEEHENAAEYDRRSKEMKEEIRRLFWSESQGMWFDFDLRSNKRSHRFYDSHLLPLYADIVHEDFDLTRVIDYLNRNGLISEPGGIPSSTVATGEQWDYPNAWAPIMWIIIQGLRKNGAMELSRNLTAKWLTRILNEWRRSGGKMFEKYDVTSFCGREKARGGEYEVQEGFGWTNGVVLDLLMTYPDIEPTNQECPCCQAN >PPA15176 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1084056:1085527:-1 gene:PPA15176 transcript:PPA15176 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLNKECSSAQHCVAFEGNSWDVGFFLRRGYCDEEMACEEIMRESNSLHKVCKPSSISFQLAHDKNVNVNGNLCCSGNLCNTAGLAGPEVPCLFVLSMLFALIHLSDTVKCYDTLDTRALFKKPSLTNCPSVKNCTSFEGVVDGFAVNIARCDGWIAGVPYCEAVSCRNRTVPVALPNGQDVDVKGKACCCKGDRCNTGGHG >PPA15155 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:980141:980672:-1 gene:PPA15155 transcript:PPA15155 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIQPAPMDVEEKTMASNGGSGAVLEEEKPTPASRVQTRAKTEEIRKNEMNVTERMTTEYALITRAIKNCRDKVKSQTSLRVGGEVTVVNDLFKVAMKEADKAWESVVNAVNTTMEALEGKESSEREMNFLGNVQLNSLEEAETEWKILWDY >PPA15288 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1659863:1662114:1 gene:PPA15288 transcript:PPA15288 gene_biotype:protein_coding transcript_biotype:protein_coding MADPKPAEERTAPKNPKARECMTSLPLQMSLHYNALLAPFLFIVGISSFIYKYPYLSPIYQVILIAVHILHVSIETVRLVLGFVGNLGEKVPALSGFWITSLLLQLPISIFLAALAEEEEREAVDPAATVSPKTEKTE >PPA15211 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1228289:1232907:-1 gene:PPA15211 transcript:PPA15211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nhr-121 MICKYIPPTTSASPPPAWFGSYEENAPNKICSICDAPSNGFHFNAPSCTMRVICRACRYSKCVSNGMERKAVQPRRDCNVGRRKINYTAFKGYALKQSQTTMQQQQQTKAHTIPCTAPTNIITNAMTRTEIIEDPTIQTQPPQATYASSYASSTGATDLSDPVMSPMPSSAFSMNLGSTDILDELLKEERKYNDRRRVLFASSHTVSAMIAHESPNDIPFTEGDLFELTFHGIQKDSRSMILLVHEWIKALPGYNQMTSLYDKKAFLCRALLYQCILDPAYVTVQLGLPDRFIMFNGGYVGIAEDSTVGWGDERGFIKGEMKKGLYRPLLARVMNQIVQPLADLGLSFTEFVAFKALVSWKSTCVADFSVNAKECMQGQIDALFTCLHNHYRCIGYDEAQIAERIGAVVLLMCSIVDVGLEILESHQKIQLFDLWELDSLLLQLLSRGL >PPA15334 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1893395:1893996:1 gene:PPA15334 transcript:PPA15334 gene_biotype:protein_coding transcript_biotype:protein_coding MRARNGGEMTQEEFQADLVFYREHRAIDYKIRDKAIIDLNKKWNDELDKNVLDLHGIYPNQVGQVIEEKVADMKKRNWKNLYVVTGVGNNSLYGIAKLKPAVERYLKKNGYR >PPA15407 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2322986:2342253:1 gene:PPA15407 transcript:PPA15407 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQTEDEAFELILVVLVPCIVSVSCLLCCARIFYVYYSYRRTSHNLSDQSSAKLRSNTKTVHGCSTTCVFCTTNSDHLRDRFIIAAPLHSDRKRFEMIIHLESLLIYLPTGTGKVSDRESRELLLTTHLCIVNVGKHHSITIMQGLVRVLKAVNASKWTAPVAIIQSRLFILSTILDCLQETQPFQGRNLDRDTMIKNVLNEMWIIIQSGNAERAICVVSRVGDIAAPVSMARAEMGLNVLQDEDNTEEAIQEALSFVSLMSHVSLDLDQLCKFLHMVNSLWPPRKEHLESVCCMISAVMWKFIEKYPDTFSSLQHSPYPHVTEATDTLFNTMNTPDMKRRCVCWPVQMLLIAVSPCSLEAISHAQDTQGLPNLPEHRQFVDMVASTVQSERTQSKSPATRELQYACQAAVNLCKIATFVNQSDFFVFNVVQHMIEHVKSVLLTGSRPKDMDRNVLVDCFVALFRLKFDNDVFRVCLNTQQPLLQLVLVEALSAISAQPSQLPWWPRIEMLSSRSDQLRALLLSTLDRVLEAEPVISTAHLPIGMSRSWHKVSGRWRVERASSASLASVCNQAECSHTNILTAILKLITSHPTLLITKRVSKTEEETREEGSSIESIVSNLVLLIDHPHVHSQTMQALLALHRVIPEWHQRQEATLKTFLNVNSHMLFSVCQKLIHLQMSNAFEVITWLNFVMLKRQEYLSSYTDPIESCLLDGIATQAIMKFEVVCYLYLWNCAPDLVVASLGLFHTHLKECNGVLSANLDSSLSNGLPHSNAATHEAWHETFRIWEMFTNQLVNKCSDHSEFVEHVTKVTSDGTEVSSMIDSWSSMSAFLCALSHLIWLKEPNHVTTFMVRLLGVLRMDDSAYRELMCKCVKEELSYEVHSSLDQFLLQQISSQLSGHSSNILFVEHCIYILKNILSRRQGMVLRVDSTSEIMNALLQSQNTVERNPHQRMTFTRKMCSFLQVVVSNGSARRLPSTLQSRMLECMAGAVATLPPATPFSPTRTELLLSILNALSRFLGNMTIPIQPAPFNKLFNDLLDSFADASLSHSSPVRSKNPVEEIANASLAPEMVKRCNDSLLTTLAALVNASPELGVTRVLDTAWGGEWRVRGYMLEILSRVVVEHSSPSMAADRQGSQSELLRLLCQPAEDGSLHIVNSLALSLPNESIDTLCRVCVSAFSERGEMGQLIYSVLWSEAESATSPATLFRGSSFAAKLLSWSMRMFGHAYLLETLRPLMARVLSTPDVLYEVEDRGEIGSVEETIGATIAAADFAFHLIINSVDKANPRFKQVCSQVSQVINTRFPGQGPVSLGKILFLRFINPAIVSPFEHELVTARPNRRISRGLTLISKLLQATANGPSITRDRDPSIQPFQPLIDKWTEPLKGFLAGIIEDTAVEDQASSPAPSTNQQLLLNAHQLFVTDKQEVLRQLSFVSNSPLYSRVDALLKSLPDTVIHPAAPTETLDPALPFFIHLNEKICKEGGGAAIVPIYAIVIRRLQNVEIENVNKQIGEKCGRTKWICLLDGLMCYEAPNLMKMFPADAFAHIEQVLVLNASTTLYNKLLENFSLLQTMRINFDPISLATPLEFLPPRSRALLSPPTHSFPAALISNEEIPVTIKPSLLHEGGERAWGIERANREGKGHAREIRFKRSEVNKCACYGLYENMMVVIGSRPWGNDQVPVADVYQCTDFEQLEMISPSVLTLVQPSSPLVSLRCEAATLLSRLMAERQRMASVEQGGVIPPLRANSLHLISLAFVHLADGDVAVRSTAYRLLCVLSDALKLPTAASLQETPCVFVPSNSLEFLECTASALVSHEPSLLTILVPRLLDMGSVTLLYSLADAWQLIAQLKEESRAELFGSLSMATEILKQADKHRRLWLEQSMQLKYTE >PPA14997 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:162060:162900:1 gene:PPA14997 transcript:PPA14997 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEYMIVGSTPVRLGENIVNANEIDALKQENEDQGKKIAEQDQRIAELEKKYEQLLMMVRK >PPA15374 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2106322:2106841:-1 gene:PPA15374 transcript:PPA15374 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFNLFTRSFHNQLTLLALLACAFTAYSLTPCEDFCQGTILGLTPYCWCNENFLKFNRTCFRKCIANCKAKPSYVGCIPSDGIPNAQLWICCIKKVDWQKNFKCDSECWSTALPV >PPA15103 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:737170:739003:-1 gene:PPA15103 transcript:PPA15103 gene_biotype:protein_coding transcript_biotype:protein_coding MHYIGQNQRAAEIHPFVSKKHLTVGDDIGRRLERGQRRRKEVQQPHFERNEPTTVEFMPMNEQHSMVQY >PPA15193 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1146502:1146878:-1 gene:PPA15193 transcript:PPA15193 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFSLLFIALICFATFSIVEGQYTFFGGGDGASSVIKGKRAANGGGVAVTVIKGKGCPAGFGIDCKGSNGRKREAIEPKRCPGHRLCDD >PPA15156 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:981261:984550:1 gene:PPA15156 transcript:PPA15156 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVCGGRDRASIWVSLAELFLDEGRLSDVQPCIEQAVQLFPNSAHALYLKGRLMAAKGATMSAANGASRVRAEARGAFLSALSLAPEYINALVHLASLSKEDGQMEMAEHCLKELVRVDPLDGRWWNDLGELLLARGRETEAISCLASAAQLGKMQPLLPFTAIPLVFPSCHIVANVNNEFEAIVQI >PPA15431 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2451161:2454721:-1 gene:PPA15431 transcript:PPA15431 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTTSVLGNLNKRHWNRRVWEVGYKGPLMPQQKAERGASGSHLTRVLGYTGRPDYAISGNMVALTRERFAREFGVMKMLAHPYVDESAERHYLESKGVQSLKELREMEEQKIKDQTMPSKPKATSPTGLKRKGNVGTLLHKHTTIEDSLGYLANRNRWD >PPA15020 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:280647:281602:1 gene:PPA15020 transcript:PPA15020 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDPIDRPFLRDVDQWMEQLYDCKQLSEQQVKMLSAHDDFISKSCTFSSYSTRPGRLDVVLFTTIPICLAVLIAVYEGENAVSSEVRNAVEKLAEYLKTSGY >PPA15172 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1062629:1064088:-1 gene:PPA15172 transcript:PPA15172 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDRPRAVPRIKQSSQMTTEEQIRALSKKDDVVEVARVPTHILDSMLHAEPVDDVIIGESVPVTRVNLTCLRALMRELETQTEKRSNKRHRAGSMRKKVNPTADGARAVPAAAAAAVAESSLVRDSVSFIAHNDHSCNDVVEKVIFDLSKLAILMHHFKDHLAPVLV >PPA15159 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:990387:991639:-1 gene:PPA15159 transcript:PPA15159 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCVSETDLSTTTSTTTTTTTTTKPLPEIVEERKGANETAEQLTALRSGASFNISVFCEPFEFQCGSGECVLAARLLDGKDDCDDGSDEDYCTTYGDACTFPTKPCALQPDMPSFACGCPDGYIVLNHRCVNPTSIVVNATGG >PPA15067 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:587291:588009:1 gene:PPA15067 transcript:PPA15067 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFLFLFVAIIVYIGYRVYRHPYDARADPSDPAYKTISESGEDSSVFEDKLAKSTKLATARSASARTPSTSASSTTKSGKIRRR >PPA15015 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:264527:265250:1 gene:PPA15015 transcript:PPA15015 gene_biotype:protein_coding transcript_biotype:protein_coding MSALRKRGRPAPCSSGSCPDGYLCKFSTTKKKYFCCGRTKTDSCKNRALVDKIGKKIDCGEDESRCPKGYSCTPATSGYECCPDNVENGEGSKEDEEEGENEKEDSEEEEDNGGDEKEKDDEDEEE >PPA15162 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1000462:1002910:-1 gene:PPA15162 transcript:PPA15162 gene_biotype:protein_coding transcript_biotype:protein_coding MRGREEEKERRQIEQPPPQKAPETNPLLETKLTEKELPKKKLEKSRPNANEIRLQPLILSGVIKDKKAKYGINRYRIHPTVPLSRIDKYKVAIDDLAVRMERVAQPDPEVLKLSKLNEAEGMNPFEMLANALDNYEKCIADQSVKNRLKLLGSQCIAASTSQRTNRVSIGAKSRKSGLALVSDD >PPA15347 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1980527:1983382:-1 gene:PPA15347 transcript:PPA15347 gene_biotype:protein_coding transcript_biotype:protein_coding MNWMHTLTISVLYYAIVRGIELFLKDRSAFDLKTPLLLWNGALAVFSILGFVRFSEVVELGDTLFIVLRKKPLIFLHYYHHAAVLIYTVHSGAEHTAPGRAFISMNYLAHSFMYTYYAVAAAGVSMTVTTIQTTQMLAGVAISIVVYRIKSVYDWPCQQSYPNLYLAFLIYFTFALLFIQFFYNAYFARKKSSAKKSKKIE >PPA15368 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:2078158:2085947:-1 gene:PPA15368 transcript:PPA15368 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNSPREDEILIDVESVGVNPADMRMINGTYHLKPRLPFVPGFELAGNVKAVGKGVKKWKEGDRVVVLRSNGTGGFSEDCIVDTNVDVIVPLPFSIDYETAAAVSIAYGTSYLALEKMAKDREGANVLVLSSRGMIAFAAIDLAHNLFKAHVIAASDDEQKVDKLRETGVSKTINYKKEKLIDTIHKSTMNHGVDVAIDTVGGSLFTQALDCVRPGGSLFSLGFTSGEIPTINLLDLHRTQVSVSGIWLGAHPKKDMEKVMEMLLDLFDQQFLQARIEAKYSLKDINKCIQDINDNKLFGKMSDDESILGDALCDLTIELDDGIVDNECTPHSPPSSSHLIPSIGAPRRPSDVVIFVDESIVVHSLSSEAKAMVVNQMQLPGYSSMKNSDALGAAMGFGQDELMSQSRQGGIIYSLMTRIAKRPLNDLLKALVTISRVDTLSSLRPYLLQMRNVPPSKPVQNQYFDSIDFTSSASYIPQSTEDLKRVIEERRKFFLLVHYEKDKKERSTFKHFLKNVKAKGEEMEVEIVDVKNTLNGEEHVMRRLQQLYSAAHFVIVCVSESYRFDGLSIGWRSMSVTASLPTYDNHIVLARGGLKGRNSRPAITIKRVVIKKEKESESKKEEKNENGEKSSGDKEDDDDKKKKEDMLAGMKRIFAVSLFVYGLIYMISPKSREGTQQGVSMINWSDFVNNLLPTGQIAKIVVFPEKDVAFIYMYAGAKTSQGKPLDEIYKMGIPSLGRFEAEVKAAEAAIGLPPEHWTTIQYRRLEGINQTITFLLIVALFTGAYFLFRKVKSINMSDMMSTMTKTKYNIIDPQSAEGKSKLRIKLKDVAGLHEAKVEINEFVDYIQNPSKYTHLGAKLPKGALLTGPPGCGKTLLAKALAAESSCPFISMNGTEFVEMIGGLGASRIRGLFKEAKQRAPCIIYIDEIDAIGRKRSEGKGGNMGGGGSSEEEQTLNQLLVEMDGMDSAQAIVVLASTNRPDILDKALLRRGRFDRHITIDLPNLSERKEMFELYLKKIKCDFTPTSVSGRLAEMTPSFSGADIANVVNESALRAASTTARRVSRNHLEYALDRVIAGPAKKSRVLVEEEREVVAYHEAGHALVGWMLEHTDALLKVTIIPRTSAALGFAQYSPKDKKLFTREELFDRMCMMLGGRVAESIKFNRITTGAEDDLKKVTRSALAQIKLYGMNSLVGPLSFPSNDEREAGLMMKPYSRKMQSTMDQEVSLLVGQAYRKTEEVIRENMDKLEIIGKELRKEVDWSSSVRIERSRRFHRYNPS >PPA15338 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1924840:1925804:1 gene:PPA15338 transcript:PPA15338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pvf-1 MLLASLLLSVLISSIVVRSDVPVPKAWKMKMARAATFADLAHNFNIVYTSNATNHRSNEVKIYEHDEKMQLLQDIKQGSDTCKLQKVCIPVETEREDNTLLFYPMCYDVVELGYVGGGKFKLNRTFNITMEEHTSCSCYQCTNKNLCKPGLVIGPSCTCECPNQDEKVECTGEGMIKTL >PPA15140 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:895390:899679:-1 gene:PPA15140 transcript:PPA15140 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDSFGASHAKRVFPNTIQFLKDTFQSVEMHHLNKVGENSRPNAFPFLFGKTVQGIYRDIWGEQNINDLSKRQYCKSYIDKEPLVIKAFEKTTPQAGYKTMMAEDWDKGVFNWPNCKGYRKPPTTHYMRPFQIEMSTSAMQLMDHQGKQNCFEPHHFLNDYTEQFMNAYAKSFGLIRMYRRKKMSIADSPKFALNWASCLGHDYSNEPFHADGDYVEFLKRNREELDNSFLFFMGDHGLRFGKMSDTPEGRRDVSNPMMYISVPRRLRTNSALMTNLEKNSHELLTMFDLHATFVDILETFTITTTADFTKTIEKEKMRGTSMLRPLPPGMRNCKTLPIPLQYCICHLDKRDVRPTDAYSSIGTVIADAINNQLKVYGVEDRCAMLIPDEILELKLIVGSKDLYQVTIRMMPSRGLYQTFVSGSDGNFRVVSPDVTRLDTYKKQANCIDNNEIRPLCYCQKNSSRRLNDFLRFCNGKIIHRFETIEISGSSRINRMLTIREIDEIVFEVVVHIRSED >PPA15358 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2030416:2032315:-1 gene:PPA15358 transcript:PPA15358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-smr-1 MSDELANYKLQLQQVEAALLGEPNNDELIKLKSDLEEVIALQEELVEEAGGSDPTSSQDRSHERLWKVGDRVLAPSTNGQHYPAVIDGISGETIAVTFVGNGLKTMTRRAELKDAPMEAKKTYIFDKETGQKQYNKKNEWQAEKERRKLRAQKKEMKKKELDGAKEGEKNKWHSFNSKALNKGMKGMKRVAATGSASDTVGKRDNLVSSRKDQWAFAKSQRGNMDSLF >PPA15330 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1878859:1880803:-1 gene:PPA15330 transcript:PPA15330 gene_biotype:protein_coding transcript_biotype:protein_coding MIARIRVWELVFEPWLDGKTPQLTVNFIANIYEQRARYLASGDSEGRFIIWTLSDEPPNKEPCGEDADLPPNKENWVMQKGRIHHDHDVTCIAWQPNSCRVASVGRDNNLLVHDASTGKRVLTVHNLREFPNGITWDPLGRYIITQSTDRKLELLDAVKGAKLKLIDAVDMPSLTFSSHITDPKPTKLFHDAQMMSFKRLPAFSPCGQLVIVPCAHIESRDKNFYGNYVFTRKEAVSSGKPSWILPSPKATFLVKMCPVLMALDENTKENYSGLPHRVLWLSLTDQAVIFYDSQHCGPIAYVDNIHYLKLTDASWSKDGKLVAISSMEGYASFLRLSFDQWGTKVTTAPSFEATPEKVKKTKKKRISTAPPVQSSPVPPPAPTTPQTPRTSSLLKFFKPPPQAATPEPESEKPKKKRIVLETLTID >PPA15000 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:171051:171835:1 gene:PPA15000 transcript:PPA15000 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKKKKQDLNGHSQSMSKAGTPGANDKSMKTAIEGGGQSDAGSNENMGVSGRISIHGPVHESKESAEQIEGEVKVPSAESVNMEKAAPDKVVVSKEKA >PPA15117 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:796425:798313:1 gene:PPA15117 transcript:PPA15117 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTYSSRGADTTVTSIGNDGMELKGALRLQIEALAKRNELHAKHRTAVGRTREMSNRIVKLRELLSTQPSQAYHFYLECVSHADETERIIGKRQPNGGTVLDKVDEEPIATKTEVIGNYQSPVEESSWEDADKFYYNNRGQSWHRPSERLALGPTSLPPGNRSGATLTGSHEPKHVSIGRV >PPA14965 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:33668:35113:-1 gene:PPA14965 transcript:PPA14965 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLQNLFAQIEEELGWAAIEEATTESIGKRAKGFWSKLLGNLRRVQKQPAEIGPHTITEACVAALDFYIEMLDDVSAKKEANRQAAASVTGPGCTDYGSALDSVASAAQSAALASLINSVAENSGVMPQSLGYILGEDPSAFLQRVVKMYPAAGEEPEASIAE >PPA15060 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:520468:530288:-1 gene:PPA15060 transcript:PPA15060 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ceh-44 MRLKRNASLFEGRSIPDPRSSLSLVSSLSTAAARAATAEEEAAALKAEIASITEEFAGLKNQDVTVRQLREQIKELEKEKMSAIERLLAETEKAIQDSSVEKEERQRELQEKHSASVNTMEKQIVDLEARLRAQQRQLDGALADAGHKETVEGEEKEEREREMDTMRRKLKVAEREIAALTAELRQAKDSAHSSASPPSSPIEDIAALGGLMKEKDEQISKLIEENRRLAAKASADARAAEQKMAKMQNELSKQRELIIHMQAELAGKGDYEAVKQELRALREMELGPEAAAALDDNTPQTTVGEALKKLDKYMSEKNKKLQSENVQLRKAKEELNGTSSKPNGTSTTTAQSTCMSTIDETVDAVAALDTIPDALQPVVQAIGLMVNPDGSFSEAFAAAAAAAAAAAAEEEQETMMGGEELGDEDEDGPRLNEPDPDAAQNLFNLLLQSMNSTTEEELMLAEPVPSKSSSKHRSHHSSNNSARTPYVHGIESRPLPSSSTRYDRSDPPFVPSQTATIVGKAEPTPAEQKVIKELQARVAANVRGLGQTALNTGEIASQCKKLMVAYNIGQRLFAKFVMNQSQGSLSELLSKPRPWSKLTDKGREAFRRIYGWISDSTAIDLLCSLSPRRVHPGGNEIKVEHPTPESLWESNQNATLMLTDNRSTDLIREEAPRKVASAKEDQYRSAGTPMASAAVSVPRHDHSASNGERRATRAAAESDSSVHHHQQQPQHQQHSSSTTSPSKGSSRWRHDDIPKEKITQIFENELAKLREQETNLERAINHRGSNHSSASSSHQSGSSLRKLEAMAMAENNGELPIQVNYAQQVMTLKIRAGLTPITQQQFEEYPNLDTDELVKQIKEFLSANSISQKQFGEFVLGLSQGSVSDLLAKPKSWGQLTQKGREPFVRMKLFMRDVDAAIEKDKNKGRTKRPSQPPVIHIAHELRGNNHNGYEIEEKTSPLFAKVKLEPAEDAIQLFSKVKQEPSEEDARAGSILEEVDTCTLVRKVKDRLHINSISQRDFGEQVLGFTSGSTSDVFLRPKKWSLLTLPKQREPYEKMQQWLDDRLGVVKLMAKLHELKGGFTPTPAPTAPAPPPPQAAPPTEASSNGRTIKRPAPFDPSSFDNQNGMGPPRKVRLMPPAVRHQQQQPPQPHVQHRQPQQPQLQPQPQTKPQKLLNMSTRSSTRAASGTVQSMLQLSPPAQPVKRILPPAPVKTMIVACLLLSTVLSLVECAPKSNHSSLQRTVITDQQKDALKFVFAHEQHPSLTTIEQLAEKLGMSVKTVQNWFHNHRTRAKITLQSVALKREEIDQSSSLYTNGGDWLAALEGIIKAAKADVLIEEEKVLPRSEMTLITVKKEPISPTHSSASSTFSTTPSKPRPAVSMLDKVVGRLSALAAGGAS >PPA15085 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:660382:666355:1 gene:PPA15085 transcript:PPA15085 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATGLAACAVASVFFGSVFVPVKRHDAGNGLFVQWVMSAGILLIGLTVGLCFSPFPTFHPLAMLGGALWSIGNATAVPIMGELGIGLGMLVWGLTNVLTGWACGTFGLFGTTAHPPSNVFFNALGLTCVLAGGVLFSRVRPTPKGSLGEEEQLIEDTVEDELNEDEPLMVRGPSANVRYATAKRRIIAFITSLVAGVFYGLTFVPVIYIQDNEEKVRGSLSGK >PPA15287 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1657413:1660055:-1 gene:PPA15287 transcript:PPA15287 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEMPTMNRKGASSALVRPLTMSSNVISQVTMQQEKAVDREKVCPLLLRIFCANARHNPSNDYSRGNTPANELQVYTWMDCTLRELTALIKEVNPDARRRGTTFDFAVVSPDRVTPRYNMRTIGNTENGLRGVDDGKTLAACKFEIGDYVDVAIGLPGFGRDDRGQRSFGMSRPPFGGRNGDDRRRFSPPPRRDY >PPA15228 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1343312:1356888:-1 gene:PPA15228 transcript:PPA15228 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQFSVGDTETLVMGPHSTRRFSGLKQVLHKGRTGVINASTTTGNCRKRTRTSIVPPDSLSPVYVSVYEGKGTLKGQIGVASIDPSTTEFHLFNFPVSEGFTSLKSLLQSLLPSDVIIHSMASPEMCGAVLSVCQRADISAVKKTYYDEYDGKVAYARLADKIVEQDRDGKDACLSAFAALVKFAEHQTNSVFARSSYTINLNGGARLITCPLCPKKPCKMGTIKQLQEHFFHYHWQVHGYACHLCGQMYSTAEQLMTQHEECDEWTRWREAKEKERLAMVAQADGIVSDCDKIESGNVESVKLPMRFCRMILCCADCGWHTTLSKEEKNEEKKMNYLRTFFEHHNNDGLLSMLVYFPGKPAMDVDAIKFGVHLMVGGDPTDSCAHCHTHPFKDPLAANVHYMKCHNKMALECGIDDCNTRVMTKYLLEYGISSLTHQFQHVHANAFFADFLSNSARIFPPPTNLSYAPRSGWRDRAKAENYAFGGVIGIRKGTGKEIDLVDAEEDVRDAKAVLIKKRNRSDKGYANAVIPSESEMAREVRVKLEAYKHCEGIAGLLDDTTWLRDEDAVKFQDWMEYWESEEGKEKGGPPKENLFVDVTPSIVRIPSTEVELQFSDKDVLSGFLLNDNVFYCKECDSIHKGEEAFGHLKKDGEDPPDCAMQETGEVEINPNMNDNMIPLFSSSISPSSSSLLCPHCPVKSCSITGLRVHIMIDHGIYVACKKAEGEVVGRATVLTEGSGLKGTKKRRIRSSGHTMKNGEGRERDNDDGSRSVQGQTEDGREGNQEMRRIVAPVGNKDDKDASERRAEIRDEEGPELMLLDDNGDTDNDVVMMTDDEVGEADEVADVPSMDVEKDEDVVEVGEENEMEIEVQRQPIVRHFAPPDGDEDLCVVGEVSRPGFSAFQPAIANQREKKFGCPKCSERFMTRVRLDDHLETHRMDAGDTTIAEELGIPLSTRLFICKNCCLAFATPEMKAAHAKQHDKRSVDCETCCGFAFSEACSSRGDTLLLLQAVRDWVDEWSGRVPALHEGTMPQWTSYQEGRGDVHWRDARQSTAFPTDYTRLPQGRAGNASEQVRGSLAVQSSIDERGHRAEDHMQRLQRNEETDEGYC >PPA15237 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1413085:1427616:1 gene:PPA15237 transcript:PPA15237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-alx-1 MSGFIFIPLKKTHEIEDAVQELNKLRAKACCQPLDKHQSGLDLLTRYYDQLVAIENKIIISATQNPVVFKWQDAFDKGGLFFSKASLSLSDGAFERAAVLFNVGAFMTQVAALQPLLTDEELKTTAGLFQKAAECKYRNPDVADSGVFFKLRDSVHSLVPHDATPDLCPDTLSACGAIALAQAQEAIYIKARKDKMKPNALTRIAAQAADFYTEAQKAISKDTVKGLFEKENYYMIVGKAFAYQALAQYHGAEEHGESQQIGMQLSRLNEAIKLADQTRKYLPPTVLAEQLAAIPKAHAAAKKDNDFIYHERVPDVSTLPVLAKAAVAKALPVTHPISPRFKDMFSSVVPVQVHNAMQSYEARKGDLVNMQTMRMREATQLMNGILASLNLPAALDDVTSTETLPESIKLKSAKVKQAGGITELHRLFNELPGLYKRNEEIIDEAARLLKEEQSSDDNLRTQFGSRWTRMSSQQLTGPLLQELGKYRGILATASNADKMVKTKFEQNREGIELLSRAESDLRGAIPSQQPHATGGGGESVRRLRELCGTVAEVIAERDRLEKELKERNCDIAGDFLQALAESQLLNEEQISKEKIDQIYGPAVARVNESLSKQESLLADIQRTNTMFCAEKSNTGGGAERERILKMLATAHDAYLELKGNLEEGTKFYNDLTPILVRLQQKISDFSFARQTEKEDLMRQVQQNIVAGDAGAGNTAAAAAAASAPPRPPPPRTTSAAGAPPSMQQQQQQAGEAPIAPPRTTAPQYSAPPPQQQQQNPFNPAYQQPPQQQQQQQVLHPTPQMGQQMPPPYYQQPMPYGQPQPFQQPNYYQPQYNPSMAAPYPTFPGAYSNYQQPQYAPYPPQPAYGQAPPPPHGQAPPPSAPPPSNNLNPFQTDNRASSDYDLE >PPA15373 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:2104413:2105057:-1 gene:PPA15373 transcript:PPA15373 gene_biotype:protein_coding transcript_biotype:protein_coding MSKETAICHFYRGYSIDHLAANWITDLYIHARTSIGEIVELVRTTAEQGNMKCYRQVNMLPNSIQREKRERLRNLDSSLTPMTFRVRSIP >PPA15003 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:184232:186952:1 gene:PPA15003 transcript:PPA15003 gene_biotype:protein_coding transcript_biotype:protein_coding METTVVEPPPQQLMDMTEVGVGFVVQAASSGPTHAAAPAPAAADIPAAAPTLSRQSSRKRRAPDTAAKLFCFSSRPQTDGDRRSQLLRFPVYTRRRGTCGLTDHHPIPRPSFPGLSSRLMLITREQVMASTVSSGDLHADSVDASQPTKDRDDCAICLDPLPSMRTLHLLPCKHTFHRKCVLRWLDEKKFRTEQTCPPTRRFLYYMLEWNRSDCINCLIGSLERKNTVVLDQVSAEFAHAKETVGIQEIYLNDFVEEIERLVRRGEIYTEMHQLWQEGGLRRSTRVDRAWDLQLERTTEHEEVDTPLDDIETASRELRRVQEERERLADSLRELDQRLLELRARRDGIIQRRQIAEAGGHNANARG >PPA15110 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:762942:769308:1 gene:PPA15110 transcript:PPA15110 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSSLHYFYFPCVAVILALIVDAVKKKTSFPLPESVLLFLIAVAGSFFLHAFLNQQEREIIRNEYLWLDMQPDAILGILLPPLLFESAYKVNMSFFLFRIKMIITLTGAIYILTAALVAAVYVPIFNIISGWHHFVSCILASILVATDPVAVVSILESMGAPLRLRILIEGESLLNDGLAIFAFKFFLRLFEQSLKEGNNDEEGLLETMTYLTRCVILSPLVGILLGHVTLWIMSHVEENKRKQCTLLLCVYVSYQLCDMVGSGALGLVCMGLAISHLKEQLTAETDHMAHEFWSTLGYIANAVIFVFAGVAVASELFTSDSKDDSTEASGKNGTDSLEDTNLQDNILACICGFCLAPVTMIARSIGVYFIFVSAAQLQQTHRKPLASDYALLSFGGLRGALGLILAMEFRNAMREDDKDPTMHVFGRQMLIVTCLSTFFSLVVQGSLFGPFARAEEQTKRSVYADESSRTMYAYMKRMTREAVENRMDKTDYLVGTNWSTVLSQANIMFRDPSVYDPSMEKATATAEPEEDEKPYEKDQVLDVRSGFYHCLLARVHGAWERGAISARTAHVIIRIIEHGLDEGGLKMEDFTEHLNSIDASPKIIRVSSFLRRFTVYLFSIRFFNCFLLPSSKKVTAESSKKVVEIQVDRSQEKWFLFVAILSVCHSYMIILLFLTGGTHEYQLRFLFMSLLMSFFVISEKLLSIYHISSHMPALPEHKRVNEQESAYNRRVDGIKNQRQKFKCERIVLLCFIPIHLGMIVCDILLLKNRDCSEDRSDPNKMSSDCFTPLLVLVLICIFSTAYRLFRGFFIGLITLSETMNNYVESRERVRLSVLHYLLHMSASCKISRELFPAQAYITVRQEQKRFVRSVDALLRLELKKDVGFGEYIPAIKTRQAIRMIATDLIKELNRLREDGILKEDSAWPHLMQTLRGRAERVIRVPPLSVRDWLYTIPWIKQICNTENRKRLVKMLEKSMQNDDGSPNLTTYNAHDLIFTHGKGLFFVREGVCKVREWIIGRNINDPSPSAWRHHSYVQQGQIIGERNLLLVGRNESDKFHVKWPRIRYEAVTRLRGTWVPEQFLAQLVSMKEYASIRHVNFSWRLASSYLADRLQTLWMKTEIKHLERQFDVMDYDSFHIYFQTHGRVITKEETIKVDENRVAIIGCWTRIVRVSKEAALFTRNFHILGPAEVVVRPVDEHTTGMVVLRRYTPSTKKKEQMESEKSAQINKNS >PPA14985 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:131356:132744:1 gene:PPA14985 transcript:PPA14985 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNSTKKAARSTEGVSIAVPVTGQGIANPLQTIAIDQIPSVLSIERNLMAIHQMTTEAQAAATPMDPAVRQLIAQSQFRFRKDVEKLNDAIGKQLAQLQLRQVQLAAIVREQQMLQQLHQNIRDRREALPWWALCERLRLRATRASIVREFKMLEKQKARIAGMTVVSEAQFWSLMDECEELKEIAPFGLRINADAAESFIAALSA >PPA15362 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2059470:2061957:-1 gene:PPA15362 transcript:PPA15362 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVCAISGQAAETPVVSPSSGQIFEKRLIIKYIIENGTDPISNEKLSEDELVELKAAAPDAVPRSITATSIPSLLKMLQDEWDACMLNSFSLRQQLQLARQELSHSLYQHDAACRVIARLTKELTGAREALSTLKPHGSYAAGDTDVDMEKSVEEQGMSEAVAKKLDDKAKTLTTARKQRGKGVPEGLATVEDISAIKEVASHNAIHSTGTPGITALDVQGDLVLTGGADKTVALFNVATEHVVTSFKGHTKKINGVILHADGKTAVSCSSDSTVRVWSASEDKCRHVIDTHQASVTGISLHATGEFILSVSDDGHWAFSDINVGKTLCKVRGDESGQIGVSSAQFHPDGLIFGTGTADSVVKIWDLKQQANVANFPGHSGAVRAIAFSENGYYLASGAEDGEVKLWDLRKLKNLKTVAIRDGKFPVNDIFFDESGTYLGVAANDVSVIHVKPWTIVASFEESQSAVTGVRFGPNAMSIFSAGMDKTLRIYRK >PPA15019 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:277928:279878:1 gene:PPA15019 transcript:PPA15019 gene_biotype:protein_coding transcript_biotype:protein_coding MKCHLALTVLFVGVAYCFVQGPISEFDVSTKIFLLKTLGREFTPDEILEIVDTITDREKAEIVTAYNKMRLASPEEIPKSAKEGMAYLKSNAPSLAPKVEKALTKMKIKFDAMRSETKQALLKMLNDLFSAGDRPAEEREFWINYFQSMLPNKYAALPDSVRSDVRKNMPKIDKVLSSFQFRGDADGAKLRAFMGAGALHFDPWKAFNHQCNFPSC >PPA15240 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1453570:1456966:1 gene:PPA15240 transcript:PPA15240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-scav-3 MASVLPTVPLEPSLRPSLSSSSLPERDLAITLRRAFTHSFQLPYLILTVSLLQTAVQSVSIDRRDEWATDMKEDYNKGETLSGGQFGMVVGAHGRDFTFGGTQAQPRACAIKVVYLARRFDRALEESKGDEERFVKRIDAATKRIVTELYILNRCRHENILHSHAVAVSSGDLHIVLPRLYSLESLINKYRDQFAGESIPAKVIMMIVRQICTGLAFLSVAGIMHRDVQADYIYLTRGGTVKIGHFSSARLQEDGRCVTPVGKKEYMCFEKQFNLYTANSRMECMDYDEAADIWAIGVLVLRMVSYFPNEKWHRLQPDFATLMHTENMPFKWMIAEMMQLRVRLAKCGGSEDLISWLSDKVLVVNHRRRATASELLQSACLKRLCNENVADDKRYLVKNLIQTLDWPNRMKLETNRPNYDALESKDIPAEFYWDEFESWKILEKREFYYEVTVEEDAIAGFGRHYFEGRFHFATAHTLLRDLTRAVGDESIDYVDILTVDHQIR >PPA15215 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1246095:1247689:1 gene:PPA15215 transcript:PPA15215 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHPFFATESSDKTKPVHRQFIPLVIVQHNRASIDCDRGRTRMNAYSFDGLIVVALLLICSCAYLKRIPRINQLLLSEKKGFFGIGYKQTLPKGCTAKVKKGKQPVGGPRKGHNLHIAPKKKPAVQEAKTSAEVSKVINDKNEEMVRGRADVAVGKTSKGKK >PPA15417 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2378077:2379970:-1 gene:PPA15417 transcript:PPA15417 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ceh-40 MTNGMAPVSDLVQRLEMLTVLPLENNTVEQGTYRLKNHYLYSTMFSIFVDLKERTRMVVHEEDEKDPQIRLDNMLAAEGVIGPEKDLPASLNNQPLDQDDYRYQLHNIRQQFEMEMSEREHHQQTFINNTRQLMKLQSETRPISELDLGRVESAVRKKLVYYQIQLKQETCEQIMTLKSKFCDARRKRRNFTKAATEILNDYFERHIRNPYPSEESKEQLARQCNISVAQVSNWFGNKRIRYKKSAQKEREDKEVNDCSRRNDVIAMQAAAAQSMMMHPFIDPSLMGAAPFYYPPYDPMQMLHHHPPPPHPHPPSQ >PPA15096 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:702280:703866:1 gene:PPA15096 transcript:PPA15096 gene_biotype:protein_coding transcript_biotype:protein_coding MDESNCPKTGYDCDLNLPTTSNVLRVDCECAQLRCADGKTTLAVNGTLVGRLRCFDAKWTTKTEGFAPSGVCARKCGLGVCKTPSNNGEDSLHVPMDIRTPDNDHPCAWGSCQNGAVGSSGDSTVPYDGQVQFSCAGDGKWKGPDGKKHDSVQCFVGIPCWKLQLWDPSHCPSDTTCKDPIVHTTTAFECPPFRLPAFPYPPTSGSPDKRADAVTCDMAIGQWMYHIQNPNAGWSKVMTQAEFDEIIPRDEQFFTCN >PPA15377 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2147364:2148310:1 gene:PPA15377 transcript:PPA15377 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVAIRILTMGYMISTKTPSTRSDEDSSPSRKRKTPEDDDQSDKAHELKQALLTAVDKARREERTELLKRVRLLEESKLTWEENDRAQQEKIANLTNEIVILKSANRNAVAERIRSELEKQRVTAEMDKVNLELGLIKAKVVELEAKNGELTESVIASSALEYELDAKMITMEKKMRKTEENLARKNLECNELNIKLNEAVEKTKKCEQNAVDPS >PPA15149 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:938437:940113:-1 gene:PPA15149 transcript:PPA15149 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTASALSYLHSNSIAHRDVKPENLLLFGNWKLNLLLDFQVKLCDFGLACTVLGPLSRVCGTPTYCAPEVVSQSGYGTAVDVWSLGVVLHVLLVGQAPFRSTDRNGLFKLITRGQLKLFQYPWTTISSNAKHLLRKMLTVDADIRLTANDVLEHPWIDT >PPA15267 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1593901:1594588:-1 gene:PPA15267 transcript:PPA15267 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAMVILNRHIKDAQEERLEIKEEFFSNDVFVVNDCSYLAKQLGRLGAVIRRGHVSKVQILGVILALNDATERMEKTGEIKASKGVVNSMRCLVNAPGIEVLMREVNDDMVEIGLVGEDNDDDFIVDTQNDDEDMTFDNVINQVYDLIKNDLSNLKNEVLKYF >PPA15080 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:641802:642086:-1 gene:PPA15080 transcript:PPA15080 gene_biotype:protein_coding transcript_biotype:protein_coding MNELFSALPRRTAARTASIVVLHGAPKRKDNELPSQHDSAPSSTVSALLCECGCQRYESTRGL >PPA15286 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1649972:1654240:-1 gene:PPA15286 transcript:PPA15286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nhr-80 MHQICRACRYAACMKAGMDKSSVQPRRGNGGQKRAFCTKSGLKRNKRFAIVAKPKDDDETYIAATVLATITNECTKQGPHSVASTSHSPSPSLHAASPPDQKPTMIIRPQPVKSEECQTSPVPPFTRTTPTPPDEPKFAIPKHNALDILIREEMKLGERRRIVFCERPVAQMLGVNKNCPFTREDIKPLSFRAFRKQIRTHILFIYEWLQSWPDYATLDNADRVSILRSCVLLHTILDPIYITLQIGLPDRFVMQNGGYISCIGGGEGWGDEEEITEKTKRHIYEPLLKKIMAEIIPPMLELGMSFEEFVALKALVSFQGAIPNVSQRGQEAMRKQLNAVTATLHSHLVKAQQRRDRNQSEPKEGGAYFKLIPKNNLDAAQRLGELILLLSAIFSTGLEFVESHRQIQFFDLWQLDSLLLQLLNLDAILAATKDF >PPA14970 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:74937:75579:-1 gene:PPA14970 transcript:PPA14970 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLRHLHEDQHGKDAPLTAAQRRRKAAASGASVAQNQSVQVVKHANPAQIHDTMQQFQAMAAYYQQLLQLLQQQSIPIQFDPQRVSAEILRNIGLENTQRLIVHLQQDVQRLQQEQQRAAARAAAERSNQRVGNL >PPA15113 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:780688:784282:-1 gene:PPA15113 transcript:PPA15113 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNIPWRKLGFLLKPVSMEELNAPALFEKVKDYLATNYISQKDFGKHVLGLNELATSYLFTSPKALTSHTPKQWELCEKMKTWMEDCQEEVNAVNKKIAERYQIAYWRPFPFEEKEETIQSLKKKKEINDQDLLALRKKLFAYAQSEYQYSAMNEGLRNLTKTLEDRNQKLNDQIQNNDKTILDLTKKLLTADHSREDQLWVKNEEVDQMKVDMELERENYRTMKIVLEEEISSLKEMLLKNMEEAERDTDDQLTMKNHELHQLKMELELKEEKHQKLRGEIHERDEDLSNRSHIIFILEKLLIEANNDRENQLWVKNEEVQQIKMAMELKDEEMLNLKKQLLEAEFSREDQLWGKNEELVQMKYEEVAAMKESNMMKLVMESDRDREEQLWVKNEELMEMRMNVEIKDQQIKELQDEVVKLRKGDTKLMEDNKVNVENAQFEATKKANRAAFAKYYKNSMKRTFEDNQNQDSVLACLLLNVLLPLLCLYVPQEMKSYVHVLSIICLLAINFFDDQADFTRILAYLGSLGASIYMPYSSQNQFYPLLLLKFFLALIYAWILKNDAIGTAKNIRFFLERASGLDMCSDERPASADDDVEPTISTPTSESSTAPTTAPFEPRTVSITKKINEELGLIVQICPESKSFFVKYIVPRGLSECPYGITIGDEILTVNGVSLKGASIEFAKSLVSAVEGSIRVELRNTSNQGYYQLECAYYL >PPA15204 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1191101:1191884:-1 gene:PPA15204 transcript:PPA15204 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEHFEVEVEPSDEDIVITEEDFHRHLTPTMLKKFFSDVDKPLFGVLRYDRAVTRAGNFLKAGFGTN >PPA15144 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:921286:922664:-1 gene:PPA15144 transcript:PPA15144 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSGWFEYESGHAWCESAYKYTTNPYIAEFANTVTNLPIIVLPLINALMLKRYTSEVNASILIPNLLMTVNGIASSYYHATINLFGAH >PPA15069 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:591971:594005:-1 gene:PPA15069 transcript:PPA15069 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQAVNKENVPVKPTNEAAPTTEDKMEIVVQKTIHLMQVLRDSDADPEKVRFAAKSLETERELIKGWDKDREDPLRDLLYDFSQSIEYAMLWYVDREEKLMKEVKKEEPVDDSSDLVVAKAKAAKGGKVVKEEPKEANDPKDPKDPKDPKEAKGRKRVVVKEEVEDEDEPVDVKPPVAKKPPAKPAKVVKTEPQSPSKKAKQTSMASFLTKKPALNLDKYMRKQK >PPA15231 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1361701:1368340:-1 gene:PPA15231 transcript:PPA15231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lin-13 MIKKELGIPVQTALWICKSCCLAFQTIDQKKVHQAQHGGQRPLGCEHCSCVAYNPEVMSQHQRKVQENRISYVCGECKFEFEHETNLHEHSRDKHNTQLIYFCKACEMGSTEGVYIYEHFMSGVCPSEINPIQRTQEQASKRIGVCPANQLHFQPISMDSFREALARVPHRFVKPSLCSHRSLIVAGDRQVSCKECRCLENMQRHVAMLSSEDDAVRRYELTVARVRTLPPLSSLRAEYEAKRGMDMRRNQAHMAQQMAYNPNVRYPGAGAPHMQQLRPPPGYQPRQVETLQMQHERMDANRRMNERILAAQMAARGGTTMSGAMATRPPMLTPGTMTMHNGHIVHNGGAAMHRPTMGPPPHRLPVQQLQQPHTLPVLTRAPLSTMGSLQSIAAMAGGGGEGSTSSSSNSNSPAPAAGSHCNHCASTLLTQRDVFIHSLHTPERGAFVCSVCPVAIKDERSAIKHIVNHMEQNERRIDMDMECPFPECQVEIPTLIMLKAHLVAHNPPLRHRVKGCHISFGSAALAAAHAEVHNKSDRTNECCVLCGTMDNWESEMTDRRGLGHTVKHVMKHAMTHKYYCKICDMKTFDKARFFIN >PPA15225 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1311265:1316572:-1 gene:PPA15225 transcript:PPA15225 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLDLTSPRRSSRNHASTLALDVAMSMTSSLKDGENGGGGEMMVMGERKKGPRDSSASSSTSKRSSVKKGGEKKREDTRGKRRAGPPPSEGKRRRKRSETEEEESSEEDENDLEEELVEQKPPQGNDSFSVSRLIGEGDPFKDGTKRLDIPDDDALPFPSSVLFCEDALGKVDASQYPPNCTQFNIGGTKYLPLSCLMDDDGFTVSISERVSYTKTNGKKHTASLSEALKNSGLTVKRRILGKELLHVLRIAGLVVHWAFVGQLSPPLLKKLQQERDKARKTHESMLAQYAQLQQQTASEEVVKKTVAELIEDRKRRSGQIASEGGTGGGGGTGLLSGISFTGSASYAPSHPSSSSALLSTPISSTIFPVRDYRPRSAGLDALARAADVHREGGITGGASRKNLVDNISQCEKWLYSKKGQSVADMMLQQMHLQQAQQHGGGGVRRESYDPHHTHPSSAAGVPLRISDPEHLNKFIGLLNGDKEGRGGGGTILPSLSLSPPLPTRTPPSS >PPA15036 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:396061:399483:-1 gene:PPA15036 transcript:PPA15036 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAQQQQAAATAATTAAAAKEEAEQSQQLQRVEEGEEQGRGEEVEVKRSEEEEEAHKEEREEQEEEDVEEVIMKTGAPVLLAAAPAAPAAAAVDETPAVAPKEEPFPLVPQQPKKECVVVERDETSSNASDDLSDTDSHSKSSGRSDADFDVEPATLETGGVLKLEVCENFSWAEDVERSYSEAMANEQEEERARIEAGYEGAESPGLDSQGSECVHCD >PPA15272 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1607629:1608584:-1 gene:PPA15272 transcript:PPA15272 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGLLSPNKRAPFYSPEPQQLYERQDDKIGLDIGWVVKNMEFKDQEKGQIIFVFLLLLPIANKVHNIFACAAIFAFKLVLIARHYCSGTQLEQCKAILSGNRKEESVQTDKDNESADGSETDFEHKINELGARTVKFRRGENGKFGIHHTKNSITRVDVGSLARVRGVKRGDQIIFVNGIDIETLCHDEISKLFERTPAGT >PPA15138 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:880705:882875:-1 gene:PPA15138 transcript:PPA15138 gene_biotype:protein_coding transcript_biotype:protein_coding MPVCVSPTCKKFSADRGLFHQFTSREPFRSQWLTALTVDDSSKASLDVTLSTKPGKHYVCVSHFDDDSFIAGTRILKKDAIPMSQRISPSYSTPTVSYSPPPTPRGNLLSSTPVARPLRPPPSFRLAEASIPPCCRLLLQEGDTEMKKDANWTPPSPKILNLPKAEYFLVSKASLLLTGCNSCTSGKNDLSFSEDAHALTCARKCTSCGEASKWSNSPVLETSNASSWEKLRKVNVDMVTGSACTAVGTANTKTLYMAQVKATWSGIIVRRAYDAVEPSFTLFKKCLHAPPPPNFPYIQRDGRAFKNLEAHVFTVKNIEDIKHVCWNLKTSTCESLNSLAWRYAPKDFYFDRMGHELRTMMAMQHWNELRKDEAEGTRTITGKKGYFNHTLKKVVYRNVKTPARNEWRDNVRRACYKIRASLETTPYTTEKKAKLEEEKLKETWNLANTPKVPHFADSNLEESDDEDEVHPSHDTTPQQSLDEILEEVRILMEEDDEARRQWQLRQEEDE >PPA14993 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:151511:152701:1 gene:PPA14993 transcript:PPA14993 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTRADIAAFFADNHDELVAKRKTMKSRMEWIDWYIAFMRQRDEQAASYGIEYPRDCKDCGCVSSYSSKRFVSRTCNTGLCRKCVDKRPDSADFVALFESTDRECEICSAPSPDQRAFFTGCGHLTCAACAYQMRANNSNHSAVFSCPFCLTSSEPVILDEAYRVKSKKYIEEKFRSLTLVLETTVPPDVLERFFACPVVLF >PPA15049 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:457220:459994:-1 gene:PPA15049 transcript:PPA15049 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRETPDRITIQLARPTDLFGPGPGLGLGLGALGSGSGTIGIDDVVPLPCPGSLDSGVSEPPFPAPAPQPDHIPISNNLPVTSPRPSPESDSIADPAPHKSISPEEVKEYLHKLRDYYSDLKDRDQLDSEGYILRENLLKPAAEQILADLQGMESHKKRPAPAPPVNGGASHASHAPLGASPAPCEDAVTPTASTRPVPKPRTTKKFRVIYNPCIISCSKNEPTPSSGASASSSGAATPVGDAAAAAPTFAAPAAPAALPEAAVQLNPATLKLAPAYSQLTKVLPSDDDDDEEMTRL >PPA15326 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1869943:1870550:-1 gene:PPA15326 transcript:PPA15326 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNINKRMGFKATPSNPGLYKAKPENGFIEPNGKVEVIVTRAVGPAGKNDELVVAWAEVEPGVTDARLITNSPVLAEVGLVLKTA >PPA15363 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2062505:2063393:1 gene:PPA15363 transcript:PPA15363 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEGGTEAAFQGTSGESSQENNDNKPKENNDETSRFECNICLDTAKDAVVSHCGHLFCWPCLVQWLDTRPNRQVCPVCKALISRDKVIPLYGRGGNETDPRDKVPPRPRAQRQEAPQGFPGFHFGDGTGQGGMHFSLGIGVFPFSIFANIFNSSMDRRPDAPNPGSQQHQEEQFLGNLFLYLGIFFVAWLILI >PPA15078 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:621077:628804:1 gene:PPA15078 transcript:PPA15078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mmcm-1 MLRSCSTRLAGVRAVSSGYTKKPIDPKWAAMAKKAMKGREADTLISKTAEGIDIKPLYLAEDRECDGGKTPELPGQFPFTRGPYPTMYTQRPWTIRQYAGFSTVEESNKFYKDNINAGQQGLSVAFDLATHRGYDSDNPRVFGDVGMAGVAVDSIEDMKQLFDGIPLDKMSVSMTMNGAVIPVLAMYIAAAEESGVDKKLLAGTIQNDILKEFMVRNTYIYPPEPSMRLIGDIFAYTSKHMPKWNSISISGYHMQEAGADAVLEMAFTIADGIEYCRTGLQAGLTIDQFAPRLSFFWGISMNFYMEIAKMRAARRLWANLLKERFQPKSDKSMMLRTHSQTSGWSLTEQDPYNNIIRTAIEAMASVFGGTQSLHTNSFDEALGLPTPFSARIARNTQIIIQEESGICNVADPWGGSYMMESLTDELYEQALKVVLEIDELGGMAKAVASGMPKLRIEEAAAKKQETAGN >PPA15263 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1580331:1582618:-1 gene:PPA15263 transcript:PPA15263 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLKQREEEAALKRVAFISVSLSTVAILGAVIAVPMIYNYMQHVHASIQDDVDFCKTRSNSLWQQYNFYTMSQTGSIRMPRASWFTDEQDGVRAKAHAAETYSSGPTDIHADFVAGGGAAGGKGGGSCCSCGMGKAGPPGPPGTDGAPGNDGHPGGPGAPGADAAPAAPAHPEFCFDCPPGPAGPAGSAGPAGPDGHPGAPGNSAPAGAPGPAGPPGPPGPAGNPGGPGTDGGPGPAGSTIEAPGAPGPAGPPGPAGPPGPAGNPGSAGSSTAGPPGPAGDKGPDGAPGNAGKAGADGSHGGKGTGGGCEHCPPPRTAPGY >PPA15251 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1508617:1509809:1 gene:PPA15251 transcript:PPA15251 gene_biotype:protein_coding transcript_biotype:protein_coding MMIYALALSMVPLAFGCGAGTGPITATPTMKFSYSPPRAWTYNVVVNAKGGQSLSDTAAQARINSDIEFAVIKAVESYGYSTSGVSVRNAVKPDPATLVDAAAGCPTTPPPAPYTAYVASAEAITLICDAGVATATKEFRHEGVTITITSPVALAQSNWENIATKVWASLSANAGVKFYGLIDVV >PPA15342 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1961915:1963825:1 gene:PPA15342 transcript:PPA15342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-coq-5 MLDVAGGTGDISFRALRRFPRAHVTVCDINEEMLRVGEQKAKEDRTLDSSRLSFVVGDGEKLPFEDDSFDAYTISFGIRNCTHVDQVVREARRVLKPGGLFACLEFSQIHPALRTVYDAYSFQVIPVMGEVLAKDYNSYKYLVESIRRFPNQTDFSSLISSTGLRDVRYENLSFGICAIHTARNPMLLYSLASMHHN >PPA15382 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2168449:2184130:1 gene:PPA15382 transcript:PPA15382 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVWINNMHIAYDRAQFRAVTDPPPARMKEYEDFVFKNMQNMAIALARVDTVRPHEIERRLLGDSINGIDNLPLNHNVRCALLATGFYLLYCKPEKMTKDLINVMVNSLPLLTSMRWIDDSISAKQDKVPIQESFSFHYNTCLSEIASRLQCNKRMEERDAIVNSQIEMIACSVNGLIREITDEDKKDDNSMKVYAMRLCSFVIGLLRSFGRANGEGEKPLIAHVFPLKFEQFAAAGGQKETRSNLRLSTDWDVMLANEGTEAQSRNEAKDPNRLKKIASRHGTSFVVSSPFRIAPQELEKLFDTMQILLKKPVLDRLDEIAGEVYSNIDVVFQHGSIKRFPYTRVGDTILLSCLTLLRDVLQPFSILSPECPIEEKFAKELNSFCMEIINASDTSRMSTDDEKKTSNGHHNHHHMDVGVNRHKIAMSVISVALELIVWAAVDEIDTDRVCSGMSDRLFATVAAQRGFASQVPLCARALYTLGGLAEKFPTVSKATVVGTLSRFLLEPSPLLGRLSAEKRAAEGDKRDDEAVIKRKTAFENTRNAAISALCNIVQLVCENAILTLGGIGVSLVKEPEVPELVLQIFTQRFSNPPSPLDVHIVNSLANMWIAGATSIDDGVMKLFSRITIESSNCIYSTDSRSDGKEQRYAHVSLAVDSALGRMAETIEDEKAKMDLLVRLLELFVQLGLEGKRLGEKLNKSTVKLDRELTVYRTLQMSTGAGNLGVLIPKMAALLRRMNPITNPTARIRNLFRDFWFYCTVLGFDVEYSGLWPEEWYIAVCDVAVKSPVLLPTENLRSELIDNAAIKNDIMSPSELQEMRNTVVAELDHPVDVVAMVNRMEFAQCTYLLSVVRMERMRVKHAKEAAAVQEFFKYLEDSRVEVSWVHYYLEEWENPDQSAVIYRNPLASLIRAIRKDKAGMWQCLLSAAIVVFNSFLDSAKRRGENGTEAILERHAQFLLTYFNHNLREVRRCADLCLSRLVDTFPFLLWNGRVLSTALDLLQALKSNVEHDSSCKMTQFSLPSLPWSIQLQESVEARRQVAQDFSERCEQILHEAIKWAPDSTNSHLLEHVANGGRMPSVKGLDQPSDRDEILHSRTLYVGQVKGMLAMLSSDYERDGEKVLVTRLEGSLERAIGSGNESELHESIMMLTALFVSLKEPNDSLLSTLTRIPLRSFSTSTLRMCVLSWSWLLAAKEEIIVRFLREMSSVWTWCARERLGIFSPDDAVPCPLSDTITHPREKPNLKPHAIWIKFLVERVEVAKYRSREQLDILEMMFVNTLSLRVASPTNGGGTTHSAPLFGAPIASPINDVLVTRHISAVGIRFKLLTCVLSMLQGETSAGRLSYNVLRERVYASALHFFSLPPQGPVQESGQLRKDIQMLSTFWQTLYADAKYIKKETFSAVSDQEVHMLSLPYAPSFSDNMSNKASVQTWHAAAQSNSYANTLTIVSNQKMANAATLSRNGEGSRTKMDEGGGIEGEKMIKSYLRKRNMLLLLIGNEMDRLSAWLNPLGEGTEEGMSAVEQWMKSTLGDSRTEQKVLKDTAKLAWQISPEVAIALPSRFRGTNLMRSVIEELVRSNPSIVCHLPDALPLFLADSNVFETSDWIM >PPA15199 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1165674:1169891:-1 gene:PPA15199 transcript:PPA15199 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAPSDTCDYQRFEEMLIHLTPQGASVPYNVCYDKDGHVWVASKGGLFKFDVKTKRVLHKRKNPFPKTMAAFCQVIYHDDTIIYTSAECKDGQTAMFFLALDGTEKHMSIIDGLLLSMVVNSRGDIYIAKQPTSEKSTIYTTSMDAPLGWDEVISITGEFYDTLGLVDDDTLLASTVALPKNMFSKQSLRLISLGDEGDEAKETKVFSEAGKEPGKIFFPRSIKRHGDHMIIMDKSGRFLRFTPEGEYVDTVCEIDAYLANGFTMIPAENGMEDDGMRALTAMSGIVRDPSNLAICDDWLEVVPLDGKSWKEKKNGQTD >PPA15027 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:319163:324258:1 gene:PPA15027 transcript:PPA15027 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDLMAIFRGVDRDGSGSISTAELQSALSNGTHNSFNPETCRLMIGMFDANCDGAIEFREFQALWNYVNDWTACFRSFDTDGSGNIDRGELTNALTRFGYRLSGQFYTILMNKFDRTHSGSINFDDFIQLCVVLQTLTAAFRDKDQDRDGVITIRYEEFLTMKFRMSPKRDNASSAVQPLSGSSKKTSHAQQNPIVIDELTTLDTLPTPPMDAICRFLLDADDYEALANLRKSNRKCKAGVDSFFNQKKNIPPMESISVRLTDFVLEFNLDVHKDTLSFRPHLKELKKHTFRFVIQRVFRGYEVLETIKKVVGDVRVLSVDLTSLDHLRFLDGELFESTAKFDKVRLEWNERHDKLRINDEFSAVIDSVIAHRSIRALELNFLTGDQPTYELADPIGFFERLTQLTRSVSIAENVEEKRYPLLFGLPHDEWRKLIPRLEKNGARAQFCTDRGLIRRYGSYTQRTMISYQAALDV >PPA15310 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1767559:1768024:1 gene:PPA15310 transcript:PPA15310 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQLKPSDSPIVMNQLTLLALLACAFTAYSLTPCEDFCQGTILGLTPYCYCNENFIKFNRTCFRKCIANCKAKPSYVGCIPSDGIPNAQLWICCIKKVDWQTNLKCDSECWSTALPV >PPA15143 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:916542:918600:-1 gene:PPA15143 transcript:PPA15143 gene_biotype:protein_coding transcript_biotype:protein_coding MTGHDDRNALFRAVVIITALLISGLCFIEPNLNALALMAYSIPAVMLIKYEAKHSGIPDIAGFPRRVFILWGIAVSFWFADRLMCDVWLYLGTPYLHALFHLLSSVAAYSVFVMFSMIDIEARSDSHKFTVAVRYFPDKKGALWSLPYLSIVEKHTTD >PPA15277 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1629022:1629549:-1 gene:PPA15277 transcript:PPA15277 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAEMSNQEELKLKRNEIIPEETKEGDENNADEFTDNERIERNEEDDIESDNDNCPRIVRQKRGPIGKYGFSHREDLITVVSETAEAQGVKRGDEIISINGIAIYPFSRDEIIKILAYSTETVELTLRFNPDRLKSVERP >PPA15054 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:505679:506433:-1 gene:PPA15054 transcript:PPA15054 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFLFLFVAIIVYIGYRVYRHSYDARADPSDPAYKTISESGEDSSVFEDKLAKSAKLATARSTSVKMARTPSTSASSTTKSGKIRRR >PPA15063 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:556034:563443:1 gene:PPA15063 transcript:PPA15063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gip-1 MSSGHPPSLVEASADVRRCFSIDVDARSLADAIDAHGIYDEMATREKIDRHYRKVLDRHKSSGTERVQSIAAGIQALETAPDLRRGLYIGEGFVLSKKYGLGREQSQSLGMEKDAPPLPPKRGFISTQQLGSGSRSPHFNRRSVSRSRVRSELTGDTPYNPSTFYSPAPTQTTGSPLSTVTSTRPFGSSSFNAAVAARDAMAKMNIRPRLDMGGAETTSPPPMVERPRARAATTTLTPTVYDTPSSSATNRLYDEHSHCTHPHPPHRLRHSSSSSPVSLSTATPSTVVHVQHGGAQPQRATAALRATAGVCGRAAGANEVADEVLAQGLARALKGFESSYFRLSDGVFRMSAGCAVAGTRRGRLLRVLQTASHAHSANMATSQGADLMTEAFIVGLQKVHREFTQDVMTLERERGSYLDTRALDFRIRSRWEAAMARVAALAALVRRPQMRGMTLLHELYMHYTGLENTGGMEERVIITLLDSVMFVFANLLQQWLLDGTLNVHNTEFLIKEREGHGAEQSEAAMVKSWQTPPALCGAVSDNFKQP >PPA14978 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:112818:116104:-1 gene:PPA14978 transcript:PPA14978 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMRQIWQRPIPKPARRVEWDYEYENRRAYGKVGDELEEELRYLPDSTPAASVQIIVVSATRGVARPSPGASARPAAGRSTSARAASRASTTAPAPRTQVRRSASARNRSQAAARAATLTSDDESDTEEQTVRAPRTRLTAAKEEEERAGVARRLRSRQH >PPA15389 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2226395:2226708:1 gene:PPA15389 transcript:PPA15389 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRLSEDKDKENEAIKDEDKARLLEATRSLSVVSKRLVRECSLAHGLDESLTASAEAVVCAAEKCLARISTVFHAQLLIAKVDQVP >PPA15283 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1642038:1644516:1 gene:PPA15283 transcript:PPA15283 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGALFFMPDQLLPIINCMDIGETRTMQAESQSINRQHPTINGDWLSEVRPRNKCARLFSRSCPTCLTEAPRRRVVFTRCGHAICRDCADTTAWEAWVFESQIRCPICEEKGEFVTLREEEEENGEISSIGFSRQCLICVADSPSHRDFFTECGHLICTECSSNLKKKIELFAALSVEVKEVCSNWMKRNTLSRVLSILHLFDSFSLPIMKYLNFRSDRSGGIRCTLPTMDHPAVDNNHHRSMQLLLLRSEKRRVHLVFMELVREHQRRIVDRRLQELRLQLQEENEAELRRVETAHQEEMENLEEEYRREREESESDEELRSEEESTPEFIAQRIVHEFNAISANVHREQAQVDESSEDWETDSEYSETVEEELEDSETRERIEQLRRENDQCADVVLRFTRSCRICLCDSPRQRAVFAQCGHIVCLACAEEMEANARVQRKGVECPFCRCEGPFVKLFEQEEEQ >PPA15088 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:682918:683783:1 gene:PPA15088 transcript:PPA15088 gene_biotype:protein_coding transcript_biotype:protein_coding MLAETSDEFLDRFNVIAKGISEAVGVMGNCSSSIFEKFVKNDKDATFAKLKSCVKEQMDFEKSFKEPLQNYQKQFQKLVEAEQAALSTYDEENETKKA >PPA15091 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:689758:690162:-1 gene:PPA15091 transcript:PPA15091 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFAVTIASALNGRGRPKKTVSSNAPNSKTRKRSIAEVDDIVVGGTEAEAGEDFNTWDLITNETNDKEGYDDEDEEEETILVNRQDDEEIMMCMIQKGFNDVFYH >PPA15312 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1773434:1774520:-1 gene:PPA15312 transcript:PPA15312 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAQSTTDDKPSLIVLTETNKKYMAEFNRLQEQKRELEQEMSKVADIINKDYPDLPLARIVRNDGYSRYDDEQRLRIADALDDELDMKKCIERRIKMNVAELAAVEITWKIEARKRPARASEETEEKTKRPRIE >PPA15194 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1152497:1152863:-1 gene:PPA15194 transcript:PPA15194 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVQLSPGTQGPILRSLAEDVNDVRSFVRKEENPNDIVNRASYALKY >PPA15147 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:935003:935775:-1 gene:PPA15147 transcript:PPA15147 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTSEEIKRIMRAMEGIDRTDAFGDKRKHHHKRHRKIRSFGADVESELEGIRNAIYHLRNALWGTNQILRNMHISTDANATELYRGTTPSNSFSLIPKKLYK >PPA14969 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:74512:74818:-1 gene:PPA14969 transcript:PPA14969 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDVQAQMSADFRQLQLALQHMQQPAASVTAQQQRAIAATASTSDDQPRVIGRRIWLSVVVPSESIPTSK >PPA15301 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1715576:1732580:1 gene:PPA15301 transcript:PPA15301 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGNCDGETFSECGEMDPDIVIGGLPLPDDPPDDDSNVWLRSSILRFGASCAHVDTVTDEAALTTSLVVVSADWVITVTDTPRPTHPLTTVLRYAHYNIDRHSQETNWLLAGIVECFQQLSSRACFSVYSTTLFRRKLSNLEYLLLLNEFAGRERGDPYNHPVVPWVVDFSSENGGWRPLNRTKFRLNKGDDQLMEMFKREPAHHVPEQLSDIGYMVLRARVESRERLCRHVRSKWEPREYPSSVHRLYEWTPEECIPELYEDPRLIVSSHTDMPSLEVPSFAGNGTPEGFIHWHRAKLESDEVSAHLNEWIDLAFGYQLSGDAAVRALNVPLSSVRKRSIRTTAAAPAAADWFMLHGFVQLFTRPHPKRLPREGELSQQKNVLGLNPLYQGILRGEGISFQSIKKEEPTKEKEGDDSLVKMYKKMLAKHRQRSATRDRCIQSLIVMIIELCLPSHCSSLHPSLAHYEWRLERARKLLRNHTGGLPRHLRSALGRLLRASSFDDEPLPDETTTSSSSRRPPSSSLAGQLQSAARRSTTPPETASPKDTIEESNRARKQRPLSIPPLKDLHFFLLYTLSIPSEVVSTHDKTAIFYAYNVLRKHSLAGGEEKRTQQALHSEIEALRRTMLQTSRRRFHPLHPIMVHLMLGALADTVSSITTVNRLFPVACRIFASDSLTVLLQPLKRLLLNETTVKLVDRRFLTQLSIAYGARRFLEDCVPTLVEAVAARNLDRSIVAKESIMWLTRRYGPVCCARFISSNLLRILASCYEPLRLPHGCKQSNVYTDVFRVPLDGDSTGSRVEGALSEIALNFSTTFVTMQYLPFCVEVVEGASRRLSNQLEPALIAALRILRISCRAMNDGQLMNYLEDFIAERILNRLAVLVCSESSAFSTSDARALVAARTLSSLLEIALRVGPDNARMYMKAPLERMVETMTSLYEAGDDLELTPAREGSIVLQSAFPLPFVRSMISRFSAEWGVPLLSSFCSMPACIVPYLTPTTPTTGSGGQTAGSTPLLSRNQMLMSSSSSTGNRLFSLSSGASAALSPTMLTTMTSSVGGAGSDSSGSLSSLWCARVSAAVCGSGARYSPRLDHLSLCSFTGHSSTVTALAVVSNENSFVSGSTDKTVRLWTVNADRETTACQYSYKGHSKAVADVCLLSTNAIASTDGVIQVVDWGCTLNLRGRKCHRFQSRSTVLRNTFKSPLLHQIWDPFRGAGLLSLDWSSVTSSMEGGGVTMVSSSSSSSSSSSINRLANVDRHCLLAVSSLHGYVKLLDLRAGGWVADLHCLKGAGLARAVTADGEGRRIAVALSSGTIVMMDARTGRVINSIGGGGTHPTGIKFMPWIDTPSSAVTVSSPIVSGPSSCLVLSDADEGTVIYSRGLKALRRLPDPTTVTAVDEDRQLLMTTHASNNVRLYCGAELSLETRLRSDVLSGQV >PPA15168 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1041771:1046591:1 gene:PPA15168 transcript:PPA15168 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gly-9 MSLAHDGRLRRETSCAHGVVRERETSGTVVMRSCREKGDEWKRQDGQLRHVNSGLCLSIRGVKSGEKIIIEVCDSSAPEQRWDYSNPTVMASAAVEDVSPTLERNPLYPMSVAYCGECSMPPEYCEYSGMYDRCKKWAEIHTTTEELEALSVSGGEKKTDGPLVVDDNEAAAADADGDKKHQKRGGKGGKKEEPKKKKGVITVQRAPRGKKSVTVVKGLSGFDIDLKVAAKFFASKFACGSSVTGTDEIVIQGDVKDDLFDLIPGKWAACVPKVEGLSKEYLMTTEGIDIAKKLLIADQYRLDDLRDHCFNSFTTANNFAATLKSSPDYVKLSGDMKIAICDRFVELGMWLGYGRPIKRRIDVVMKEAAEMSDTVNEYIFPSS >PPA15343 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1964387:1969102:1 gene:PPA15343 transcript:PPA15343 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-307 MILTPCMFRTTTPAPLKEIGVVLPTIEGVYQPGQLFVHRLFAYKGIILCSFKTKVIEKTDDPKEPVIKRDETQYQVLIHRGDWASMGFPVDLTAYLAESNSYANRGEKVFTTMNGLDCVSHADILPFAGSERSPIDHDLFDRIFHSSEAASESQQAEAGGVPVVRYSIRNEIRPFYMSSTRSWMAPCHVNRETNEGIEVTVTTFYLGTTLSGGQPKHMWRYVMRLNNLTGSTVIVRERHLKVFSLNSMHQLNGHGVVGRQPELTAARPAFQFSSNVDLSQSKGGHMWGSFKMERENGQTFDVHIPAFQLDAPISDSPVPSSPSTPHPPHPSAPSPTPPIPTPEWGLEGKLLSNESSDEFVRSGLEFEIIFLREGNLISIHSIEKSHADDCGCNRTY >PPA15290 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1664309:1664935:1 gene:PPA15290 transcript:PPA15290 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSAAPAESAADAAVPTVPPAPTVPTVPAEPVAAAAPAAVLTPKQTRKLAAAASIAAARKSAADSAYGLDPTVYQFNPPLYFQPVRSTPVDYRTSEYRDHWAMSPHHSAAPSTSTTLNHQQPVNYTRPRHE >PPA15255 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1538858:1543549:-1 gene:PPA15255 transcript:PPA15255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gly-6 MMSEVPERDYTPFNLFVSDRVFVRRSLPDMRRRECRSIQYRVDLPSTSIIIVFHNEAFSTLLRSVNSIISRSPSHLLKEIIIVDDFSKRAFLRSPLEDELAVLSTRVPIKVIRSKERIGLIRARMIGANHASGDVLTFLDSHIECTEGWLEPMLARIKEDRKNVPCPIIDVINDNTFAYQKGIEQFRGGFNWNLQFRWYSMPSDMIHARVGDHTQPIQSPTMAGGLFSMDRRYFEELGAYDPGMDIWGGENLEMSFRIWQCGGRVEIVPCSHVGHIFRKSSPHDFPGRTSGSILNANLMRVAEVWMDEWKWLFYKTAPQALKMRDSIDVSERIELRKKLRCKSFKWYLDNVFTDHFLPTNSSVFGRISSPSSLCLLSRPSSESGVKRHRLTLSKCTLGFDLWQLWVLTPDGRIRSDEHQCLSATPEGFSKNGAYTVQVRECGEYSHERWTYSARSGSFYHLDSGLCLGIPPDTGDTREGHSPPLLQRCNSGVMQEWHVSPVRWEHPSIVEDTVLHNTTVT >PPA15007 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:219925:222137:1 gene:PPA15007 transcript:PPA15007 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRSRKRVSLSTPSRIKNSEAIAKAKPKAVPKAKAKAKFEPPKSPKVKAKPKAKREKKENPECSICLDDLSEKRAVRIACSHRFHHTCILNWLEKREQADEQRCPNCRAPYLFMATGNKKMKNVMAFGADQQPTLWHLGHMVKQGDGGKNAESFRKFHLEKTESNLAAVRAQRDEAVAKNKSEDFIEDFDDEIAKLKARVTIYKEMKQLADANNGGPLDNHMTPSMLLEQDLMRFFEANRNRQTARLNAVAGAPTAGRAASATAAAAAAAPPIPPNIVAFFQAQLLATASNDDFGAVRNVRNAELDNSVIEIPRS >PPA15033 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:354369:363635:1 gene:PPA15033 transcript:PPA15033 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-32 MSIGVMTRIEDLKTVLGQTQDHRHRVLVAAAKNVRMWLTKVRKIKSIYHTLNLFNIDVTQKCLIAECWCPVDALDRIRMALKRGTYNKLEEESGSTVPSILNRMDTTEAPPTYNKSNKYTVGFQNIVDAYGIATYREINPAIYTMISFPFLFAVMFGDMGHGTIMLLAALFLILREKQLEAARIRDEIFQMFFGGRYVIFLMGAFSIYTGFLYNDIFSKSLNIFGSAWANSLLPNETQPFFDKGLLEVPLMLVPEKAYVTSPYPIGVDPVWNLAEANKLSFLNSMKMKSSVILGIGQMTFGLILSYHNYKYFHSDLDVKFMFIPQMLFMACIFIYLCLQIIVKWLAYSATAGVVFGYEYPGSNCAPSLLIGLINMFMMKSRDAGFVGADGKVSWGCSNNLWYPGQFYTFPLMAPFHFQSFFETVFVLIALACIPVMLFAKPYFMWKAEKERQAGNGHMQLGTAETVLVIVAVVQIPIMLFVKPFFVWRRDKAAAATRESVRADMNGGDSEAEVVHHEPKTNGGGGHGHGDGPLDMADVMVYQAIHTIEFALGCISHTASYLRLWALSLAHAQLSDVLWTMVFRAAFRSDGYIGAISTYLLFFAFFFLSVFILVLMEGLSAFLHALRLHWVEFQSKFYGGHGYVFAPFSFEKILEAEREAEEA >PPA15324 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1836216:1863544:1 gene:PPA15324 transcript:PPA15324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-him-6 MATTAKEQYESVKSRPRVACGKFQFVVPDAKFVIPRFACHDNHVGSVVQAPVAAFTLEAAPAPPKTSTSTSFTSSASSSVYDPRRITPVAARVPSVPLRQISSPNQVVPPPVNRSSNHIAPPPKPVEQIVIDDDDEVVAASDMEEDSFDDDYIIYDKPPAAKTPLNTTISKPQLIRQDSASSVHIYGEEDDPTETDSFYDDDEIIDYAEIVNEEAELECWDAVKKRHDMHGQFRGFLKDDGDSFEDEELLLGKDLKDRMYLTLKSVFGHSKFRHRQKTAITAIVLDCDAFVLMPTGAGKSLCYQGRHTVLSDGVTIVVSPLRSLIEDQRSKMRGLDIGCEALTGDLSVKAADDIYNRLESKNPDIKLLYVTPEKISSSSRLSSVFSSLHRRGLLARFVIDEAHCVSQWGHDFRPDYTKLATLRDIYKYPKVPIVALTATATPKIVQDTKDHLGIKDSKLFISSFVRDNLKYDLIPKAAKALQKVLEKMKQLYPGKSGIVYCLSRNECEKVAASLQKEGFTAEPYHAGLTDTKRNDVQSRWITNRIQVICATIAFGMGIDKPDVRFVIHYSLPKSIEGYYQETGRAGRDGLPSYCVLLYSYQDMIRLRRMIEGDDKTTVGVRDMHLGSIYQMVAYAENISICRRKILVEHFGEVYDAAACRSGTTPCDVCHRKSLNKGKFTLFDVSEEARIILQCVAGMRNVTLRYLAELYRGQMNKKTSEQAIRLGHSKMALVGRGAAMSEPDALRLMRKLVIEQYLFERLYATKFDSVSAYAEVTEKGKAVITGRVKAKEIVSNEETKRLRHQVFLHVLTDGGGRKKKDEVQINIPTVSEAAALKEKHRIKHADVFDRAVAALRAVAATIAAQNRLAGPHAVLSSEGIEQIAALLPRTNSELLQADSMTPTKIEKYGQTLMATLKPFWKEVDDRDAAEITAQLESLKKREAVIGGFPMVMRDGNDFGGEGGTGIVQPSNGGRAFKPNFAGKKRRTPSQGAKGGVKRKASQSSGSRAKKAKTTTFKAPAKNKATGLNPAYFPTMRTMEEAIGVDCDVELVIDDKEEGEIDDEDEESARRRIMEDIEQEDMEDEEIDVVHIDDEADKEKDGSNKMTLRPHLEHSKPSSSSGLLSSPDSVPLCLSSLPSSSSTFSGSSLIQLMPSTTLGGHKRIKWGIGDMLLFYEGVKQYGKDFDALHRVMSKRKLEVNKEQMRNFFFNFYKQVRGGADMKEEDWSAELSRDARDLFVVINGCEWRKKMGNYKFEPAKLRALIMEGTVTTRLRCKKQHVTIKTPACPALLQFFSFNRRITRFPQDVVLYLSPRRYKDGTNVMSRGQSRNLLIRLNTCDRVERIFELLQYKWRGDVDDEDEDDDEEDEEGEGRLQEDRTQTESIKRQIKKGHDPSEVCYRASQSLIPHLTLSRAALLEGLTKEAAGSASIAELYAVCGLSREIVLLYSIEEETSEDVEEPWDILADLLGRGYGDAMIEKKPSGIINKTPSRDDPLQLGLIEDEEQPPPKRMRIVKTEKMESEGRGRRAIGKGGVRSKPLFDIQQAPIVTKEMDDFAEQLRSIKSAPRPKRRSPVRTLKATTTNSALSMSNHRRTSSLSLTSNMTPTRVGVGVSTASTPSRMTTTFSNMMMTGGGNNDTIAMALKAALTSPGNSNQEAPSTSRGPPRRIVPAAVFTSPSKTQASLPSDVRASMEQMMSQNSLDYCMEFAQLINCTALDSSPSKLLISASPRVLSSSASSTQPERREFQAETAKLLDIVAKSLYSDSEVFVRELISNSSDAIEKRRLANLDSGESHNGEIKITVDEAKNTIVFQDNGIGMTKDELVSYLGTIAHSGSKAFVQENAEKAENVIGQFGVGFYSAFMVADRVEVRTRKVGEQSGIQWMWNGGNSYEMSEGVETPEGTTILIHLKKGDAELYAQFLRMKDIIEKYSYFVSAPIILNEERVNSLNAIWTANPKEVTSDQHDQFFAQLTRMHHPHLQQDRPAYYIHYKADSPLSIRSIMYIPSHRVSQMEFATQGEEYGLSLYARRVLIKAKAKELLPKYLRFVIGVVDCEDIPLNLSREMLQNDPVVTKLRRVLTDKILGFFVQQMKKDPIKYNDIYKNINLYLKEGIVLEPEQNVKEDIARLLQLETSNSRAGTMTSLTDYISRMQEGQKDIYYMYAPRGKQEVDDVFE >PPA15070 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:595925:597878:-1 gene:PPA15070 transcript:PPA15070 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVKKGKSALSGSSASSAGPSTSARPVDSEGKVKKSRPNNFQRAKAIFDKIQQDKQDAKEHKQQEREKREKAMDKYNKNKQKMNRALKKKNRKGQPNLGAQVAVILEKLQGKK >PPA15219 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1254496:1255960:1 gene:PPA15219 transcript:PPA15219 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVPPSSRYSDAEEDFEFVEDDSKSEVEVSFEPEKHESFIVKQKTNKPEAIELADLSSPVAVREEVRVALEKKMGEEHDLTKEMEKKKKKKEEKKACPILFGIRFVILSLYLFALVYGIVLPAYEKLANATATLKTPLIGEKYESILPHADPYGFSLTGIFISAVKKGSMAEQANLHRGNQILSVNSIAVEGLNHDKLIALVEKVKSQKGTLKLMTRSNLEGLKLTEQLLELVEKKNFPFSLCFVRILIEVVD >PPA15316 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1791622:1792166:1 gene:PPA15316 transcript:PPA15316 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNQLTLLALLACAFTAYSLTPCEDFCQGTILGLTPYCWCNENFLKFNRTCFRKCIANCKAKPSYVGCIPSDGIPNAQLWICCIKKVDWQTNLKCDSECWSTALPV >PPA15262 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1571430:1573374:1 gene:PPA15262 transcript:PPA15262 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVAHSTFEGFEGFNGLVYQGAYDGYIKGVYSLLDLIPTTEDERIFEADAVTEVFGGGTRKGRGDGRRTEVVGAKAELESIIKQLNETVELRMEML >PPA15249 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1505566:1506436:1 gene:PPA15249 transcript:PPA15249 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYALALVPMALACGPAAGPTTDRPTLKFNYSSPLAWTVGGQSLTKTSAQNRINADIELAVIKAVESYGYSTTGVTVVNAITVDTPTTIEKMEVCNKAGNFVEVGGVVTYECLAETANAADLTNPIKAKPAIHIGTTITVTSPVALALSQWENIATKVWAFLSNNAGVKFQGLIEVSK >PPA15393 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2243377:2261498:1 gene:PPA15393 transcript:PPA15393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-epi-1 MILRLLLLAACWHESLTQVLTPSQVTISYHKPITATATCGEINGQPINEMYCSLAGSTQYTPINQYSYHVEDHNQGAYQEMRREREAFVQGGHGCGFCRADAEDKAHPATNMVDGNNSWWMSPPLSRGMQYNQINITIDLNQEFHVAYVWVQMANSPKPGTWALERSADYGKTWKPWFYFADSDAECMRRFGVESLAPIERDDQVICRSDLSSIHPLENGEILLNLMEHRPSRESFMSSTTLQHFTRATNVRLRLIGTRTLQGHLMDLNDMRDPTVTRRYFYAIKEILMGGRCVCNGHASTCDIVDQLRPTTLVCRCEHNTCGDMCEQCCPGYVAKEWQKTTATNNFTCEPCQCFGRTNECEFDPSVVGESLDIHGNKEGGGRCRNCRYNTEGVNCNQCVRGFFRPETREWSDLQPCEQCRCDPSKHNGACAEITGKCECLDRFTGENCDQCAAGYHSPPECLPCDCKAPGTKGDICTPTNGTCPCNEGYGGDFCERCGDGFTNITAGCKGTVTRHECGCNSVGSVHNKCDLNSGDCVCNAQFGGKKCDECAGGYYNFPDCKYCDCDPSGTENGVCDGKSGQCLCKEGFAGRHCDQCDLNFYGYPNCKPCGCDGAGALSGECDAKTGDCQCSGNYTARVCDKCAAGFYGYPECKECSCLHEGAKGLTCDQFGQCFCKENFHGSRCETCKPNFYNFPLCEACNCDPKGVSRNFRGCDKVAPGELCECNTNVQGRICNECKPTFWDLQSYHTGGCVDCACHLAGTISRLNTCKQASGQCNCKRNVGGRACDKCAPGYFNLESFHPHGCEPCNCDVGGALKGDCDSENGQCKCRPRVTGVRCDEPLQHHYFPTLWHHQYEAEDGHTPDNRGVRFAWDPEIFPNFSSRGFAVFSPIQDTILINMEAPKATVSKILLKVHNPSNVTMTAQVTLSRAAATPGETEQTTKQTFPPSPAPYISEVTLTGKPFVISAGSWVLKVETKQRLFWDFVVILPSEYYEGTNLVVTHNKACEAGVKDGEKCLDLLYPPLPQVARADATKPGIMPFDITTGDGTTIPLEMVPLEQVREDIGPAAFIRADNNSRIVTAKVDVPEDDKYVVLMEYFNLEKTDLSLGMNVTQNETIHSGQCTIHYCPYSAFCRELITENGQPLLLSLEKGKAQISFLVGPNHEFGLHSINLIREKDWNNQYLQQVPVCVRRNKICEPLSFPAAAESVVVEAEHGQRESRPVSGDKLSFDVANEEDVVVVPLDASNPTLEVSGVVQSPYHYMIMVHYFNNDNTPQSIDALVSSRDNHFEAVVPFAYCPSIGGCRALIREKERPESIQFWLEDKYTVQFLGNNLEGLKGPIYIDSITAVPYHHFSSSLMSPLPHDLSGDFLDSCSNAHFQNDPKTNVSDVCKAHIFSLTTEFNQAAFPCDCSSQGSRNFDCEKYGGQCECKDNIMGRRCDQCAPGYYGFPECKKCKCGKNQLCDSRTGQCSCPLHVEGTECDRCVGFAFGYDRLIGCQKCGCDPQGSEGGQAVCDAESGQCLCKEHVGGRQCDSCLAGYFGFPYCHQCRCNREGTTAQICDGTTAQCKCKENVVGRECEQCKAGTFALASTHDKGCIDCFCFGATDRCQSSHLPVSLMAIDHSLVTTTDSSGKVEVDESNHVVYTGGEERPKVVYWEIPVRKEKDYTLTYGLFMTVVLTSSSSDGHSKEADVVLRGGNYTGEFWSEEQPADPSLPFTIRMHITPESLQHPNGLPMRRDEVMMMLHSLSSIRVKASFYYSAPSAQLIDFTLETADESASAEVLRAVTVEKCACPAPYTGLSCQECADGYYRVKSGKYLGSCVPCNCHGHSGTCDKVTGLCKECQHSTEGEHCETCIEGFYGDATSGSPFACSACACPYAPENNFATSCDVSDEGQTRMCFCKPGYAGDRCEKCAPGFFGDPEASGGSCEPCQCNGNNDLRDPQACHELYGSCHLCQNNTAGDHCESCAPWYHGDAVTSKNCSSCECDQCGAHSCSPLTGSCACKTNVVGERCDRCAADHWGFSRCGGCHNCHCGAASSSSQCDGMNGQCPCRPGATGLRCEQCDYGFWNYGENGCTKCDCEADLSLGTVCDVHTGQCHCQEGATGARCDKCLPEYLRIPTMGCRKCDECVFHLKADVDSLDGEIDRLRLSISNISAGTIDGARLAKYSKQMSYINETYGLLNELYSAEPASLLSSIRGGLEDAAVIRVHSDTTRKGSEENVKKTEKLVQGMYTLNIDSKENIKSSAQVVESLRQLSIALEASAGRPKPIPQWIEESKEIVKRLEESSGRLEEMDGGKMSTGVSKARDAMKEVKEKEEKMKKTIEEKRKKERQLKEYVDDAIQLVTKAKTSAQRANDRTARMNVGKTEAMTKSVNEDAEKTKEGLAKIATLTKEVSAYAEQLAELGEDLKTILSSLNETMETFESGKDKRMYMRFKRDSAATVDLNAINDKVIELEGQSSGLKSNFAATKEASKGAVDAASVYDELSEKMKKASDAAEKAKNTVDEEKGRVDELRTDIEESTKKTNDAMSESEKMRGEMKEVEKDLKGVNEKKETVEREIEKQRERMDRITGGGRRVNETMKEKLEEFVKKDAKEETKLEEVRAKVADLESKAAEKVEGGMAGQLGLKQARANLKEINERKEKGPIEWRRHVDALRNATHRVDRLNEQLRKLKENLAMARDAVNKVSLPAHFEVGSSLDVALPAPPSHSAVATDLTVVFPTNRDGIPVYLGQTNTRTRSFIGDISEVILNGDSIGLWSVNEGGIVSVNGANKRHSDESAFEREVGVSDGFLVFPLAFWNPRKQTKVSLSFLTYAPDGLLFYLGKDKKFLSIELSQGIVKVAMDLGSGVGTLQTEASYNDGEWHSIRLAREEKQIQLIVDNETISYEMKGDGVELAVGDYYYLGGVPLDVNTRSTVEPLRGCIRNVKLKDDVVNIRSAHRSKGVSASCPSVSLTREVSFLSDRSSAIFKNVSLGSIDQRLPFDLAFRFKTRQTNALMCTVKNDDDETVLSVHVADGHAAIANGEGKEKFKLGSIVSDGQWHYVSMRRDGSQIKVTLDDVYFSAMDKIAMEAESPMEKYSLIFGKQNDHHYIGCIRDVTFGATVLEFAKSERREVRLTGCSMMEVAAGEVTVGDAEEETKEVKKAGKSIEDELELPVPSTTLRTSTLAPQKQITEVPLTPADGPVRKEFYPTACALGNRHPAELKGYRFGMTPNSMIGFNEGMPESFNKEGSLSMRIRPDGAFGPLFYAHDESQDHFLSVYLANGFVAVSSNTSTEAKKVLLSKTMVVDGKWHEIKIQRKGIAVQMTVDEEFVEAMDLSSDSIDFVRPFWIGGLPLNNKTLLQNVGQDPKLKQRIEYMGCIDEITINKNKLEDPEYSEGVEQCALTTEPGLYLGPKGGYAIKQHPQPIDTLTTEIMFRSRSTDGLIYSIGKVDYVGLYMIKGEMILTLKTAAGGTCVASYVPPSNTTFCDGHWHHVRVYRKKKLLTMAVDGNSNMKICNVKGIDFMFTDPIVLGKPEKGMVHEDLMKHETFVGCLKMIDESGQGVTKRDISALTKRDLSKYETFENYKTYGDAHNQCPNT >PPA15056 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:510922:511598:1 gene:PPA15056 transcript:PPA15056 gene_biotype:protein_coding transcript_biotype:protein_coding MIIMGNAFPLRIFDQYVFPQLFRDKLDFRIPSERDYEYEPELPETIYQSLSIDETMTLFVGNASLLPIMLNDLTNQISATNAARIRLETRAKA >PPA15386 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:2198771:2200820:-1 gene:PPA15386 transcript:PPA15386 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTNWKNVHAISLFTFVDSLQFSFYMWSFWPYIQQIDSTMGATFVGIIMAISGVGEALAAPIFGYWSNRIGRILPPVYTSIVMSGVGNALYLFLGSFSRSLAPYAIIISRFLSGAGSGNRGCFRALVACNSQGVDRARALASSGGAALVGLTIGPAVQLVFNFMGADGVAIGPLVLSQYTAPALLAIVINAATAVYVHYFLDDSLHIPEKSDTISSTDIVQSDDSESEDTPRVPSLPLRMDVIAVIICMGTRSIRMLATSNIESIGAPFTEIMFNFTHAQALEWNSYDQTIVGALTIAVFLLYAFTNYTKWVSERSNCIVAIIGLFVFYILTMPWPFLPGNVDCTRFIGNGTHNWEWCEVLPPLNQWLYYITYAVIYGICLPMLNNSLQALYSRVLGHGPQGTMQGVNQAVGSIARIFGPLVMS >PPA15150 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:946598:947690:-1 gene:PPA15150 transcript:PPA15150 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSAVGRQSSSRRGSASCDRTSGGSGEDGSGELTRQCRLIHNTSKHVNEGFFGRSENIRAIDRRHSLEKSFEIGSVIGKGNFSTVRYAVRRKDEMKCALKFLDSL >PPA15308 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1759213:1764425:1 gene:PPA15308 transcript:PPA15308 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALKFNKNGKKYTPVLYLNSYWNLIEDYHPINETVKEVNLTLTYAPISMWKYQLYASQEMQKNWTLGLDMSDDKDQDSMKRAMLETNPILMAVIAAVSLLHTVFEFLAFKNDIQFWKSRENFEGLSVRTVLWGIGQQLIVFLYVCDNDTNFMVKISLFIGMCIECWKVPKCFNIEVDRSTPILGFIPRVSFSLNRSYGESETSEYDNMAFRYLRWVMYPLLVGYAVYSLVYEEQKGWYSWVLSTLYGYLLMFGFVMMTPQLFINYKLKSVAHLPWRMMTYKFINTFIDDLFAWVIRMPTMYRIGCFRDDVIFLIYLYQYWAYRVDHSRVNEYGISGEELKKVEEKKEEGEARKEEKKEKSEQKAIKQTSTERFLQKGNEMRKRKVRKEDYLLIE >PPA15127 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:837991:840066:1 gene:PPA15127 transcript:PPA15127 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKYVFYKIVCPAETDFSPILQEIREIVTLSRTEFALRQPYFLDVDHRVVQDFEGNTFGLPSGNIALSTATRVDFRFFVCGEIVYFINSGSYEIDIVNRGKFVTIVLEDDMELPESVYAKPNCPIYLMHGNGPRVEKNETNGFSSTFVNDYDVKSIRGHGGFGYVFEAANKHDQWTYAVKRIAVDKEDLERGRREAQSMVRLEHPGIVRYFHSWVEEPPEGWQLCGVSLANWLENNQEQCSRVPARMRFWLRQIVEAVGYIHDCNMIHRDLKV >PPA15428 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2440666:2442717:-1 gene:PPA15428 transcript:PPA15428 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIIGNNTPALKKGDPEPPLAPGRARIYSMRFCPWAERAVLYAAAKGIDVEIVNINLVEKPEWYFNKHPQGKVPTFEKDGQIVIESGIIPEYLDGIYPESAILPTDPYLRAKQRILLEQAAPLTTAFYGLFAVYRENLEGEARDAKIKPVEDAIDNIEKLLKDEYFGGSTPGFADWLLFPFFERVSLLSTPLSLPSPFPSSRWPNLSSWWGRISSLPAAAAALQPKEVHLAFVKSFIGGKADYDVGL >PPA15128 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:844896:847002:1 gene:PPA15128 transcript:PPA15128 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVLLRLVFIGGACHTKERIAELVVDNDTLQAKLTDVNFNTNDVIGYLKRSVEDKDSEIARLEDSERRVRLEGENALKTEHDRHRSIEEGLRNEIAMVKAENHIMNTQLGNQHRMQLEMGEMAEKMTAMSI >PPA15394 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:2261892:2263445:1 gene:PPA15394 transcript:PPA15394 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRGFKRESEKKQPETMVPFNTEKREVIDTPDLNNEVSRRMGELLLRGHTMLNAECECGGILMEDRHGVRRCIACEVREEAPEEDTVVQPSSSSVDTVDDDDRVEMREYVSTNMGKLLMRGHTMLDANCKCSCILMEDREGNRTCLACEFRENKKTKKNTVHADNGFKVVEIPLNGEISSRVIEIPLNGDLPSSSRPAHLDWDDNEEVKDTERDAISARMGEYLLKGVAMLDEYCHCGGIIMQDRGGRKLCVDCEVRNEGKTVEVKEPEKKIMKTEEKPPKKVSFQEQNTPLRKALPPSEWKTVVQMTVESKLIWLSNRLSKTEDYDEMAKILDLIDKGTNVAKNL >PPA15385 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2194859:2197569:1 gene:PPA15385 transcript:PPA15385 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPASSIGKKRGSSCMSMDCSTSSTTTTDTTSTAMDTMDATVSTTMIGSEDVDFSPINEFISTKLDSTALKLKNLTKVLAQLAVDIGACEQHRAQPNLINLDANIAFVDHIWNQRYNGKHVTHDVAHTNRLRAEYHKLFNCISRSQKIMKKLAKERKFVNELISCKERSVPEMADLVGSLSKRIPSPQALPYLWNHDEQREIIHNLNIKWEDMLLQDYLTSVLPDDDESDNEMSFDDKYGIAKTPLSEISMSSLGSLFHEHRALKEKNERLKHEVLALEREERQLQKSLMEGVTELMLPFSTMTMTTSIEEKTNQLFPLGQFIL >PPA15119 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:804726:805777:1 gene:PPA15119 transcript:PPA15119 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNEQQPKPRLRKVSISPRDDNGSSTYAEKQSGSETYDHRRTAFVRKGGEGRARKDTRNETREEKGNEQREQRRVQMAERSRARFDSSHFNMAAHKHSSAASSVSAPPIPSVASLLSLVSSRRSEMGLVQLGDEKR >PPA15014 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:260836:264183:1 gene:PPA15014 transcript:PPA15014 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQCPLIPTNSCPPGYVCLSTMDNAKHGQCCSDRPICPDGEKFHSDARTGLPTVCTRDAFSICPTGFNCRSNGDRLYCCEAKEKPAKNEGCPPDQFASLVNGKAQVCDPFSTPCVAGYTCQWSLSNNRYQCCGHGSGESKVSVKEDTNGCALRHFALLEGDKVRICSPTTLCPLGFVCQFIPKYSRYQCCAQSAGCPKGRAAFISVSGEPLRCDKTKECPKGYECVPSADTKSAHQCCAPDYAAPPAKPGQKCVKECTGGAHCIGGKCTCPPGLGIVNEKCVKMCTGEQITMGEQCLDRVGVGATCKIEAQCTGGSHCVGGRCACPPKYINVGDGCKSALIVMRATKVSYKINLHLWSVSPSSSLLIISSGLFRPQSLPLQLQLCSESVNAQERLLCEVTSIK >PPA15018 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:275175:277344:1 gene:PPA15018 transcript:PPA15018 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFQLNSWIDLMNSFPQFVPDEILALLKEISSDEKHQIIGVVNELGSGKIAEPKTVDAALALIKSRTPRLYGRLQKMNETFTQQFQKLRPATRNKVIDWGKLLFQNFNKKLDDEIEAKMQPLKVVSTIFNSYNQQTPELRADFRSVFPKIAALFESDFIKMVINQMQLQLSVAQLLKGG >PPA15093 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:695278:697632:1 gene:PPA15093 transcript:PPA15093 gene_biotype:protein_coding transcript_biotype:protein_coding MPEFAEISRLLTQFELIALGKPTEDDFGLRKVRGFMHRQRRARLMLRLLMAKLPEETRRAGGYQFTELLSECTYAGKTCSSLDFSSFAHPDYGNCYSFSIDAEATRPGRANGLRLLMTVNQQPTDKGPFDYLSTTDSSSVYVVPIMHRRQMKNDMVKLQLFRMAVIHDQDAYPDFSKDGFRVASGTQVLVALSKIEYFRISHPYGNCSYNGTEKENYYQDFDYSHSICQASWLQVKAVELPSISQFFFFQ >PPA15359 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2034703:2042299:-1 gene:PPA15359 transcript:PPA15359 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gars-1 MFHIYSLQTLLASPSRVVPLSVDRFSSSDPQKTSRFSKKIAHKISDYLRVMADPKIEAQLAPLRAAVKEYGDLVRDLKAQGATKIEVAKAVVELKARKKKLEETEIALAPKEASFDRLKLEDLLKRRFFYDQSFAIYGGVTGLYDFGPMGCALKQNMLSEWRKHFILEEGMLEVDCSSLTPEPVLKASGHVDRFADWMVKDLKTGECFRADHLIKNTAEKMQADPKVAPETKEQLTDVLAQIEGYDDKDMHEVITRFNMKSPITGNDLTEPLAFNLMFPTQIGPTGDFKAFLRPETAQGIFVNFKRLLEFNQGRLPFAAAQIGLGFRNEISPRQGLIRVREFTMCEIEHFVDPTDKSFPKFAKVAGHKLNLFSACNQMDGQPAQEMTIGEAVEKGTVANETLGYYMARCHQFLLKVGVDPLRTRFRQHLSNEMAHYAQDCWDAEILTSYGWIECVGNADRACYDLQQHYKATNVKLVAEKKLTEPRTVNYVQAAPNMAVIGKTYKKDAKKIQAALEQLSESEVEQLEKELEKGIFKLKLSEGEVDLKKEMINVKRGSKTVHVEEVTPSVIEPSYGIGRIMYSVLEHSFRQRDTEDQRNYLCIAPLVAPIKCSVLPIYANDKLTPIIEEVKDRLREFDLSHKVDDSAGSIGRRYARTDEIGIPFGVTVDFESEQKPWTVTVRHAESMEQIRVKLMHGKKLGWQGETDCPLDTLCLVGEIEDERVCEMERDRRLDEKSDDKKIR >PPA15074 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:611695:614244:-1 gene:PPA15074 transcript:PPA15074 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKRQSEEEAALKRVAFFSVSFATVAILATIVTVPMMYNYMQHVASNIQDEVDFCKTRANSLLQQYTFYSIGNPSSARFPRNIWFGDDRTASDNQDYRARAHAAQSYSAGGGDSGPTDIAAQFVAGGGAAGGGGGSCCSCGMGKAGPPGPPGSDGAPGNDGQPGGPGSPGADAAPAAPAHPEFCFDCPPGPAGPAGSAGPAGPDGNPGAPGNSAPAGGPGPAGPPGPPGPAGNPGGPGSAGGPGPAGSTIEAPGAPGPAGPPGPAGAPGPAGNPGSAGSSTAGPPGPAGDKGPDGRPGAPGNAGADGSHGEKGGGGGCDHCPPPRTAPGLSLSETDSKQNHNIESHIRLLPTWIVFTRFCAYWSKAGNDINEHKSLTYALMTAKECDSAKPWICFTDAFPGPHTVNEFVSFSGWDDCKDDLNASSAEAKGLKENKCSIRKPTPLAGCKQLLSNAPSRLIDLVFWLTSS >PPA15200 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1171663:1172353:1 gene:PPA15200 transcript:PPA15200 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLFQSAPTLPSSLVPPRLLSTTWIETGRGITGKDESLLRLCPLPSSHLDYCRPLGSRLEEGSQARMRGTSAPTLPSSLVPPRLLSTTWIETGREITGKDERLMIVLFQSASTLSSSLVPLQLLSTTWIETGRGITGKDKSLLPTPFDSALFPPSTSTTADHLDRDWKRDSRQ >PPA15024 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:302093:303879:1 gene:PPA15024 transcript:PPA15024 gene_biotype:protein_coding transcript_biotype:protein_coding MDESYAYDRSGMSVHSNPILKFVEGSRCCGCAKRWQLAILANLGFLIVFGIRCNFGAAKNHMYRNYTDPEGHRHMHEFNWTQDELSVMESSFFYGYLITQVPAGFLAAKFPPNKLFGLAITCGSALNLLLPYAFRSRNDYLVALIQIMQGLVQGVAYPSVHGIWRYWAPPLERSKLATTAFTGSYAGAVLDMQ >PPA14980 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:121330:122270:-1 gene:PPA14980 transcript:PPA14980 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGAIIIFLVSIDSVTAIDECVDRITSCGVQSNLCNRREYDDLMKYYCKKTCNRDCSPFTLPEIETTCADLTPDCLNKPYICTMPVYNSLAVQFCPKSCNRNFCYYN >PPA15260 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1563400:1565772:1 gene:PPA15260 transcript:PPA15260 gene_biotype:protein_coding transcript_biotype:protein_coding MFFGKYLHTNRAPAGIEGGRMLEAVGVVIKGQVPHTGNRPAIREAMSDNSRLAGGQEGGSNGGLSQEAVDHLLDLLSPRPTHVTPQLTRPGFSKQAEFNTLVLNKLEEARKDPSVLEAVIELIKERNSFLVLADKNPKLLDALDTAKAIEGASGTRMMIAQTLTQASPDWRCENL >PPA15175 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1080364:1083809:1 gene:PPA15175 transcript:PPA15175 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAAEVPPGYYFSSVAQAKFACPINQYQSESNQESCTPCPDNTITVAEENCEPGQFCDFTTGTGTSGAIDHCCVPCGKGRFSSEPGSLQCLDCKDGMTTKNDQATSGDDCYWPCKIGQEMNVETEECRDCDKGKYKDTDKAGQCKSCPSGLTTAGEASKSFSDCSLLYCPPNTYVNPNANTNGIDPNKFKLDDYCLPCEKGTQQPNYNSKSCDKCPNISAEDLPPTCRMENECSDKLADSCGDGMICVKWPDNDYLHCVKDDSDHLDPSSSLVWWQIILIVAGVCLAVAIIVAVAVVIAMKCCNLGKKVPKDNESFTHERRTTGDNYGTTVPDKDEVHYPGYQDLPTPMIEFPPVHVDPSYVYNKDISLPPTPAAEQLPMEYQRAPPVATAAVVRMRKRQSNVSKDNVPRQSRPFSADSISSVPSLRIPAGRASGNYFVPSVTIRSNSESSLDSFSIGSVSSRGVRFHSNRPRVRPDSSQIVHALIDALDEDRFRGGWDKESSIL >PPA15184 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1114192:1116597:1 gene:PPA15184 transcript:PPA15184 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPQRRICVVCGRKQLEREMHRFTENEKRRMDWINAVRSTPDGRRSLLTLLKSAKRNYLCSSHFQPIDFIDLVLRPDSVPNYNDPTVLPTTVTAATGSSTVPATVSPPSLSGILPTFDLSSSFNHHSSPMAIAPSPHSSFLPPPIPLIDRSIPPCCRCCCRPEQKKEIDQDPNWSPSSATTKGSKLSEYLVISNESLLSLLRKCTSCIGGERSLNVRMAVSCSGECEQCGAQFDWRSSSKLPTANVSNKERLYKVNLDITTGAIISSVGGAKLRQFLFTSGISTLSSQTFHRMKKLYIIPAVNEHFFNSQAIIIEGIRERIAKVAALERSLNELSLMIGGTEGIASVVTDRHGSDPSFKLFKECTHSPPTNPSIFIPKGGKILKRLEALVFTERNIEDIKSVSWLLQTSPCETSYQARSSALESLKVDGYGWIETGGINGNKMSY >PPA15026 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:311644:318409:-1 gene:PPA15026 transcript:PPA15026 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPEHTGPPELFYDETESSKYASNSHIVKIQSEMAERALELLALPEDKVGYLLDLGCGSGISGDVISAHGHIWVGVDVSLPMLTKYTFKRSLLKMKAEGPEDENIQKFLMMYRCTPNNQLKGRTPAEVFLGRKMRFRLTLMSPREGEGRRKRDGMVVTREFAIGDSVFYRDRDGPNHEKWSEATVLKKIGSVLFEIVRESGTKVVAHANQMKLRYVREDIDSLSVLIESFDMDRNRFVNVSPPPSPIRAHDEFDNISSPTVPRIVPTKEVENDQKKNDIEPTDVVENDKDSLPTEDLRRSQRVRKAPSQINRQDDEDPTKDLVLRDLGSGMPFRAGTFDGAISISAIQWLCHANASDQNPRKRLHRFFQSLYACMGRGTRAVFQFYPENEQQSDLIMSQAMRAGFNGGLVVDFPNSTKAKKVYLVLMTGGVQQLPKALTDEQEMGQRSQVENTARRVFQTGKKGGKERIVKGSKAWIEAKRERATKQGREVGNASKFSGRKRKAKW >PPA15403 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2301687:2302307:-1 gene:PPA15403 transcript:PPA15403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:H3EZY8] MARTKQTARKSTGGKAPRKQLATKAARKSAPSTGGVKKPHRYRPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSAAVGALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >PPA15006 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:202546:217790:1 gene:PPA15006 transcript:PPA15006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-morc-1 MGLISDFLHSKGLMPRARGGRAPRVSSPPTPSARRKELVGKQAVKRKASNGEDDEEEAICSICRFELEDKCKHSQTCPNCRARFQFMMNGTKKVKKVEQFGKLQQPTLWYIHRVAHEKEAWDRIPKDHQDHIQEIHDTAMAYAKVELGSVRAQLRAAESSGKGTEYVDDCKEEKAKLEKRISILNEMKELAVAAFRKGPTKPGHNQSSALHYLPAPGRKCAWNLDYEMRRLLGATDAELFPHPELAVDSVMPSDIQDLIMQAGSIMSDTLRASIMGGLAELAHELGEPSDWPGISRLHGEHIPLPPPYTMVDLFPDNLNDVPMPAGWRRNAIPSEEDILSLLPSRITTVRGSQTTSTAAAPAARRRGGGAAAAAAAATAQTATRARRYAGRGGIDNDSTRIHHNSTIQWRADARRTAAITMRCRGHRKVEGEREIRKDSEKGNISPLAALNPADRPMHNDILETVQITPDHLRANGTIHVNAFAGIAEIVDNSVDSEARKVKIDYYKSNDRGGNFLNIVDDGKGMSKLELGNVIRLGHSKKRCDKNTIGQFGNGLKSGSMRLGETLMVCTRQHEYYFNLLLISLKYLDDVGSNKCYVPMLNYELLDHGQLQPFLNDGDEEKQAKSLEIFCKYTPFATEWELKRFIRDCIPSRSGTCILVADLKKLDNGEYEVLPDIFNKDIIVKKRVVTEAEIGGKAKEKKKDSAEPPIVPEEIKEEKLSDFLADLYLKPKLAIIINGHKLNQRDPVRSLADPCWSPLSKAGLDTFRDRELATIRKEHTRLMVRHEDLKKEMDDFVRSSNKACSAEEKKRLNIENRKRAEEDEQVKESMNILAERLNSQEKEFEDIRVYLGFDVMRRCDTRAVFYTNGRRIASVPFIKHGNREKFKRFLGVVAIIDMPSTFLRTSQSRELKLEQTHYESPAEVEMMMTKLAPLAMQYVDQADDKYKSDIFWMKLGYFADANPWDVPNANSAMKILQLTGLRKLCCNCLEFRDVTINKFVDPNNHRNLITDFDIRPYRCNIDQLKDKTTDELLVWDPEKCGPKSERERLAEEIPKMKTTNKVTYYHRDGATDRKGTNKLAPVRGLGLSTVSRGRGPTGNVIIQANSSSSPSRSPSPSHPPANNKKTTRSHMPRDASTSSSPAPPTKKMRGPMTHSYPSSSRTKARLRADAERRRALAGAIDDDDDETTVERQRPTGRGRNDRAVADRSQSPVLFHDPNQFANGLQLGSGAPKDEPEWRASSQRQPRSEMTDNTRRRGSRQTRGQGMMEESSISSDVEGDARPPTTEEESEDERLVQSPNQRLMYQVQQQLQRRPPMPPLISEEAYRQIRVSKIGRCLLIPQTFSSLDLSREHVQSRDGDAISLCIYEIYDAFQEHLAQKNRLRGMTEEQILEEAAELNVRMQRIEENLRRLAHIIPAPEQRHLFENQAFIINQIKQMESISDPFEALHTMTRPE >PPA15048 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:454207:456279:-1 gene:PPA15048 transcript:PPA15048 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSDSDDSFDIVDPAKCRELELLVKEQAAKIERLRIQALADGARIRKEKERKELFEKRLEELRELQKGHYYGFDSYVAGVVLNCVVLSQFKKRNTRTLKSLRIALITVPIMLTRPSFFSISSGLCWLYLIMHLGLMEAALECIKALIRVEHQIRL >PPA15163 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1004705:1005133:-1 gene:PPA15163 transcript:PPA15163 gene_biotype:protein_coding transcript_biotype:protein_coding MREDKKKLTSRASPSPWVESSAEDQRSSIIPVAYRPAEPVPTSQYAPTPSMQQMQQQQQYSQSPYLQQQQYQPTYSQQYEYVYNLINLPNFSHRKLTLP >PPA15004 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:193421:194739:1 gene:PPA15004 transcript:PPA15004 gene_biotype:protein_coding transcript_biotype:protein_coding MRILNMNGAPEEMVREMRAQEITPRHGIIERPISASSMGVAMNDEDEDGGYPMAVDQIERERTMGQRQQYVPRSRQSYNEAVLASSFDSSDTETGSMTLRFDETSLERRRLERIDQRRREEQGRLLERPLSTPHEIQLSSDTSVNGAQQDDDDRVIAAEIERDRLAQLARDRAMRAQRQREAHRDRAHFGGFSADSSDRHAEQDPVMEVDRTIERRRLEQIERDRAMRAQRPTAGCASSTSTPATRTLSSSDSLETVDEQVGAPYRKGYSLSNETNHQEEDPGRILIVSFDLKSRKAPFKKTTS >PPA14964 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:25592:31009:-1 gene:PPA14964 transcript:PPA14964 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKPLVIKQEEPSLLLGMMEEAGEQKASSSSSSSDLPPEVTSSSDNKMLNIGQLMDAAMCYEGEHDDGDEADVLRFIGAIEAREGLWKRSSSLYRMPAMKLTWFAEVEVECAMAPAAGHNARQRWQRLIASYRTYVSEIVQGRSTGFISPSTRAFKYYEAMQFYEESYKASIARRETLTAKRLINLADGCGGAGGFGAAAYAAAAAAAAAAAGSNVAAAAAAAATPKTTAESRVMNQMLESIVAMSQLPTTSFGVTGTTTSISNGSSPARNGSAFSSPDLKKRRKESASLSLGSSSSASPSGFAAVATAPAAALAAASTAAAAASAAERNGVAKMPNHSELYALIDHVMEGLGLIQATQAKGEIISFLVQMKQNILYLMPHTSTICDTAIRASRQFQPTTYCTAKAKTFLRKHEGGMVTRTSFHGMDDVAVLTLDNPDKKNAMTGTCFYTISTPPEYRLNNSI >PPA14984 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:129739:130854:1 gene:PPA14984 transcript:PPA14984 gene_biotype:protein_coding transcript_biotype:protein_coding MHKARDERVLLVCCTDSWFSSDRDDKEVYSVNWNTNRTMKLRKSCGTWQMYSGDDTHEGTSAACFNSCFALMEAVKRDTSAKEFEELLKKSEEIVFDEYKVNLKRRKEEQLVKVAQARLELEKEKKEKEEKEKEDKKEDAENEKHEDGENKTEGTKKETTV >PPA15415 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2370921:2373981:1 gene:PPA15415 transcript:PPA15415 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGFLPLLPIRGCALKNLLKVIDPESIPEEEIKEAERLLKKNANKIKKTKAKSAAPKKKPEQRKTQYLETLPSSPEPVEKKAKGRFSGGRDVNRDTLFTMLDKVVATKPRKIPRKQVTVKANSDEESENEAAAPQRDMSWFDGVATAEDLDIDKLRPKAKYWLGKYKILNVIEIDETRGATYVAYRNRYEVIIRVNFIQKDDMELMNTEAKFLEMMELNDAQHFFSTLFDMGLVNKHRADGKFFYATIYRGGPTLQQCYDLCKGACTSGTIDRLAAQMVKIFEQIFGQGYRIVSFALKDFQIDPRSRVVYLANYTNIIKAAGIDYDDSDEEEYVDVTEKREARRMGWEGDEDFAPIKWHQNGKDHVMTEMDSMESLIYLLVHMHTGLPWAGKDDKMVWKQAHADCGQKLALINVLPPSLKCLWMMVNSTEMPKVSFNLVHRMFSRYSDDDDIQLTIH >PPA15179 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1093497:1097815:-1 gene:PPA15179 transcript:PPA15179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-osm-7 MAERSKALFSLLAASLLSVSFTHAQSEQQSLSERIAFACAHRPTLSFCVSKKADSSTPPAPTSESLRHTEQETRLLDDPSTSINTVIPEEVKRQLALIAHAVARYRRNPFDGSDLHEKEGKEDVESEAEEVLEQADEVVDERREKGRAAATTEVTAERGFSHRNAHAALKESRTSGEEESSFTSDGFLLYKGDRSEYCEKYRDNYAYFCVGDNSAGSQEVVRSFCPSYRSACGGGGGGAPADPFSKRISVVSATAEVDEVNRRQLIENQRLALLKKRIPCTPDCDRRIHPHCTAECKCDYIYPAVQK >PPA15164 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1016127:1018835:1 gene:PPA15164 transcript:PPA15164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sca-1 MEDEHRDKMGWVLALFEEHEDQTAAVTAFVEPFVILLILIANATVGVWQERNAESAIEALKEYEPEMAKVVRAGKHGIQMIRARELVPGDIVEVSVGDKIPADLRLIKIYSTTIRIDQSILTGESVSVIKHTDSVPDPRAVNQDKKNCLFSGTNVASGKARGIVFGTALNTEIGKIRTEMAETESEKTPLQQKLDEFGEQLSKVISIICVAVWAINIGHFNDPAHGGSWIKGAIYYFKIAVALAVAAIPEGQLVDVKTEV >PPA15097 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:704233:706031:1 gene:PPA15097 transcript:PPA15097 gene_biotype:protein_coding transcript_biotype:protein_coding MYWAYCRSCKRLALVEAANCPQTGYDCDSELPITSNVVGHGCECSHLRCVDKNATLAVGGSIVGKLRCIDQKWLSLADEVATSGVCARKCGLSDCKTLPNAVFVDDPLYTPFIVLPSHKTHPCAWGSCANGAVGLSGTIIVSYSPLAEFSCSGDGKWFGRYGKKHDIVKCLRKAPATCQPLSVRDPTDCDIKGSDCVNPIAASETAFVCPPDTLPYVFTPGSSWMPDPITCVDGAWMYDMTKHGHGVLNQEQFDTVVPVEAQKFSCVLV >PPA14988 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:139458:139975:1 gene:PPA14988 transcript:PPA14988 gene_biotype:protein_coding transcript_biotype:protein_coding MVITGTEGWEGPGKSGRLQECGRNEEDRANGSYCCIKHMANQDFHYYGCRPRCFLQGDGHCTLSTCYCRNATATGNCNPANSPF >PPA14967 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:56414:65163:-1 gene:PPA14967 transcript:PPA14967 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPEYHSYMHDSSDPFMEEEMEESDEEAESAKDVAAVESQADERRDEGAHHKISAGRAVMPAIVHLDASSGNGVEESSDGIVTSRPHLDTPLPSASIESGADDMSPAEVPSVAMSTIVPSDTSSRADDCNLAAVSSDCPTIPLPGTSTDGARAASIGADTLRSQVDPIPASAAAVDTPSTVTIALSHGITLQFALPRSAADNGQQRALDGLGGVNISSTTSINGPFSITINTVAGPSAQVQPTVVSGTSALALAPSDSPTVPPAVASTSAPNPRAIAAGAHASGTVPPPSGPSDRASKSAVVVPAEEVAQAVTPDEDELSAAPGTSSVHPNVDGQEDDTPAEDTAQMDDDDDASASASLAEASNAAKPAKKRKSSFCRICKVLLTKKPTAKLLCCGVAVHWSCAVYLLEKQASWSEQKCPECEEHVSALKHDGCDRTVAIFPYGDSGERLPTRYSVLRLANASRENIEELLKRFQEATKNHGEVITNAPKPDSRELIIMQKRNDIFTEMLTLYEKGGMRVESFSVEEEPRPWDYTEELLLQRHDDERLALKRIVDKTNEASAIELRRRAQQLEDEVAHDNANLPVIKAEIEVLKKDIEAKRLRPQVMPAVSVNSINGDDDDVEFLFEQKATSPTSAPSMKRKSLSSATCAPSRRSPKTTSRVSSMQPRAQHTASPLLLTERSIGTEAPSEVPSTTHVPMHQTALIEQPRRADSESHLMSQPPPRESSMKLLGALESRESIASDELEEEISNGSIVATPQGWKRSNDEASSHSVNGDATNKDISNSHAGESSPSRRSPDNGSASPHINKIIVEPHRIPSSDDETSSPSSLDRSVSPSGSESTSQHDPLDEGTDSPLPPSTQSGSAAPAAREASTSPHCNIDCGNSPSPIDDEEETPAPSSVAASHGCVASSPNGSDNTVPYVHLDEERSSSLQPSSSHDGTTLTPVALETSSKGGCGADGDSTLSKQSSSISPIADPKQMESSTSILEEVAVDSNGMEQDKPVDVATLLQSFLTPVLATSQLVKQSPEGKRKADVDSCPKISGVEKETPKASSASGSNGPLAAGVSPNVILDSSVITSSLLDEARRIITEMNAGSSGETIGQLASVNEKGSRSGSEAMTVDHNGNGMLEDAGEGPAVAAYEVAAEGVAAEGVAAEGVAAEGIAAEGVAAEEVAGEEVAAAPIKRKASKSAAAMIRDMAVDETDNEESEIVRSARKESAAPKRRHSTTGRAQTDQTDSKAHSATPIASYPLMHASVQAIARNMSNSSLNDQTKTIVLVPPPFTSSRARNFGQLPLRPIIVPIEPPESTKTIESTMVPASAASSREPSIQFTLSQIVQRERSSSKPNGVGTTSKEKKSWKDEAEKRRLENRIKARAERKAKEGRTKLKKEKKEKDARPRPKKTSPAEIAHQSSTQLTSLSNPVNATSRAASMGPTASNPSGSPDDTTSPTVLDAPPCSLDDTAQPLTTPIVPVKRGRGRPKGSSNKKKERRSKWDSDESDDEYVPGRSVHSKRSTKSEQV >PPA14979 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:118389:118845:-1 gene:PPA14979 transcript:PPA14979 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVRNRGRAPSEPSRVKIAPVIAKNTPQARPKKEKPTCAICLDDLDRKVNIPFVKE >PPA15247 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1487429:1489608:-1 gene:PPA15247 transcript:PPA15247 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sma-2 MAFRALPHVIYCRVYRWPDLQSHHELKAIETCMFCYESGQKEICINPYHYSRIDGVGVLPPVLVPRYSERPPQEDIPPVFMRLQRMEAESSSGMPDNVDVSSGRMKVEGPVKEDLDANYNIPAHLPIVPVAYEDQDYWGTISYYEMNNRVGVQIRVGSGWGAEYQRQDVTSTPCWIEIHLHAPLMWLDRVLTQMTPPNAISSGFDEKRMKL >PPA15397 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2270881:2276631:1 gene:PPA15397 transcript:PPA15397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpn-6 MEHMSSMPHKNDENVVRHLRNMAAGDDIDMKKQEESIMELGSQLAKEKKTQELREMIEKTRPLLISLGKAKAAKLIRDLVDMCLTIEDQDGDIKVELCRECIQWATEQSRAYLRQTLQARLVRLLNDLQRYTAALPTAAELIKELKKVDDKDLLVEVQLEESKSFYYLGNLGRSRASLTASRTAANAVYVQPKMQAALDMQSGILHAADEKDFKTAFSYFYEAFESYDSCGARDLALQALKYMLLCKVMVDAPEEVPTLLAGKLALKYTATDLDAMKAIALAAKKRSLSDFNKAFGEFREELQMDAVVKKHFHHLSERMLEKELSRLIEPYSYVQIDFVSHQIGLSRSKVEKKLSQMILDQKLTGSLHQDDGILIVYEKQKSDETFEAALSTIHAMGEVIVKFSTDRPSDTDPILVKGGERLLIALESCVSQIKPVCTIDEIIENTSQKFFSESSDPSPRMESSSRSETPTGIGSLLVTAGPSSTQNEQSLVTLLSQGGITAAAISVNAVAGAIVAILNTRGTPILASFDRPVESRVWDGRVLAKVLTDMSPSLNWSEVFLHLDTPSFTVKDRAALVFITNTFNTAFCGKSWPMQLLYREWKNMAGQVGWLQQIVENADVCCLADHTHKPVNTHTLKVQYDDPNKEVANWKCLELTDTLLKVSDSMRPPENQRVTKVFHTGLAVCPDIVLLAFLQLPGPLTDSRIGLMNVFVPHLIATHYNVVPVLNVMWNCSNLSTRLMHGFIIRSLSNYYLKNPDDQAKLTKILDIAHELKPSGLSELFELGNFNFTIDLACLASKRDYLKLEKWLEDQEKDHGEPFVMAVMHHTRRRLPSSAASAYMNQEMFATLNSFMQKHQNETSATRLEYNQLMNHMTRLQCTGVD >PPA15050 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:464715:467102:-1 gene:PPA15050 transcript:PPA15050 gene_biotype:protein_coding transcript_biotype:protein_coding MKETKVHKKKMPEITPPANFTVISHVDRRDTVDSLVNALQTPVVPLSSHGYFPESLPLPRKATGGSYASGAPSGGGTLGRGSAPRGQIPRTPLTPSLFSSSTSNLHRDTVSSGYGTWNAGAKGKIKRGMLSTADFLVPKSQAPQVPSPSSPSPQSDGRYLSAGARHARSDRNSLFALHLISLVAYRNIGLFSVSLNFPCALALSHSFRNIPHSVRLVPHSPFPSSPPRERGNRKRLDVGRHKIRPEKISMYDFTLGTFKRVLEEVQQGVGIVLPESFKEFTEAPKNPRPKGWALRSTKKTGRYDPVARKLVDDLFEQYFSNGKKLRPDEAEKRMRER >PPA15248 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1495504:1504581:1 gene:PPA15248 transcript:PPA15248 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ugtp-1 MACVEGADGQTKKMDMDEKSKNGLLPIAIKRVQPPTATTGHSDSSNFFKAYVILSMTLVWTGYTITVKYTRSRKAADEMYSSTTVVLLSEVVKMSISVAMLFYTYGCKFTEFKHCLSKYYFGQPRELAKMSVPSIAYAIQNNLDFVALSNLDPGVYQVTTQLKVVTTAVFMVLFLGRRFSRTRWFAILLLFAGVALVQLNSVLSNSSSQSSVKGAHKENYLLGIIAVLCTCVTAGFAGVYFEKMLKDGGSTPFWVRNLQMYSCGVISATCGMLMAESSDVFVKGFFYGYDEKVIAIIGLLSVGGVYISLVMKHLDNLHKSFASAVSILLVVLLSFFIFDGITIGFFFLAGAATVCGAVLLYNSVPENTSSESRPGIMITTVLLASLATVSLGCAPSPGTATTFVTASPKLSFTFSPPLIWTYNTNGTTGAGQSLTVEQAQSRINEDVEFAIIKALSQYGYSSSGITIDNAITPEDVTVGQCADGDAYQAEGETVVYACNGVETEPYKISTSITVKSPIALASSQWESIALRVYTGLVSSSGVKFYDLIKTYLIYVLQSIGIMLLILLSSFPLALACGPGGGLGGGGGASKAKPTMKFTYSPPLTWMLFMKPIGGGQSMNAQQAENRVNADIEFAVIKAVEAYGFSTAGVKVDSAITVYDQGSMCPSPPTMIGQEEKQPVLSQIIVSASRRVRRDTPPPDPYIEVDGVVVYKCNAGNQENFNHTGITISITSPVALPLSSVSDLYSRDVRAKNS >PPA15432 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:2455288:2456355:-1 gene:PPA15432 transcript:PPA15432 gene_biotype:protein_coding transcript_biotype:protein_coding MNYMGAADPNLMLFTPPNTVPGEDDSFIDSLNYKPPMKAPGDVSLNGSKLVEFLVKGAVKLPQAKSHGVQLGLKPLKGEIGEMSKDDPFIKKFNYVVDAVDREAYDDAHRHLEEMLVSFPDQTRVPEGGQQERKWVGAVKLLIKELPLRHATSRNH >PPA15167 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1037434:1041639:1 gene:PPA15167 transcript:PPA15167 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLETHFFRIRRSQTGIDIVINDGGDIVNGKVADGSPLGEGCGLGDRMGGVEIGMPELEPLVARIGESPSAVVCPVIDYINAHDMQYSGDPYAQSVGGFTWSLHFTWEPLPESEKQRRKTPTEYIRSPTMAGGLLAANREYFFHVGGYDEEMDIWGGENLEIAFRVWTCGGSIEFIPCSHVGHIFRDGHPYNMTGRGGNKDVHGTNSKRLAEVWMDDYKRLYYLHRSDLKTKDVGDLSERHKLREKLQCKPFKWFLDNVAPDKFVPDENVQAYGMLQAVIDGTKICLDTLQQDEKGSYGMGVYYCQGGGSSAQYQAN >PPA15367 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2077294:2077899:-1 gene:PPA15367 transcript:PPA15367 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKEGKVKRAMIRSALFVLLLVPFSIQEGKHWQWRALDCVTTNGTGPDHISKDPAQCSLNLFEAEHDTERRPAKGPICHDEVVNGVTRSYCNLLCPGADTIVFRLLHSRTGEARTRLLSVEDQ >PPA15100 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:717414:723774:-1 gene:PPA15100 transcript:PPA15100 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tat-2 MPRQVNRDSYYDATTSYSPVAREEIAEPIFDYSEPPQNVKIAKKSWKFWQKGEAEIAKERRLRANDQEYNKQFKYANNIIKTSKYNLITFIPRNLFEQFTRLANIYFLILLVLQFIPQISSVSWKSTFFPLVIVLAFTAIKDAYDDVQRHISDRSVNNRKCHIVRNGQLKEETWSKVQVGDMIRMSSNQFVAADLILLSTSEPHGIAYIETKELDGETNLKTKSALEETKSMEDRLDSISRFNGEIICEGPNNKLDKFQGQLNWNQRTTPITNDSILLRGCILKNTRWCYGLVIFTGPETKLMMNSGKSQFKRTGLDKFLNILILGIVVFLLAMCLICSILCGVWEWEIGRFFTGYLPWETFVPRAEKAGVAASITVISILNFFSYIILLNTVVPISLYVSVEVLRFVHSMWINYDRKMYFENGEHSVGAQARTTTLNEELGQVQYIFSDKTGTLTQNIMTFNKNSPQVLFSSNPYFEDSFKWFDKTLKTATQRNVPEVRQFWLTLALCHTVMPERDQGNLRYQAQSPDEAALTSAARNFGFVFLSRTPNSITILDNGNEVTYELLSILDFNNDRKRMSVILRTPEGAIRLYCKGADMMIMPRLSPRTPSSLRDATISHMDEFAAIGLRTLVLAYRDIEEGYFQDWLRRQKEAALDLNNREQRLDQVYDEMESDLTLIGATAIEDKLQDGVPQTIARLKRANIKIWVLTGDKTETAINIAYSCNLLSDDMRHTVVIDGTNEEEVEVQLKETRLLFSGAYIDNITPVLKGRGKVAMVVNGDSLRFCLEKRLERTFLELTCACDAVICCRVTPLQKAEVVELVKSNMKAVTLAIGDGANDVSMIKTAHIGVGISVLGSTKPY >PPA15180 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1101381:1107059:1 gene:PPA15180 transcript:PPA15180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-daf-4 MGTLGKNYNLKKGVPYIQCEAWNSKTPEVNVTTIDCPWSTTGSEFIYRNLGCMKVYKFKNFNKFAGNDTLFDTNVPPEEFELISSGCWQAGDVQMTQCQDENDCIAVIHEDKKATMGKETIGTAFCCCTTHNCNVEVTFRFVRGNSTPDFDALIHGPADPFATTTAASLLALPSAGAVASSHLWVWPTVLIGLALIVLVLAGLVWLHRSRFVRRWLFGDTKVYEQKPNNEDESAVALVSMENGKKRNNLKEGLVIGETILADGKMGKAVYRVCANSHSSMVQFFGTNYEPEINYYYVVMEHVETGSLEEYLKTTQFTPMEALHMITSLMDGLAFLHGPANLPGGGYKSAVVHRDIKSRNILVRADRTAVIIDFGLAMICEGNRPYGRTYQVGTHRYMSPELLASIANCNLEGLKMVDVYAAALIMWEILNRTLIDEEDEILPAQLPYTVEIDEEIETQRLNFEKKPGWNPREEYRQKPIIYYLKDIVHRDNRRPVLRERLKQNPITKEILRSLTDMWDFEVEGRISAACAHARLTKLTKDAIAGTIPAPGQYGYS >PPA15269 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1598804:1601341:1 gene:PPA15269 transcript:PPA15269 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRSQTNSDEESAFELIGTNEDVEKPFQALGDEKHPEGCNCGGTTVVATMRILDDEPRDEMSEEGEEEACCAEPHKGGVHHTFDLGMALMEEFSRQEELARAAEEKEKEEEKKRDRKTIANLVELMRDQEQSRWAEMAKKDEEIASLNELFEKSSREKEEMCDRIADLCNTMGALNTEITQLQKKNKKYRLMNSTLLSELLNNEDGLLKKDRTSKEMLAAAIADRKKAAKKEKKRLEREREAKNPRTVTLTKGDTGFFGLFFSGTSVSKVRPGSSAEVQGVRRGDEILSINGTPVTKDNISLLARRVNNDDSMVLVLRYNPNLLRESENGIKTIVKSVFIINILLMIIASLLYSRRRIYPHM >PPA15404 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2302884:2303536:1 gene:PPA15404 transcript:PPA15404 gene_biotype:protein_coding transcript_biotype:protein_coding MNERRDMTRETRRRLAPDEATTSTPLPSRLRSSSIRRDSTESFSPRSLFASPHLPNSHTDGYKLVSDVIDMARKKKELEEVNDLVIGSYSIPSTLSST >PPA15009 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:228855:230055:1 gene:PPA15009 transcript:PPA15009 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPIAFSMDKMLILKDGPRETTLDVRVCFSQPQDAQKWRSIHPVDFREYSSGLKFKREDVVPSRPEAIRPLITPLSIEDHPPQIFLKTMSVLHESFGRAYARRFFPTLGRNRNHLILKSWHHEVDSQHALRPKDIIHLLHNGVRFLHLPPGTFLLDLTPDLPTFCKEMDELMEALFAQRLEYPVGDAIRLPRYVPLFIARGP >PPA15352 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1998849:2000630:-1 gene:PPA15352 transcript:PPA15352 gene_biotype:protein_coding transcript_biotype:protein_coding MMTDIPAPSPDDFAEDSNPKMDQVIATADTTYILKTESRKDEGRGVEFYDVTRRSDEGKFRMEFDKCDVEGGTVPKRFELEATMRSIFHSLPKALPVHHLNLCTDLGAHCPWRFLIFPEEYISVGQFLSEIEKEDKTPQLSLRIALHSFLGIQMLHKVDIVHGNIRPENMYIGLHRSGRVVKIANFEHSTSLNKDIPKCTDLGNLVYASRGRMRNYEGTKKDDLESWMYCVAEFFHRDLIPWNPEIKDNKEDKENNENKEKKETSPMSLPGSPNYESDMLKLKRAFCKGGLWKAAREVLPQELGDIQKILMVRTKTTGRAEPQYEQIWNRLNSANIYIEANVFGIATWTKANQGAPTTPSPHACNRVDNSVEDYDGKGTSSEFSIVPIVEASSAILGSCYTKPN >PPA15123 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:823869:825205:-1 gene:PPA15123 transcript:PPA15123 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPEVGGEDGEAATSKWDEGCEAGKWEGGGSGSGFEGLETEDEGRVEVGPIVVGIEGWEDRAVNEVEAGEDPDVLRVQAYVPKLAETVRLADMQMREMIMAMNRDIEQRERLAEFLVEQDREGEGDAVEREGVEEEGVVVVDNEEEGEGVTEEMVKEAQRMRRDDESNLSTRYTRLCPVCRSENPCKRAVFIKCGHIVCYPCAVDNARH >PPA15197 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1161761:1162162:-1 gene:PPA15197 transcript:PPA15197 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCGCLCGEEKPKEAEVKKESTVVTQQPAAAAAASPAADPAAASAIPPAAAAVEPAAPAAEIPEEPIAVAPMHTVLDEEALTKM >PPA14974 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:94314:97503:-1 gene:PPA14974 transcript:PPA14974 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRFARNERVYVKMKSLDPGAFLATITDVTVDKKHRIGYSIRFEDKDHSTLRVEFFNVPYCLFKEADVRAGGFEMCIDEECIGYVVGEDYLGRRSREEVIAEMELSILTYDVGEKIKCRTRKEDPGWWKAEVNEVEEIDGGLLRYKIIYEASCKFKGFHDRIQWIEPQEAKHLMKKARRKCLSSNANQQLPPAEMNDQSSEEEDNAVDDAPDNDQLVSGISHDHCPSADSLNRTLPCPSSHSSLDRPQTCSSSSPHSPTASSLIDRSNDTRPSPVSVSNIMPASNSPEGNGFDGLMKHYG >PPA15224 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1305658:1308146:-1 gene:PPA15224 transcript:PPA15224 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGCSTDKKGVQREIGAFERIALVSANGGFWRVAQKLTDYISDHCPSLSVTEKILLISDFDGGIPEHQLAAKYRIPIEKIPGIIRLRVPLIREQTRVFMERRRMRVPASIRALPVRRTNFVGLNIMMWRFFKDCRRREEITLGDGNCPYRSSLTNFKGSEGWLDAFKRRHKIDLRTMTGEAVNYESDPDGNIICTSADGADDDDCSYDETTRSSSSPTAGDNPTDQLLASELALDY >PPA15438 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2468458:2469413:-1 gene:PPA15438 transcript:PPA15438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ubl-1 MVVYVKTLEGRTVALDVEASETVLDVKKLIATIEGIEVEDQRIICEDFADSGECLCYLRSLEDGPGCHQLEDEDEVEEESTLYISLRLLGGGKKRKKKIYTTPKRIKHKRKKVKLSVLKFYEVDENGKIRRLRKECTSPTCGGGVFMAAHHNRYYCGKCHGTLVVEEAPAKAGGAKGKKK >PPA15114 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:785440:786703:1 gene:PPA15114 transcript:PPA15114 gene_biotype:protein_coding transcript_biotype:protein_coding MPELKLECCNKRTEWLSEVQKSCKICKMYLVLCCYHAKRCRTDNCKVVNCMRIRESTANQADTALFPGRDRKRKLPFTIKTKKPQL >PPA15107 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:750289:755738:1 gene:PPA15107 transcript:PPA15107 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDVSIMNVSHHFSVTLYSGQRIEVKSKWISDRLDYFNRLGVSGPKPRFYYGNSKELHRIDYNGALQLREWTKVYGSRYGVYEGTNPVIVLANAEDVNEVCVTKAERFEAKKLLHLASDENGQDERVHMAEALGWRWKRLRGAANPLFTPSNLDKIQPILHNSCANLIRFYQEYTFDVICRLVVGQKESRMFSNPMCRHMKGIFVRDLNLWYLDLNLSFPALSPYLRKLFNTLAAKFENPIGMVLKEMEKVVDERIRGENKEDNDDFIDAFLHQSTEEVEGESNKSSKSLTRDEIIAQCFLFMMAGFDTSASAMSFVTYLLAMHPEVQEKVRDEIQAVMSEDSDWSRLGQLPYLDAVIKETLRIYPLSAFTTGRRYTRDTVVGGISIARGTYVQPDVYSIHYDERFWGADAREFRPERWLSSSVPSSAFLSFGLGPRRCIGYKLAMMETKMALVSLLRAFRVVRTERTEKELSFLGSTTFSPASVTVQLASLQDN >PPA15170 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1055391:1056522:1 gene:PPA15170 transcript:PPA15170 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFKKLFQKATGKNDKTVVNVVNDMDDVDQMTVNDQEKSTTEIDEFAGKLLGRDSCRKTAENDDDGFSASQTPAKKNAFYSGLDLLYGISEVKVKNVSEKMNIGKKNKDEITNSKVVYFSSNGRGYVGEKPAI >PPA15236 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1404341:1412547:-1 gene:PPA15236 transcript:PPA15236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rcq-5 MNGEVVIIDSDEDDQKPCSSKTIKKEDAAAATNTANGWGFLKRLSSKDILSKPEKKATPPSKTVKRPSDDVKTESKIIREEKVVKKRKLANIKSEFDEVPITSAAPLAAVQVPTPAAIAENTGIVSAIEPVDLKERIKDVLITVFKHKEFKSKHQGKAILAVATRNRDVFVSFPTGAGKSLVYQLPSLLYGGVSIVVSPLIALITDQVAACQKKGIPCESLNSKLTTAERQRIFEDLRSPQPKIKLLYLTPEGLATDYLQKVTMIKSLSDRNLLAYIIVDEAHCVTHWGHDFRPDYLKLKSLRKLAPNAPWVALTATANTKAQEDIIKQLELKKVNIFKSSTFRSNLFYDIIMKDLVQGSAEDHMLRFIRRCLRMDVEKKDKGKKAGEWVGSGIVYCRTRDECEQMARSFNAAGMPALEYHAGLSAKIRDEVQEKWMKNQVPVIAATIAFGMGIDKPDVSDEAGVDPPRSFGGYSSSTDSSTSTTVAADKTTTTEADDSGVNTVTTTEQPANTASTATPDDGNTDNTPKATTVKTTVKVDSTTKTTTLKGSTTTKEPQPDVPGLDIDTFDRLIKETNDTETAVENYESTDLVNLQAGVPKVFEDAGVTADYVESQLTTITKEIKDLQTEAAELEGDINGINTDVSIAQNYLNKILNKKGSCLYRQCVLKETTTTKPKTTTTVKTTPMPYCTKFPDTCKVNGGSCDNKYDSYECICIGNLDGEENDCATSVCEIVKDSQAPGLVFSPGYYKNISETPNDPEDPAKSERLCKGTNAAADDGCDGAQWTVKATDSNKVLGLVETAFTDNINKLSADANLQLTVGKYKLKITSKTKYNQITQILAYGNTATIAYSGSKDYYFHFELTEIDKPAA >PPA15426 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:2437069:2437583:-1 gene:PPA15426 transcript:PPA15426 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFYTNGTSQAATLKEMLRAISAKARRPAQFVIHRLSLCRVFSCDKLWGKRKEIDLHETGKKRLMEIPSTKPNRLNFIVDYVNTLTEEGRPAPFDLNETKNTKE >PPA15068 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:589570:591129:1 gene:PPA15068 transcript:PPA15068 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFYNALNDIYKYGGDVSERSNRARAVYHLMAAKGCRSLGSPSLMVEGLVAKGYAKDEITKWRWYTGATILVCNGEGFAKRQNMVEQNPDAKGLCRKAMVIHAKASDAERLLAEHNPRLMVYNRPNLDLLEQMENSIKRACGRSPQGLQHIIVCVKPSQMDEMRAKLARLLEIEHFRSMVSVRRREIKDLELIHQAAGRLQGLSIGHPSLTLAGAAMAKAIHGHHVLASTSKACDQETQTPDSDRYEDCVVCAENRPDTVMANSGHMVMCQKCEDTVIEK >PPA15192 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1142417:1144602:-1 gene:PPA15192 transcript:PPA15192 gene_biotype:protein_coding transcript_biotype:protein_coding MPSARKSSTSSSTDETCSICMETLASKRSVSLRPCNHSFHRTCISNWFEAGKCMMPADFTCCLCRTNCEKAADDKNDIVPRFFPFEMKEGIETFIRMLPKYEDHLVKTECEINNAIQALNKEKKLAIRKKKSKEFIVDLDEEIAKLTDRANAVADIFVNLSVEKKAEYIGLDEEKYRLENELENNETIEAEIVKIKKEMAAITKKMNEIEEEVRGRFKEFCSKMAAKTTPSPIAAPTPKTAAPAANPIDTTSSVQAGRAPAKQCPHQIADILQRAVNVTVVERDPRWNDCVNKTETDADGRFDLLIRAKELGKIEPYILIENNCNLYFDENERPPSCWRYTSYDISRYPKSSPQICACEWRGITPVEKLEMQRDRKSV >PPA15265 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1587829:1589323:-1 gene:PPA15265 transcript:PPA15265 gene_biotype:protein_coding transcript_biotype:protein_coding MMALLTLLILPVAVAGEPLPKAPKLDESNFDKQIGEFDSRELIPPEIVTFIRDLNEKEKEEIVRWAQDYSITDDADGGSDMTRTMPEFQKKFTPLKWIVGNLTEAVAASDDAKYFVSSLLETVCHIFAIYLDGEEIEPEYLKFMMRQLDRTHEVNEQMENNEDEEEVVIEEGDEEKIQEDNGENNEENNEID >PPA15376 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2139569:2142912:-1 gene:PPA15376 transcript:PPA15376 gene_biotype:protein_coding transcript_biotype:protein_coding MKARDVQKVVTKRMILVMVDSASSVHIYGEEDDPTETDSFYNDDEIIDYAEIVNEEAELECWDAVKKRHDMHGQFHGFLKDDGESFEDEEKLLGNDLKDLMYLTLKSVFGHSKFRNRQKTTLAVYTAVTSIVLDCDAFVLMPTEAGNSLCYQILEHS >PPA15229 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1358822:1360628:-1 gene:PPA15229 transcript:PPA15229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-crn-5 MTKLRSIKSEFGFVSRGDGSCSVSAGKSVVWASVNGPGDSHPSRRHGDKLYIESCYRPAAGDAESVTFNSLLRAALEHSIHTKLFPRAVLQVTVHEMQQDGSMCGLALTAAAMACMDAAIPMRAPFCGVQVILVNGEFIVDADSRTEAKADAVFNFAVSRERNESNEITPQVIASSHNGTFSLDELMQLSLSVLDPIEH >PPA15059 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:515580:520051:-1 gene:PPA15059 transcript:PPA15059 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSISSLTAQLESMSASDREKTGVIERLERDVERLTRGKQSGPVPDDPELTTDQLLAASLGAAATDYSGESSERDAAAAVSSSTAAAAAGGSQSMVEIVKAQRDRMKERLIEVEEALAKEKMDAARAKTETDKLREDNTQLYGKVRFLQSCRSGSTAVTIDSEGAYKQEWEQTMSPFARFTSQESLRHVQKLPMHDKATLSIARAVFSNASARFTFFCYLIVLHLLVFMVLYHSAWSSASSRDDCVEQFAKHMKEHHH >PPA15082 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:647867:649625:1 gene:PPA15082 transcript:PPA15082 gene_biotype:protein_coding transcript_biotype:protein_coding MELSHSRFIGLSASFVASLGGVTIGYREFLKKVDGFAALRMDEKTVRMGRPYEEGARDYLGTQMAVGRMLKKVMGTEGSLRIAKNGDLLSDTVPFSKRLRAIDLIIDQMKEEREDK >PPA15357 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2024065:2029519:-1 gene:PPA15357 transcript:PPA15357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mtm-3 MLNMSNALAKPDCPFPLIPGEVLEEVAEFPNSKGYLTNYRLIILSLPGGQTKVEAIAIGNMDAIDSSPNSDVLHILCKDARVIRVQTSSLEQTVVWFKKLTALAQAKPAASLFAIKFSKSMEGRTENWCSSVSTPEFACDEHAIFNRWGMDPNYFCVVDYNQDYSICASYPRNLIVPKGMDKREIESLRDYRMLGRIPAVAWHCPVKNVLLLRASQPRTALMNWRNEKDEKFLRLVTEYTTTKRDSVREEDMGRIRIFDCRSYMASIGNRIKGGGSEREEHYSNMKLEYCSLPNIHNVRYTFESLRKLFNPPVEQATFLSSLQQESIVDLSKLTTIATNWLSNVMAILIHSNKAADQLDKGRNVLIHCSDGWDRTTQLVTLVKILVDPYYRTIKGLEELIRRDWIGYGHKFSDRNGISGTDTNERCPVFLQWLDALHQIHVKFPTKFQYSMAYLCSRYQ >PPA15084 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:652883:658164:1 gene:PPA15084 transcript:PPA15084 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKSKVKTPLQEFDYFLDKNDRLERDAVHRFHLGKSAEDVDTVAAGSIGVRTGLEAALPYYFQFRTVDEALQYFKTHYPSSLPYLGERVPVLWDSDAGHELAAAFVMSDQATRFVVQRDLYAHDGWAALAQRSISWGTWSTFTSIFTYWLHMSLKIFGSTAVSFAGIYGFFLAGCWFANNQWHMLYRYMTDVYADATAARTSFDHCEGGKEYYWKQLKRNRLLRDLHPTLYTQITASGDVRGIATT >PPA15259 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1559531:1561057:1 gene:PPA15259 transcript:PPA15259 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLLTFSSLALLSIAANYHNGPNDGHSHHEFLTDLPEEARKAYFAIKMDDDLSRNEKREKIIDWAQENGVEEEITAHFAAKDADRLSNKKAMVEAIEDLPEAYEKVSAIFEDSSLSRKEQMEKMEDMTKDYSEHRSFAPLIRAEADTIIVTRSGRATRGFRRHGSRRSFAEEEDVEF >PPA15242 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1460105:1463736:1 gene:PPA15242 transcript:PPA15242 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRNQKAVLSVGGLAGFFLLVGGLLGLFYAPKFINDQVIQRDVIGYDTLSNGTRVLNDMTKKWIHPPYEMILHIWMYSVQNEAAFLAGEQKLRLSQKGPYAFIEDQEKTFEFSPTEERIFYRNRHRYFFSQEHSCSDCFLNDTVTIPNVLFQKLVDFAKKNIFAKAAIETLLFTEGRETPFVTIKVGEALFEGYDDPLISKACSNVVLKIICKTAKIPERIGFFYGQNNTDDGLYEVGTGKNGPSDLGKMYSWNNATSLPDPFWDSPFARAINGTDGQLFPPLLKKDVVLPLFASQACRSVKMAYVDDSEYLGVNSWRYSTPISMYDPTLPDNHIFCHVDSTVEYFNDTEVQPKGCLPAGMIDLSKCQPGEPRVYLSQAHFYMSPDKQQ >PPA15299 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1699884:1713237:-1 gene:PPA15299 transcript:PPA15299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rle-1 MSRTVQRKIVHLICLQLAEPSGRLRAARQARQIAERILCEVMLGSQNQQSLSHQLWTAVRARGCQFLGPAMQEDVLNLILLTMSHNALIARKTLVMYVVKRLSETYPQTSKTCVGHVVQLLYRASCFNVLKRDGESSLMQLKEEYTVYDSLRREHDAQIVSIAIDAGLRIAPEQWSALLYGDHDHRSHMQSIIDKLQSTASFTNGIEDLRKMADGDELMESTVEGLRAVDELTRSIQEDASLLSVDWRPLRKVLEALRELVDRYADVLTRRNQARADFLQQAVADGSDKAAANQAARSLIRPVAAPEAVERMGGWNGAEGGSPPGGANTLTMFKTKMCRDAYNGSNQCSRGDRCSYAHSEEELRQPPRRRCGGPQPPPPPPSASRWPFVPQPAAAAAAARGGYPPPQMVQQQAVAPPLLHRHPYPQQQQQQPMAPPPPQQQQMMMQQQLLLHQQMQQAQQPMMGAPMQQQPQLHWQPQPLQQAPPPPHVSWLPTSEMEQQTGVYWGTMPVKNMSLSGPSELSEMEQLHMRRDEIISRLGPLSLEQDDILNGPSTSGGHGDNDDAHVAYTVASSVLDDRISDLMQANASSSNPIIELPPMPPGYTPVINSTTTSEETLGVLSELALGDAAGAASNASVKARTSSLTTTTTTVRTACPAYAFHTTADGCTTATVQADCSTMHVRDTISEPVATPVIVPSNSVVLYAPASEGAPGAVVAANGAVVAPSGAVLTPAPAPGATFETTPESLTRDAARLPMVIQPLSQINPVQAEDPQDIVSSTLDRIVDVREKLTEVDGAASTVVKTQLEVELEIASRQIEQLDPLTTQNCLLKELEAVERKIEEIESSGSNSMQSDQLQQQLQMQQMQQQPQPQQQQMAYHYPQMATVAEPKRHGDIVFGEILGSMDRVGLGIGKGFAKFVPKAIRLDEIDPDQYAREVAALRLARHKVLLRLEACFVRGAFAYELYPLADLGSAEQIISAHYSAGLPERAIGSIADSILAALQYLHDRHIVHRGIRASNILIDRTAGVRLAGMRHARQLPTSGNRRLHDYDREMMDGMLWLAPEVLAQMRKVLKFWRAVRNVIRSIWLAC >PPA15064 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:574240:575057:-1 gene:PPA15064 transcript:PPA15064 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPMMPMQPTMVPAPYPGMTQSLDHMGFAPMVNQRMMAAPMPQPMMYHPPVPHAPMVVPPPPQMVSN >PPA15313 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1776708:1779242:-1 gene:PPA15313 transcript:PPA15313 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVAIASAASLTALFIAFVSFSNIVNDLKSLQDEVSQGMDEFKVMTESTWERIVKMHVNPSGSSEAPPTFATLLGRNKRQANSQCNCGPSSRGCPAGPPGPPGFPGERGRDGNPGQEGRPGANGIALAVTYDTPGGCIRCPPGPPGQPGNPGFQGPSGQPGRPGSPGPAGNPGRPGSDGYPGGPGERGRDGQPGRPGSDGQPGVEYTPGEAGRDGAPGFPGPQGRPGNPGSDGRPGNDGRPGFDGRPGQPGRQGSNGQPGEAGSDGLPGSDAAYCPCPSRTGGSYGASNGYSSSQSNYGSSNNNYGNNNNYGNNQGYSNNDNGYRYRNRWAANDDSDDEGLATKALTVPVITISDSEDDEPVAKALKTGLPAAAKKDDGRKNLILSMSTRCLDLICKTFVRLNQPIPDSPPCMVQHLERVHRKSLRTMGLVAICDKCGRRRGNYRHIFDHYAECLKFEKHLFILHSSLHTRTRKRGSRMTVTRTSEVN >PPA15442 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:2497876:2503189:-1 gene:PPA15442 transcript:PPA15442 gene_biotype:protein_coding transcript_biotype:protein_coding MNVHELPDGDDILSILKDESAKLHVWITAAVEYYKQKRYEVFTMILEKSGGEATLDYYGFEKDQVRALDTLAAYYVKKIKNDALNGHRERGSKDKRKELYTKATLLYTTADKIIMYDLNHLLGRALFCLLEGNKIDQADQQFTFVLQGSSEQGNIPALLGKACIAFQKKDYTQALFFYKKALRTKPDCPADVRVGLGHCFVKLNKLDYARRAFERALQLDPFNVAALCAISIMDSNLMTEEGVKNGVRNLYRAYKLEPENPIVLNHLANHFFYKGELTKVDQLAWAAFQNADTENIRAESCFHLARSLHRIGNYDKAFRLAGYEPSRTGMEWEEYYYQSTQFASPSFILPFYGLGQMYIQRKEYANAMTCFEKILDPHPNNTETLKILGSLYAQAGGDAKKGVDYRAKARTHLQKYVELIPDDAEVLIELAQLTESTDPIKSMQYYEKVCDLLAKEEMDVPPEIINNMGSLSLAQGDYEKARDYYQKAMDILASESGDDIEAFRVTIMYNQARAAELLCLFDTAEALYKDVLRKDGHYIDSFLRLGCIARDRGQIYESSVWFKECMGVNQSSSDSWTLIGMLHMNKQEWQPAQKKFEHILKMQPNKVFVALSLVTYR >PPA15243 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1463750:1464698:-1 gene:PPA15243 transcript:PPA15243 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSNPLRPAILKLFRAGIPRHDIVLRLAIPKRTVYDAIARYLELGSEEDRKGRGRPATVSTPRNRERIRKRIGRNRKQSMRAMAKNLHISNTSVRRLIKSQLTLRPYKYLKLHGLNDRQIKLRRDRCRLQNDRILAHDPEEAYKSGGFIGQTSHPLYVMVWGGVCATGKTPLVFVTPGVKVNKEFYVKHILQDALLPWARSHFGQSHWTYQQDSAPSHKAKKTQDWLKAHVPDYIPTSEWPPNSPDLNPLDFSAKVSTTKYKNRDTLKAALLKAWAELDTNYLRELATAYERRLKACVKAGGGHIEIR >PPA15205 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1201670:1204888:1 gene:PPA15205 transcript:PPA15205 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYGAKRSVATTTLLLLLTCNLTHNRRMQALQKSSELTRSFPPVEIPSLQRPDTRSTAPHISAGTAPWRSGGVASDTALRRFRAALHQARPQVAPLNPIIPPNGNLGLATPANAAPPRLPAIAEGSNEMSTGRPAGGALNQGGIRAAVRVNLFGVAGANAADDFPVIMDDGDLNQTQPWNEGDDQGEIDDDLLDNNQLDNTIDEDQAANAEDKLAEMIRRNEAILREIEEAREAAALARANAEAARLLLQRQQDAAALRTLSVEPTEMGKKTTEGLTTTGLPEDGRQVQLVPKVPKWRVPPGGAAAAGAAAAAVNADGARRPNVNPHLVQPRVAPVLVLGRIPPILPINGGNVNGYCSVPRCFLFPKALCMFHQLFNKN >PPA14996 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:157024:160835:1 gene:PPA14996 transcript:PPA14996 gene_biotype:protein_coding transcript_biotype:protein_coding MNMPIVISLENPESQKLYLLIVSVIICMPLPSFLSAHARWLIANGTNALSERAQHAQARLSRVFFLQIIEFAVFFVGPLLLVFGLMFMNVRHWPDWSLALLRPMCVVMLSFESTFRSLIFLAMNPSHRTTHYSRAQCHTEEQSILLLLSLGASLSLCCGGVYKKKIQPARSSARSKKSNKSPGEATPTVPGTYDTLKTAIDGGSNSEVAGTSQSDRISIDGAALTTDTYVSAEKG >PPA15037 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:401687:402748:-1 gene:PPA15037 transcript:PPA15037 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPHKSPLLSGVSRNQQLAVLAALGSVSLVALYVWYQKRQDKAKKGARVV >PPA15266 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1590083:1593741:1 gene:PPA15266 transcript:PPA15266 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLADSLIDAGHEVVVLSPTLDSTVPEGTKRARLIQIPMTEASFEFETGMNENLTEWQVQWTWQVIGGWSAYAPLWVAQCHRIFHLVGIDKFAITESFAQKDGLYPVSGMDINLAYVPTIMGGHFGEEMSFSQRAFNVFNYFIYKDFVYHAVDRYQTMFDEYQKGFPGVVDLMALNSLYFLNSDPLVDFPRPSAARVIDIGGVAVSNGHKELNETWSSILSLRPRTVLMSFGTLAKAYSMPEEYKESIRATARAMPDVTFIWKYERPSHNVSQGIPNLIEATWVPQNDMLNDHRLSLFVTHCGQGSTTEANYAGVPLVIVPVVLDQIRNAYAMERRGLGVVVEKSQLATPKTFMDAVKKVMEDESYKKRALDTAQMLREKPFTGRELFVRNMEFLAVHGPLRQLDHYGRELSFIQYYAIDVIGALLITMLRKLSV >PPA15322 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1828453:1829998:-1 gene:PPA15322 transcript:PPA15322 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAAAQEERAKKAKEEECNDGGDIDNVNMERTTNLIAKAKAEEAEKKRKEKEEVAALKKAQKEEEKRLEKERKQKEAEEERKRKEEEKNKRIEEERQRRIREEEEKERRRKEEIERKLKEEAERKRREEEVARQREELRIKQEEERREREKKEMEEQLREEEERAALMLADEVTMEMEEMEGVPRPLTEIEVIEETLKSSLKRE >PPA15379 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2158024:2161991:-1 gene:PPA15379 transcript:PPA15379 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVFRLLLKVSHDDTAFVLPTAQILPIICYSLDADEKMEQEWEQIKRVWANQIEDTPQMELEEDEKWYIIDGANPSELEEKQPIRRIRINEVANVIFIDDYAMVGPIRRPDYEPLVEGDAIKTKSIMKTKLFCSEEKSIPPSITDGMHLVTFNIGNRHHSYESTVDPESNHISFKDDHDLSQVWTSLRTMASKIENREVEFTEEMEYGILKTSQLFDEIWKELKMRKNARQATFDRRQEKKRRESLMKIYREMFPTMAEDELQIFIALNCFISLLIYHLPEGNHLFILIGGRRSHVFKSKGNLLGCQLRTFISIRSFSRIFVMSRRICFNAVMREEFADDQPDHEPSTLQLPIIVTSIMKRSPSSISDSKPSKKQTISYNIGNRYHAFTSQLVSKFTHCGYEDEDDLEETWRRSKEVIESQSFQKWKNENGDTKKPWIVVREWLILDQIRENYWTKMEAQYNRSQELKRQKRRAAAFNELRKHLEMEGHSKRESDDVELDEYEENEEIELTYSKLSYKQYEMKALFTTYYIFDNEKELKKEWMKTKNKVERMMNDSSNVMKFGNTEYLLPKNEEDEELENEWNLIDQMRRMYQNEMEERQNTILDRRLRISGMCEVRFVDDKPKYEPSEDPATSE >PPA15416 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2375183:2377299:-1 gene:PPA15416 transcript:PPA15416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ceh-20 MVTTHSREASSSYDDLIRRLNDISNLSLEDIDSSRKESLRRHPLKDALFSALCEVKEKTALSIRAGPEEQPEDPQLMRLDNMLLAEGVSGPERGLNVSADVSGADQTDYKSKLTQIRQVYGTELNKYEEACAEFTRHVTQLLNEQSSLRPISNREIERMVGIIQKKFSGIQVQLKQSTCEAVMILRSRFLDARRKRRNFSKQATEVLNEYFYAHLSNPYPSEEAKEELARQCNITVSQVSNWFGNKRIRYKKNIAKAQDEQRLYNAKKAAHEMGGENID >PPA15216 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1248543:1250064:-1 gene:PPA15216 transcript:PPA15216 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFDGQVKCRFYAKRHVISNRRDVSYATQNAGKGGGGIGRNASRCELMSVETEDNLKETVIRAMKNLEHSKDCQVKNCAIQGCSEMKTITEHMRRCKEQEDKSCGICKTVRFYLYKHANGCTVEGCKMPNRRSPDFACSLACLNGERT >PPA15053 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:498204:499638:-1 gene:PPA15053 transcript:PPA15053 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHHPSSKSALTLPSLPVPSLLSAASCLLPFLRDHPFLLRPTTDPHRTPPPTIRSAVSPNGSSEDTAAVGGGSCNGD >PPA15405 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2305211:2310288:1 gene:PPA15405 transcript:PPA15405 gene_biotype:protein_coding transcript_biotype:protein_coding MEESWMEKRRLLEKEKKELETKLREELETEKKKVEQLTDWQLKCEMVETENGLISKRTAIAMEKKDALLKEKEMEIEELRARLLYIDRQSITSDDSIRDFERDVMSINNAVSGQKLGEVLYETAVEKRAIEDMKRRNEELESQLENSRKNAEELNEKMEEEKKKWSEELDTAKKEIEDMGSRNEELEGQLEEYQKKVEELNEKMDKERKKLSEELETDKKEIEDMGSRTEELEEQLKEYQKKVEELNENMVEERKTLSEELETDKDRVMKRALSIVERNDALLKEKEEEMKEEMRRREVEVEEMMEEVKRREKEIENHNDEMAELRRKLEDKQFIMDEMTIEKEEMKKIIEKNDKEMEELREMIVEMERGVGGEVVEMRDIVHDQKTTIDNTRRMNGKLEEMKNASMKMIEELNEKIEEGKKNEKEMEKLREKIGEMKRRMGEESQKFRALEQMNEDLAKKNTVADEALIETTTHYENLKSIRDKEIAEILSLKTKVHEQDRTIENMRRLNEEFEETKNKSMRMKEELNETIEEGKKITSEMDHLKAEVERRTELQKRLEDELDIARKMTEDANVEMKRMKKECEKEKEKRQKEWAEMESRNCEELKRIAEKEREESAKRVSDELAEVNKYEQLCEDLNQQYLEFKTSAEHQYATEMAEKDEELTAVKERLAELENYPGRIVSVDGRYSEGRSVYDLLPDKLKDFIDQTTNESVRRAVEFSKGMMIRGEVVSPAPSHLPLLIERLEEIETRTSTFKDFRDFMVRLFKNLVENEWSDDLVPSLAEWLSRLDDEMAYLKEDRMKMEKIQKKNEKNLRYSEDERRKMEDEIIASDEERKKILNELRELQIEFKRMELDKDAIEKSAIGFKELYEEKVAELEEADDVSEQYKERCNQLSNELDAKKRVIERQDEEYIEVEKKLEDQIERCSAFSRKLSDETSEARRLDVDKKKLKRELEKAKSKKLKLTGMIRELMDCVRKHFDVYKRVKGRNEKRQELLDRALVGIEKTRQEMESANIGFGRLQCLETSIRGDLVDFVTADHLDYNESNRLKTQIQSLKNESRTQK >PPA15013 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:253601:256049:1 gene:PPA15013 transcript:PPA15013 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVKTKDGIVCVWAVPGEPSCPLPSLPPPSSPSSLLVLPGSSINGSLPFAICDPSGLRVESSIDLENAHNFKCGAMDAEGIAQDVSDIYDCIDNSKFWTAQGKDSNHAVGVCCMSRALVCIQPRRGESSASEAEARWWYNSIAGGCEAFLFDASLNDISPNNFGTQAHCESYCQDACHRGSSTPHRGKSSSNSACSPSSPCPDNFDCSHIGTTTLCCPSPKWICSQAGGRSAPPPQSLFDPGLRYETLSGVKSTNTFSLPSTRYLTLNLSLFQSALIRFYFNPVESRCETFEYLGYLGNFNNFHTLNDCQQYCAKLQCPIGLPLDGGNGRPQKCSSDSSCPSSHHCTDDGVCCPTAQTLCAQPLRSGECKQSIRSFWYDAHSMTCRSFTYTGCQGNENRFSSLDECHRNGAIRSVA >PPA15016 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:266588:269472:1 gene:PPA15016 transcript:PPA15016 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWRMLCFPTLRMPPMTCPYADHNACPVLKNRKTLYHRLVCDTVNSTARQGVLLIILVVWAVFTGAAISAETVDLYIVYLCIKYIRPIVVLPMVSLFIILFAMMHLHYRIRDAILQCVQFLLILANDGAASFCTYTFTHLREMSSDNRNLQATDQNTIIAEEAKAFQPEDVKTMTDFTLAACTDKFSEAAASFVTLKKLYEGCKEIEKADQTSNLAESITIRNASPESVSNSDASL >PPA15348 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1988713:1990490:1 gene:PPA15348 transcript:PPA15348 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-trf-1 MGRLPYSYRNPQSIDRYCSSTATCLVCQQQGQAVPDKATQKIVQSLQVFCSFQHNECKWAGELKDLPGHVAVCQHKDIVCSNGCGEVYQKMNEAHHLANDCGKRSDVCPHCKKEVSVKGMAVHLKVCLSVPVTCPNQCGLEGISREELANHLPTCPMSGNACPFTEWGCEYAGGRQMLQKHIKDEPIRHLSYLCDGVIELKAMLAFMQLNTEKMIRTIGTLETKSSNLEKMYGAQLVWKIDNIQQRRNEAKSSARPTIFSPPFMSSRHGYKMCLSACLYGDGPSRGHYFAVYVTILRGEYDALLQWPFVHKVTVSLMDQGAVEKDRVNIDYVIRPTAGRENKAFLDRPVSERNASFGAQKMCLLETLNSYIIDDSIFLKCSVDTETMPIL >PPA15232 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1368725:1384328:-1 gene:PPA15232 transcript:PPA15232 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFDDPEDNKYHVRSTIDALGEMCKHATKRSASKVPNLVPTGFSSELQKKKTSSESEKEEVEEDEEEEEVEAVKPKRKRRESDDDEWDEEQEQLTVAQFTSKQKNRRERRTRKKPTLYSEESVVCEQRRRQQTMMKRTSNGSTGHRKGKVQYPSNRAALHAHQASMAVIQGKFSKEEKEELEKMRQQWRDIEYPMQAKMRLLPMLRKGEFEKCLQLITPTIEMAKLDGGNIPDGIMTIFGSFPCSAGFLEFLKNMRIEACVAFYDICDKLYVLSDLPDNAKIAQAMRFWWNSLSFKSRRQWERHARNHIKEMEKDQALDRYLLPCVKGYEVPIMKHDLTEKRRQDELRWEEMRSKSQLLLEETEKDIGCPLCEKDEEPCRMGTMREIQEHFFHHHWQVHGYACHLCGKMFSTEEELYSDHTDCEDWLAFNANRILAGKSRKMAVCRMMLCCADCGWYTNLNGRYKRGEEEAKINSLRTFFAHHNNDGLLSMMIYFAAKPTIDVTTVKFPVTSMINGDPLAPCEHCGPSVTFNSPVEANKHYMKEHQDKALSCGECQARAATKYLFNQHQMLHVHDNSYFADFLSNSARVFPPPTNMSCTARTGWYHRENKENYSFGGAPVATRAAKGYDLVDSMEDVRERKARLIEMRRNEGKVVRAGGYDNAEVEEETNFAQKIREKLKKKYRDCDGVSQLLDGFTWFRDEDALTWQDWMDYWESPEGVKQGGPPKRDEIFVEPTAIENPRVEVELHLKDTDFLSGYLLNENVFYCLKCVSILKGEQANEHLKLEEMVEDDEESKNSCAFDCKGLQPDPNVSHNMFKLFSYESNFAPNIRLPCPHCPVKGCSITGLRVHIMEHHARFVKYSRVEHEKLKIEVPLKFDIRTQLAKRIDEKLEMKKNGLLPQRFPPVNDVAAILDTTWANILSTRENMNPNDLLALQQLIEEDRAYATVPTAHRPVPAFLASRRAQQPSRIQQLQPRYATPVIMQNPQHRTLEQSLQHRHAMQQLQQQPQARHMNSMTTPIARHQQMVRGLGGGQYQRPIGASVPRIVKLVSDAVFGMDRRGYVRCHACNNSSVEYEPELLKAHVTRCHMHTCGHCTALFVYEPEAKKHAKKCRAEKNQHYYHPDPRLPHIKARCPFYPMCPEQTPMVQMGMHLIDRHLHQLEFETPSGRLKTHIVMIEERPPVHGKEPEVERLRYKYYPPQQEMAKNQHFHQCHVCGLFLPDLQKLQLHLRLHPEYSYFCWLCPRQNSAALGTITAIIKHMNDYHLPNSRDNSTLIRQSCPNCQRNIANRELQHLMYECEHNFMCTLCRDLPMFENVTQLKDHKEKCHYDSIRRFECSHCTAFFATTQDFMRHQCDPMNTKTNCACGASFKTRKLYTQHFQQSHIRGQSCTICYLRFPEGNAMMTHHQIHGKMVRAKGIRHMLMCAYGKQYGKIQWDEVKSLFTVVSNGSMKLRAGQGLFVPGESGGSPETPLTLSDDDDVIALDSSAVQREREQAAAAAAHVPMQQLNNAAAAAIVMHKQPVMMNGSPLTDGDIAAEVLRAVVKKIVLNEETARRHSAERRGSMQAASRNDGFMELPENVLDDDCIMLDDDDVVAPPPSQQQGHRQELSAHVREEAMDTTTSSVGGRNLPGYQEDDDLETAAAPAAPVVRQFEPDDDDELCIVTEIENTGCSASAAISKQREKKFACTKCSSRFMTQKRLTDHLRSAHQFDAGETTIHDKYSTFRSVGPSFTFPLFSSPGTWKPETFGRGKTQDPEKISMYDFALGTFKRVLEEVQQGVDIVLPESFKEFTEAPKNPRPKGWALRSTKKTGRYNPVARKLIDDLIEQYFSNGKKLLPDEAEKRMRERNDILPAQRITFDQIRNRITTLLSQKKEHQRKVHGNRQRRYVKLIDDFERDLAEEGISLDDIEEEVDLERPLDEDDLIITSDEIYDLVHSNMEFFDNPSEPVLSDFGEFEQ >PPA14963 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:22945:25468:-1 gene:PPA14963 transcript:PPA14963 gene_biotype:protein_coding transcript_biotype:protein_coding MLCELHENIKEIAASPSQLRALVLRGAEGNFSAGADLEFVRKTANAVDGYMLSRFMSETLWEMASLPMPVIAVLERNCLGGAAELAIAADIRVASTNARMSFVHSRMGITPGFGSVRFLKDVVGRSTAIELLASGELMDVERLWELRLVSSVYEGEEQLSKYMKKFTRNGGKRAGDRGATGRSKEG >PPA15341 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1960493:1961713:1 gene:PPA15341 transcript:PPA15341 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQRIVQSLLGSCVRRASTHFGFEQVNEEEKADRVRQVFTGVADKYDLMNDAMSMGIHRLWKDYFVQSLPLKRDAKVGRYDETQMCMVGNFAE >PPA14968 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:71566:72275:1 gene:PPA14968 transcript:PPA14968 gene_biotype:protein_coding transcript_biotype:protein_coding MARALHWAHQWMSYLAEFSGITLMSISRMLALCYPEGKLSRFVFFFQPPRHYDYHPVALSITRLGDEYSIKFNSNVTIVTSTGGALISAMCYFRIFLALRKR >PPA15022 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:284071:285233:-1 gene:PPA15022 transcript:PPA15022 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAVISAVLVAAVAANAISGMYGAINTKITVEMANQFKGIFDRFADCFTPPITALVKKLTEADLNAFVDVHNKMISGEIPAPATRDEGLALFKQYVPSIYDDLVAANKDFEDRLSKLTPEDKQRIYDQTTD >PPA15276 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1621667:1628488:-1 gene:PPA15276 transcript:PPA15276 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQDDSQHLQPLQQPPDDPSDQAASGTSFDSAYDASSVQVGPSGLVNPIAQFPSGPHSASDASNVQAAPNGLFNPSMQFVSNLDCSSDASTMHAEPIGSFSSMTQYAPSSTLDPSIMQADPHGVFTPMRQLTTPSSYSMNGGATHGFDGTMAQSPSFAPLSAPFSFPPPSSTSSLTPDPMLVAIKELEELERQYQEILNRTPTRNAAPPPQAPPPQAMPIPVITLDEPLLYISDEQEESPPPADNSQDNSFIVPSPEPYRSGATGPIQRLLQQPPSLQPWNSGVQQSQLLQSDGHSYGQHPQPHNHTKVDLWSMSSASQLSVGATSPPPDDPFNTNKQTESPKTIAELLSVVRDESVNDEGSTWITKPASARDGSDDEEDSSADCTFVAPSPYSHVAPSPWVPQNKLLLSSMDPPPPPAAPVFEVPKKPAPKPTVDTELALNSFEGEQKQIIVGLIDKIKELTDEKEKANKEKKKPVIEGNSYFDKESLARRTNPSIFQFPARIGREVQLDLCRTMVGYTEISRMSKTSITNFLKHILQKIYENPMASQRVTAACTKSTKGYRGQESIGGGGPWHAAVIEQEHIGEYSSSSSQEESLIQSAHYHLLLITHR >PPA15077 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:619386:620078:1 gene:PPA15077 transcript:PPA15077 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSQSRGIWKQPKMEVPSQPSSPFQQINHCRELKSVKAALVFESGREELLGVPAGSDVGGQSLTNVRFHFISVG >PPA15250 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1506988:1508094:1 gene:PPA15250 transcript:PPA15250 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYALVLVPLALACGPGTGPITTTPTMRFTFSYPVSWTYDPTTAGAGQSLSQQSAQNRINSDIEYAVIKAVESYGYSASGVSVQNAVAPLSITINPTPNCEAIGNGVVEGTAVTKKCAVAPVPIAAAPAFNTTSTITVTSPVALYQSQWQNIAINVYQSLTSNAGVLFYGIIEVV >PPA15092 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:692022:693188:-1 gene:PPA15092 transcript:PPA15092 gene_biotype:protein_coding transcript_biotype:protein_coding MDNENGRVRSFRKCGLGVCKTPSNNGEDSLHVPMDIRTPDNDHPCAWGSCQNGAVGSSGDSTVPYDGQVQFSCAGDGKWKGPDGTKHDSVQCFVGIPCWKLQLRDPSHCPSDTTCKDPIVHTTIAFECPPFRLPAFQYSPTSGGPDKWTDAVTCDMAIGQWMYHLRNPNAGWSKVMTQAEFDQIIPRDQQLFTCN >PPA15282 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1639780:1641433:1 gene:PPA15282 transcript:PPA15282 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSPHPSDDLSSSDEESFELIDDISDEEKEEKESLTIAKVDAKNEHENIVRDKTYEEFRELTMIQMNEIEALTAENKKKTNEIKRLKKMIEEMKVKGKEGNEEMETNLIELGEQMKEVEEANNLEETTTRTVTLSRGDNGKFGLSRIGNIIYTAHDGTSAHQNGVTAGDEIISINGAQVDMLTSEEINILFKCAAARITLVLQHNPERVHKCTSAKEKLWEMDNEVERIPTRCVTLCPGEGGRFGLSHIGTSISIVTEDSPASKRGVMRGDQIVAINGKNVEMESEEGISRMFEKAREMGKISIVLRHNPERLVDLERAWNHRK >PPA15423 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2424030:2424411:1 gene:PPA15423 transcript:PPA15423 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMRSNLEKLSERGEKLEELTIRADALQESTNQFGKTSHTIVRKYRRRPCFFYFYVTLSIIAILVVIYYLFFLLTSKSEVD >PPA14992 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:147744:150339:-1 gene:PPA14992 transcript:PPA14992 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIVVGFDAPMHPFLFYSPKGRQSHYGSRGYGLTQERFKDYLFSVPILFQRSSIFESIHTKEESEFIDYSVFRVDTLAVIIACQIVIDLIAFFHFRFKKEGDWKLFEFVILVLYSAARCLSLWGFAFVFFYYSAGFQGNNVVLVPASPTTFPELVADLHSKKRWMTGRPDFMFPNEREIVLGCIPMHVERDLAVSIATICSDHTAVARLLNMEHHPIVRAGQAVSSVIHLQRRTATVRVLFEDSDWGVSTRRFLSRFNYIQLQMFSEDAVINFWNLRNVPSLRNLGKEQPAPKLAYVALSMEKLSVVFFCVLPLYGLCLASLIIELVMKVKFKI >PPA15346 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1977066:1979566:1 gene:PPA15346 transcript:PPA15346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpia-1 MVSTELSPIEKAKRDAAYACAKKHVYPGCKLGVGSGSTVKYLVEYLEHAYKGGSLKDLVCVPTSFMTKKWLVDSGLPVSDLDSTPVLDVCIDGADEVDADLTCIKGGGGCLAREKIVQHASRKFLVIADHTKEAVSLGDRYQFLPIEVLPFASTPVCLEIPRLHGGTAGLRMAMKKAGPVITDNGSYIIDWVFPKQKKMDWKAIQAKLADTPGIVDTGLFIDVVDEHS >PPA14971 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:77472:81131:-1 gene:PPA14971 transcript:PPA14971 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFEAPERRGEKDITHEINRAFHHGLQLFLRAERAIGEVASGLHTTDRTHDALQKGQDGGSTRQSRINNRNSIITGITLEFSWRAWLPMMVITGGISLWTTKCLIEKNKGNRSKDDGKDILAFSFVSILCSGSSINWSIYFPPVVIAFNAVSLSGCIQATCILQLIAAAMSCSCSRSPPFLQLSARLFCEMGGSPASKEEVNALSIKVDEVSLKLDMVLALLRPLSTSPPPDLASGAAASNVSGMNKITSISPNGVVQEGHDPVGCSISRTQPMSAVSNNRSPRKGDIPVFHDDVMFMIFGELSTEERVPIETSCKRFREIDFDLGRKRFDHIKMSNIFSEKLKQRIR >PPA15008 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:223607:226809:-1 gene:PPA15008 transcript:PPA15008 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTSSQWISIEASAEKAENILLDNRFGAGSFIIRPRTRENISEYLAISVKKLDQNAPIVAHYVVCRENGEFRIENGTIRFDSLRRLIEHYSCRQNTSKNLELSYCVKKSQAMTPWQFEMDVFHGTMFGSEIVALKSFKAGTTEPLIVQEAEIARHVNHENVIRTIGVCRNPLMIITEFMPNGSLHDYLRKKTSDGHDLNDAVKLSIAIKIARGMAFLGNKGIVHRDLAARNVLIADFGMARALLDKDYYISHADELPWKWTAPEALAREGKVPFKDITRFAHFKKMLESKELQLCRPVDCPVEAHKLMLRCCSYDRSERPTFEKICELLSAMQPRQITEELTEVPARSINPKAIRPIPRRKAHNRRRYRPRVPCRNRYVIRVARAPTRDFGMDIPAEPNFQVNIQGYQTVQYLRT >PPA15041 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:421400:422530:-1 gene:PPA15041 transcript:PPA15041 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRALGRKILASSITIMFRLERAEIVLFIERMTKKLKRLFIECSCSRSYQRVFHLPDSKWHRLVLKLNRGYIWTGFDNYQMGRHYEIYCSRFTSDNDVHVLDDDDDGVDVPKRRLPLNDQNDLPREIRKITCNSGTKEWDFTDSAGMLIDKEGEKGFLGSKPYSIACMKPAG >PPA15364 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:2063493:2065566:-1 gene:PPA15364 transcript:PPA15364 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFEKSLETWPETALKESLELEKKGADIIVYFLCGSYVADEIHVAHIAKCPLPDTIDAEVTGSTGIHNLNNYDDLSKLLDLDWIVDHATKVLRMLPGGVTIVGITAICCKKVFTDQRLVLASALKKIQNRAAALSTLDLASFPSRMVLIHIDLTTKKMQTVITDVVHRGPDSPSQVKYAQLKWISLVTRVAVDIRQAIDVINEDDFYKEFTNAIKPWATSLHSSDTVYMFDDEFKDDAEALVKDPKKMKNSNSVEVFMYMASASSSEPKESAKIGEVFEVTFDLAVRATVPIKSNIAEAKRAINQHIIRQLMGRASLHYESMELQEEGRRASPALHQFPRAATTVIPSGGSLLVYDFLFEGDTADDAIKNFNALLSLKTSTVEEIDELWERNLNEGEKEEPSLIIQHAHKLPEPDNSLSFCLILFGIIFLIIAIVVVAVVSTTGGISVRNLDYTMFIWTIDREPI >PPA15350 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1991619:1992899:-1 gene:PPA15350 transcript:PPA15350 gene_biotype:protein_coding transcript_biotype:protein_coding MWRNMVKVALAAGEAFGKALTKAVKEEMKATQQAAERHAASSGGSREDTRQNANANARLGISLEESLKILDVKEPLNEKEVKERYEFLFPLNDKTKGGSFYLQSKIYRAKERIDEELINRGEKEREEPKKEEEEQKVVEEKKAMEK >PPA15220 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1256446:1264250:1 gene:PPA15220 transcript:PPA15220 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPPVPSPLVNGNNTRKTNGVKEVVKKKKSIHTQQHNDELTKLWTQYIEDSAVIPNLMEELKDNLIIGGATDSKKDVKIRKVMTKEDRIGHWRAIMARITAILERKYDRLVRHDCSSNSDEGSTKINLTFMVNALKEMHGEYPIQPDWVRWAITKLMLLLTGKIVYDKMGMNICDHLLTLLQFLKDDKAETSDLLQTMIGQMHALTQQAKSITFTRATVPPELRAEIQMEWRNGCENVIMLSIVDLLLIHPLFDFPSLPSRIQLQLWASLFHLLNTRSQNAQHSSVQLMQRLLYRDLASLEIVRLVVDYGVAFFKKYPPSKKESEKEVNLRVTIIDIVQLLTDHLRKLGRSKLLKGAQATVLIGWAVSVLGLAETWNLDNVEEEREAGLNGQRNILRTFSLICCRILSSIQVKSATQLKDPLKELVEAVKRVITVSLHEWALPSPAHRVGMTAAAAAAAAEERMACAANFLLFSDDLNGNEENSLWISKETDKTLKACSDRMDAALRARHLMISSLAPTKRARRASAEDQASQKSQKSAAGDAATAAAAATPSTNALLQRLLPDNNQILQYIHAVDKLPPIKGETILQALFSLVAQIIPLSQSMTATAVAVLSLPWMATDADILQSFKRHTRSLPLLKELYPLTKIVKNACTSSSLLRECTLKPLASLPRPPQFSEWRRRIFEKALTLTPSRNAPKSRGMKLWESNKIGQVVTVSIVKSALDSLASFTSSVEPGYYEKTLALVIELIDMEELDRFRSCFI >PPA15309 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1764630:1766246:1 gene:PPA15309 transcript:PPA15309 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPKPRKKKTDTIKEDGGGNADDDGTQVDTSAKRKKKSNPGGATKRKRQNTSLNENAYKAAFKEFVANSCKIGVQGLLAEFDDIKKQTQVIGATPKIAFDTNPDKNRYKDVFCVDGSRVILNGGPHDYIHANWVDVTPDKRRYICTQGPITATIDDFWRMIWQEKCKSIVMLCNIVECGKKKCEQYWPEGAGQEIKYGELTVKATTKGDFERLMTVTNLTITDGAETHELEHIIWNNWPDRGVPADTLTCFKLLERLKKLSPTVIHCSAGIGRTGTIVGLDLILSRLKSGEVKTAKEIVIDLRSKRHGSVQMDIQYLYIHRVIISLGVQRKVVKESEVKGFIDAYEALCKQRGFL >PPA15273 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1609358:1615749:1 gene:PPA15273 transcript:PPA15273 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-daf-9 MVSAPHYSLLASSADNVSESPTIRAKQKEESPSDSANSSRHSSFSSSNGRDSSEEPISQQNILARLFQLPEWIVAAGLALLYLIFLRRDNTIWDAQSFLLHLIGLPIFLLCSLSIWRWLRFELRSFIDPLALPPGPMTLPILGSLATINASEPQKSVLKWKAKFGPIFTIALPVPTVVICGYDELRSASTKSETAARPTSYLYTMFLHNKEEGNGMILSSGSTWKKAKSFANSHFNTYGVNDDRIIRRVEEYSEVMVELIEKEMEMKGSVLNLHRILSYTVASIIVQIVLGRSYKIGDEKMEYLKNLLDQVLANVQSVSMLVADNNPWLENLLPADKEYRRLGFELQEFFRKELELNRVKLESNNNNEETDEDKIDLDNIMAKHINRPTELVNGDMDSIVLAGDIWTGGMETTLTATRWAIIFFMANPEIQETLHREIVARYPRRSNGKFDWTSRREELPYLCATLDEILRLANVLPWNIPHRALRTFTLNDKVIKEGTNLMFSFSSIHHDEELFPDPYKFNPERFLRRVPTREETVQWTIQGRDVNDFVKYEKSEHVCPFGMGLRRCPGEQLAMKELFVFVIVLVQRFRFGQDVSHPPNTTRPMGMTSVPKDYHSETAKAIEDTRFDCVSSDGKHEELSGYRLEIINSLDVVLKEKRISEDEKVGIPNRIRGEICWKWFHSKEALPNSHQQHYNIVLLLLVEIKWKVAKVIFHNSSVCRGGTIRLMENGDMRMKDERGLNFLHCLENI >PPA15419 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2390637:2392136:-1 gene:PPA15419 transcript:PPA15419 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEEDVHFPAGHVIEGGHGKYVIEKLLGEGGFGAVYKVYDQSDKTKLYAMKVEKKKEERKDSKLKMEIAILKLVANERKDSHFTSIVDRGRPTVPFSNYRKKDTYFFLVMQLVGKSLADLKNKRPDKVFSLPTGLGVSCQCLEAVEDLHKHGFIHRDLKPANYACGLADKKRVVYILDFGIARKYVNKDNVLKTPREKARFKGTVKFASLACHRNIELSPKDDCESWYYLMLDVIQPSGKGRDQRSKIKDQFLAKLFDGLKSAEMELGKIMDYIDSQQYYSKVDYTFIYELLKVACTNAGASLDDKYDWEKDD >PPA15320 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1810285:1811430:-1 gene:PPA15320 transcript:PPA15320 gene_biotype:protein_coding transcript_biotype:protein_coding MWPFSVAFSLTVYFVHALITGLAVIRTREHICCSRPLQCPPGLAALREKSNQLTLLALLACAFTAYSLTPCEDFCQGTILGLTPYCWCNENFLKFNRTCFRKCIANCKAKPSYVGCIPSDGIPNAQLWICCIKKVDWQTNLKCDSECWSTALPV >PPA15239 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1451116:1452835:1 gene:PPA15239 transcript:PPA15239 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTVPDPQQVNTVPNPVPLSLTNGINCNCPIHRPPRSAPPLTSPIPSLGAVDVSTNSSTSPKTPTAAAAAEQKKAQQPSTSRQIPPNLDLSNPATPTTPNPSLRSPRPPIKSAHFDALEAEGERDAMVRTLVLQLQKEMQDEIDRLTFAHRKVLEEKNAEFQALAELLSKKREEWSLQMAAKITVISDQQHDIVGFLKSTSESTLRIRSLENELESERHEKERLRTQLDGFTKPCSSKSFMKPRDPPADEELMILSTSNKDSDGFEKPSTNARKAVKRPATDN >PPA15055 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:507407:508753:1 gene:PPA15055 transcript:PPA15055 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVAVPSSFIYTPSMPQPVFVVDQFGNHQLVYTVPPPQPVVFVPAPLPTHSDYHVQTTPLPDTVHPLLDPLRSPLCSHTASSSAGFSHKHRGYRWERDMDLDAMTRRNGGTIMHAEYVDKMNYLCKINNQNGFEFDRALLNLNAIRNRFLDEDILELHGLQRKLATDVLKKTVCEVQKGLRPKKLYLCVGQGNNSPTGESVIKRDVIDKAPLFGYSCRAMKGNRGS >PPA15209 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1222888:1225444:-1 gene:PPA15209 transcript:PPA15209 gene_biotype:protein_coding transcript_biotype:protein_coding MIILLYFATALAVAVATLYLFYLRQSQYWKRRGVPGPYSPPFYGNLKDIHNFQIPFLLKIYEWTKEFGKVYGYREGCKNVLVISDIDMINEVFVKQFDTFYARKASSHPFAQDPDKNPRVHLFESRGARWKRIRALTSPSFTSKSLKKILPIIEDSAVKLVDLMGERHAGGESFNAHEFFAEFTLDAICRLVLGKKESTLFNNPRLAILKIIFLQNLYKPLTNFAHGAPPVGRAVQKLYNRFNMIINKSIFDEIAETVIERVQQRETGGHPSEPADYIDLLLDHAAEFQLQNSGEFSTHDSVTKVMTVDEVIAQAVVFLQAGYDTTANALSYTTWMLSRHPDIMKRCQEEVDDVCTNSSISYEDCQNLRYLDAVCKETLRLSDYLSAQDNQ >PPA15323 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1830674:1835770:-1 gene:PPA15323 transcript:PPA15323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-80 MDEPLTLNKKKQKKTGQYSIVTFDLFKPKGNKYPNFDFAKVQKKLGCADSDDDERFHDSDAREIVRRLEAKYPNKKTKSGKKVKYNEDDFIQKSLGYDMEDEFIDDSEAHDELVPSTLDTKKGGFYVNKGVLEFVSIGEEGSDDDSGEEDERPKRKKKEEEKAPMRSPKRPDQVKADQVKKADDAKRTAHESKKTLDNNSKKRSAISSSSSSSDSESEKEEGRRVGGPPTLKRSKPSLATAVPSASSSSPAQLKKPDEKASEPRRMAGAPPNLLRKKTEPVPRPSLAGRPPITSSHVKTAAEIPIETISSEESDEPSNTNGSGPRPGPSDHMEVDINEVPHPVQLKIVAFEALCQKYQRPGRKHVSDAVIDGAIEINELTNRLGMKKGLKSVVMEKLAQMCGYSRAGLEQRIKNSLANRTTAPVSAPTLAATTVSTSTASSSTTTPISQPVQQQKAAPSYSSESITSFSSTSSESVVSVVAGPSKPNPAVVIGVKPPTAAAAAAAAVPRTGEGKSEPEKMIGRWTVSQVQEALKKIPEDREMVEVCAMQMSYEDFVKITTNPKAQTALIAAAAAPAAAATSDASSTSKWDQAKKAWRNILARSDPNNSQLIASICGVQAKSYEEVSFARREGHSSYGYHGGCGDMISESRLIRHFLKNPTYKESLDRVRMLPEFTKMGQQFAEWESAASKMSHQMPSVKTAAANGKPAAAAASSGSKPVLKQTQITQQSSQPLSQQQQMQQLQQQIQKIPQLAQLGQLNPQQVQMRLKSSQAYWNAILF >PPA15296 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1691101:1692390:1 gene:PPA15296 transcript:PPA15296 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTIFSLFCLVAYAAGRAVDDMLGTIKISEGQTLNLNVPWNRGLPLGEITSITFTRNKLDLNPENIEIIADSSGVTMKATNMGFVFEGKAMGKVIFKLPDIEIKIYGKIDNFERKYAYGAPFEIDAKDCSIQISDKHADVKSHFNSAVETQILNHVNNLMCTHLYFVGSIITTAVNEMGFGNLKQKSGKGAAGIRAMFGR >PPA15292 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1667636:1668287:1 gene:PPA15292 transcript:PPA15292 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRYATSIVDTQSMVWKDLAITVIPNRDMETHGQSYTLGEMAGRLGEGLIRHLRMIPLRDGHFILASLVIDNSESRLIGEENVKKAEEKFRLIRSPQRY >PPA15098 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:710467:711208:1 gene:PPA15098 transcript:PPA15098 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSALPAIPLFRTMGKRSSLVLMMENGKDRMERNMTTFNVSWASKIRFADAITCDTTTGQWTYDITSSDLGTVVSQTEFDAIIPRNEQYFTCN >PPA15146 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:933666:934231:-1 gene:PPA15146 transcript:PPA15146 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCPLNPEENKDVKDFKQLTCYDLMKEQDRKNKNNEKATKEEKAVVNQCLKTWAMEKLKAKYEGLRGTARWMADKLKLYARKFINWLTRIPGVANDKILAYAVREHQ >PPA15109 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:759496:760426:-1 gene:PPA15109 transcript:PPA15109 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPPDPTMEVLRRGIILRPATPPAAEAAASKQLRFQQVHSFQSTPANCPLPEKPAKPDCEQLQSSLPQPSEAFFPQPDVLAVTLVRSLGPYNTRQLIGLLHEQVEQQDDRQREEETMTMKEAGGFPMTHWQPVKVEKPTPASVRSARRRRREQMTQQRLLQLQQHQLDLLRQLQQSRTDEDESML >PPA15139 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:887365:893435:1 gene:PPA15139 transcript:PPA15139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nas-27 MEQNRHLNGWLYQSNRRSRRSIMREALKKWPTQSPIYYDLDDDIASDYELLWKIENVLSFFASHTCLDFKRGRKGRPIIQFVQGDGCFSFIGRQFRWKKQPISVGRPCDKHFGVMAHEIAHTLGLFHEQARFDRDDFITINTGNIEKGAIQQYDKHYSVILHEDPMYSDTQGTKYEEGSVMHYSATINAIDKHRLSIIPNDMNHVRTMGQRMKPTEIQAPILSPAHRDVVPASAWCDRCLCPDGFAGHFCHQIIRGSQRNPECGGLYQATRKWQEIKGEVHSFVNERGDFLFFPESCWWHVKAPIGHRLLVRLTHLESACSPACAYNNVELKTGPFEYTGYRFCCDSDLNRTLLTQDNHLVVGAYARIASTKFIVKFRVL >PPA15032 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:346168:347734:1 gene:PPA15032 transcript:PPA15032 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSPTPQQSRRRWNSPAQLPDMATPECSPTLGEKRRPRRGVDICQTSLSTSCKHHEELHAEAAIQHGPDTDDDDVTHHRGHPRLADHAPLQLQLRFVAGVIQRERIPAFERLLWRACRGNVFLRHSEIDDVLNDTATGEPINKSVFIIFFQGDQLKTKVKKICEGKIHLVD >PPA15010 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:234633:235396:-1 gene:PPA15010 transcript:PPA15010 gene_biotype:protein_coding transcript_biotype:protein_coding MRILLVFASIIAFALSSSLVGPRYIKSAHDSDALAKDIATLEKECEQWRIEREGDKISFRTVHGPILYLRARSDSTVDLAKSTASGVLWEAYHNSDGSWSFRSVHGTYLCAEPQGTRFSLHSHIGPNEKFNVELVKDDTNHEPISTRPAKVCDKQPPKKKE >PPA15300 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1713344:1715112:-1 gene:PPA15300 transcript:PPA15300 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQGQGLKWAEVLCCPLCVKVFSRHKQAVNLFCSHAVCTDCIEKKMDDEHICLTDKIEGKLPLSVLPRNKAMMSIVGVDVQQGLESFIDHDETLQRDDLFVMQNIDDSLCGVASYLRKIESERGGSVWSENMVEH >PPA15317 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1792311:1795019:-1 gene:PPA15317 transcript:PPA15317 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKGKGESRVAVKRDSNKTIAKDLSKSRNLKTDKMSKNMLTKEKASVSRKSDKKVKESAVTIKKRVDKKEKQSSTKERQSSNKEDSRKNSDKSKDKSRDEKNKEKDKDKDGEKKKETDGEDFYDMVGKGISHQQWYHGFMPREDCEEYMKDVGDFLIRRTTVDDKPSFILSVLVTSGSAKVLKCTHIRIDFKNGTWSINDGITRASLMALVKYYMSKPAKYSSVPGPFLKNVVRRPDYYLVHEDIFVGNELGRGAFGTVHCGTLKRTEGKRDETVDVAIKKMKSGDGAAKKHLLEFFKECRLMLRFNHPNVIRVYGVAPGNHPVLIVLELAGGGSLKSYCKKNDPVPVFQLVNFAKDALRGMQYLQTEKIIHRDLAARNCLLGKNSELKISDFGLSHRGDSFEIDKLKSVPIKWLSPETLTKVDNPEEGKGEIDIDQGRFSHKTDVWSYGILLWEIFSRCSSDPFPGMNNGEAKVAILNKKPPMDPPAAIPNNIREAYMQCFVIDEEKRPDFDGLWKQILPDEEKDFKQSQYYIAKMPLPPTSVMTSMANL >PPA15305 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:1744487:1746891:-1 gene:PPA15305 transcript:PPA15305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-zig-5 MLLSLAVIALASVPLTVADEAVCKSLVDGPALRFERDEKGQLLSEAHSVKIGERLELTCTVFASPTASIIWLHNGKEVQINEGPQEFLFDSRHRTLGISMLKSRLVRDCATAEDAGEYSCIAMSPCAKAIKQTTKVTVTAGKATVCAAQPSITMFTDSRMEYPTVPVQLVCRSSHSMVGVHWVRVDDDDETITSPIEFAGSGFFRLPSGDLIVDPKLLGDDVATVTMRCFVGDDHVDSTIMFMNE >PPA14973 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:88203:93748:-1 gene:PPA14973 transcript:PPA14973 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQPPYRPNVPSVCSTSNSYPSARSFTFTNPSELNSPSSGSESNLSQLYDMLDELVDGNFIDIHAESDAMKANFLEQMSDMKMRRMEKEKADEQRKRRKKEKTKDDRIAQLEKELKNAQEKALQKTKSLDVKVVQITQLESDKAELARQMKEEMKKENEITISKSSKLSSQNEKLKEELEELKKKELSLIKENNTQISKLSSQNEKLKEELEELKKKELSLIKENNIQISKLSSQNEKLKEELEELKKKELSLIKENNIQISKLSSHNEKLKEELEELKKKELSLIKENNIQISKLSSHNEKLKEELEELKKKELSLIKENNIQISKLSSQNEKLKEELEELKKKELSLIKDKEIEISELLNQNEKLKEELEDQNNREQSFIKDKEAEFDLLVMQRDAFRKKYIEQIKKNYAKTDAQKKGKSTVNRKVYMSLKIQTPSTEEEQKEELERIKRNTAVIEDTAPMNDGDTIASHSRAMQMWKTDEEYEGKSLRTTTYSPILSFISEFNKTECKAFEAGFTDKENAKKDFSAIKEKCFVPHRNAVRHCLALRQSSPVSVSHRAVLLAVLLPFRSIGDLLQYYYGWKGPHNGKYILHAKSKSAQFDLIAKGGVDLGPRKRTIMTNQIFEHVAGRATNKRRKKEERIVSCPNESDQAQIASSAGEDVLDLTKK >PPA15381 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:2165941:2167953:-1 gene:PPA15381 transcript:PPA15381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rab-35 MGQPGTRDYDHLFKLLIIGDSGVGKSSLLLRFADNVFSENYITTIGVDFKIRTVDMDGQRVKLQIWDTAGQERFRTITSTYYRGTHGVIVVYDVTNGESFGNVKRWLMEIDTNCENVQKILVGNKCECAERRVVLTSDAQRFSESMGIQFFETSAKNNTNVEAFFYSSSIINQVSF >PPA15087 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3:672555:674464:-1 gene:PPA15087 transcript:PPA15087 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKDELTFEEINAVSVSTVSAEGRPSSRMVLLKSYDDAGFTFYTNYDSRKVRVEGVVEKVSFEQAEAYWNSRPVASRIGGKASAQSTVIPDRAHLERRKAELEQLVAEEGASAASKPNTWGGLLLRPRYFEFWQGQSDRLHDRICFNTDRPDAAFLVTLSARLQYNSRKIL >PPA15331 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3:1881340:1882666:1 gene:PPA15331 transcript:PPA15331 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIEDGFAWTSDPEKEFHYHPTFMVMGMPVRKSIRPIPYPPTVSIPSPQVFLFGESLLVYRVFRHERKKFSKTLHLILHTLVLCLALTALKAVFDNHNLHVDPKTGEIDPLPNMMSLHSWIGMATVVIFAAQYAGGFITFFFPGLSMPTRAMVLPYHQVAGLGIFMMVSVAVALGISERAAWKHTYVT >PPA15519 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:356026:372799:-1 gene:PPA15519 transcript:PPA15519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rig-4 MNVLTIKNATESVVLENRKVHATARSARTVMVQWQRPASQDWNGDIDGYYVRHRLAGYNIPWITNRIDDGQRLNYAVEGLLVWKEYEFQVAAFNKEGNGVFSTSVRATTLEGAPTQSPKEVHVDVLNATAVGVRFEQPDEQFINGVNLGYKVQLRLLKPSEDGQTFAVDDAAPVHIEQRVEPDSYEMETLIGGLEPWTHAQLTVLCYTQAGEGPASAPIMVVTHEATPSAVSNLNVDEVESFRAKVQWERPARANGRVTKYEIRYWSETAPADVRTSTVEGTETVFWLNDLTASTRYMVDVRAATSKGDGPREEVRFMSGVPPELPGRPSALAVTEVKARSVQLSFNPGFDGHSPIRTWHVEAKQGDGRAGIFAAVFNTSAPKARSLTVAGLRPHTSYQLRLIAENVKGRGAPSEPTKLFKTSPAPPDAPSAKLWAEPTSATAITLSWTPLQTNQWNGEPVGYLIVYRRATRAANRTRGRGGSEEMMDDEEQEEEEKPHELRTNSLRATEIMLRELAPWTEYEIELFAENVVGRSAPAGAGGAPIRARTYEAAPLAPPADVRAEPRGERGAIVQWSEVPRAGRGGEIVGYTVRLTPSDGLPEYRREELSRISHIAAPDQLTETFSNLRAATTYKVTVSARTLIGEGPPSMDALVRTRDDIPGRPSRLSFTLVSPTEARLKFFPPEDPNGVITQYLVTYHGADDVDPEATKITAPVSPTLFAFSAVGLTPKKKYVFGVSAQNAEGAGEPALVEVWTTLPNDMLPLPNPSMPRADPRRPRSSNEISITWSEEKTAQALKDDDFEQAVRAVEVEYQRANAGNWTKYPVGVDGATRVATIGGLSPNTAYAFRIRFVGDYATTSAWSAESEWTRTLAAAPARPPRDLAVTPEDADSITVALSPPERTHWNADALGYTIAYREYPSDGVWEQEEVPMVTDEKARIKHTIKRLKAFRHYIVQVRARNAEGVSPPSPPAFVYVGFAVPKASVTGIIVEPLSSTSLAVRWDALPRDQITGYKVRYAPIVSVLRPESELDGLMVVEENEATVEGLLKYTEYQISVVPYNRAGEGGSASARVSTLEDVPGPVSPLRFHDILLNSVNVSWAAPAERNGEIIGYVVAWRMGTLRGDTRQESQQTTGNQWFLAERLAEGEAYHFSVQAETRKGKGPQSEAEVVVGPVEGGPLSPSKPSLVPGAAVVRLEWEDRAPNGVGALPIVGHVIQAKRVARALGNMTLRTPAEDDDSLHRKRRSHSGKRPVHPMEEWITVAVVDGTEQRAEVHYGDLHPLSYYIFRVFARNARGVGAPSAESAQLFVPQNLPSELFYTSPWFVAVAGLVLLVLLVIIIGCLCVTGECGLRGEVIARPGTNTSWLSERDMYGGPPAYGLVGAGTRLHGGAGSTGGDSGHGGSSPDAGASGPINMYGLATDVLPPTHHHQQQLQHLQHAHSSQYDGSEYGSRLPTGLGTSIGRGGGPGSMYGTATIRTHGDQLPREYDADSFDDDFDDEDEMDDEGEETIRRRDDGNDAREDIASHYAAADKYRDTWRRVRATEAVSMRAAGGPPAAHHLQLPPAFTAPAAPSASGSVVSARTLSNAAPDSLESASETSWPASQAAPAPALSKGFSSFV >PPA15532 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:441233:445271:1 gene:PPA15532 transcript:PPA15532 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVYEQTCQAVSSPSSTVRGERCKRGIDWVETAGVAAIGIVSTIFYPVGAGIGAALGTMNAMKIADLDYKMRTKIYIDHCCVGDVMVAHVVHSDPFNTIRGSLYMVADPGNFLINEKLYVYHDIPKELFLSDDDETLPIEKCLPTFGNTSICTKRTEFVSDSACSVYNYESNCPRIVVNMDQKGSYVRKIGENLIIVSTRANRKRKAILKRRMKTAKKDKKYVQTEEMLSVSVTWLHSLQQVPVRRESQFFLPTQTTESQNEKLSLLLILLLAYKTDSSDLRTVKFNILSHPTINLIVKASEKRIPCSPHNAIRKKTYTLQCACARPSHKEEMGFMIPRLVKCQKCGWKYADCELGPIRESSKSKWTCTDCISFWNVPKWGGIETTPEGDKIIHNTCALDSFLATLISQHRLDPRLFEKIGTASLFEKYLRSMLMDGKIDQVKDELIKKIFSNKIDKKGRYDMWASECEILDRLFEYSSKLLFNLKCRTCSERKKLTRSHFETQKKGDSMKQVVYDSILGQSDCQSCQDSRQILNVTSTAWFIPVDISLQKESPSRCDEIPKEIKLGGITLFGGGHYVALIPRDNKWILYDGIKTVKMRYINPRTIQDRTISVAFYYAS >PPA15563 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:582147:582731:1 gene:PPA15563 transcript:PPA15563 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLPLNSLEDIIHFTALICMPVTVSLAIIAVLVWGKILPQPRNAIYWVCAVGCCADAITVLDINVGGVLPARGWMPKEYDHSTLPSHIFMMFMWGCRSVQMSTAILLVLNRLTAVVLPMRYEQMWSRGAAIGLAVGWQSLIALVFGYVGL >PPA15555 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:546214:551127:1 gene:PPA15555 transcript:PPA15555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gpa-4 MRSPSLAFQANLKSSATVHGLPFSREYQLNDSASYYLNYLDRIAQPNYVPTQDDVLRTRVKTTGIVETNFTYKDLHFKMFDVGGQRSERKKWIHCFEGVTAIIFCVALSEYDMMLAEDDEMNRMIESMRLFDSICNNKWFTETSIILFLNKKDLFEDKIKRSPLNIAFPEYSGANTYEEAAAYIQTQFEGLNKRKGGADGSHKTIYTHFTCATDTNNIRFVFDAVTDIIIQDNLRQCGLMGRGPTTTCLHPAVTTNQFNFGGWMTQAGRVPMCINSRMAHRTLTRVLAERLRSDSRESVHLRQLDGAMRGIRARFYYTWNKTISSI >PPA15615 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:813353:814559:-1 gene:PPA15615 transcript:PPA15615 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNKRKAAHACNATASRGQAQVRTVRGAGDVRVCDHILLRCRLVHDVVDLLNNLYTTFDSIIDDHDVYKVETIGDGYLCVSGLPHRNGNEHAREIAEMSKELLEAIKKFRVPHLPKEKIQIRVGNHTGDFILEPNKPFAFLLYTN >PPA15661 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:1015718:1017859:1 gene:PPA15661 transcript:PPA15661 gene_biotype:protein_coding transcript_biotype:protein_coding MKGCAEAIVSSTSVTCAAAVVTLMFKTGPGGNQALAGPLTCTGTTWNSPDGNPLAANMVTSPLAYVTQGAGKQMSRLIPLFLLFIPFADACIPTKTPEPGIPATTANPSKVKVHQHCSRCKKDNVG >PPA15595 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:735463:737153:1 gene:PPA15595 transcript:PPA15595 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNALIELKFAPLPRLPETFLLWYMQLIQILTTTNVIFVDAVPVKIQIQARNSRGAAFSTLGALPFYCTTVLLNYHWMEYSHCQTCSTIHQDSSSPFSGSGRWKWQRSFDSKLLTKCRCLLLYHLRVDDSSICSLDISSSSVTALKKGTTEVVLLSHNIDSKMEGVSPPSTLIHVIEPRKMKWNVNGDNWLLEVGRKYKLYLTLFDSRDNLMYISDEARFETVIPTDHVTITHQSKNGTYFEMVAKKSGSALLKSRFSSIMVNIS >PPA15590 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:722984:724135:1 gene:PPA15590 transcript:PPA15590 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGYEDVYSNPIVNEVIMPLQHTITVGALLVNGIILSLIFRKHGKEVPETFPNIFIIRGHGPTFSTFWLSVYMGNYATGFPLLVSHFVYRAMALKWPYIIDHFFKLLPIALSVTFLCAATWFTSTYVFMKQDPESTAYMQPIFNGAVYSPVIHSPQRGPHYVGCVYWTDGTFRGGRIKNFIALFLLFIVMFIAYVIIIICFVFIVRFMR >PPA15504 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:301704:305307:-1 gene:PPA15504 transcript:PPA15504 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSFHTAVLLIPFLYIRVAGGYCIGFLCEPNYVPFHQCARCDWIERGRNYGVLAIKDAINHGDVHYEDHANEAVAHSGGNIQHTGKVEINEISKGRDMQRRNTFSERGDNGLLPFIELNGVQICESQVILNRLAEHFGLKNYEDARAEGIGHSLERMIENHTLHLLRIDLNRTLPDLVKVIVKQRVPGFAVDAVSSLGASYMRKKTRGGVKDAIGQFTAEEYDKMLRNDMIQLQNVLGANQFLMGASPTRVDCLALAHIGHSYFRLPQSRSYIHELVDSAELSVFKQYLERTVKTIFGDNFNNKE >PPA15657 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:1000951:1001529:-1 gene:PPA15657 transcript:PPA15657 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVISALKNPKPENFREWTFLSCARTEGYCLCIFATTGGVDEIGTREAREQYFQRFGRTPIDGFIVIDHWRDGKFNVHAALTMLVCDVLIVACISFASVLAFLCFYHIRKADKLSAKTRRLHAKLVVTLCAQRSFAHSAQFQ >PPA15513 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:333379:335887:-1 gene:PPA15513 transcript:PPA15513 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLLLISLTTAAAAAEQACGQVKVNPVGAAAAVAQTGNAVTGGSETADGAWPWIVSICRMDWFGACQFHAAGTIISDKWIVTSLSGIDPALMTRYRIRAGSTSNTYGGQFVQLEHIVHPKTANTVEHKADIALIELHTPLQFNDFVQPICLPGNDEDVVKPFSSAWFAGWGQTDAMCRRRAMHQFLVPASGAGPVQTYLRQAQMRIMTNGLGCPAYFDNTTQICGGGGNGGTACTFDVGGPLMQQRVTDGRWFLCGIAAMMSEFGMDGCTRPSIFNRVSSFCEYIQQTSSVSCQQ >PPA15574 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:638697:640717:-1 gene:PPA15574 transcript:PPA15574 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLHVFGVELIWRDPRLSWDPAQYGNISYIYVRSTDLWMPEMNACESSSFSLITSDRSQKVTLNSTGHVEMLLIGYASFICEFSVEDFPFDQHWCFYCFAIPGYAETEMIFESRNASEHTILVKQDASEWKMEMHGSRYGYSPATNKQYKHMVYFDFLIIRRPTFWVLLIIIPAFLLGYLILLGLFFGKEKNNLNVSVNLGLIAFTSFTFIIGILADSLPKSGNISVLGWYIVFELGIITVAVLSVSLHGALSTAASAGYTWWTGENEVRPVSSALSDTEQKDRKHTFTPRNRWLFFCVVNVINRGVLNFFLFLALHVLNLAWLLAYSLAAPPEMPADYFERED >PPA15629 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:866673:867571:1 gene:PPA15629 transcript:PPA15629 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQVVFTKAGEDSFNYDYLTILKDIHVKNAVLGQEIEVETMNKNLKNLTYTLRGSHLYVDQVPIDSKDEDMAGVFSYKLDGDTLVLTFQAEAKQIA >PPA15530 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:431472:433892:-1 gene:PPA15530 transcript:PPA15530 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPSLAAIQAGAAPGYATSPLQASTINGAKVYKCTAPEKLLSVDGGTVTELADGATISCQPNSAIFTTADGKAVGNKKFVCGEVVVPCPGVPEHTGAAPTDVMTSPLMMSMSNGDSIWQCGAAPNAMYKLVNGDPTMATKLTAGAHIKCKRQSGKLTLVEGTETDLDPASTDKYIRFRPVFGEVLSSGGLVNMRSAFLHGKIRGEYERTRERREKKTSEKNSLEIS >PPA15609 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:788291:791931:1 gene:PPA15609 transcript:PPA15609 gene_biotype:protein_coding transcript_biotype:protein_coding MPADYPPSRIVTTSHGNVQGRRLVNAGDRQVDAFQGIPFAAPPIGELRFKKPQPPSPWDGVRETKKFASRNIQVPFPGLPEDELHGEMSEDCLYLNVFTPCWEAPEGGFPVMVFIHGGAFVFGEASSYGDIGICENIVSRGIVFVTIQYRLGYLGFLSTGDSVCPGNIALWDQTEALRWVQSNIGAFGGSKHNVTLLGQSAGSASVDLLHLSPHSTNLFHKEICMAGTAECRSSTRSCLFNKLREIPAEKFAVSLFEAPTPGNRTDFETCPCMDGDFLPESLDALRAKATPKPFLNGVTKEEGLFLMPGRRATPEGLEETLQYVTLDCAKQEAMKRELCSRFVGDAKPEEPACMRAQAGMVADAIFVAGHLELCRKTVAIQNVTHTNELFYLFKKGFFSDPEITESDSKLIDVFTTALTNFAKYGNPNGSDDSVYNLSVPWKPITKENPALNYVFTSDEPKMSNDLFEKKWNVNMEFGMSTTKRRMHLLAC >PPA15479 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:148144:150104:1 gene:PPA15479 transcript:PPA15479 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCLALQARIGGAPEAVWQMVEKAIRESHNVECYAYDLIGRFEGKHAVPRRFYAKKFDDENTLGGQLCMEFAEDSKMFDVCKPATIEQMKQTRHCKYAHRRDQKEAYDSLFPHCALIFATGLHLNLRPNKPQPGDDEEKRIRYAACVDKAMWEGGYAKRAEAEERAALRRARMKAEKWMDTIDN >PPA15483 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:172266:174731:1 gene:PPA15483 transcript:PPA15483 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFLLIVTLLAAKCAIPALSQCTCDKANMVTNQGTAQQNFTWAPITFTEANGGCDLIATCHGISPLSFGLYYSSTADGNSPILDADNAATLTFEDGSEAGQIHNFEFKYMRCVNGDWMVYMDDSGYLAENDPSGDPTMWFAYNNLFCEQADIPVMSLQSALVSVLIFACLATIALAQIAAFGPPGTNVGNDWNNGGNGNWNNGGNGNWNNGGGDWNNGENHGGYGRPRGGYGRPTP >PPA15602 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:754993:756816:1 gene:PPA15602 transcript:PPA15602 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLNETFEFTLEQLSFGAFFTLPERADDRLVVGLWLIPAIYGTFANILLIATVLRSKDLRSNPSYYLLTHIAFCNLAIPQFEIFYRLVGIVFRQAYLISNYSPLTISFGLQFTWWIFVFELTLTAINRFVCIFFVGRYDGLFTRRSMLGAVVVTSIAGVVMCVPHMRHVRSAHASLLPRRLAIRRMDQRVLPVVNLYKCCLKNDDVADSDTTTPLHQLLPALEHIPSIKINNESIEIG >PPA15626 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:855338:859070:-1 gene:PPA15626 transcript:PPA15626 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCLVCGKKTPVTHMGLDVCRACAVFYKRNKPLEATLTCFEGTQGNYSCRQCRLDRFNYVIKTCSKGNDLALLPSCSSSPRLSSPDIPPLIHDQTLIDRVRRYYQRLSIIRRNNELALRGIEMDPFIANKEEYEIAPCTYQVMNQAVRIMIVTLFDFAAALFPEFMEISLADKWLLIRNFQTTFHCLESHMRSQRFFPNETGKCFGTYTTYLAPDASGVYFSDCANKQNAGEAARTLEHCIKQNCFTIRDHLDRVQPNDEEFMAMLAIAFWSIEGTPAHEDLIELSSRYRTEILTRMMMRYKETIGAMEGTRRIGQLYDVLGTLKKTEENLKWEYEVYRMLDLFDDHTYMYKLQMHKPDTICKSLNYLR >PPA15621 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:836600:838678:1 gene:PPA15621 transcript:PPA15621 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVLSRLASKLALKLAQYYYSALVCFYLFWRWIRTAGAALKHKERQMPKKLLDNYNHKHLVLPSGINMHYVEAGESSAPLMVMIHGYPEFWYSWRFQIDHFKDRYRVVAIDQRGYGDSSKPPNITDYSIPALTKDIDDLIHDLGYNSAVVMAHDWGGAVAWRHALSYPQSVDQLIICNCPHPAAFGQMLRSNEEQRSHSWYMIFFQTPRVPEAAVAADDFLMLEKMFWSKYGLKNKENFTEEDMEAWKYTFSQPDALKSAINYYRCQYQHPEKGLKFGKCIPKTLIVWGDGDKFLVKENAELSVQWCEDATLRFIPGASHWVQQDDPAIVNQHIDEFLQTSNRPKSSY >PPA15645 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:950237:951002:-1 gene:PPA15645 transcript:PPA15645 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSVDIKQLRPISCIIFVIILFVVAVVVSIVIVNSAFKRQTSSSQNGLPSVDSDNSQASEQAYLQEWANALQHFKFNETTVNDSTSILH >PPA15544 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:505522:507185:1 gene:PPA15544 transcript:PPA15544 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWALVLYAIYVPLIILLYIIELVAIFKQRRNAFNSSFYRVFTVLAVVNIAACVVGTFVFRFPLFPIALVYFNMFIVSVVSNTLSSLLYGACLIRLCLFSVSRNHTVERNFFLLYFHIVFALDEEDMDIDAEERTEREHTSPRPRCCSSRMHRFVVQWRR >PPA15502 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:295676:296841:1 gene:PPA15502 transcript:PPA15502 gene_biotype:protein_coding transcript_biotype:protein_coding MMAHCERRSSRSSSRQGPVTETFRHLRHLNSAYAHSDKPRDLAGERVVINQSGQLGATFGKLFIVIHRYCVLRGVAIWSPTVVWILIVIQFVVPTALTGSFFSFGYLVQTTVNGSISFSIASQGLVIQKIVNNSFMVTYCTICVGLTYLSSRKLSELTTKLEGHSKRAILKQQKNMFIIVAVCCLSHILKAGQQSLVIIFSMNGTISRQLYETLLWPTVS >PPA15605 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:769032:769729:-1 gene:PPA15605 transcript:PPA15605 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLVLYFVLTNATSVSSIRLQKQLFRCLVYQTIFPMLTAYFPAAYCIFAPILGNRSIRRFSWPPISSCHAQLLRDASGVRRRGRTADRHRVQSSD >PPA15616 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:816927:825134:-1 gene:PPA15616 transcript:PPA15616 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWNRIQQEKLMPEFNDIKIIWRFDECVDALAARYMVDFVTDQQQPYDVVLGPPCSNALVISGVISKRFDFPLFYYGPIFDDLVSKRDDFPSTTALSSSARPQSVAVLSVLQRYNWTDIVFIYAIELDPKISRCRFTSMELQNVISPVPTVNVVRTTSVESPTKAKLQDALRAAKQVSRVVITCFENRITRRNFLLAAKDEGMHTNEFVYIMIENRRVGFGTAANNDLIWMTGLPSNDGRDDDAKAAARRLDNQPYNDSATFAKDVTAAFQLPPFNCSECFVNDTLGRSFDLHDAFYLYAVARSRARAANPANPDFSGVSLANFAQGEIQGQTGRIMITKNGTRDPVYWMYMLNDRDMSMPIFRFEKMFEPSNMLATDAIVWSTRGGVKPLNKPVCGFSNDECPGTLLQTYLAAFIGGLVGVVVLLVMVIVAVCLVFRARRQAEAALDRQWQIAFGSLLKPTQKSVTNSAFSLQSSGTGSSRQTLESKKASCAFVSIETELHAFYFLNGDAVVARKHQMRFTMNTPEYVQMRQLRSMDHDNVCKFIGVVADGPQFMTIWRYCSRGSLEDVIIKGSLQMDAFFKFSLIREIAEGLCYLHHSSLGAHGYLSSSTCLVDERWQVKITYVGCDFIKRAEKKFHKALLWTAPELIREQEAGEYASKPGDIYSFAIICSEIASRSSAWDIESGEIDVEELVYRIKRGGSNPPRPIIDTEEHDLNPAMLLLIKDCWAEDSTRRPNADQVRTLIRAMNTGRRVPKSANLMDHVFNVLEKHASNLEEEVGERMKELIEEKKKSDILLYRMLPNAEVVIEAFPVALVQTAETRLAGALVAHHVIGRRALVNGRGHAPQIYPDIRQCA >PPA15538 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:481234:484310:-1 gene:PPA15538 transcript:PPA15538 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTTDKLHTFVLCTLDLSAVLANCLLVYAILTRTPRTLRSYAILLLNTTIVDIISASCSALAIARLIYLPEGPSQLYLYVGPCSAIGLGFCHLCHTIHTFFVTQSTLVLLHSFCFRLYILRDKLIHVKVPSVRATVLISILLYVPIGFVIYMFHSSHEVAPPEVLQPLHLDYPATWHRDVTDYRFSVSLTILILLSPAAMVIIFLVRRILLGEIRKMESKARDHHSHIANALTYQLLLPVGQALACFTWLLSVGGLWSGEASERLVMTFGSFLAVGSPLINLTFLPPYRRMFGDSRRTRTTTIGLIAR >PPA15454 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:47717:49213:-1 gene:PPA15454 transcript:PPA15454 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEVARLCKHSLESARVGTEEDKIQNGRDFYKFFFTNYPDLRVYFKGAENFTADDVQKSERFEKQGQRILLAVHVLAETYANQEVFKAYVRETINRHRQFKMDPALWLAFFTVFVGYLGTKTTLDEATKNAWAEMGRV >PPA15598 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:745549:746015:-1 gene:PPA15598 transcript:PPA15598 gene_biotype:protein_coding transcript_biotype:protein_coding MQTICEGADTPFRPVIYTFNSVQSCSSHCQCDSVTHFDPINFDGINYFSPCHA >PPA15560 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:574008:574600:-1 gene:PPA15560 transcript:PPA15560 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSMSPASPPDYTRFAPIPLPPRPLTPPLPSPVRIDIAPSQSTSAPPTRTNENAGPSNTDYSDWNSELVVIFRTMLTTF >PPA15458 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:66759:67501:1 gene:PPA15458 transcript:PPA15458 gene_biotype:protein_coding transcript_biotype:protein_coding MLTADNIAIGLNKSSITNDMELEVIELKAWASFNKKVNSETALFQFEKLDKEMLSRKCVKICIYSSPPFTLDNIEKLLQFANRCDKKIEISTNTPDENLPNFRYQIGVYEALISDGQLSITNL >PPA15465 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:96667:99369:-1 gene:PPA15465 transcript:PPA15465 gene_biotype:protein_coding transcript_biotype:protein_coding MRLISHRWNTLVRERILFGKHLAPIDSVLWSINSDGQAVLKLWFLPKYQKYLGVDDWLSSPVDCTDGNPSDLRKFECIDAGVSKAPHIFERCCTIGKLELDMDVLERENERTAMEMAIEGVHVHKLVIPFLNDSHTTRRILVLLDRTRSIHHFVLCAKDFRTFSLALDNPDNGVLYAAAARSKTTIEVRVEGNCGWHFPYWSFDRMVEHCEEKMDAHSRRWKVQGRLDVHQVCDEVVRENNFIRQLAASKGSVNGIYIDCSCGWNNWMDGRNADGL >PPA15456 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:61724:63356:1 gene:PPA15456 transcript:PPA15456 gene_biotype:protein_coding transcript_biotype:protein_coding MTHACQSSTTKISKLIDANCSPDFKAITKSCLDAYFTGFGLNPAKLPPYKDYVNIIVDLTTNFGKNGADDYCSKEVSVETCLGPLFNSACMTGAAFQDMYGMSQIESYEYATDFPVRAYMCDNKKCEDC >PPA15453 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:38311:41228:-1 gene:PPA15453 transcript:PPA15453 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLDAAACNFYPTCSNGGFKLFVGCQNDTTCSLYSPASVCVDQMCCTGGVIVMPYTNQPTVHILELTDEIVKFSLEDTDLSVANSLRRVFIAEQQQCHRFVVRRLNYDVLSRGGLGGSVVMTGNGYAATPLLTPATTIGGGAYGASSSFSYGGSSSSSSSASAHQATSSMIAETVQAIEGLGNDLDHFCTPRADGISTTLRRGEYADVYMRNNGGGAVRVRPDSQCRPLPPSRRSSAITSATPNAPSVADARLSIVSGQSGASSLRASRQSLASDGGSGCEAPPTGPAPYNLIDTTPVSFRPQSQYATAGYGRQF >PPA15593 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:731124:733447:1 gene:PPA15593 transcript:PPA15593 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKIILAWIFRQFKIKSSERRFEKYISNEGSDYIVELILNRKEDLLQYNPKGAANGAARRKAAADEVVKVFDDRHPGLNKTFEQLKKNFDKRRDALKKALIMEKAYTSATGGGRDPKIESDIKKLQHPSMADSMLISYLRKTEGAMGVRDITLETGHRFGSIKGRMASLDEDMEEELDVNDNESPCTSRPVIKATSKKLTDAGIERAKKLSHVEFLKANRTQMLKRAADLGFRKGDGPVEKKEKAEGRVDHTISRVVTMVEAIEAKMTVSSGKAQAAIEAVEQLRAAIRYAPDRPISDLQSLLDDYDQKVRTAASSPGGSETNPIHLDI >PPA15536 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:467926:473247:-1 gene:PPA15536 transcript:PPA15536 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVYTCTVPEKLLSIEGGVVTELDDGATIKCNPNSGLFVTDDGLAVGAKTFTCGESEGFAFTSLPPFHNIRSLPFRYPQHLGWEDEDVKYNYAHEQVVPCPVVPEHTTGGIPGAETEAIPPPTMSNGDTIYQCKAPKAKLFKVPNGDPTMAMDLGTTSTIKCKRQSGKIFLVEGTTETEIDPAGMDTYTCGEFSCQLCDQTKLIEPTAPACTTQTDFLCKSKPTVTITANCPMLSCPTGESLYLMQTASTGIAVAEAQVKCDATSKSWLFDGTNPIDAAAPGFVCVTTCKQQCDAPVTDAYSNMNAGQAYNSVVCNMNTKTLTVDTPTGTAISAANQFSCEPRLVNSQACSSLKRPSSAESRNQPFAATCSCPTADLNDGSNGLCPMGFLCTTPTRGTACEATCPTDSMAIYNDAAGALKISPTLLCVGADWITAGKAAPDVACMFLPGKQKSFVPARKYAPLTHGTTYHTDSGFTSQSNESAWEVQRHILHSDFPIVSIPEGYVTAPATPPGTTACTALTELTCPSTNCLFAELIYVKNNDGYVATCKTGSLVTDVFGTQLVSPCVGGAWTEGITQATCVQDDSQVDACLNQLDPAVKAVVRYSCELGTCYISCTDPTKQFEYMVDNGAGPVVEHNKLMKCHEMSPAPINLQFTDAALIKCV >PPA15486 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:192682:196357:1 gene:PPA15486 transcript:PPA15486 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRRELFGDNKVNVTELTASPDQQAREIAVAKRLKGNDESFMIVNLDTVYDRFKLWMRELPMIEPFYAMKTNSDEAILRVLATLGAGFDCASKEEIDTIIGMGVSAERIIYANPCKSSSFIVHAESRGVNLMTFDSVEELEKIAALHKCPELILRYAVSDPTANNPMSGKFGADPVQEAPVLLQFAADMGLNVVGVSFHVGSGCNDPTAYFVALQHARNLFDIGHQLGHKMEIVDVGGGFPGGKLFASFEKVPTITWVAGETMWDDNEAVNNIVDMLQIAAVVRSAIRELFPAPGVRFIAEPGRFFAARSCALVANVIARKTVLASSGGAPSTRMHYYINDGVYGSFNLVLFHEKYPFGRPLFDKEGEQYNSTIWGGTCDSRDKLETNQMMRKLDVGEWLIYEEMGAYTTVASTTFNGFPRPVKVHVISERVWKLLEMKDNNNQERSL >PPA15522 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:383169:384319:-1 gene:PPA15522 transcript:PPA15522 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLDDFSPDDGVEEGRYTLSVPHGAPFILRRPALLGSQPESRLQPVYLWYCNDAQVGGL >PPA15537 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:478303:479300:-1 gene:PPA15537 transcript:PPA15537 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLVLLLLAPLVAAQSSHDESLKAILCASLICDPPKECEMYEGIPQCRLPPTTLPPTIASTFPTLPTILWSTPPPLPSFPTFTFPTPPSPPLPPTASTPASPTTASVCSLPPDTGPCTRARIMWYDDRSHARLGIVKDDVPPTYFDTDSQSCQRFSFSGCGNGNRFSSRYQCELRCLREKEDTKSSSDT >PPA15632 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:886268:887277:1 gene:PPA15632 transcript:PPA15632 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVIVKVLLLIFVLLGVTGGTLHERAEEQRRKERVAETADIAIDVLYKTPPTVQGYVTFVDVALVSFGFVLGATALLAYRLAIPKKCDVISAV >PPA15492 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:220299:220645:1 gene:PPA15492 transcript:PPA15492 gene_biotype:protein_coding transcript_biotype:protein_coding MISPLFALGIFFTPLFANAVLSDLSKELLNDGFIWVDGNDVPTTMCMVSLKNGTSVAQTCTMTEGVS >PPA15585 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:699781:700598:1 gene:PPA15585 transcript:PPA15585 gene_biotype:protein_coding transcript_biotype:protein_coding MYREKTIIPMFTSYLPISFIFLGPLLTGISFGGMGTICLMSTQIFPMIDPYLVLFFVQGYRKALPRFLQNAINKTIERSKSSTSSTSVVMILT >PPA15466 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:100796:101655:-1 gene:PPA15466 transcript:PPA15466 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYFLLRSLKKSIWEITKRPRDPAIHSIEGYEELLNVCQPGAIENRLAEMRSGVVTDALTPSKAYTETACKGE >PPA15491 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:218042:218812:1 gene:PPA15491 transcript:PPA15491 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLQFLVVFTPFSAQAALSELSKELLNDGFIWVNDEGLPSALCMVSAKNGTSVAQTCTMTEGFDPRSVGCMAVWSGAKLLQRGCYSGQEISLRHQCKRGECVADEKKKEGIVSFCCCHGQLCNAHYS >PPA15612 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:801219:804975:-1 gene:PPA15612 transcript:PPA15612 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPSLTEQVKIVETNGARIIKDFVVSERGKELADQAMIRATDLFWAYKSDLDFAEILNLINQAAAEQCNATLHTPGLIERLKAEKFDAAFAEPIDLCGMGIFHLVGIKKFAIVLSVTTTEGTYAITGAPTVPSYVPGSMADFGDRMTFPQRVLNTLTLGVLYHVFGSIRGLYQDVFDPLYPGMPTFYDIMLNSSLIFPNCEPLTDFPRPTSHKIVEIGGISVAGGKDVLDEKWSSILGLRPNTVLLSFGSAAQANRMPEAYKKAIRGMVVSLPEVTFIVKYEQPEDGFSSGLPNMIEAAWLPQRALLKDSRLSAFITHGGAGSTTEATHSGVPLIVVPLMGDQLRNSHLIERLGTGIKISKDSLNSASELTAAVKRIIEDKSFKKKAALVAAQLREAPFSPREKLVRNMEFMAKYGPLTMLDHYGTQLYTFQYYLIDVFAFLFVVLAVIIGNCYYEPAVSRTNQMHFVGMLVEMVDQ >PPA15653 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:979676:980298:1 gene:PPA15653 transcript:PPA15653 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYVTTDPKDSVGRDALTKECERKYDRHIEHAWIILDHWAAVPLLFVHIPSVIAINLPFFRLCEGPIHDIDR >PPA15467 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:101900:106876:-1 gene:PPA15467 transcript:PPA15467 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRYGEELWNKVLERSGFEAGKENIVNHYYKDSDTYLLVDSVSVITKMNREQVWELYGGFLIEYTMEMGWDELVRSMSPNLKGFLDNLDSLHYFIDHVVYKANLRGPSFRCEENQDGTITLHYYTGRPGLYPIVKGVIREVARRVFEIDVSLSITGRTQRSVQMNIGERIEEHVIFQIKLEGNANADNFIAKVPAAVPESSENYLRMSSLDFATALPYHFIMDEECKLIALDFDNICNFINAVFVLQARCSADTRRQTNSDRLRRTGSFASSGSSDDIGNINTVAGLHLTLKGQMMMLSSNKHIIYLCSPYVTNIPELLQYGMRLTAMPLHDATRDIILLNQQRLSDVEVNLQLEANNEQLETMARDLEKEREKTDALLKDLLPPAVAEQFMNNEDIEAKQYEEASIMFSDVPQFAVIVSKAEPKQIVVMLNDLFTRFDRIVGFNDKVYKVETVGDCYMTVAGIPEPVAEHAEALCHTALGMMWESREVHDPRTDEPLLVRIGIHSGPVIAGVVGMDRPRYAMYGNAITTASLMETHSLPGRIQLSVKAYKSVP >PPA15583 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:693973:694177:1 gene:PPA15583 transcript:PPA15583 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQIFPMVDPYLVLFFVPGYRKKLPKFMQKAFNKTDEITNQSSTTSTVMVLN >PPA15543 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:500220:502802:-1 gene:PPA15543 transcript:PPA15543 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIVRNDTYPAVAEICGIKYYIIPYTYASIIGPELRWSAADLDALFAQIHAHWTLTIWIAIAYALGIHKLQKYMEKRPAFELKWPLVFWNSALAVFSALGLMRMGEEFSHVIRNYPLLDSISYPGDPREPAGFWCFAFAVSKLLELVDTVFIVLRKKKLIFLHWYHHAVVVVMVWHTLKEAAGSGRWFILMNYGEHALMYTYYAFAAVGLRLPRAVSIVLTTLQTTQMFTGVAISFIVLYWKLQGRIMQQSYENLFLCFAVYVSFAVLFCNFFNKSYIEEREQKKKAA >PPA15649 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:964833:965188:1 gene:PPA15649 transcript:PPA15649 gene_biotype:protein_coding transcript_biotype:protein_coding MCCFCHFRTLLQTIYIFLNALLISAFGMLIIGRHQLIMDEGSMLSFGLRTRIGECKLSIS >PPA15566 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:594280:595445:-1 gene:PPA15566 transcript:PPA15566 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAGAPCFNVVSAQRLVLQYTMVLYASMFTVLRDITLVASISSVVGAYASAVAIRDKV >PPA15489 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:209245:210246:-1 gene:PPA15489 transcript:PPA15489 gene_biotype:protein_coding transcript_biotype:protein_coding MQRILAPLLQRLEKKLGDIALKLQQVIQRNTGRSFEIMLGKGDLVTSSHQTSHNSHCRLRIGNFYTMVYETPVQYDINNSDLEKRLSNIDFGEKLGGSGFPGQTPFARFDQIITHLHDRRMNHTLLHGIADRLHEIHESRRPHPFLDGPPPPPFSDGPFPPPPFGGRPRLLDRIGERIFG >PPA15578 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:657297:659353:-1 gene:PPA15578 transcript:PPA15578 gene_biotype:protein_coding transcript_biotype:protein_coding MYFWALVSILLTSLNAKSQSDDVAFMRANKRLYDALFENYSPFQSPYNTASTGRRSDEPAKVIITLRYSHLTQVVEVQMTHHQVFGVELIWRDPRLTWDPAVYNNISYVYVRSRDIWMPEMTACESSSFSLITSERRQKVKINSTGHIEKFLIGHASFICEFSVQNFPFDHHWCFYCFALPSYEESELIFVGRNASSRTVLDSSEWKMRMFGSRYRTVPQTGRQDLTMIYFDILLSRRAMFWVFLIIIPTFLLGFLILLGLFFGKERNNLNVSVDLGLIAFTSFTFIIGILSDSLPQSEHISILGWHIVFELLLITGAILSVSLHGALTSAANAGYAWWTGEKYVRPTLKDALKQIRNSQLVHPAMSEINDTDNEPNEQNEPRGRTGRRKTRHRVLGFFLDKVHRGVFNFSLFLTLHIVNVAWLLAYAFGPPPEMPADYFIRADD >PPA15541 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:490250:496116:1 gene:PPA15541 transcript:PPA15541 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVMDTIHATVLCTLDVAATAANIVLVYAIFTRLISKTPSRTPPSMRSYSILLLNNIFVDLLSATASVLGIARLSFLRETFSQVYMYLGPCSSVGLWFCHLCQSKDKLVHVSIPSTRTSLVICLLLYIPTMFMMYLFYVSFEYTSDELMRSLHFDEYPTTWHSTVLSNHFVIALSYVVILSPAAMVIIFFVRLRLIDEIRKMESGARDHHSHIAKALTYQMLLPAGVSLASAAWLSEVTGLWWNETPERLIMTLSSFFALGSPLINLTFLPPYRRMFGKGGKTNAMGASTTASARLTPQGALTLRTLGGVLYQQAHASIGSDLLRVSDYDDAEYVGDITIGTPGQPFKVVLDTSTANFWVPDSTNTGVPTKHNFLSGYSTTYVKNGKPFTISYGTGSAKGFYGQDTVRSIAVDNIKPPFIEAIDQKLVQQPLFTVFLARDGPETNAAGGVYTYGAIDTVNCAYQYTAYVPLSSATYYQFRLDAVYMGTYRNEKGWQAISDTGSSLIGAPPDMVQYIASMLLAKYDPTSGLYIIPCEATFADLALMIGGRQYDVAETKCALAMSPFSGGGFGPSFILGDPFIREYCQVYDVGNKRIGFALAKQQFT >PPA15618 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:831010:831684:-1 gene:PPA15618 transcript:PPA15618 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFQSPCIPEAAISSDDFHVFEQGFWGKHGLKNKDNITMEDMEAWKYTFSQPDCLRSAINYYRCAFQYPDTKRKVGKCAPKTLILWGDADKYLITEGASLSAQVHTHSMVRGREASHYFWCLALGATGRTGNR >PPA15455 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:57234:57786:-1 gene:PPA15455 transcript:PPA15455 gene_biotype:protein_coding transcript_biotype:protein_coding MITYPLTNIEMRSFYVAPGRFDKPECCLLTSALPKRIVMCMVDAQSYLGSHCKSPFNFRHFDVKDAFIECGGRTIPSRPLNLDFEKDRYMPAYLNMLEGAGMGRSVGINGITREMYKNGSAFFVFEISPSLDSETQYPSLACIVSFIVNMTLFLPLTIIVYPMLMR >PPA15484 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:178103:179629:1 gene:PPA15484 transcript:PPA15484 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQNTTEAFLHSAMIRSAQRRIKCKKESDYSQAAEMRHFLLIVTLLAAKCAIPALSQCTCDPANMVTNQGTPQQNFTWAPITFTPTNGGCDLIATCHGISPLSYAVYYSSTADGHSPILDADQAATLAYEDGSEAGQIHNFDFQYMRCVDGDWIVYMPDIDYLAQNDPSGDPTSWFSYNNLFCEQPDIPVVTTFWTDL >PPA15551 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:527842:528083:1 gene:PPA15551 transcript:PPA15551 gene_biotype:protein_coding transcript_biotype:protein_coding MTESQGEKEKKKVMKRELEGELSLTRAERDNLLNHSNVLQKEYDELLTKLKKHQI >PPA15622 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:842876:843954:1 gene:PPA15622 transcript:PPA15622 gene_biotype:protein_coding transcript_biotype:protein_coding MLELVAIRLCHLIFKLSIQTGMIVVVHTTTGITYALSQYIAPSDAFLYFVQVAWQFATRNDNSSQLQHGIPPFVYMALNPALRAGVWQYAAPIRSVMFLTSSASSKATTIALVVAGPKPSVHQSQF >PPA15582 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:690861:693242:-1 gene:PPA15582 transcript:PPA15582 gene_biotype:protein_coding transcript_biotype:protein_coding MLYGPFLICFLAAPYIIVFLKREYGNALAASCVSVPTPEDANAARSQTSLYLGHVILTINQRTPLNGIDKTDTARIQPILEPLTYAWKNNTIPYIFGANGADGSPFPAVQKATVLAAMEYWQSVACVTFRPVDLVNDYEYLTLWATANNKGCYSNLGMVQEGSYITTISLQGCEEYAVAADMLAHTLGIYYTGDAITDKPSVSTIAAVNELYKCGASCTTKIACLNGGSPSGTDCAKCVCPAGWAGAKCDETSPGTQLIQVTDTRDVSVAMTGTFLSSEEKVIIVQAPAGKKLQATVKSFGPDCFTACTPTGLQIIGGSTDNTICSLASPSSPIISNGNTLTLRLYRTANYAVQSTISLSVV >PPA15494 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:235969:236265:1 gene:PPA15494 transcript:PPA15494 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSVTNLGQWSTVFFAEQQDAAHALVDKIKKASEENPETFKAAIVKAFNNIPESAKQQLIKLRAQ >PPA15463 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:89261:89831:-1 gene:PPA15463 transcript:PPA15463 gene_biotype:protein_coding transcript_biotype:protein_coding MFTALIYFLIMVKISSGTRSNQKMLHEALNIQLAASSFWFIGGTLFTINIINMQNISTPLTNLCIITPYRTFIVQFIRRQVNKISNVHRQVAVVTVNSI >PPA15521 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:379017:381685:-1 gene:PPA15521 transcript:PPA15521 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVTLRLRNIRPVSGHRASVLELDALSNCTGRPSGAGFVGHPTAGHEADQLGHGRTMRSEFKSRSYFVTHEGTAPAPGLFSILYGPTDEEVLIHDDSASMTDPDLVLQWDCVPSMADANVRWFLDERPLSEAEEGVAIERSGRRLIMAPGAARRLLAESPSTKRAAGGAKRQALTRRLECKADAGAGRLLDAKSAKIKVMRSPRLAPLPDTIYRMTGGRLELSCQDTRGATPQKGFRWTMNGDVMDRKDDPPGCGSH >PPA15472 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:122217:123370:-1 gene:PPA15472 transcript:PPA15472 gene_biotype:protein_coding transcript_biotype:protein_coding MILLGATTFLIYSIVRYYQYTAKYPKGPLPLPFIGNFLEFDFKYMNKTFGRLGKEQNGIYTMFTPIPYVQITDYELIKEAFIEKGDDFLGRPTNKVFQDIGFAPNAGVISSNGDNWREQRRAAITILRDFGMGKNLMEEQEV >PPA15528 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:422615:425347:-1 gene:PPA15528 transcript:PPA15528 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGNGCQTLSCLAPIQSRFFILFTLHDGTTFTEVMPSAVTCDKKWQSGGTAIPETAVGFACGKAATCANCDMKVQGVFTVDEVLFPEIKQPGAKPTCTYVCPDGSRLNFNCAEHTAVFCDMGTEEVTLDSGTVLMAPQMFTCDKCKCDEADLKDKVVALCPMGRVCTKPTFVPCEETCPEDSKAVYFDVARMMQSSDTITCTEDNVWIEVGIDTIEGITCLFEPGKGTSNMPPTDVPAKCDEISEMTCPATIDCVNNLVYVKYGEGYQLTCSEGFLITDKTVTLVANCPNTNLWEGDITMANCVTDDTAQEQVLVVPQWRGGDPDR >PPA15594 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:733568:734693:-1 gene:PPA15594 transcript:PPA15594 gene_biotype:protein_coding transcript_biotype:protein_coding MLALARRRQPNQGYRRIHPVNMANGNIFRGKFRFHKNAIAELVLIFLSSMGSNSFQAVICDRFGCSQQSVSNLFDRVLHAFTDPAVVDHFIDFPIEDAAWRRRTARDFSRLAGLRNVVGAVDGSFIPIQTPPHSQNLFRCRKQFPALNATFIVDSWGRILYCNPRMPGSTHDSLVLEASPARLRINALACPEGYALIGDKAYRNDGRLMTPLANPVTPQERKYNKLHAKTRVIVEQVFGVITRRFPILSGKIRFDSAKCARVILAAAVLWNFGLDKGHGAQRGRGPVNYQYPMPSSSRNVRNVVIASL >PPA15470 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:115554:118812:-1 gene:PPA15470 transcript:PPA15470 gene_biotype:protein_coding transcript_biotype:protein_coding MFSILLIGAASVLIYSIVRYYQYTAKYPKGPLPLPFIGNLLDFDFKSQHKIFDRLGKERNGIYTLFAPMPYVQLTNYELIKEAFVDKVDGGMAEWCKAPLAIRRIASSIPRRGQPSLRGDDFLGRPTNKLFQEIGFAPNAGVINSNGDNWREQRRAAITILRDFGMGKNLMEEQVRSSVADYIEHLNSIEDKENVDLRWPVQVMVANIINEALFGYRYKHNDCAPLIKYVEDFNVAVERFGESKAMLIGMAFPFLTTLPVIGWYTFGRFKDLMSKINQYIVDNVEQALKDYNVDDEPTCFVHAYKQRMGQNAFLDKNNLLASCSDFFLAGQETTTTTLRWAMLLMATHPEIQEKLRQEIHSVVGKDRLPFMADQQKLPYASACVLELQRFGNILATNVQRVTVRDTEIGGQTIPKDTWVNGDIHFLMANDPNFDRPEEFRPERYLLEDGKTLRKARKDLVDRTIPFSIGKRVCAGEGIARVELFLGLTSTFQRFKILPRSGQQIDLVPCQGTFLQPKPQKLRLQRV >PPA15631 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:882143:885469:-1 gene:PPA15631 transcript:PPA15631 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFVLLLMASRSAWSTVVYVRLDSTNQSLAALPSDLINQCARGCEKTVGCSGFSVVSLQCSPLSSPLPSAPSDISFVRTMPTAATCPAGSKHFATFGQIRPSSQSTSVPFLASSTSSSDAQTSAPSMLPVTGVTHGTDSQTSIVGGLTTEHLNEGKTSSHSPATVVTGASLGSYKPGVSSTHAGVITTVHSNKGETSMYINNFDIYSDFYKCLNEYDKSDFSSDARQDDKYYRNHDIVIHLNYECASGANKYRNYSYIHSIDYAVLNHYVVAAHYLIWHNDVVIDKPISAFNEFSFFKRIHVICTNDNVNPDLCKCLNEYGKYTDFKININDDLTISNKFFKYLDCNFANDFQHDNNGTVHFISKTDNTVNEYHNHYNDSIDDFHYDRGAHQHIDDNHNEYDGVYVYFCPYNDAVYLNIDEHFNEYHNDHDFSNDHGIQHSGEVQIRGCAGLERSDPCKAQGLVSVFMSCAGKSALKIVPDWRCPLTLSYTNDQCVPWEAMYADKVNPTANGIFSNKPSCVTGTGLADGGGWCAPNPYLAKMTTGTYTDTLLGFTAGGSGVMIAGCWSKSPSAVYCPVSPGYQHPNCLQSDGFIGWTKVGANACEGNGFFASTSPRNRKHGTRCAKLRSRTA >PPA15565 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:586766:592167:-1 gene:PPA15565 transcript:PPA15565 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAARMGHSEYPEFARQVWLPMRDSAGRVGPAILKGHLNFVGHYSECVQVDVQLTGRDRPFAADYFRSAVWTSICYCVLISGTIHAHQMSTLDSAVWSFGICLPASCSSGELEGLFIAETAKHNPVCRIHRTNDAISPSIMGAIFALCALSGIADFFLSEHLNCKAISKSVFWQLFMCFSLYANVGAIFGTSGAKKDSQIGPIHCIRFFSMCWVVLGHLAGTIPGVVGTISSPFFIAHRVLAANPLDIVALTKDLTTEFIINAFFSVDSFFFVGGLLSPPYFMMVLFFTFIFKQFYRNSPVNITLEDQSDSCRETWWLEFTYLHNIVDNDNQCLGYSWYLATEFQMFFFSPLIIIPLALKPVIGLLVATVIFAVSSALNIFMVYHYHWPASPNMLGATDPEMTGTENYEVYMYYSPVTRCQVYIIGMLVGWLLQTKRRVRINQIVNIGMWLATIALILTLIVGLHSQMEGELIPIFWRAMYSAFSKPAWALALSWIIISCYYGYGGPITAFMSWHIWVPLGRLSYCGYLIHFPLEMLLLGGFRKKPEEIRQRKLSKSDAEMLDDSLVIRFNLFGGRNGRLCKSFFPWIAKYEEAGRDYVETNDFRVLCLRLVQTVAIFLDEMSEKRKVEIFLYKLGQRHVDYLPKDMKPEYFDVLREAVHDGFNDRMQSLTRRGELTTDECSRAIQLWHDTVVYIFDRVREGFFDGLNNFDRFPGVEKWALVQYPVPSPHFHPTLPENHF >PPA15446 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:15010:16321:1 gene:PPA15446 transcript:PPA15446 gene_biotype:protein_coding transcript_biotype:protein_coding MIWDCILHLLAPSYIACALYRHQAVLLPDSPWTLHPTSQGLIIAAFSTAALFMPYLWHIGLEPAGSIERYRERLSASFPPSFLRRADCYDAESLLPFVVVGSLLVALISITTTTLVATTLASLRVASTLSETMRAHHKAMTKVLIIQALVSTCSMGIPCIANFVLLSTLMNMDGQVVAPHCMLAFSTHAFLESLVLISTTPLYRQQLRQWLPCIAQTRKTTVALFANSEASASRKSEIDYNTLYSCFFTRRLYDQS >PPA15476 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:136211:138941:1 gene:PPA15476 transcript:PPA15476 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLIVVSMLLDKKNYAFNDTAINYITVLLYLLFKFSGNLMGIGLYCVVYEKVHLFELDIHNPWVWFLAFLTQDLIYYLGHRAMHEFGIFWIFHEMHHSSEYINFSTAVRKPAYMELGSTCFNLLQAVIIPPQLFMVHRHLNFIYQFWLHNEYTPSLGPLEYIFCTPSNHRVHHGRNPYCIDRNYGGVLIIWDRLFGTFAAERKHEKIARSIKFFLIAKCHMRDENGKEYFPGFWNKFCSIFAPVGWLPGKKTRRFFVWKHLEDTTEGIPEVDYSKPKYDPETPFAEKVYSFVGAIFLVMFYAEINHKRMNMGYFDLSMQIGLVVFAGETASDYLDRQYVMINPLDVAYLMRWYSLPLVLMTAMSLPTLAYFKWRDKLALSKEVTGRSPDG >PPA15481 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:163229:165655:1 gene:PPA15481 transcript:PPA15481 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKAERAICLICSVPIHNSNLGIDSCRACAVFYRRHLNDKELRCRKGTNDCIEKNVRPHCRKCRFAKFAAVLGEYSLDHQQTILPADSEDPGSSSSEGNSIHESKDKSRHFNFFSSFTEKSSLFDRMKKAYSMLCFVRNCGEQGILDKDVFYTVNYGAKLSSLAILKSGLMQFCEAVFDEFSLLPQDDKKTVESAEEGISMINLMNSINLHD >PPA15650 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:965948:967713:-1 gene:PPA15650 transcript:PPA15650 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMKIADLDYKMRRIYALHMADKFAAELDRAFVSHELSGIVLAGFSKVADPGNFLINEKLYVYHDIPKELFLSDDDETLPIEKCLPTEEDSDNGLDIVEQKGTGPRITTTSRITVKDESEYFAHSPKKGEIRKKLGRYDMWASECEILDRLFEYSSKLLFNLKCRTCSERKKLTRCHFETQKKGDSMKQVVYDSILGQSDCQSCQGSRQILNVTSTAWFIPVDISLQKESPSRCDEIPKEIKIGEYKFELGGITLFGGGHYVALITRDNKWILYDGIKTVKMRYINPRTIQDRTISVAFYYAS >PPA15580 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:675764:677166:1 gene:PPA15580 transcript:PPA15580 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQSLWQAPGTIYEYEGRLSPDWSADLLSQADCLHEQHFLEASVVGEVANSITFTFVILLAYHTFATLRSHSTMSEKTRRYHLTMTRGLVMQVRSSQR >PPA15550 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:526491:527360:1 gene:PPA15550 transcript:PPA15550 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEWSVVAGLLYTEIAVTILLLVPWIKPKIWRTIFKSRIGQVIGQYAKRSALIMGALLFMLFVDALRLTRKYSLINDQMQGRSDVNCSRIVKIIHRMSDCESSAESALRQSFSPLIARKRGLTRRIFLDRFRIDAK >PPA15506 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:308355:308952:-1 gene:PPA15506 transcript:PPA15506 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSISEEFTLEDAAANGFLVLYATLLVLGSIASALFMVAMFRGRKMFGQIAFVFPSMLAGETVPDVPIFKSMVQLENDACSDVGVRVSARKRRALSHPTNDA >PPA15534 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:460286:460594:-1 gene:PPA15534 transcript:PPA15534 gene_biotype:protein_coding transcript_biotype:protein_coding MLENCGYCNTNGTQTAAGGGSTLTDCVDANANCASWVASSNFCARSDISPGEF >PPA15634 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:914967:915200:-1 gene:PPA15634 transcript:PPA15634 gene_biotype:protein_coding transcript_biotype:protein_coding MMYRRLFPNPDQVKKLNSSKLTLSVNFPIEVAGGRPHSVRVVKSAPNRMTA >PPA15449 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:18701:21110:-1 gene:PPA15449 transcript:PPA15449 gene_biotype:protein_coding transcript_biotype:protein_coding MISTHRILYLIAPPGVNDDGDKFGNWNPTGGTGGGGGRGGTDYDNSGPWNNNNNNNDNGQNGNNFRRTIVSTTYDYDDYGGHGHRHRPHRPYPNRGGYGPPMNMYDRGGYGGRSSYGYQGPRPSSNNGPPMINQYGEGRDSFDYNDNHDGPANGRYGPPTNGYGRKTSYGYQGPSNSGPQYTPSPPRKSSYGYQGPAAPLDPPPPRKSSYGFQGPPPPAATVNAGYGRKSSNGYPGASSTNTGPNYLPGFRLGSKTPNKPQIEIPPSSSLDEANIVPEQRPLPPPPRPNGRGDFGQQYEEPLGPLPTVLNKQPPSIPPPPVRPPPPPMDEGDSNGNQIPRSLPPSPSWNEPTSIPDIKSEFHIPPPPVAPPPPPTDFQPNTNSKPQQPQFEIPTPPPPPPPPPMDSESTGVMIGKDGSSPPQIPTPPPPPMDFESIAAAINKANSRGFNKASVPSPPVG >PPA15469 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:113148:113654:1 gene:PPA15469 transcript:PPA15469 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTCCAPPATDWAGTGFAALMSFIKLIPLPRNAWAIPKNEPSGVPSACGFTPKLTKRDNYLLSMTLSIQCRLTDSSLSTL >PPA15493 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:224735:228660:1 gene:PPA15493 transcript:PPA15493 gene_biotype:protein_coding transcript_biotype:protein_coding MSALIFSTALLGFVSQAAAQCTTKDNVNCVTWVKAGFCGNMGYTLAQRQASCGISCGLCTSAGVAITSGVCSGDANANCATWAANGFCNNGAYSAATKQAILSISLTINIPQAYCCTTCATPTTTTASSTTAENANCKKWFEDATNAFCASTTVTLAQKTLFCPTTCAFEVKPNADCALYTLTGTTLARETPSNRTATPGTPVASGAVAGTTTLSRAFAASGCTVSLFADAAPAAGAVATETFVGTAAASFFSVTAANNAGLSYTCVCTILAESQCETGYFQFRSGKGSGAHQRGDASSWEGKQKLAKLFNMRVNHIMNDHARSWISNFNKLSQNGSASRERGRFASWEREQKFQNGCQMTVSEDPNGIRTYTFNTTCGDQSISHLHNGEFIVVPSSRLLKYAISEQLTRDLGTYNNELMKPHAAKWIADFKNNMG >PPA15577 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:653814:656847:-1 gene:PPA15577 transcript:PPA15577 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVTTCAIFASAKAAVQSDNANFMDAKMRLYNDIFINYLPYQSPYNTGSTGRNGQPSKIEVQMTHHQVFGLELIWRDPRLTWDPSKYGNISYIYVRSRDLWMPEMTACESSSFSLITSERRQKDSSEWKMKLHGSRYMFDPETRRENPTVVAHKVDLGLIAFTSFTFIIGILADSLPQSEFISILGWHIVFELCIITLAILSVSMHGFLCSAATSGYSWWTGERYETRKRANYIGGAWNTLVVQ >PPA15524 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:400803:408229:-1 gene:PPA15524 transcript:PPA15524 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTITFFVNGNEVRVQNPDPELTLSTFLRYKLNLTGTKLGCEEGTCGACTVAIARWNALEKRARFVSANACIVPLYLVDGALVLTVEGIGSQKRLHPIQERLSSGNATQCGFCSPGFVMAAYALLRNNPTPTADEIRAALVGNLCRCTGYRPILEALESFANPSGGCCMGGQGGCPCKESTKENSVPDKEPLLICGLVNYEQMRKFDESSEIIFPPKLIMQHRQQSFVLKGKRVTLYRPTSLEALSATFKSLVTVDRFVSTGIKMRLDHSMNPSPTANAVWLSIQHIHELKNVVVSDGIIHIGSGLTISEFVVAIRAHCKSEQYVSTIDELFAKYSSDQVKNTVKLGIAAFKHGKRLGADDSVLNAAASYDEATGSCRIVVGAFQRPLILDKSSACASFLIAKWAQKYIPVIELKKLRLRNGECPSDSIASAIDADFEQFSVENEFDYKKRIAKAALTDMLSVLAGTVQEGGLSITRNALEPLQLFKGSDPSISPVGRPLRHAAADRHTTGEAEYVDDIRIHELKHAALVLSTEAHARIISIDPSSALAVEGVLAYVDAKDIPPGGKLRPSLSPLLMVQDDTPVFADGVVEMIGQPIGCIVADDVETARRAAQLVNVEYEKLPAILTMEDAIVAQSYLLPKPMQFGKSQEEVDKALKAAPILLEGELEIGGQEHLCMETQSSIVVPQENDEWTLYTSTQNPSDAQYLCANLLGIPVCNIVVKVKRLGGGFGGKATGDHIARAPAIVAANKLRKPVSCVLHRYDDMITTGKRHPALFKYRVGIDDDGRLLTVHVVQYIQAGYSMDHSVLIAIMIQYADACYRVPAMRAECWALKTHTCSNTGFRGFGRPQTFFFMETVMAEVAQRVGKTLNEVKKLNLFNEGDRALCGSTIRNYCLPKCWQELERFSDFDKLYKECEEFNKTSRRIKRGVAISGTVQGLTSHWFEMGNAHVQIMLDGTVRVNVGHVEMGQGLNTKMIQIAAAVFKIPHEKINVIEMATDKTANAFPTASSIGTDKACEKLLEGIQPHIDQHEGDFVKALMSAWIAKVPLQANETVKVEREAHNMPPRELTYFTTGAACVLVEVDCATGEHKLKLVDIIMDLGDSINPAIDIGQIEGAFMQGYGLMTSEEIETDCNDQITNATVSGYKIPTVHMVPERFRVKLLENGKNYPGQIYRSKGIGEPPLLTATAVHSAIRMAIDSYRGKVDFQRLDSPLTAKRILNACQGNL >PPA15588 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:715408:716623:-1 gene:PPA15588 transcript:PPA15588 gene_biotype:protein_coding transcript_biotype:protein_coding MITAASHSGKAEMNEALVSGEMTSSTSKKGALRLLMYGTYTHSIGTTVWEMQSLNMTFYVAVYTLPFFLTNLNFLYRYWTIKRYTHSSATTASILFQAISSGANRCYSLGKFDLPNGTLSLRPLFVLLLTDALLLVSFLAAITLSSLTFYHIHTSTIVSEQARKAQRTVLIALCVQTVVPMLCVYVPYINNLNAPFFDVDDVISPETSASFISAFPLCDAAVIILLMRDDRQGARRLVCGAAGKKGMVVNSKVFTSTVAPTALKQYGPKTV >PPA15500 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:288299:289759:1 gene:PPA15500 transcript:PPA15500 gene_biotype:protein_coding transcript_biotype:protein_coding MCECFEIMIASERILSSINPAAYHVSGRNALLWIPLTVLSTFASFLMWCITEANYHVALALGVCAAELCSLTMNNAAVKYCGRRFTQLYGNAQLNAQYQVKEAYQLAVSMQRSYLITFFAKGFTSCSNFLLASLLYNHPRLRLKALMILAKIRGSTRVHDAFQPPHFESLSDAYFGDLRRFWD >PPA15496 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:240651:241762:1 gene:PPA15496 transcript:PPA15496 gene_biotype:protein_coding transcript_biotype:protein_coding MILHCLSLLFLPQTVAINCHVCAGRDIIGATLFPVLQSLGAGSLIAPAGNCSDNAQVCPSQNYCFKRIDTYHIEDGSGWGNAKAHYYSKGCDINAIAGSTVAYQLNKCVKYQDVTASGYRVTRKICACNDKDLCNGSGLSLSFVSGLVALIVASLLLY >PPA15591 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:726217:728228:1 gene:PPA15591 transcript:PPA15591 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGYYKVYTNPYVNFYSISVQHLLTVSSLAINCLLVYFIASGTGKNLGNYRMLITCFAVNDLIYTTIHFLTYPIPETYTDTFMMRSHGPVNSHFFQCIYIANYGTSFPLLSAHFVYRTLTSRFTHHFRKFLALTIAVTFLMAGLWCRIRNNICSLLRYFVGFVSFSADDESREITRPLMSGVEWSPIVHSDETEGLYVVGTYWANGTFSSARWKCFGGAAILVVIMVSVSNATSVSSIRLQKQLFRCLVYQTIFPMLTAYFPAAYCIFAPILGFSWPPISIIMPNFCVMHPVFDGAVVLLTVTEYRYLPPDYFLF >PPA15638 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:925196:925394:-1 gene:PPA15638 transcript:PPA15638 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLFWSPMHYLSRIQPVCAEGTLEVAKCERRKIRNDFYICRHSGKEP >PPA15606 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:779977:780323:1 gene:PPA15606 transcript:PPA15606 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAASGGPLFNVLIGFGLPFTIATIKGGDAGVPVNTNLTDLDERHLSHHDRVHVYLSPIHCG >PPA15547 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:515946:517489:-1 gene:PPA15547 transcript:PPA15547 gene_biotype:protein_coding transcript_biotype:protein_coding MNVADGTGLQFALQWDRDYLLRLCEIIRQVFWPVSVFFIHPLTILAFLTISMCVPYMFVMMRMHQKIISPESRAKLSKRWQLVLMCFFTALLVSNVFGFAVWAVDSPNRDEILNMPEITWTKNVTSNFLVFGKQYGDIGLFDREMYLLLFSIIVCYGFYALCTYHAVFVSAKDNVPRRKSSKAFAIQVRFMISMAIQACLTTLFFIAPLFALGIAMATPIAQLVPAATLPFFRIVFVRLRNEELLKKLCSLDKRLDALDA >PPA15642 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:934902:936287:-1 gene:PPA15642 transcript:PPA15642 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETRIKAYRFVAYSSVAPGKGNMAPCSPITPPPCKPCPMGPPGPPGPPGEMGDAGAPGAPGNAGVDAADGAPGPDGEPGAPAESEAMMPGEPGPAGEPGPMGPPGEAGKPGPDGAPGPDGPKGPPGPDGMPGADGNPGAAGPAGVFGICQLHSKIGFTRVTMFRLMRSYRANEYGNLGKS >PPA15614 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:811430:811869:-1 gene:PPA15614 transcript:PPA15614 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHISAATNDFLTRVIGGYRTEPRGEVLVKGTGSMETFWLLTDDEIAERERTQSFDYA >PPA15553 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:532976:534650:1 gene:PPA15553 transcript:PPA15553 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLAAVDIVALSVNSFSLGILLLQGAVYCTYPLYNYVTGCIALGSWCGASIGGLVLVINRMRALLRRVGWMESNISSVLIFTTFYWAYYTLFTPPGFANSKYQTFLFTPVIYASDEFNILIQASLICLFDVVASATHASLHLLVSQSDNQLVNTTQSD >PPA15571 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:620863:621525:-1 gene:PPA15571 transcript:PPA15571 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMSLPKPSPSAPMPPLPRSHFSAWCSAAPDLLTDWFRHPRTLGAAPKTLAMAYMGGAGAMAAFELAHCMFMGHLGENIVASLLELIVLVAAGVGCWAMAKRKPLGLTPFLVTVVSFELTFSMKREHGVQQDIS >PPA15510 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:321415:323353:-1 gene:PPA15510 transcript:PPA15510 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSVTLLHLLATTALVAEPAPLVAGAAPKFDVLFINDFTLNFVNDGVRKCAKLRDDDPDNSQAKLAEKSTKCPGNAYPEAAEQFSFTSSVVDAIDASINLLTLQYDFYNGGNGHHLNGYLFTKDNFVADAGQVFRNFCFEQPQTSDLPTYVKTKLVHARDVDVLVLFTAASAAELAAALPIDADEFKAVIVVGLRGADASSFYPSTFIAIDDFGHPEDVAGMINNAYGEQELPGFSESLILQPTSARPDRRLQHQKRIPTHLALKIACYRDFTHKVARTASTASNTLHD >PPA15548 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:521742:522816:1 gene:PPA15548 transcript:PPA15548 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVHRFNVHGGFRYVRFIILVAAALYLAFVQARCNIDTSFFLNRLSAYYSIIVFNVAYVEMADHTTSPLLESYLNLMRNQTAFSQSVGSAERIAPDNRFRLGAKQPSSQPAPVHDQLRAQEFRLCRFLRGQPLRHISHAVAAEIRRSSKGNFICSDKTSQPELMIQEGQRLATMLIIGAVCAVLCGLTPLSISASFWLFVALRFASGFVSAPTLPLTGAIIEDWAAMEEKEE >PPA15509 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:317204:321164:1 gene:PPA15509 transcript:PPA15509 gene_biotype:protein_coding transcript_biotype:protein_coding MWKPCEITLVFGAGLVHLRSQNLFSITPSSSSTAAPTSGYSSGIADAISQLRDLFQNTVSQAVSAVSKAASNVASSVNKLTEATVKAVAAANAGISTAVSNVQSSAAKISDAAANAVAAATSGLGGALNDASKAAAAALKTAISSASTAASQVSDGVNKAVAATTAQVGESFRLDALKGAVNNAISYASQVAANVASTASKVSDGLNNVLAATAAQVGGTLNGASEATVATVTSTLSYASNAAANVASTASQVSMGLTKALADTTSQVGGALNGVSASTAAAMKSAVSFASEAAANVASVASKVSDGLNKAAAETATQIGGALTGASEAAVAAVNNAISSASQAAAQVASTASQVSEGLNKAVSATTTAVGGAFNRASDVAVAALTKAMSTASKAAADVQKSAAGEFRLVRLLRIKDQAVTKDQRSSDYQRSKIKRLPEIKDQAITRDQRSSDCQRSKIKRLPEIKDQAITRAQRSSDCQRSKIKRLPEIKDQAITRDQRSSDCQRSKIKRLPEIKDQAITRDQRSSDYQRSKIKRSPEIKDQANTKDQSNIVDLTSSLAAGTGKISGSVADTAKSAAAVAGSLLSNLVNDISFQN >PPA15646 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:951130:951701:-1 gene:PPA15646 transcript:PPA15646 gene_biotype:protein_coding transcript_biotype:protein_coding MTKYPLATCNEENPGSTWVMDNSCERAPNDPYRNEWLRLCSEATITSTSVTCALPGSLLHLVNPTAMTSATEPPLQCVGTDWTLADGTTTLFTAVQMHNAAVAYYKATEVTTDLP >PPA15601 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:751337:753595:-1 gene:PPA15601 transcript:PPA15601 gene_biotype:protein_coding transcript_biotype:protein_coding MCYMSTSALERLFALERTLPIAAYPSKHAYISNKLISKATLCIYFYCKRRYNSMLGRSSLTERYHFTAAIVCWIYTLYYEVLPYFALEFVYQTISRAHKLRPLCVDTISCNSEKVKSQGVILEKDETGNKELHCTGFEPATVERNVWSFTPQPQMDHLPDPAVVRKFLSKMSDKEAKDNELFSARMDLEDVAKCTCTCCPPRDKLEQFDFCCQSLFLHPLKKKGQLLRDGLKEKLKLHQSPCITLNSFFTDFLLTDIATEAARALNSYQTGKVDEDENRAKRHSCYRVIIASTMGPLGRGIRIRLPASPPTLAFDHLN >PPA15451 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:35002:35435:1 gene:PPA15451 transcript:PPA15451 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYGTDKPFKRVNFQVYENCVQPSGKMIVADSSDLLLDIFVSAVARVLDCASDEISVVYKRVTTALPLAFVVGIDHGRRDDTEDIGKKKRLRKRDPNVLRENTNTV >PPA15557 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:562281:563573:1 gene:PPA15557 transcript:PPA15557 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDATFSGVYFLYWPTESGRLRLRESIQAEFGLDATNCVMIMAEYYLNGESHVIDGPSQRQLFVTLCVQTILPLICLYAPSGLIIFLLLLRIDSNWMAAPLLISCFLPLDSLAVLMSMTEYRQEIGRWLRCNRSKKSGATSSQATTTTF >PPA15478 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:143687:146495:1 gene:PPA15478 transcript:PPA15478 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRHPADGILETHVTWEEFEQRLKDALETTASFGPNKNVINIGEGNGFASRCALVECDWRGEDATKLPKRVVLKMGLPLQAMVGDAPLEAWTMMEKGIRDMHNVECYSYDLFERFGNTQAVPIRFYARKLDDKNELAAQLCIKYAEDSRMMRSGDAATFDQMKQIVCALGKIQADSTKADIDGTQLKTTDVFGDYTKTMPKEHFMHIFDGVKQLEPSLIEPIEKVEAIMGEYHGTGLTSTIHKQLGMRPVLVNGDMRTENVLVDSATGDLRMLIDWQLSHLGIGVEDLLRLSFFAQSTEDRRATADELIEEMYAAFVDNLDTAPPPFTFEQLKKAYDILFPHCALFFTTGIVLLLRPNKPQPGDDEERHKRYEVCLDKARGVLEDIVTYHELNKKSGKYDINWNYV >PPA15644 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:946230:948466:1 gene:PPA15644 transcript:PPA15644 gene_biotype:protein_coding transcript_biotype:protein_coding MISWITDVVMQALWDPIVLHPVPCGYRGSPLLSLPGRYDIYFIGLEPPGSIERYREHLSEAFSPEILERVDCHNNELLRPFVRVGTIGLTMNLITTIVVPGYSYAILAPKSLIAQRENASTSQNDDTCPHSSVGECTKWRDLFLDTMTSQECDHMESPSLLSLTLVPFCSIVLPLGVCFALMRAHVDGSLIIPHCILAFSTHSFCESLLLILTTPLYRLRLKKWLRLKNVGDSWAAPSAVSINVRQATTAQL >PPA15651 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:970648:971167:-1 gene:PPA15651 transcript:PPA15651 gene_biotype:protein_coding transcript_biotype:protein_coding MCQLLAHFQHNFRRFLAITLVVTAISAGAWFFLGFAVYTADDESRAITRPLMAGVESGPIVHSPDTEQLYIVGTYWANGTFYGARWKSFGGAVVLATGMVTFYVTIAICSYLIVNHMRWQAIVIPLL >PPA15654 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:981928:985453:1 gene:PPA15654 transcript:PPA15654 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPLANALPREASVVVFQYTEDSSCALTNKSIALVLTSMMWSLIPVTAVLQLIGLSRLSWPFWRRISVSFVFTTLCVIDVAGLSPGFAPTADFAVLLEDIVRDLYDIDQGSKVAAAGSTATHSEINDGRSLITIMLYMILATYTLSYGFFVCLVVLIRRRLSAYGVTLSERTLRLQRAFQKMQLLQGFLPLAIISIPAAVFVIGTIAQTSMDFVKLLLTFFLWICPSVQLIV >PPA15464 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:92609:93154:-1 gene:PPA15464 transcript:PPA15464 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEDTIHVVVILTMDLLALLANGVFIFLIKYNRIRSVRRALRSAALDLRNLSTANPADGNSL >PPA15596 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:737998:740176:1 gene:PPA15596 transcript:PPA15596 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRVEDGSIVSIGSSTGIVTCSHLGETTITAVDIRNRAHSAKATVRVVEVNGISFGKTRVESVEGGTLWLNVKTKKASLSVDAVFGKEDSSSDSSSNNSSRSYSPSSRSTAPSRSPIKEDLAVKRPVLPSDPISIRRIEATSPRLGTMSSDSDFFDDDRKKKGKMSISVMSSHATGASDIAVIGLAVMGQNLILNMNDHGFVVCAYNRTTSKVDEFLANEAKGTKIVGAHSIEEICKLLKRPRRIVILVKAGAPVQAMFDSIVPFLKAGDIIIDSGNSEYTDSNRRTKELAAKGIHFVGTGISGGEEGARYRLSIMPGMAAYQGNIPRCLSQIRWTTVGEAGSGHFMKMVHNGIEYEDMQLIAEAHHLLKDAVGLNHDQMADVRRE >PPA15459 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:68717:69284:1 gene:PPA15459 transcript:PPA15459 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVPRNSRDPFRQFQYNGGIRFTTPTNGKVIIPITCNADGTAWILDDSTITGAIQCTT >PPA15604 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:766194:766471:1 gene:PPA15604 transcript:PPA15604 gene_biotype:protein_coding transcript_biotype:protein_coding MNASSTNAFMEVQKIKLAKRHEQAAHCFDYLLDDVLVLSIHDRTKDNGIVGG >PPA15554 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:540169:543499:1 gene:PPA15554 transcript:PPA15554 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVNSTDKSAKMRSKAIDDMLRQEGDRAARDVKLLLLGAGESGKSTIVKQMKIIHETGYGEEERKAYRPVVYSNTIQSMMAILRAMNQLKVDFADRRRQNDARSFFQLSLNSDEGELSPDLAAAMQRLWADPGVQECFARE >PPA15569 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:607611:608095:1 gene:PPA15569 transcript:PPA15569 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTCNFLIALCAIGDILHQCGTLIQLPLLFDVYLEMDSEVCDAIMFLPEMGIAIGGTCILCIGLDRMISVKFPARYKIFDKRFYYLFFASLMAAYCCYLCFIMTLFRQQKWCYS >PPA15643 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:938263:939655:1 gene:PPA15643 transcript:PPA15643 gene_biotype:protein_coding transcript_biotype:protein_coding MWSQEGLEPQGTIQRYFELLPDSFPFSLLTRADCYDMAALRPFVLVGGAIACLIIVSTTLVATALSGQSSNT >PPA15575 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:642834:644220:-1 gene:PPA15575 transcript:PPA15575 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEIRAHPRSQQSPIFIKQRSSNVSVLWNLRYEHILALNSRLSSSNSAALMCQIRAHPRSQQSPIFIKQRSSNVSVLWNLRYEHILALNSRLSSSNSAALMCQIRAHPRSQQSPIFINQRSSNVSGWYIFLELILIIVAVLSVFMHDMICTMARSGVKWWTGESANRIQPDNASDGLHDHTETYPYKCSAEASAISDRMLKYVVERVLARGLVNFFFFLGMHTLNLVWLLAYSFADEPPLPFDYFARDAEAW >PPA15505 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:305548:306484:1 gene:PPA15505 transcript:PPA15505 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTALADCDHPGIVRYYHAWDEEPPDFWQIFDNYCVGTQSELITDGETANFVEMLTQIDPNKRPTCNEMLGHEYFA >PPA15656 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:991911:992960:1 gene:PPA15656 transcript:PPA15656 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSASFSFWLALSFMTVERSFASHFVSTYENKFSTIRAQALLIALFIFVDFVENLCRDFRDIPLDLHVRPLAEQGLEKSDDITRIYQGTAFCCALRMVAPML >PPA15525 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:409432:410386:-1 gene:PPA15525 transcript:PPA15525 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNAIFIPASYATGYALVTRILNAVNVTALTRWLIGYNERRLSRLVHKIQRHPDDYSLSLRLQLKENISSLKKIEFGVYLLTFGLAANLSFVAIPIVILTEPDQFETLQWLTCAGNVVRALSFLWTSPWLDVAIAIHAGKEPAMFRTVLGTKCGATN >PPA15586 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:710006:710373:1 gene:PPA15586 transcript:PPA15586 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVTIGNVLIVFCTSAAVMVFCLVRILQELSSSDTSHLKSATRRMHKQLFRALLWQTAIPMVTSYGPMAVIFVGPLTGMPLAFLHQA >PPA15474 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:124468:124907:1 gene:PPA15474 transcript:PPA15474 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTTMITTLENLSPQFFEKSLCQGLSDSYANGLISRYFPALSFSSFTIRSFLTAPSSSLQFSLQSSCGTANDEENLKRKEIAIDKLRGMYEDIVEYHQKNEKRELELEWKA >PPA15610 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:793476:795811:1 gene:PPA15610 transcript:PPA15610 gene_biotype:protein_coding transcript_biotype:protein_coding MQEADKPGSKVNKKEVVEAVTILETPPMVISGIVGYIDTPNGPRPFKTVFAEQLSEDFRRRMIKNCAQTPRFYLWVQKLLKHRNKKAHIMEVQLNGGSIADKVERAKERLEKQVLIDQVFAQDEMVDTIGVTRGKGFKGVTSRWHTKKLPRKTRKGLCKL >PPA15660 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:1012133:1012882:-1 gene:PPA15660 transcript:PPA15660 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIDWETDGILIDGRNLCHLEYADDVTLIAKTRPELERMLKKLMEACSRVGLEINASKTNLLTSCTTTRNPTIVNGMHHLSRRKDLLTPGWLAWTRLSSLLSSRLLTMKTRRRLFESYITATVLYGSEVWALRASDKERLSVIQRKMERKMLGISLRDRWTNERVRDCTKLRDWIREGLKRKARWALKIRIQTHPHLSITA >PPA15482 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:166829:169348:-1 gene:PPA15482 transcript:PPA15482 gene_biotype:protein_coding transcript_biotype:protein_coding MELSEEPWAFGVTLIPKYSTFRSVGPSFTFPLFSSPGTWKPETFGRGKTQDPTGEDLDDFALGTFKRVLEEVQQGVGIVLPESFKEYTEAPKNPRPKGWALRSTKKTGRYDQVARKLVDDLIEQYFSNGKKLRADEAEKRMRERKDILPAQRMTFDQEQKQNPDSPFTEEKAPDEECYLAVR >PPA15503 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:298641:300221:1 gene:PPA15503 transcript:PPA15503 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYKLSYFDLRGLGEVARQLFHLSDTPFEDDRIQVEDWETGKMRKKSTPFGQVPVLAVDGKEMTTSCSINRYLAKQFGFAGKNPFEEALVDALADQWMDYFEEVKPFLLTSNGIWPGEVTPALKERCEQGMAKNLPLFEKFAKEQGSAGHLVGASLTWIDLLLTDHFRSLLTYFPDALSAYPTLESVKLMAKSHAKLSEWRTAHEQPF >PPA15448 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:17742:18211:1 gene:PPA15448 transcript:PPA15448 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAYLSATAYNYSNIDTPVYLFWSKNDWLTSTGDIQNIILPTLREGVVKGGREVSDYNHLDFTVATDLGEKMINPIVEIVRNKSIYDPFSTKCKRSSNEMERVYFSKDQTK >PPA15620 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:835044:836159:1 gene:PPA15620 transcript:PPA15620 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNWRSGAEVEKEGDAKKTPRQIQSQVHYASIGHQHALRCSGVVAIDQRGYGGPSKPPNISDYCTILMAKDLDDLIHALGYDSAVVIGHDWDGTVAWQHAIHYPDSVDRLVICNCPHPAAFSALLETNGTQQARSWYRTFFQSPCIPEAAVSADDFHIMFTFRLPEERDKLLSVRIPIP >PPA15457 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:63438:63856:1 gene:PPA15457 transcript:PPA15457 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNLACFDSVYTTHLDDRKKCTDSVEKAIQNVADGDYCKPWGPFVQCLDDVYVKYCGDKVKGCNVR >PPA15523 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:398161:400253:-1 gene:PPA15523 transcript:PPA15523 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSILERAKKARDQLNGEIIKMWVMHQCVFLPFTGEMMQILLDVLENQSRKEFDIYPFMKRCTLDVICDTAMGKDLDSLHHPEQPYVKAISNIMRLGMKASMQPHLWSRLGKWLTGWQKEHDNSVKIAQDFTNEVIAERMDYLSRGEVDSNRKALLDMLISEKEQSNLSMEDIREEVDTFMFAGHDTTSATLGWTIWCLSHHPDMQQKAYDEMKEIFVCTGDDIDRDCTKDDISRMNYLDRCIKEAMRIFSPVPFAIRQLGQDLQMGPYLLPCGSSLAIAPYLVHRNERIYPNPEIFDPDRFLPENCNSRHPYDYIPFSAGPRNCIDR >PPA15533 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:448507:455056:-1 gene:PPA15533 transcript:PPA15533 gene_biotype:protein_coding transcript_biotype:protein_coding MKREDLPCPSLAQVQATAASNYLTTGLKASTENKAKVYKCTAPEKLLAVEGGVVTELPDGAKISCQPNSAIFTTDDGKEIGNKKFTCGEKLVPCPTVPEHTTGGLTDAETEAIPAPTMSNGDTIWQCKAPKDHLFLVPKGMPTATMDLGTAATIKCKKQSGKIFLVEGGETEIDPAGMDTYTCGQFSCQLCDQTKLVEPTVAECTMQKDFLCKSKPTVTITANCPMLSCPAGESLYLMDTATTGAPVSDAQVKCDATTKMWLFDGINPIAATAPGFVCALTCDEQCKTPVSMAYAGFDALANDITMSQTAAQPICTYSCGPSYSLTLAGQTYSNIVCDMKTKAVTLDVLGGTAVSDTNKFSCLACNCDTTTDMELTDGSIDLCPMGQLCTNPTASNMCDATCPTDSMAIYKNAAGMLKLSPTIKCASTDWITADKTMAGVTCMFSPGKGAYPLPTIPPGTMACPPITPLGGCPGNTCEFAELIYVQKGDGYYITCKKGSLVTDQPGTALTSTCSSGMWNELITMATCDMDDTQVDNCKTTLMAPVAAAISHSCEFGVCYLSCTDPTKQFEYTLASGVEHNKLMKCYLDEVYPVNLELTDPALVKCV >PPA15545 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:508339:510189:1 gene:PPA15545 transcript:PPA15545 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLDWAFLVYAVYVPLLLGLYVLELLNITACLLGSFVFRLPLYPIVNGFYSAMLNNNGWLTAAYSGAYYLNCVSEFLGVFLAFNRFTTLYFPMLHEQFWRWALFVGVSFCFLFSIAPVWYLVDDANMYVKVDDPRIPYKWYYLDAVSEIPEASIWFNMVIVTLVCNSISFLLYGACLVRLCLFSVTRIIPRNGLQLFFYLNLTIVKDDLDVDLTISHAGSDASPNEQIDS >PPA15471 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:119677:121299:-1 gene:PPA15471 transcript:PPA15471 gene_biotype:protein_coding transcript_biotype:protein_coding MVANIINEALFGYRYKHGDCAPLIKYVEDFNNAMESFGDSKAMLIGMALPFLTELPIIDWYTLGQFKAKMDKINEYIVMNVDRALKNYNVEDEPTCFVHAYKQRMNSNQNEYLDKENLLASCSDFFLAGQETTTTTLRWAMLIFAKHQEIQEKLRKEVHEVVGKDRLPFMADQQKMPYARACVLELQRFANILSTNVQRVTVRDTEISGHKIPKDTWVNGDIHFLMANDPNFKRPKEFRPERYLQEDGKTLRKELVERTLPFSLGKRVCAGEGIARVELFLGLISTFQNFKISPRPGETIDLEPKQGQILMPKPQKLRIQKV >PPA15460 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:72523:74343:1 gene:PPA15460 transcript:PPA15460 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLRTVHLPANNNAVHATADRQLLPNSTQGVSENSFEKPLTITPLIPPVSNVSMPHQIDYSSAAPHSSEKAYVSLRSTITYGTIAALLATSSSRLLAELECRSKRMSPFQPSVQPERFPALLTALNTPPASIDPSPSDSQCSVSSTISITSPEDTSTADQIVYLPLTTSEASLLGGQRLSRNLYVPYDADRSHPAFSKNAVFVQSKNGKYTNMIGTAA >PPA15498 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:246050:247295:-1 gene:PPA15498 transcript:PPA15498 gene_biotype:protein_coding transcript_biotype:protein_coding MQLALLLLASVTTLTLACIPTKTPSSGIPVPVSTGNLKNSRRYTTVEISVVVCRACKKCAKSLIAIDTTTAGTKPFDAEPTLDTSGACSKITYLCTGKWVAINTDEETIFDSPTFTAVSFTCNDGGVWQDVDDSVTPPIITIINTIGCVM >PPA15630 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:878167:880078:1 gene:PPA15630 transcript:PPA15630 gene_biotype:protein_coding transcript_biotype:protein_coding MLISETYTDTLMVRAHGPINSIFFLCLYIASYGAAFPLLSAHFVYRALQLKWSSIMCVRCVSHKRPFA >PPA15562 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:579683:580734:1 gene:PPA15562 transcript:PPA15562 gene_biotype:protein_coding transcript_biotype:protein_coding MTATLDLVEDVTHVIGLILMVVGVTLDASIVIVLTRGRTLPIPRNPIYWILSIVVFFHWSSRPLQTHTTILLALNRVTAVLSPMRYEQIWSNPRLIGAAISYQVLLAAVKGGLAAGFDVYWIHDKATGGWYNMVYERDYTKAVLAGLSALTVVETLLIIILS >PPA15539 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:487137:488235:1 gene:PPA15539 transcript:PPA15539 gene_biotype:protein_coding transcript_biotype:protein_coding MICLKSESKARDHHSHIANALTYQLLLPVGQALACFTWLLSVGGLCSGEVSERLVMTFGSFLAVGSPLINLTFLPPYRRMFCGSRRTRTTTIGLIAR >PPA15518 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:351934:354740:1 gene:PPA15518 transcript:PPA15518 gene_biotype:protein_coding transcript_biotype:protein_coding MERAISLLRYVGEFSLRGSGFDVKAVMPPPTVAKDEATAHDSSTKEGVLFDKFFDLTVELSEGSGRNLTESEFAKVKNFEDVGFPSRLTANITSAGFTRPTLVQQYAMKCIKDGKDIIACSPTGSGKTAAYLLPILRRLLKGGVFCISNKSPCKPRALILVPTRELAIQIHQVIVRFTSGTYCKSEVLYEGSPFEHQKSVEMLKGVSILVGTAGRVMQFVDKGLVSLEQIKFLVLDEADKMLDPSFAEDLKCIMRKGRIPPRDKRQTATFPALAKERLKREKLLNDDHLMIVIDNIGAANKCIVQATAFKQKTLIFVTCKKTADDLEWFLCRNGISAAVIHADREQARRESAFDDFRLGRIPFLIASAVAERGLDIAGVDHVINYDMPKELDDYVYRIGRTGRIGNAGHSTSFIDPAHDGHIIDPLVTLLTQAEQIVPDWLEQLRKSHREKSLQKEM >PPA15584 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:695094:695974:1 gene:PPA15584 transcript:PPA15584 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSFSVVEIMISLVHFALIPAIHLTEFGYIYWGYRVLDLPTDQGVLASLIWVLLFYQTFVLTAFHYVYRFVLLCNPPWLSWIQQNPWRNWIAVAIVADVFYVGAIFLDASEGFYPWEIYRENLAPVMKVVNSIS >PPA15473 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:123955:124398:-1 gene:PPA15473 transcript:PPA15473 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWNPIENEFIAFLGISFWSLDSVSVSPDIYEIARWYRRLIFCELNELYSARMRLDGYVTRLGEALMLLASIQLFFSEELSRFGMLKLLDQTVGQRKYDGCSA >PPA15462 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:82368:86509:-1 gene:PPA15462 transcript:PPA15462 gene_biotype:protein_coding transcript_biotype:protein_coding MGGADPKESRFKQQKLPAWKPLPTANVVLPIVFILGAACVGIGVALVLASASVKETFFLYGDECLDQGLTCLHKFNIPTDYEGDVFFYYYLSNYHQNLRTYFSSRNDNQYLGNLQSTSGCAPFDKDGDKPIVPCGAVANSLFNDTYTLSWKGIIVPLTSDGLLWDAGQFKNPPDNGGDLCSRFEGTAKPPNWGKPICEMDGGLENVDLNIWMRLAALPNFRKPWRKLNRIQGTAFEKGLPAGDYLLNITNQYPVAQFDGDKGFVVSTTSWAGGKNDFLGIAYLVVGGLVLLIGAILVIVHCKAGHSSKGQRRQAAPNDMTSKDYYFDSYSHFGIHEEMLKDEVRTNTYRNSIYHNKHLFKDKIVMDVGFGTGILSMFAAKSGANRVLAVTAKAKCIFARSSSPTWLFSPDKSSRINLDSIVEVIQCKIEDIKELSFGVEKVDIIISEWMGYCLFYESMLNTVLYARDKWLAKLFITAIEDRQYKEDKINWWDNVRKMAITEPLVDVVDNNQVVTGNYCVKEAALTVKKGEELKGVFTCTSNARNERDLDFNIKNTVDLV >PPA15561 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:575626:576183:1 gene:PPA15561 transcript:PPA15561 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWLIAMCVLIAVFIILAVCAFCNLAFNKTTEETNIEMRSTAAPISVITVETNPHRHHHQHHHPVAAAAAIHHHNHHSHHNHHHPHPVRDALAISHHYHNHHHGGHRGHH >PPA15516 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:346074:347072:1 gene:PPA15516 transcript:PPA15516 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSLVVLLLAPLLASAFILAPMPGVNNKENAHLQAAINKFNAAVAAAGDNYENSTAVHNAFKNMITASLVYASTGAMERRIPAGVNGGKNALGTVGTAKY >PPA15659 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:1008977:1011546:-1 gene:PPA15659 transcript:PPA15659 gene_biotype:protein_coding transcript_biotype:protein_coding MISEIGGYEFVIVLCYKHKHRYQQRLVEQLYLRRSSTQPSKQRKADVVYNFYNIIVKEVLEIERRRVQTYELIIARFPLRHPYKSHRKLMLSHNSTIAMTLVTVCTAIENFNKFSGISLNLLLLYLIAVYSRKSLGTYKYLLFTFACSDVLLTLVHIVINHQIVSVGTTFACIGSTPHLVSLFSNKFFIALLVMVATSTFFSWYLLCIIGTRGGIDEIGTIVAREVYFLRFGRNLTDGFQVLDHWRDGQFMVREAVVLLVCDAMIISCITFAGALAVICFYHISKADKMSEQSKQLQAKLLKTLCAQTAVPITCVFIPYFTVLTMPFLAIDGGFLNVGCTACISFFPTLDAVVIIWLMTDYREGLKSMIRGKKTSVSAIDHGNTKSHSTGMEMTME >PPA15636 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:920207:921857:-1 gene:PPA15636 transcript:PPA15636 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHWAGIIIFLAKSLANPFRILTVNQAVTDLINSTVFTFIIAPTVFFTFALPFEVTARLGQLLFLAYDCCSWSHLMITLNRFTFTFFPFDYAEVFTRFSTKLYVLLIWILAICINFYEYTYIDCHFFLPIGAWNFDFKGGPECKVVEWYLNYCRNMILTAVIACLDIATVIKFHSYSKMQDVASNGNKWRMQRQEFFLLAQAMLQSTIFYIELVCCYNIGSLSFMSSPWAQFGLRTVAWVSTHAADGQISVAPKLLCWHV >PPA15480 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:152540:152873:-1 gene:PPA15480 transcript:PPA15480 gene_biotype:protein_coding transcript_biotype:protein_coding MCASAAFSVFQITNNGTLSKAQTQAAVGSWSAANNITDEVTAYNTQLQQQQNTTRTKLNSSVAQLPTVATQA >PPA15641 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:932061:932398:1 gene:PPA15641 transcript:PPA15641 gene_biotype:protein_coding transcript_biotype:protein_coding MGENDWTKKKSDGAPGPDGPKGPPGPDGMPGADGNPGAAGPAGDAGTVGEKGICPKYCAIDGGIFFEDGTRR >PPA15603 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:759720:762055:-1 gene:PPA15603 transcript:PPA15603 gene_biotype:protein_coding transcript_biotype:protein_coding MFEMNNFNPIGEYFICKIYKDVFAAQCRALLDEPGARSEAQASFDLEAQFDVLLTAHFDPCGVGLVELIKPRSLISVSTTIRIGPEFEEFGLPQALSHDPDSCTVQGEVRPEFPIASGIVFQNKYPQILPTFLINSERFIDYAVPTITKVIAIGGTGAKEPGKLSEDWLKILSKRAKTVLDGFRFYGQVRLPPSGKCAILIISPPYTLAIRPAKYGESLPDVTFIWKYELDDDFTRNQASKSENLELTKWMPQADLLAHPNLSLFVTHGGIGSVQETALRGVTAIFIPIFGDQPRNAGIMEHNKFGKVLDKTEVTNSAKFLSVIREDIIVTGISFILLFTIIALIFAQRILRRFIGIFKKKTD >PPA15655 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:986330:987402:1 gene:PPA15655 transcript:PPA15655 gene_biotype:protein_coding transcript_biotype:protein_coding MLLANGIFGEIAAWFMMSMYAKTDPEDTVRRLLLVRECERKYNRHIERAWIVLDHWDYEKKRSTQFSRVQRKLLVALCVQTSVSLLFVHVSSLIAINLPFFRISGERIHDFVSPIYTNRI >PPA15597 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:740224:740966:1 gene:PPA15597 transcript:PPA15597 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWNKGELDSFLIEITANILRFKDEKDETLLPKIRDAAGQKGKGKWICFASLEYGTPVTLIGEAVFVRCLSVLKGERVRASKELPQSDVDPSNNLKYGAIDLMWRGGCIIRSRFLGDMKKAFDSNPNLANLLLDNFFKDAVAKAHVRFILCSMLNRDDCYI >PPA15490 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:210570:212311:-1 gene:PPA15490 transcript:PPA15490 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHIVATEGVMAISAPGLGQSFCKQRVGAVHVLVQQSPLSDPMRVSLPADRKLACDHAALTRTVEKIIHTRKLKGSLSGAKIIKVFADEIYAAFTTRIWLALSEKRVAFRSNPHLVCEFPVGKKLHLTVFTFQPLPESNNDEDESISAAPSTTTTSPATTPFVPKTTTTVAPQTTVAHKATVSPRPPAFHRTTVSHTATVSTRPIVAHRTTVSSSSHSAFHKVALKVRSEGIFKREVGRARLGGCGNDRLKTVGGDC >PPA15613 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:805759:808007:-1 gene:PPA15613 transcript:PPA15613 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRRNFEESVLQSADFCNGEPSRDVRDLPIIHDCRQQYGREDGQPEDGRTCASNYCISYRSTNAPDKGTQAVPTTTYECQSKESTSALERFDVFVGGFGSGVIPAGVCMKFQNDIEHIEEICSCPYRDCWTASYNPGDSGLPLDTRTEGMIECAARSIFGGMRSCRGHQCFIMKQTHFDEDFGCIVYDERNMDRKFMLGTQQALPGQAFYICETDNCNANTDPKRAFSGFKVRIVDDVNETCNCFPPEGMVVAVVETRGASIDFTLILSISIPAAFVLVVLAIVFGYRLFKKQWPLAFLCEKSRGRVGQKPVVITVVSAGSLEKKKKLY >PPA15443 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:1882:3638:-1 gene:PPA15443 transcript:PPA15443 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRIVLIASLLIVASSISINHVTDGPTHARFTEEGPNATEKPHPINHVTDGPTHARFTEEGPNATEKHHPINHVTDGPTHARFTEEGPNATEKHHPINHVTDGPTHARFTEEGPNATEKHHPINHVTDGPTHARFTEEGPNATEKHHPINHVTDGPTHARFTEEGPNATEKPHPINHVTDGPTHARFTEEGPNATEKHHPINHEGPNATEKHHPINHVTDGPTHARFTEEGPNATEKHHPINHVTDGPTHARFTEEGPNATEKHHPINHVTDGPTHARFTEEGPNATEKHHHINHVTDGPNHARFTEEGPNATEKHHPINHVTDGPTHARFTEEGPNATEKHHPINHVTDGPTHARFTEEGPNATEKHHPINHVTDGPTHARFTEEASNTSMLRAARLSRFIGFAI >PPA15497 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:242738:244753:1 gene:PPA15497 transcript:PPA15497 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRALALVLLSLPQIFAINCHVCAGRDIIGAMVFPVLQSLGAGSLIPPAGNCSDNAQLCPTQNYCFKRIDTYHIQDGSGWGRAKAHYYSKGCDINAVAGSTVAYQLNKCVKYQEEKANGYKVVCAQ >PPA15487 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:197088:199765:-1 gene:PPA15487 transcript:PPA15487 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFTIQPVAFPAKTPGTFLPMCWLIFLVAYLPDAHDIRIKIYQKSQNQSTLRRDQPHLSSLPPISYSIGVWEPQKLAWLFALMLHLPARIILSMCVPDVYQPGLGKWIMQAAITLEALGLCCVSIFCVDSIIGFHAHAAFFGIWWGAAMWSMGIIIHLQRLIGQKDNDPHIHRMWWVKIGIMTAFFFVSVGVSIFYPLSQLHCSIAAFTIFCLCEYSVVGLNAAFWGCYLTEIGREYEGFQVTAMRSRKGTIVHPEMEKGNHCPP >PPA15512 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:330586:330851:1 gene:PPA15512 transcript:PPA15512 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNCVMKSSFRGTSSDERDHVQRMDGSVTPKFLYTILLTGPIRQFLIAKCTKFIRPNSEHSLRPFSTEANP >PPA15652 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:974872:978269:1 gene:PPA15652 transcript:PPA15652 gene_biotype:protein_coding transcript_biotype:protein_coding MQWTLIPAIGVLQLFALSRFHWDSTKRLACAFVFTIACAILIAVFSLSFVPTPAYHADLVVLTKDLYQMDHTFQLVLYGSSINDAAHVDGRSLLTILIFVVIIPYVASYGFFVTLVLLIRRQLVRFGTARSERTIRTQRALYLMQVLQGFLPLAILSVPIGIFVVGTILQLNLNFATLIFTVFIWACPSPSPTSLHSTINFQVSRSNKNNDGNNEDFNGPEKRRTIICANLRVIRAKSAALLARRNDEFYRSRSHDDDVDADSRNCLAPAYRAIKVVSSGFVPSPQFTVVLEGIVRDLYGLYKEVRVITVGSTGKYTEINNGRSLITLLLYLVLAPYILSYGLFVCLAVLIRRQLTAHGVTLSERTLRL >PPA15581 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:689131:689852:1 gene:PPA15581 transcript:PPA15581 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLRPLKSIQNATFRLIRHAFTISSLALAVIAIITILSCTDRTNKKYSQLITVPSIWS >PPA15445 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:9809:10703:-1 gene:PPA15445 transcript:PPA15445 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHYSGFLCDYGIPYLTDGMNVVPMNYLNSQSEWNLPVQSIVAIVELTIVVVVIVSITANFATPFLFSFIPFTFCALLLATGRTFGIAGNMIALSASIFPALDPILKILSVIRFRSALQQWMDVITRRTALRNEEQALEKSRAHRSMIRKNALSVY >PPA15628 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:864768:865944:1 gene:PPA15628 transcript:PPA15628 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIFVISMLIAIATIIRGINLPDEFFGTFSLDHSENFDEYLAAKGVNWIVRGIILFMNVDVVFSKAGENSFNYDFLTALKDILIENIILGQAIEVETLNTRLAKVHVKLTYTLRRGFLYDHDMAIEARFKIDGDMLVLTLHADSIVANQYFTRQSTL >PPA15625 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:852031:853241:-1 gene:PPA15625 transcript:PPA15625 gene_biotype:protein_coding transcript_biotype:protein_coding MKAISIKDRLNLRLTCSNIENLVACTHAGHFAYGYIIHGLLESTFSVRIGNILLKDFELNEKGVNKFVFVRRQLFTGISIGQFEINMDDYNLTLEYLLAITWGMTIDVLGLVLNYDSEFDKCMQLMAEFPRSEHTLSLGYALDTSKLLSLPPLTMLDLRCERNPFEILEIAKKQEIIRLRIRRCLINLLHFEWNGEQKRVHVYVTKIASQPN >PPA15558 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:564598:566809:1 gene:PPA15558 transcript:PPA15558 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPPLALSAVHFCTCSVSIVAGSTLLYIAARYTPVHLRSYAVLIIVLAMVELSSSIGAFLVFPRIVPVGLEGVACVLSGPIVKITSNQTIWFALYLVQLHGTVQYNVFMSVCFCYRYYVLRHESPTRNQVLFGTTRASKEIAYEHVHKYVPQYDLDPELRLWSRHEIINTRTITVELSHSLGGPAIVWTVLTAMSMNLVTIFVGRAIFRFLNDRTIHLSERTRNSHKQFAVALTIQGVIGQLILFAALSYSLGQLDIVRSPVMEYSTHMVSEFCIASSPVITLIYIKPYRAELLRLVGRKHEDSSMMKSVVISVAPFRSK >PPA15450 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:21763:22082:1 gene:PPA15450 transcript:PPA15450 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTLFLACLLVSALAGPAESNAIGACVSGKCPEGFHCVDESCVGQRIKRATECEKSSIAGGKEFIPY >PPA15592 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:729288:730496:1 gene:PPA15592 transcript:PPA15592 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYKVHYQNGPISEYRKEFPSKNDRDDSSWKQSQPSVNLHVLKSSACSSDSSNEKTMIERERTIITENEWAMRVMQSNQMNDVFRWVEGYRIQVANVITEIERLRETIGRRKWERENGDWKEDWHFSIYSFICGMKVNVVDEHSTKVVSMHCRDRSRTLVSALRKHRSSVSSRKSVRFADSLGLYLEKLEYFTRDEENLFAHNMPK >PPA15540 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:489363:489683:1 gene:PPA15540 transcript:PPA15540 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVSMMITTLQTTQMFTGVAISFIVFYWKLQGRVMQQSFENLFLCFAVYVSFAVLFSDFFSKAYLEKAPKAKAA >PPA15639 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:925537:926696:-1 gene:PPA15639 transcript:PPA15639 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQIRFLTESFAAPEYDCSAHTPEEWTELYGEQQSLIGVWSVVFSTMCQILYLPSLRVFYRERKLTCYKIMFLLALADYGGITGVGSLFGYAMLKGYVMCSDFTLSLIMGLIICCCWYVSTGSCALLVINRISEMMQKPALFQGWRSSLAMVIIVIYGMMVTLFTRLVYPNSPHTTVAFESFIPGHTVEEYPNNANMMHNFAVSFVIPNLYIVLCTVFYQASIICFFNVTTAAA >PPA15529 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:426757:430998:-1 gene:PPA15529 transcript:PPA15529 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIVDKTVASCATQPDIFCTNKPIVTNNAAGCPMVECTDTADALYLIDALNSMIATPANVKCDGNTGFWSSTLQADGTNAIPANSVGFVCGKPATCNNCHVKVTDVYSGTATSMMVTDTVVAAKPTCTYKCPQNFQLKVNNDKHSAAVCSMDTEVVTVDTPGGAMLSTTNKFTCEECTCMRTLLTDGAAGLCAKGRLCTMPTASVPCLATCPGNSVPTYWDANKMVKTAPSIPCEGSNWIEPGVDTMGGITCEFPVGSGFTSTPPAGFTACTTPAVLPCGVCNTADLVYVPSGTGYYLTCLKGSLVTDKATGLTTTCSELGTWNEGIILANCINPTETSQVSDCLTSMTDPSVMNNVDFECTLGKCYLSCKGANQQFQYETDAVHMNKYLRCLEFEVYAIDETYIVAPANINTSNGSHISIAKCHVLTHIQQ >PPA15542 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:496892:498991:-1 gene:PPA15542 transcript:PPA15542 gene_biotype:protein_coding transcript_biotype:protein_coding MVITVSNGTYPAVAEICGEDYYVIPYKYASLIGPERWWHTVDVEALLAALNTHWTHTIWVAIIYALITHILQKHMETRPAFELKLPLIVWNSLLAVFSILGFVRLSEEFSHVIRNYPLLDSISYGGEPNGPMGFWCMCFGVSKSLDDSYLLRGSYRQLQKAHNQHLQFLELFDTTFVLLRKKKLIFLHWYHHAVVLVVVLHSLKEAAGSGRWFILMNYGVHSLMYSYYAIAASGVRLPRAVSMVITTLQTTQMFTGVAISFIVFYWKLQGRYMQQSFENLFLCFAVYVSFAVLFSDFFSKAYLEKAPKAKAA >PPA15475 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:125169:129113:-1 gene:PPA15475 transcript:PPA15475 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTGLAFLRFIFAQEDDLTGRWNVTADNGIPCVMMNAKIDLTLSYIQADDSVADAPVITVPSDSTTEHSSCFEEVELSDISVNSQVLQLNFPNNEGWIVRFYFSQDPQLKIYTGDYALYQVTVTANYSSMPDVFTNQPKGHIHTYYSVIDFANPPEIADDEYTRVGKSLYCPDPQYFIINNDPHHGPSASIRFTNLQMQAYMTEEEFGRKDICADDQREMDVVPVIVSGIIGLLAIFTVFAYFAYRFRLPPDILDMIEPEFGAFVLSVLWKAKGNLRLFVFFAVSDIVNGIAFVMCGLHGMFITRTGTGNELLHPSSCLARAPHLVLWAYTDIMESFCLLLFLLDRTLQIALPCRYAKISKMYLILKFGVIFFVMGSVGVIPTFYDTVTTNSAVEVPKLCRFEQMITLAFLRCLFTAGAVRLVLFNVNLANNAREIVLMRDLLLRTAVAVLVGVLSPGVYMLISTQFAERVLTTFNAYGGNNTERKWQSANDPPLDHQSAHAESSQLHSMSGPRLELPVHMSLEQRAEIERSASFYCDTPPASDSKRPFPNTVNHGKK >PPA15624 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:848542:850555:-1 gene:PPA15624 transcript:PPA15624 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGVPRFLIDAFDRILKEKTMEGLFRKEGNAARMKNSQDCANRSDAERFRQQDVDHRGVGLRLRRTRPSGRRFRQLLVIVKAKFFSHSAEQKIKAAGGTCVLVAKGTIVFSIMPCVPSMLCHLSLD >PPA15556 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:556000:559773:-1 gene:PPA15556 transcript:PPA15556 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPVMNPAVADGSNKVHKIYVEPDPVVKHTYESHTEMNIIERSLFNPITPLFLGPLFASNIGRTCEKMLAEPGLIERLREEKYDVYIAENFEVCGIGISAAIQPKAVIGAASTCLYAVRRVRPLFYAHLDVHSFFSRLTNLIGEVFIRTQFWFPRRACDGALRKRFGDEYPSVAEQSSNIAYLFTNSEPLIETTPTFSRVIDISHIGAREPKPLDEKWSEILSRRPRVILVSFGSMVKSYLFPINTKLSILKMMSRFPDTTFIWKYEKLEDEFSRGNASRVDNLVLTEWMPQIDILNHPSLVAFITHGDMGSVQEAAARGVPGVFIPIFGDQPRNAGMMVRNGLGLVYDKFALADVERLTATVREVVDNEKYRAAARRISRMLARKPFKPKEQLVKHVEFAAEFGASSAFRPRSHDMSYIAYHNLDLIFALFIFTVLSLSGTNVHSRGPSWHRPSRKAHEPSARDSQLPNRKRLENADSNK >PPA15570 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:613240:615381:-1 gene:PPA15570 transcript:PPA15570 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTLLLLAIIGLSLSAVIQQRAHKSASLRAKLIKEGTFTDFLAQQHLARAQSGSSNSAVASQPFIDYYDDFYLGDIGLGTPCKDHQNFTIVLDTGSSNLWVIDAACTTTACKGDPRSGYKKHQFDTTKSTTFVKTSQPFILFYGSGECRGYISTDVLNLAGLVYPTQGFGVSTSIASVFGQQPMDGILGLGWPALAVDGVVPPIQNLLDQLDQPIFTVWLDRHVKPAEDKLGGLITYGGLDSENCDAQVDYVTLSSKTYWQFPINGFSIGSYSSNTKAEVISDTGTSWIGAPAAAVQGIVKATGAKFDFRNELYTVPCTGSYPDMIFTIGGKAYNIPSSEYVLDLELGKGNCALTLFEENGGGFGPSWTLGDTWIRTYCQIHDVGQGRIGFARAHHSEI >PPA15488 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:206109:208074:1 gene:PPA15488 transcript:PPA15488 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVVYALAQYAFAYVHFVERQVFCNTPAMYQGKSSDLFGMTSLASFLLSVVVYYAVWRKLRNTVLKMNFDMQETMKLIIALALCAVAAFALPVDFTIPPLSDEQKAAINTAVEKKLAELPQAQQDAAHELLGKIKKAAEENPEAFKAAIVKAFNNIPESAKQQLIKLKAAQ >PPA15527 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:420321:421511:-1 gene:PPA15527 transcript:PPA15527 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTILICAVLYCPTLFFMSLFYASFEYTPPDLMRELHFDEYPTTWNSHYTETKFVVAMIFVVFLSPSAMVVLFFVRRRLLVEISKSKSDSKEHHANIARALTYQMLLPAGLALACVAWLSSLAEIWKDEMAERLVMTDVFQGSQYLFSVEQSIRPILSADQSHISAAVSTHVREKETGDNDRSLNDCDYDKNRIIHNNWRERRGLKSEERRQ >PPA15611 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:799286:799910:-1 gene:PPA15611 transcript:PPA15611 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAESPVAAEFCNLNAEQLEAIRGHIKNVTVQDGLTESDFQKLLPHWGCFAAVAFAKLGKANGLTNLPVSTFIAQVNLLEGIASDQAEALTKLFDAKVQY >PPA15640 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:928004:931170:-1 gene:PPA15640 transcript:PPA15640 gene_biotype:protein_coding transcript_biotype:protein_coding MILKWTGGDYTLQQGRMSNFQYTPQYDYQPMNLIRRERVITASYFDRIGDFIASFGGFSVVMTLNMLIIVASTIFLTYYTAALLSTTKTYGVRFCIVFNAFVCFTLYNATFAGNNLMTSLDAHKETLAETIYELSRLNATLIVRDISHLMGYANEVIARLCAADNAIYFDYLRDFVEFYNDDVGASVCTLTTVANDLNVEDKYTIGVNLGRASPIVNYFRADRIRKRKQLEFVILGLFNSEKFDNNWFPRYMGRPAIIPPVPPPKFAYFQFSLGYLSIFFSILAVGFALSVIALGAEIAWHMHQARISGKSLAVLGRRLTKRFFPVRSLK >PPA15619 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:833055:834497:-1 gene:PPA15619 transcript:PPA15619 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNWRCSTETQGQTDAEVIRSRKLLENYTHKYGTLPSGINMHYVEAGGRGDVTAPLMVMVHGFPEFWYSWRFQIDHFKDRYRVIAIDQRGYGGTTKPPHVKDYSTTLLAKDLDDLIHALGYDSAVVIGHDWGGAVAWQHALLYTDSVDRLVVCNCPHPAAFSTLIQKSGKQQSSKYKRVSSCT >PPA15526 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:414459:415588:1 gene:PPA15526 transcript:PPA15526 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFADSMGFDLVQVKCVFPYNSSDEELLSPSPSLIIKPSGGVRKGPVSVTRLLPHSGSFNDARFLQLHAPSWNLPRDYAQTVSNGICLKSSSVMGMTFTAIVAVYNYSYDKQASPTKLSLLALNLI >PPA15507 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:311874:314466:1 gene:PPA15507 transcript:PPA15507 gene_biotype:protein_coding transcript_biotype:protein_coding MTDARQSIRLSLVDPYYPLAYPDEFTNKLKGSSVTFFDYLQAYLRDITYEYAQYDEYQGPGNRSLTDLGGVLEAIVEGKVLTELSGAGAKPAYFRVFDLSPQAGTDALTFYEASYGDETWSPLSYFIPFSPLMLTLAIVAIVSIDVLVEMRKRRKSSLLTSAFFVFGVTFLVFAYGAGFQGNMIAATPPEQIPYDSLLREFENGARQWYFRLFGLADFSSLSTIPRYEEQDVRKLIGLMCERPGVVTAALYKEEYLEMQLYDAPSGCNIQKVNLVAPTHPSPTYNSFATTYYYFLLPKKLPRRLRDGINFVANSVFQPDHLEGHLLYRSVKPRSFVDITPVKAPLADYSPLSLYGLGVIILIFVALLSLSILVFTMEIIVYRLKLVQRVKRRLPI >PPA15567 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:599750:601045:-1 gene:PPA15567 transcript:PPA15567 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLAAASVILLLVALLFLYGSVYTHLAVSFNRMMSLMYPLKYLMMFDFQKGVALLIIVWTCAVIETVPYFWSAHCFFFYKSEVALWEFAETKCGEFFGLSCHHRFTNSNNGHNCTVETPQE >PPA15617 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:828548:829621:1 gene:PPA15617 transcript:PPA15617 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIVLLTTAFTVMYGNDNNQTAYCKTTSPKGKVHNPICAVSDNGKRSNDPDNASCCLDSINLVFLQGICMPMLDARRAKAVMETNTATGEQLNAAYTVVLQAAWNSADVNFIEASRDNIEFRSI >PPA15599 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:746787:749394:1 gene:PPA15599 transcript:PPA15599 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRLLRCAPSEIPSIGNVSTSHPFGTIEQTEWSDKLHFLPCMNAIGYNKALMDKFANWMEDGLWIKDNGTLKMKNETEGKDKFMKSFIQFAISLAGIHDRSVTVDELMKYFEKDFDKDSFLVFVLILIFNIRLFQVVVVNKREMLVKRIKWTIDSSIYFLFIFTTIFLLQLDGQQVITVRNTSPSVHTDRLAH >PPA15485 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:180899:191602:1 gene:PPA15485 transcript:PPA15485 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKSKRSIPFTEKLVAVLLCKGDLSHEEFDAQPCSTAELFRFASARHKILFAVGILCAAVAGLLMPINQILSGLVAHVYLNQPNATGDNEALTSVIHIVYIYAAGAVVQLALNYFQQHLLLTVTNSVVDKLRREYVSAVQRLDAQSLDATSPGKLSAELSENIDKIRDGLGEKLALVVRSTCIFMFSIVAAFVYNWKVSLVLLPIGPVGAVLTGLSGKFSARSIKQQMDTSAKGASLIEESVMNVKTVAACNGQEDVINRYRAILDELIALGSRVGLINGFFEGSMFFVMYGLALLSLLWGVPDTFTEGGLSAYSVIVSFGCIMMGSYFLGLLGPHMMTLLKARTAAAIIYETIDKAATLDGPSDEKVARLRGDIVFHDVRFKYETRDTMVLQGLSWSARAGQAVAFAGHSGCGKSTSIGLLTKLYEKCGGKIFVDGKDIAEYDRQTLRKASKPCLFNGTIRENILLGRKWEGEGSTEKRLAEVAGIAQAASFIEKLEHGFDTVLGEFGIALSGGQKQRIAIARAIFMDPPILILDEATSALDVQSERLVQAALNEASVGRTTISIAHRLSTLKEMDVIYVVDKGVVIEQGNQNFEYFLFLCQSPPLDSPRTHDELLNAAGTYAKMAERQSIGMDDSSRKTPDPKEEETRAAMARILSTRRSSVACSKRHKDHPEDFQKLEYTGASEIQSSSFFRIYSHGHLSKIIPAFIFSSIRGLEIPGYTVLMSFLYTALNSSKAELWPSLETVCCFSFAIGVYVWTTTTLAGYFTGKASESVIATVKERILDRVLHRNAEYFDHPETSNATIVNDINKQPGALLAGLDGRAVLFTWCSTTTIVCDVIALVLCWHLGLIAIASTVLLLLGVCVLFIILTGTTVQMARVDRSAELALEIFSQTRTIQMMAVEHYFESKYVASQEAVKQLQRKAVIIQSAIWALSTAAIYLFGLISFGFGAPLVYDGVLTGQELFVVDIAIELSAWGLAFINPTFPDLVRANAAARILYAYFDLPLPNDSGDSTTQLSGAFAVRNVTFSYPTRPEHKVARSLAVSAAAGDSIALVGPSGCGKSTLISLFERFYDQQEGTIKFDDIDHRQMTARHLRKQIALVGQDPVLFQGSIADNILLGTEGLTIEHVREACRMANAANFIESLPDAYNTDVGARGRSLSGGQKQRIAIARALVRNPKILLLDEATSALDGESEMIVQEAIARASVGRTSVSIAHRLATIKDATRIYFIEDGSVVECGNHEELIHQNGKYAAYVKAQNHIPYHFKRS >PPA15648 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:958377:961102:-1 gene:PPA15648 transcript:PPA15648 gene_biotype:protein_coding transcript_biotype:protein_coding MCAVPTHDENIVRSTDIASADSTVSSNHEDVATSIAAAHEAINAVMEWKREEIRAQERIVEQLKRGVDEDLPDGLLASVNQAETEMKSALREMRWNVDSFKKVLDLPDQLRKDEDDAYKEYDNFMPSGQSARSQEHGRIELDVEGGRAVGGRPDAVHARHLAVCGVCERGARHRIRLEEYD >PPA15501 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:292672:294017:1 gene:PPA15501 transcript:PPA15501 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLLILLASPLAVSGLSLTPVVGGTVGAGYAKTLAGGIGGVVTPNLAPVATAANAAASVAGAGLQAVAGVHSALDSAVVNMACDIVNATLHSGNGAIRDVINAVIGGTSGLSAALAALNLGSYPFGANYNATAALQIAAQKVVQDAVTGNVLGLVADTQDMIAASVAALFSLAGGVTTPLGSVSGGSGLGAALDGLASGAGLGGLGIVI >PPA15499 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:282127:282583:1 gene:PPA15499 transcript:PPA15499 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRSRGTEAQLQLLKQSVVVFALYAASITCVFAMSFVQPDEDFLGVFQIAYVENLLNLSIAAAYPICFLSMSGELKSILVRKFIARTSLVSIVRLSGANNRITKRESMP >PPA15647 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:954271:958815:1 gene:PPA15647 transcript:PPA15647 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKGMVHYVWRNSPVCRMHQSESGHNEINIHFCCTDHLHNYRKYRCSDSIITSTSITCPLPNSKLLSITGGSANQGLAVPITCKGTTWFLPDGTALVDVQLTRPIACTSPQTTVTTSTTTEPTPVKESEAAQSEVAFKTMMMVGNLRSFADKCPALPTMTVAECMVAIRPQNLICADAIVTSTMVTCPVANSFLYFKKSATSSEAQRGTLTCMGTDWTWNNGEKLEPALLTASLACASEPTTVTTSTTTEPTQETCPELMKLSVADCTAVIGLQRVTCSDAIFTPTSVSCAAAGGRLVFKTSDSQTEQHDAPLTCVGAEWKMEGWPLPAALLSLPIGCVSPQTTEATSTTTEPTPDVPRVYGCDNGITPAPNACNLAFPPTISETTISCVVGFVLFIETNPVTGAPLAYPTDGEVTCVNGVWTATDGTPALNVQLNPPADGDEL >PPA15579 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:673931:674203:-1 gene:PPA15579 transcript:PPA15579 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQSALVSVLIFACLATIALAQIAAFGPPGTNVGNDWNNGGNGNWNNGGGDWNNGENHGGYGRPRGGYGRPTPW >PPA15444 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:6697:6902:-1 gene:PPA15444 transcript:PPA15444 gene_biotype:protein_coding transcript_biotype:protein_coding MDVITGRKYARNERKEMERSRAYDATVKPKTTQTVYKRIFFGRHKQTTNPNTN >PPA15607 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:783301:785115:1 gene:PPA15607 transcript:PPA15607 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYVLAVTHLAHVGPYRYLLLIFAVVDVLISLVHLALIPAIHMTEFGYIYFGYRFIHDDTSTGVGAGLIWVLLFYQTFVLLAFHYMYRYVMLCSPAWLSGFRQNPWCSWLAATFVGDVIFVGGILLCCFMGLLPNEQSRAAFAPVIKEIYSIDLFAPNKPGYLGIIYWTLNDRGEKEWIPWEVFTICCVIVLFFTTALIIIFCILRIVLELSDKRVGNLAPATKRLQKQLFNTLLWQTIIPTITSYTPLAMIFLVPLTGISLDGFGTVLIMSTALFPMLDPYIVIFLISGYAFSKKTTKNCTTNEKPWCNA >PPA15623 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:844257:847510:1 gene:PPA15623 transcript:PPA15623 gene_biotype:protein_coding transcript_biotype:protein_coding MDVELYPATYWLILFYGTVVVVGIVGNGAVAFASYQDKRLRNACNILIALASVADCLHVAGHIPLVYAFVSGHLLMNTSDCIWVELLPIIGQNSGCAFILAIGIDRLFACFSPFLDLICMVPSNYLGEAKPTWWIVSLTCCLVAVAIYAIVGIRIKTSNMRGHEARIFKSLLLILISVICGYIGTFAAANVITARFKEIDFKLGVLLDLFFGIPINISLAANYMVYYATRPHILF >PPA15535 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:462629:467460:1 gene:PPA15535 transcript:PPA15535 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tyr-5 MHRLADISVIFLLLDVSAAFRDIFSYTLNNKEYVETVEQPWFRIPAEQKDQSPFGKHHPVPDRPQEEKPFWTELEKRTFHCTTRACVCEFFGGSNSSLALDQPPSKNTCRMRDGHLLKPAIRKEIRMMTDEERMSVEKALNEMKRDGTYNRLSRIHKYHGVHFGPAFTIWHREFLKRFELTVRKYLPYPHTLGIPYWDTSLDSYMPEPKDSIMFTSTFLGTTNEKGHVIAGPYANWTTMEGLPYITRKLGNDPEGEFLTPARIDWTIAQDDVNKVLAATLPLSTCESHSMDDRHLEYSHDYVHFFISGDMGASWSSSNDVIFIYHHSMVDHIFELWRQRAQNRTARERQYTESDERCFPAWHNGDSFMPFMAPLRNIDGLSNAYTDNMYEFEPRPTCDITKQDCGSKYLHCDVAHNIEPTCMSKIRLGGNCTGFEGSRVCYEAECVQGKCQQIAAPLPDIPKMDEKKQEMRKIKISPFTFSPKLTKDILNM >PPA15572 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:624042:625070:-1 gene:PPA15572 transcript:PPA15572 gene_biotype:protein_coding transcript_biotype:protein_coding MHSYYACPRSSREMSRSTLILAFIAATASAHISGGGGGRQYQVPPAAQAVHLEHHEESLGPVIRTGRYRVIQEKIIGGQHSADAYQQGPPPEVQAREGGDEVLHLDRPFLDGRPEDVAQHGQRFHYDGPNDGRRVYIYRRVHTPVRVTNGVIERLGPGQTVERWAEGGYKGAQTHNLDVYHRFGSNVFSDRVIPVKSVIGGDLL >PPA15600 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:750135:751169:-1 gene:PPA15600 transcript:PPA15600 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFASNIAKREQWVNALCSTPEEKKALYERVNARNPPMLCEEHFKDSDFTCPSPDSRFLNASAIPINATPTVTTVTSPTTVVSPSMVPFTPPSTPHFSFPPIGSTPHRRPRSTTRPAMTEDVDDDPTWTPPASTTHNEPDCEYLLVSKESLMGLLRHCTVCKKGTNNLSFRMDGFGFTCTRECNLCGMKSPWENSKPLYTANRSGKERLPKINVDIVAGTVLTAMGGTKLRQIMMTSGIHSLSTRTFNRIKSTYLAPAVEKEFVKKEN >PPA15564 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:584322:585947:1 gene:PPA15564 transcript:PPA15564 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEMQLIWDPLAVLSPDSRWRLSRKKQFCIFVTLIILCVVSVALGKEVQVYWPNVSLASAGCFDKLDLSIFGAASMFMISIFHGSFDAADSSALNPNTGDILEAHRLKLARAKHDEKPFSNTLRECSVHVISLSGAFPFPSHLYSNVSQESGAHRKTGRIHTGPEHDRIPLFQNFSLLTFVHL >PPA15637 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:922082:922948:1 gene:PPA15637 transcript:PPA15637 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKSVRLRQRRVTKQLCGIKCPGADRDSVISKTPMWNHKCVRFSTYDTLERNQEWFIWRSGACLQQNITLEVHCGFPEKQRK >PPA15549 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:523320:525267:1 gene:PPA15549 transcript:PPA15549 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTLPVGALIAENISWPATFYIHALICAFFTLTLFLVYRDDPAKHPMLTIEEVKLIKQGKNGATDRAASPSTRTVLSSKAIWAVFIAAMGTYFVAQFLTIFSPQYFTSVLGYSTTIAGTMTIIPTIGLLPVKFITGVVSDRLNILSEVCKLRLFNSLSSFIGASILIVAVFVRPGVADVVATALIILPFIFYGFSTGGFQKAGVMIAREHAPFVFSLMHIFDMVALLAATFIIPLLTPDNTFAVLLISSNVVFTIFVKAEPDAWAIPKKNASESSEESAATERGQNDV >PPA15452 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:36031:36940:-1 gene:PPA15452 transcript:PPA15452 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGNCECPAINAVYGECNRRYKSTRLWQVFNVASSRCTEVSLQLNSLYQLRNMPRPQDPLNPSMGIDLLWSDPDPWVKGWQVVQDGYEFFASRRLVTLFSAPHYCGQFDNHASTMRWTMR >PPA15495 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:239062:239563:1 gene:PPA15495 transcript:PPA15495 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFVTLALLAVAVCALPVDFTLPPLSDEQKAAINTAVEKKLAELPQEQQDAAHALLDKIKKAAEENPEGFKAAVIKAFNNIPEEAKQKLMKFHAQ >PPA15576 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:645381:645950:-1 gene:PPA15576 transcript:PPA15576 gene_biotype:protein_coding transcript_biotype:protein_coding MQTWCDPRLAWDPAQYANVSYIYVRQMDVWTPEVSACESSSFSLVTDDRMQKVKLNASGHIDFFMYGYASYICDFNMKDFPFDQHWCFYCFALNSYDEQELVFRGYNGSTQLVMARVPIFSVQ >PPA15608 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:785172:786756:-1 gene:PPA15608 transcript:PPA15608 gene_biotype:protein_coding transcript_biotype:protein_coding MSARKTRWQIENIPMITNWASAYNPSTTMQTGPFIQFFEYWIAAGATKFYIYLHSATPQVRKVLAYYSDLLGAGLEIIPWSDLPVSAKDRGDFSRDPNTRVFRAGAYAAVNDCLLRSRWSVKFLAMMDVDEVVVIDSGGSLSRRIEDLANLNPFAATFSLEWRYGVFETDKHHMRARTPRNLSFHSHNFDMGQWWRPAEQTMAELEACRKHPFNLGTRIGKGHCRSLANCEPTLSSAEAFVKEPSVWTNVAIRERFIQYVV >PPA15511 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:324178:325180:1 gene:PPA15511 transcript:PPA15511 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPSALPMPPCYYDCVKYDMAGVRSQSECTACSTWEADFKAFAASYSNDTSSSQSSQDSSCGGDVSCDSAYTFDRPECYAECLVRVAKDQGRMCDECDRFNKEEDAAIRESIEAIRARSVSTGFDAQLEIPQPGVNRPLCWYTCFMRQSEGGGLRCEACQAVNVMNERLTKQSKEVMREEPPSKRVPLYLRQL >PPA15568 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:603591:604502:1 gene:PPA15568 transcript:PPA15568 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSHLAIAAACLAVAIYAQCTGNDHPQCASWITNGFCSNANYTTEMKKNYCGVQCGLCNLDGTQTAAGGGASLVSCTDRNANCASWAAGGFCTNTTYSNAIKLLIDLQKQ >PPA15514 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:337037:338478:-1 gene:PPA15514 transcript:PPA15514 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPITLLVSIALYVVNMANGQCAAADNANCVYWVPNGFCTTSGYSLPMIQQYCPKSCANSGCNGPTTPAPVVQNANCGKWNRDPTNVFCATATLDQKKTFCPTTCAKEINPVDDCAVYVSVAGGDVVRTATNTSTTALPAAAAANDKLLDVYARAKCTISLYDVANPTIGGAAPTAPKMSYVGTGSSQFFQIADAVGQAALSIGCTCV >PPA15447 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:16631:17661:1 gene:PPA15447 transcript:PPA15447 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSHGLGGGEAQFILNPTESSPAFLLADAGFDVFIINHRGTTYSRRHTQLCPWNNEFWQFTLDEFAKYDATACIGKALELSGQQYLYWIGHSQGTVVGFMTLAEYNERVNALFQLASAGSAGYGRGLTRWAFFAYNALKAVVGVCLDYIQFLFGPHAKSLDISRISVYLAHIPCGSSTWNFLHWGQTLNLTSEIALPPRWQVV >PPA15508 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:314971:316898:1 gene:PPA15508 transcript:PPA15508 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIAILLLLVSATSTNAVPVDGNNNLASGIAGAVSDVRDLATNTVDQSLSAVSKAVSSLVSSVNQLSAATTKAVAAVNEGVTGAISGATAATSAALKDALSSASKAVQSTTAQFTDAAGKVVAAARAGVSGTLNGATAATSATLNAAVSSVAQAVAELSDTAASALAATASGINGALNTATAASGAAIKDALSSVSKAASEVVASTAKITDAATKALATTSTVVGGAVNTALSNALSATSKAVADVQQSAVQLTDDVASGAKDIGGTVSDTAGSATALAGDVLARVGKAFNFQN >PPA15461 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:79704:80851:-1 gene:PPA15461 transcript:PPA15461 gene_biotype:protein_coding transcript_biotype:protein_coding MREYGYLLFGESALSLPPEWGLVANLTFSTTIKQGASYRFLEPLVSYNSNESALLNAFPIVYLDDFLKFNTTTIVSMLTIGENTRFDEVEFAVPCVFMLLPLVVVLALPLAGVSLGQLGNIPRNSARLVLHDDSTK >PPA15573 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:633210:633853:1 gene:PPA15573 transcript:PPA15573 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVFLLLSATSSFVVSTAVLSDLSNELIDDGLVSLDGSGVPTTVCMVSIKDETSRIQLCPMTPGFGPRSVGCFTVWNSTGAVLQQGCYSNQEISLRSQCKKGKCSSDRKRMGVSFCCCHGPLCNAAYSQA >PPA15468 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:110308:112396:-1 gene:PPA15468 transcript:PPA15468 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVLVTGGNRGVGLGLVRELLKDPSVSIVIATARSVDTANDLKVIDNPKLRIVACDVTDENSIAAAVEKVSEIVKDQGLDLLINNAGIYPPLDADVSKAIAMRQFEVNAVGPLLVAQAFHNFLKRAAEQNGSAQVANITTVGGSLALARDQYYSPPTTYAMSKAALNMLTRKLSLEWKGDNIRVTAFTPGWVRTDMGGPDAMQSVEEATVPLVKLILSLSEEHNDQFYKITGEKIPW >PPA15627 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:861821:864193:-1 gene:PPA15627 transcript:PPA15627 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRVCYYLLLLVSIADAHVDFNHSRIFDEYDFKGKSSVNVDSLCKNSCRIFASITPESKQLTANILIQLPKGFVSIAEVAAKVDPATNLKSFVEVNKVPSLAIVNGNKKYDAGPLVLYIVRMDMGTAEVYEAGGLHRSASKSIPQSITVMSATPFTLKQAPLDGPQGVHAVMGGFDAFDGEPCPETVLRPARSVPGVHDGSQRVSQTGNVPYLYTFNTKNLTIDWKPEGTGDSYFLVRWNSTIVP >PPA15515 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:340839:341441:1 gene:PPA15515 transcript:PPA15515 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSEQAKKEFAHILFNKGITKTEMENQIRSWGAKNGILDAVNTEMTKDEQRTKDMRVNINRALAEFPAAFAKYNAIGDNKSLTVNQAKEQTDALLDTIKTPYLKRLIYALSYPEYAEGEEPLFSVNAAKRR >PPA15589 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:718463:720692:-1 gene:PPA15589 transcript:PPA15589 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSIVSEDFYHAYSYTTTAISTVSNALLIYILLATHVVHVGPYRYLLLTFSIVDVIISFVHLALIPAIHMTKFGYIYWGYRFLRESTAVGVWAGLTWVLLFYQTFVLLAFHYVYRYVMLCSPEWLSWFRRRPWRNWLIVTFVADVIFVGGIFLACLFGFVPTDVSRKAFAPVLRKVYNIDLFASNAPGFLGIVYWTINEKGQKQWIPFSLFIIGCVCFLFFTTALIMLACIMQIFKELRASRFANLAPATKRMQKQLFRTLLWQTVIPCITSYTPLAMVFLVPLTGIPLGGFGTVFIMSTALFPMLDPYIVIFLISGYRKALIGLLANVIKPTLGQASHQVARPFTDTNSQITTMNRASYA >PPA15531 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:438034:440444:1 gene:PPA15531 transcript:PPA15531 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYWVGKIRDVWASNVEDEFNKVSSMIPQNRGVVATPLGQFKGNVGFALVNDKGELPPSERTYRKKDLVKLQLLTCPIFENICVYGSGLEVGVSGSTEELCANAAVFKAFKKKLDVHATKNKLSG >PPA15658 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:1006981:1007730:-1 gene:PPA15658 transcript:PPA15658 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAEDDIGTKEARDLYYRRFGRTIMSGFQRGGRFNLRAAMVMLTCDALIVGCISCAGVLAFLCFYHIRKADKISAQTRRLQAKLLVTLCVQTAVPIFCVFIPYFTVITLPFFFIDSTILDTSCTALISLFPTCDAIVIISLMTDYRLAMSPLLFCANFPAVHTLPTRFGHVHSDGDRSNNMPVRWDYRTSRKA >PPA15635 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:915531:918778:-1 gene:PPA15635 transcript:PPA15635 gene_biotype:protein_coding transcript_biotype:protein_coding MRQYTTEFRDLREKTLLRGFKTVPCPEPEKLLEFVRNQHFELGEKSPQAEPRADPLLAEAKSLANANAVKNSFGGVFTVEKDDVISVVKMTQNEKSKEITFKCDCGKTHCVHKMAVQVFNRFTITLPTNKSIAGLKRKIRHRDQIRPGGRKQPRKIDSSPLQKKSKKEKKETDEDMEDSDQIVDNECTQNLRIGDEEEEDSDNGLDIDDAASVSFEKKERVEDVVEKEVLISDQISRPELEIIGEQKGTGPRITTTSRITVKDESEYFAHSPKKESKEKGDTEEKNEDGQEGQEICPNRGECATALRTPTWWKKSSQFNFLHSYSIYTPTFSNPLPPHPSIIYSDDNVNYGVIVSIDDLDITIISSSPDAERLGYLAAFTSAGAREERVSVRVLPTQTTESQNEKLPLLLILLLAYKTDSSDLRTVKFNIPSHPTINLIVKAPEKRIPCSPHNAIRKKTYTLQCACARPSHKEEMGFMMPRLVKCQKCGWKYADCELGPIPESSKSKWTCTDCISFWNVPKGGGIETTPEGDKIIHDTCALDSFLATLISQHRLDPRLFEKIGTASLFEKYLRSMLMDGNIDQVKDELIKKIFSNKIDKKGRYDMWASECEILDRLFEYSSKLLFNLNCQGSRQILNITSTAWFIPVDISLQKESPSRCDEIPKEIKIGEYKFELGSITLFGGGHYVALIPQNFLTMGNTMDCT >PPA15587 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:712711:713446:1 gene:PPA15587 transcript:PPA15587 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFGYIYWGYRWLEGSTAKGVWSGVHYGGLGTHLLMSTHIFPMIDPFLELFFVQGYCIAML >PPA15552 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:530628:531543:1 gene:PPA15552 transcript:PPA15552 gene_biotype:protein_coding transcript_biotype:protein_coding MFWLAVVEMVAVYVNSISLGILFIQGAVCCTYPLYNFVTGCTAVGTWCCASIRGLVLVLNRMRALLGKDGWIERNTWSSLLFTSCYGAYYTLLTPPGCLNFKYQTLMFSPYYSIPHAFNNIVIVSLTTLLYAVFLVLFIHKSTVVIQVIPHLLRI >PPA15520 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:372883:376957:-1 gene:PPA15520 transcript:PPA15520 gene_biotype:protein_coding transcript_biotype:protein_coding MIEFVNALRNNEKQWKIGRSSRLSLDVGASSFGVYACEARNAAGVDVTTTFLQEGERNDLLNDGSAGPTEDTVATAESTVDGGRFTGGIEDLPPQLLMDELKREAAQAISVPSIVRSPQDVTVAAGEPSFSLECAAHPVETIVWKFNETMLAPSVKYSFEGAVGGKLIVHDARPADAGVYLCEARTAAGATYASARVTVAGGSLLEYGPTNQSILIGMNIELPCQVADQYRSTAEVSWYFKGERIPASGNPALGITVAPKGALLIKQAGPHNIGEYRCRIRLGAKEEEAAANLIIIERPGMPKSVKAEVVINDKKLPKVTVSWREGFNGNSPVLKHVIEMQTLATASAHPHWSDWERIADNVESCCSYTLESVRPSSTAQFRVAAVNKHGLGRFSLPSPNITLPQMPPAAAPTVSAGQNSAVCPWALPT >PPA15633 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:905594:907045:1 gene:PPA15633 transcript:PPA15633 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDLDRPKRARTTFSEQQLRALDDNYRRDGYVTGEKRAKLAESLGLTDTQVKVWFQNRRTKDRKRKGSDDEETTKKQKNSDTSPSPSSASISSSSSTDLVPPPQTTTLHLPSVPPPSHPTGFPFLAPHQFLSIPDFALFPFAYRQEFEKDT >PPA15477 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:140187:143084:1 gene:PPA15477 transcript:PPA15477 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAMLLLVVISLLLDKKNYAFNDTAINVVTVLLYMLFKYALTCSGSLVGLGLYCLVYEKVHLFELDIHNPWVWFLAFLTQDLVYYLGHRAMHEFGIFWIFHEMHHSSEYFNFSTAIRKPAYMELGSACFNVLQAVIIPPQLFIVHRHLNFIYQFWLHNEYTPSLGPLEYIFCTPSNHRVHHGRNPYCIDRNYGGVLIIWDRLFGTYAAEKDDEKVAYGLVHNINTTSLKYFLFEKCHMRDENGKEYFPGFWNKFCSIFAPVDDSVPKYNPEIPFAEKVYLFIQGLMLVLFYLEINHKRMTMGYVDLSIQIALVVVAGQTASYYFDKHPGAIAIDFCRNLVTTGKLRQ >PPA15559 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:568121:571485:1 gene:PPA15559 transcript:PPA15559 gene_biotype:protein_coding transcript_biotype:protein_coding MQVCLLLNILAPALAFFPSDPIHPLDVQLIKLHRRPPTDDKGILLPIDYRHCIPIVVSLAGGGGYHESDDPVTAISQPIFGLESRGISSNGDRSHAPRHLYTIPEKLCRWKARPRATVGDSGQILQELKDQVGHDGLVTIRSEGFLTTMCGCASSSVGGFERMLPEVSSRGTPEVQFLADLTVNESYTYVEGNMSVAEFKMLLEGTSVYATLNLAIVEVLARTNSLPGLGKYTSGSQVVLLILSLFFVSIRYWGKTREERKLKGDPLESWYSKRYGVQRVDELLSSTHSSPLSFSAKAYRRYFKLASKWMQRHRETMAIAIVLTKVQSYNLFGSIYVILF >PPA15517 pep:known supercontig:P_pacificus-5.0:Ppa_Contig30:347327:349064:-1 gene:PPA15517 transcript:PPA15517 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSVIKRHLLMYQLNFEAVLQTGIAQGTGLIGAAGGAVAGAGSAIGPIPISLSANVTEAVIVDLTKFVAAAVTGNPAGVMLSVGDLIGLLAGAGAGFAFGGVLGPVGAITGAGNLAGLFSGLTGGLGGFGFTF >PPA15546 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig30:511590:513578:1 gene:PPA15546 transcript:PPA15546 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKYAGNALLTTISAITFNCRVGRGHANFHANPAKEKDLITIMPLLIIIFVEWAISVVMFSALPAISRRAYDKEMTNSDRRFRNLRYQSIENIRTALVLNRLVLLLSTAVFFLVAYYAVMAYVMPQWITIGNQIFHVFFVLLPSVACLIVLSYHETLKKDLRGCFQLGQRRKRVVSEVTQSSIGAPAPPNQIQSISGVNLVVPIEGHIEHYFASYQNQWQ >PPA15664 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig300:10687:11144:-1 gene:PPA15664 transcript:PPA15664 gene_biotype:protein_coding transcript_biotype:protein_coding MYETYIKLSMNPFYAADSPIQSTSFDQKDTLYGMKWTEGKGREGGAVCSMTKRIRDAVTNAETNHSLSLSAT >PPA15669 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig300:26315:27130:1 gene:PPA15669 transcript:PPA15669 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPLFDLDAASNSEIEEDNNKSNELDDGILSNFNSKQVRIRENGKNYPSIYQ >PPA15668 pep:known supercontig:P_pacificus-5.0:Ppa_Contig300:23789:24623:1 gene:PPA15668 transcript:PPA15668 gene_biotype:protein_coding transcript_biotype:protein_coding MKILDRVPENLNKAFELAEVFEYNKQYEERFPRRSILDDDDICLDRSFEAQNGKSSCDRVAVQIEKEGGFLASSESSKADEASIQKGDNPERFWTAYLTKKDSNEEEIDDKKAAKEPKAPKRRKTQDKNEEDEDGDEEMMFNEDDEEDYGDLSDEDKENIDDFGRERDDVIHDIIRESFNELFNENDDPI >PPA15667 pep:known supercontig:P_pacificus-5.0:Ppa_Contig300:21135:22067:-1 gene:PPA15667 transcript:PPA15667 gene_biotype:protein_coding transcript_biotype:protein_coding MNACYTFCAELRFPECRIGGVQSRRLYLNKPGRFFCHDLTEEHSRTILEKRRAAMQKNVQLHQTKNLLQQHAKDGKTLTNIQSDKFELVSES >PPA15662 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig300:5232:7786:-1 gene:PPA15662 transcript:PPA15662 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQNPEEAEKKRPYKCDICGKGTDEGKKPFECDICNKRYADSSYLAEHKRSHGSEQERRPFKCDICHMTFTNKDNLHGHKLIHGILDPNRTYHQCKICDKYFVSRNVFEHHKTMHLDDNNPEIAALKKPHQCEECGKKFHTTTQLNAHRRTHSSSELVKKPFKCDLCDQRSSGYNSTLISELMILNKSRSVTRPDSERFRFDCDICHKTFSSKGYLSKHRLFHGTEPIPKPFTCDQCDLKFANVGALRRHKETHEGAAGVSSNKLAKKFSNHEKSTPLSPSKNVKEGLFDDADDDSLDGSSSSEDEVEHRDESGDEED >PPA15663 pep:known supercontig:P_pacificus-5.0:Ppa_Contig300:9033:9675:-1 gene:PPA15663 transcript:PPA15663 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDISSALETTVKFMMQHDSVEQRDMKNNIRLEEEVDEYESLDDDLDENNNDFNVNISKKENSTNEKFECDLCGKLFTKKGNLMVHKKSHLKFIYATTQMGFSDSGMGA >PPA15665 pep:known supercontig:P_pacificus-5.0:Ppa_Contig300:15358:17172:-1 gene:PPA15665 transcript:PPA15665 gene_biotype:protein_coding transcript_biotype:protein_coding MDVALRELNALNTFDHPGIVRFYDAWTEEPPEGWQLCHSSLADWLSLHGIPVLMRMKFWFKQIVSAVGYIHDQWKIHRDLKPSNIIFVGYNQVKVCDLGIVTDIGITNGNAGDERTFGQGTAMYMAPEQKVGQDTPLKFALGLILFEMTTTMSVDDKAQATSGSGWDEVQLLKMVSGGPCVAEKMVKWLTNNSPNLRPSCQELLQHSFLADFQV >PPA15666 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig300:17228:17725:-1 gene:PPA15666 transcript:PPA15666 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDESEESFTSHFLTTLTVLDILGQGTFGCVFEAEKKLVGELSVKCAVKRIPLKRRYND >PPA15678 pep:known supercontig:P_pacificus-5.0:Ppa_Contig301:33558:34878:1 gene:PPA15678 transcript:PPA15678 gene_biotype:protein_coding transcript_biotype:protein_coding MQILSFYVIYIVNKNVRKRSQRLNNLVFVVFVFAMSIRTRTHPVTGRLQFGFA >PPA15674 pep:known supercontig:P_pacificus-5.0:Ppa_Contig301:22498:22988:-1 gene:PPA15674 transcript:PPA15674 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRLARSLDGTLREYVDCVRVVRLIGVIVGKALNRFLDQDSYDIILPGIITVIAANLNRNQQLYAVASCEN >PPA15671 pep:known supercontig:P_pacificus-5.0:Ppa_Contig301:6381:14426:-1 gene:PPA15671 transcript:PPA15671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-twk-12 MKDGLAKPRSRKGRLLDKIYNIVTLGGRIPCVVLIVYTIAGGLIFRHLEMGEDVVRRRQYAEASEFAVNQMLDRMLEVKCHDQILKKNRQEQIRHAKDAIYWFLDHLNLTEVIASRSEESPWTILGSMFYAGQLYTTIGYGIPTTQTREGQMVSIFYILFGIPIFLVALKQVGQSLSMQLKKAYRKLRRKRKSKNKDGKDAEKNSEDSMNEEEKEKRRAANKLATMLTQIPIAFGLLLAWILISACLLTCWETQWGLTTSIYFYFVSISTVGLGDIIPTNNEMLVVNFILILIGLAMLSMCLNLLQTLIENLWSLLIREYICEMEVMAHEGTEDGLGEEAPAVTFEMGILGKLMRLPAIEHRQHTMMGVAKHWMAERVATEVIGRQLESDPDSDSDGEEKEEELAEEYRDEVAELRVEDSMKLHMATATTAIQHGGSDRSSHSIRSRASQSSTRLLRDSRVMHNKALFNRIQTMERLRPHRNDFKSMVFSKFLASDQLQKMVDHNEHHRMRVSTAVQTDGGGGMDTANGGSGCRRPRPGSMISTASSNGSFVMDEERYLLLGYEDGKIGNYAQDDESPVHVILQQYLDDMRERENGGRKMSKGRLLSKSSRPSSIAENRLGEEAAGSEEGRDEEEASVSSVFSSPTHGVASPMEASASNEEAMGEVPSEEDITRGVVTPASPMMSSTAGLLDSGYGKEGESSMSEAVPIVQSTIPEEDYRTTVDEPPHSPFFR >PPA15677 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig301:30469:32239:-1 gene:PPA15677 transcript:PPA15677 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVEIPLPNKRQNDEEYVRTFEEFKAVVAPFLQCATFKRAFPLIFAAIPANSTVEELKKQTVELRRRITFYLKGKIEVGPYKKVLHVKTDDNLNEISCLHWNCCGIVGQTARTKDTSLLDVIIALEPDIILLNEVKAKEEDIKAVVKGMEWYAYLSVPSTAPKGRSMRGSVIIYRICYKFKILPVGQEVRGEDREAPAIEAIAADVQTSQGKRYRLISSYVRGDGEHFEETEEVFNKLATGATTTIVAGDLNMKKEKFVSKWKQSGFVDELNPAWSTNKSKNSFTSIDYVMHNVKSSPLVCHRPIQPDNNSVHFPIPFTANTQKISIVDTQ >PPA15673 pep:known supercontig:P_pacificus-5.0:Ppa_Contig301:20426:21517:1 gene:PPA15673 transcript:PPA15673 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFKVVSSLRNGYRVQGALYQHQQTTLFLPTEIGTMDSSTWKTRAATSVSTLPSAFQDKTPAAKKEYDFNTPPINSPVGNSPKVKSPDVGSPHVAATPSNKNVSAEPETGTPRKAPSTEKGGVTTSADKITNEDLMSKEKMNENQVFNI >PPA15670 pep:known supercontig:P_pacificus-5.0:Ppa_Contig301:1480:2531:-1 gene:PPA15670 transcript:PPA15670 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ceh-22 MGDEIEDEEEEEDCGDGKKKKRKRRVLFSKGQTYELEKRFRMQRYLSAPEREQLAQEIRLTPTQVKIWFQNHRYKENTGLGSSILTRTNRYKTKKTDVPVKTSSSPFVPTLQPTPVCALPSSSAFSPTGNTTKRFPVQMVVRDGKVADSGNYLPQNFGNAG >PPA15672 pep:known supercontig:P_pacificus-5.0:Ppa_Contig301:17395:19351:-1 gene:PPA15672 transcript:PPA15672 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTQKNTGHFVIRSLKLHQNRESKPEKPLSTKEREENEEKRKDDQFDDVHPEIAVRCSCDICMETYDSKVNIPRVVKCGHSACQKCIKKMIDKNKNYKCPFCAMVTSVPSVEALPINRSLIDIVDYVRKEGQKSSREETEFLRPSNTRVLKEMAVCKTQGCDQFRKNICLSCAIEHHQKHDVVRLEKVEAEAIACASGEISEAAELQKIARDSIKFYSEAMARWKSSLGKITAEMENIAGLQRKLRNMLKD >PPA15676 pep:known supercontig:P_pacificus-5.0:Ppa_Contig301:27927:29315:-1 gene:PPA15676 transcript:PPA15676 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDPIDRPFLRDVDQWMEQLYDCKQLSEQQVKMLFEQDRTVVVREIDGTLRTATPDEHDRMNRVYYEKAHRLVNAPAVFSDTEVTALQFLLLFFHFLFIIFS >PPA15675 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig301:23782:24211:-1 gene:PPA15675 transcript:PPA15675 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDASLIGVIVGKAVNRFLGQESYDIIIPAVITAIAAKLTRNQQLYAVAGFAAWWLFFARTPISDYFE >PPA15679 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3010:425:801:1 gene:PPA15679 transcript:PPA15679 gene_biotype:protein_coding transcript_biotype:protein_coding MWTAFVLFFVIASAHAFPASTPNPCDGVLGCNNHGTCAGTLEEGLYCICDPGYFGLRCQLPDEEPACETMIDCNGNGK >PPA15680 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3017:58:1084:1 gene:PPA15680 transcript:PPA15680 gene_biotype:protein_coding transcript_biotype:protein_coding EEEEKGSVAKRPHDTKDCANLSDAERFRREIAKHLTARDLHCSSRTLNKTSTIEEQACVYAALVLQDDDFERSFFAHSIQTSAIRQLLVIVKAKFFSHSAEQKIKAAGGTCVLAAKGTI >PPA15686 pep:known supercontig:P_pacificus-5.0:Ppa_Contig302:14098:14842:-1 gene:PPA15686 transcript:PPA15686 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLALSDVPGDVIANAIASGLDRPACDGESVEEVRYDFGPVKAQVMEGASTEMAQGIECKRDATGGKALSPFAHAIESHDDHDDHVVFDYEWNDEERDGPVKAQ >PPA15684 pep:known supercontig:P_pacificus-5.0:Ppa_Contig302:6202:7211:-1 gene:PPA15684 transcript:PPA15684 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLFVFSLFFLLDTTLTALPTLPPNIGAREQGAIVTFKDCMAYCDRFPYNQGGLDRCMADCRNGFGLEIPAEEEEEDVPAGVTVVIHQLSRQSKKVRSLVAWPEKSDDLVVFLKKRGWWSIIEKI >PPA15689 pep:known supercontig:P_pacificus-5.0:Ppa_Contig302:20410:23003:1 gene:PPA15689 transcript:PPA15689 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDFALKEFTVDKLKARPGLNFTKRQLDEVRTHKSLRFHPNIVSYVQAWREDRKLYMLGLNHLAAFNIGHFDIKPANILRAASGAYKLADFTVARTLDEIEQHSWFSGDGRYLAPEVLEEQYTLKADIYSVGLTLAEVSVPSSSPLTADEWSTLKHEQRLSGRVCEVQSIPPMKTERS >PPA15683 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig302:4561:5752:-1 gene:PPA15683 transcript:PPA15683 gene_biotype:protein_coding transcript_biotype:protein_coding MMASLIKTELKRRNLKVFLDVNEVLCTVGFVKVLDEAVMASNNFIPLLTNYSIRPEKPGQIDYMHREIRLAFEHNKNIIPVSDKSFNIEDLNDRSIPEDMRKLLNYDIIEWSHKYQNAVVEKIVRYIKKGNKQAKSNKSSRPSVASVAVQTDDNVTPNPSIRTIDPSIGSKKVYQEYRYINEPSAKQYRKCIIACGPHYIPRSQSEIDKCKKECDEWLSASVEYPPTFKYRSGAIAVKLLKDPNLLT >PPA15687 pep:known supercontig:P_pacificus-5.0:Ppa_Contig302:16730:17545:1 gene:PPA15687 transcript:PPA15687 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRASSGEGSATICTSDAICKSMVGMGYRTPPLSPLYGYGIPKNYRSRIGYGISDITNHALEFE >PPA15682 pep:known supercontig:P_pacificus-5.0:Ppa_Contig302:1434:3836:-1 gene:PPA15682 transcript:PPA15682 gene_biotype:protein_coding transcript_biotype:protein_coding MDILALPDVFKQKLMRKMKIKDRLRMRLTCRAFEKLVADSHAGYFQDGFLSTKYPDDPKDSTLRLVIGDRKFHDSRKAGLDAFLALRNRLFTGITFGRWEFRLSDSELKTPFLNEFAKSFKAETFVFEVNSRAHYKFALDWSAEHPGNKLFFDVGFLPKIDLLRALPRLEDLQITTPIRYRIGFSDQYVRTTEISADLFFELLGAHQNVHLDNVALTPGELDRTLQIIEEDPTERLIHLGVKRSMLAKWMNGIGITKDMEAGDCSGEFEVVNEMKSRQMIELRYRRASIWIERFDWTSDEQPCHVELQNIPDPMGTMLQQLQQHMHGFLV >PPA15685 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig302:12464:13221:-1 gene:PPA15685 transcript:PPA15685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EZK9] MAQSVPPGDIATQPGTKIVFNAPYDDKHTYHIKVTNSSARRIGWAFKTTNMKRLGVDPAAGVLDPKENALIAVSCDAFAYGQEDTNNDRITIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA15681 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig302:203:604:1 gene:PPA15681 transcript:PPA15681 gene_biotype:protein_coding transcript_biotype:protein_coding VTNSSARRIGWAFKTTNMKRLGVDPAAGVLDPKENALIAVSCDAFAYRQEDTNNDRITIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA15688 pep:known supercontig:P_pacificus-5.0:Ppa_Contig302:19608:19983:1 gene:PPA15688 transcript:PPA15688 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLATTPEAPKRLSYSLRSSPSAPMMKRRIPFPPPSPLSSPHARCAPASPITRLDYLSANFATRSKLGSGCFGEEE >PPA15690 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3026:111:1128:-1 gene:PPA15690 transcript:PPA15690 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRCGVCPRPVSLPMRSFPVNSLPEKQSMWIRRLNLLPEEGDALLQQFREGLAKQPPVRTYWCPVHFDGDQPDPIDKTLGLPNITAPLDMPLLTRKLSEVAVRDCNVSVAPPSRMHSLTLFSQSSIPPIESENDQSNDYNSQEFDAGDYSSQETTTDSVDESTSLELPEFLLIESSQLLSLFDRCPSCGLKAIVSRSFTLNGSAVRIAWDCEHCVTPQSWRSQSLLKDDTITG >PPA15694 pep:known supercontig:P_pacificus-5.0:Ppa_Contig303:17532:20399:-1 gene:PPA15694 transcript:PPA15694 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLHLNGQDSLVQALLTDFYQITMSYAHWLNKKSESHAVFDLYFRKNPFQGEYTIFAGLEDCLRFVENFRFSSSDLDYIRQTLPNAEEGFFQYLAELDCRKVRIEAIPEGTVVFPKVPLLIVSGPIGICQLLETTLLNLVNYASLVATNASRFKLVRPSWMSGIAAGGMQLLEFGLRRAQGPNGGLSASKYCYVGGFDGTSNVLAGKLYGIPVKGTQAHSFICSFSSESDLNIRMIRTADGKEIDLYELAKEKLTWLMNTINWGVARSELSQGELASFVAYAIAFPTSFLALIDTYDVLRSGVINFLSVALALNDCGYRALGVRIDSGDLSYLSKEIRIRFKKVADADSNLSFVSSLTIVASNDINEETIISLNEQKHEINAYGVGTHLVTCQKQPALGCVYKLVSCDGEPKIKLSQDVSKITIPCKKLCFRVYGKSGNPILDLMTIRGDEDFTHFETDADGNKVEFQSSRTEKYEGSILCRHPFEEGRRCLVSSDCQIHRLHRVYWDGEVKEKLPTLPEIREHVFCELSKLRGDHKRYLNPTPYKVRSD >PPA15695 pep:known supercontig:P_pacificus-5.0:Ppa_Contig303:21581:23965:-1 gene:PPA15695 transcript:PPA15695 gene_biotype:protein_coding transcript_biotype:protein_coding MDDELVEHQSSLSHQIRVGISVQKGAKRECPVCNYSCADLSEYSKHVDGDSHLTKLRALRSRRIAMVDSNIPCMPFKRNSRFDSPAYFPPNMQQPPPPLPPSLHWPPPPIQPIQQVQPSHFNSFRPSLHNTRLAPNHRNGDGPSTTSIHSHQQHHQQNGPSNRKSIYSKDNRKTGQLNNRKGASPKGKKEEVKKKKGGVISKVLSSAAIVKKKKEIVTTPKVTSMENKNKRYESLALKGAGMVMASNKSRTQVMMMERQVKYSLVERRAIEDTRGNGTLSSIPSITAPPPIPFSPPPNLNQLNHLNPRPSFSSPNVSLAPSSISDSTYAFCHPVHSTPHQLHASNWPEFVRGMNALDGDTPSTSTIFPSNNTQSESYVINGKGMNTIKIKEELKDDDEEIYLFSLLVVKNLDVDLMKK >PPA15693 pep:known supercontig:P_pacificus-5.0:Ppa_Contig303:12523:14146:-1 gene:PPA15693 transcript:PPA15693 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHQGGVSNLHSVREEMPVKVLNGSPGYINILDALNGWQMVKELREATGIPSAASFKHVTPAGAAIGIPLSEAEAAACMVSDLSLDTKKPSLAAAYARARGADRMSSFGDMIALSDKCDEATAKIISREVSEGVVAPDYDHAALSILVKKKGGNYTVFKIDPQYLPSETEERTIFGLKLRQKRNNTTINGETFANVVSENKELPKSVVNDLIVATIAIKYAQSNSVCIAHRGQADLSKFLAKTVGYKMVMIIM >PPA15699 pep:known supercontig:P_pacificus-5.0:Ppa_Contig303:43727:46076:1 gene:PPA15699 transcript:PPA15699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hgrs-1 MMMEEENEDWKGMCTQECMHEVTMMDSRIRSNVARGRSIINDSAILPLFEKLTNWHGEVLARMAKLDEDRAHLESLQDHLAHIGEARQAMDALREEHGRRMREEEEERRRQKQAVMSYKLEMMRAKKHEMLMNQRHAALERFQQNEMMHRMGGYGMGGGGGAPQGIPPQYGQYQQPPPSHPSMYQGQPQPYNGDQSGGVQQMQQGHAQMGGMTNGPHGMAYGMQSIPSHPQMEQQQTIHPSHQQYHMSQSDQSMVAPVTQDQSMAPSHLQYAPIPQPDQSLHSLSSTHLQYTPAPVAPPQEEQSNLLISFD >PPA15696 pep:known supercontig:P_pacificus-5.0:Ppa_Contig303:24787:26634:-1 gene:PPA15696 transcript:PPA15696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-wsp-1 MDDTIKTILKAAGQDPSQMSKKTIKFVYDFIEKYQEAPEGQIQNGIGAYPPHQSSWGSSSSSRPSPSISSPLPPAPPSRITSHSPSIAPPSRPPPPPPSSNRPLPFRPQDGPPLPPPIHSSPSAPPPPPPPPPSLPPIASSAPPPPPPPPPPIMGGPPPPSGGSPSSPAPGRKNLLAEIQAGKALKSVGDQQERKSNGADSRDDVMAQWIRQFTEKRKSGGLDTVGGLAGALAKALEERRMNMGMDDDDDDDGDKESDNDWSD >PPA15697 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig303:27118:27399:-1 gene:PPA15697 transcript:PPA15697 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPYRPAAPTAPSSSTTMPFKSTPSIAPNVRPPIPGVSPFNASGITVAHPKEE >PPA15692 pep:known supercontig:P_pacificus-5.0:Ppa_Contig303:4659:6789:1 gene:PPA15692 transcript:PPA15692 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQCGFIWDESTIRDNRAETTIFVPQSKMIHYVSISIKDIHKSLLLPKQWIQWRGVGMRDATRPFLLIETSLMDGGSEFIQPEFINKTVFRSILLFPDREDLVAFVGEYNAWYPLVRIVQCDNDVEIIRGAYGVSCIERKTRETSRDWRKRSSKGRSLENEMQFIPEQQRVENTDEFDKFSENNTDWGRQSNLQRSLSFYGLILGDSAELGVIPSNSVFAYKVVQQKVNRIAGLFKFERIVGEDNELCCTRWLQMTSRRNQFSWNISAFVMANFKKLWRRMWNGMAVKHV >PPA15691 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig303:170:2788:1 gene:PPA15691 transcript:PPA15691 gene_biotype:protein_coding transcript_biotype:protein_coding EFNRVRESREKCDWYLNRLIKLAEMNMRAKLNSAKAKSSSLSDLRASVLGSKPIPIIDPSIEKELATIMGSISLQLKGVVGFARVTPGDVFEVSIKHSTSKWKGKGKTQQDRNQKWDRNQCDLQLVPSAPIDVKVAEVGLFKSRVLCERSFDPCELLSPQPQLLTLNLNSIGSIKLQVVVTWLAPLSTTPSPPSLSSTSLSISSSQSSDSTRKPRVLLREKKRGAAVRAAIKSEWRSSTNILDDLYSDISKTIPSMDEMSSSRGTMSSTRSPLSSINRRSLSLAHLSSLNDSPLSSSSSEDSTRSVQFHLSQLISLSQSIENDWNDMVGLTSLLKRWHRVLQSRSVSRGRSIMDDIDDNVLISSEDDSGVDSLRGVKRDDRRRKREDSSVSLSEDMMNVLNYHLKRIERGLTKLRNLPRGPLVYKSTQLLRALQSERITLSHLLNLSSDPTMNLSNLLIQLDSDPEVHEIWLSTAFPLRANFILPIPQLR >PPA15700 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig303:48978:50799:-1 gene:PPA15700 transcript:PPA15700 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKQGLSTVQAERRPVHSRESQRANSPLSDESPSQSFVKVKEEVLSTLDSSLYPSSPLTLLPSQSLSPFNFKRESIGDDESGIDVNTVVGLAPSESPSSSGAPSNMEDGPIFNSERSSFKLPLPNSTDLSMSFICETASRLLFLSVHWMKDAKHTGLKSSTIESLMKSKWCDVFVLGLMQCSSSSSIQLGPILNSINDHLISCNRMGELSSSKLTSVQEEISRLLQISLLFDTAKLSSIEYAYLKLISFTSSDLPSPHSVSSDARSTNQVACHELYDHLLSSWPHSSDDSSLEESDVLSTSSQSAAIERYSRLLQLLPTLRYDGFIRLFWSNSSSLD >PPA15698 pep:known supercontig:P_pacificus-5.0:Ppa_Contig303:39761:41661:1 gene:PPA15698 transcript:PPA15698 gene_biotype:protein_coding transcript_biotype:protein_coding MMYGSEHWALTESMEERLNVAEMKMVRWIVGIRLRDKVRNDKIRGKIGIAPIVEKIREKRLRCRAEFGLITRKHHCRACGQIFCGTCSSKEMELPLLGIEKNVRVCDSCYEKGPNAGRNTSPKDDPKTKQNTEAAAAKARELKEREEEELQLAIAISQSEAEAKERERKMYTIYNGDTMNGTRADDNSSIAPSESLGYRGTAPSMADNELGVANDDPLAKYLNRDYWEKKTEDNTIKKIEEWKISAPVVTVPPLSESGSRKDSMPSFNLPPTPTVMGDDQAATETIQFCDQVKEQVSI >PPA15702 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3030:899:1461:-1 gene:PPA15702 transcript:PPA15702 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTAAGSSSGIGHATQMAWAKSTKLGCGMKLCDGDKKVLVVCQYIDAGNFMNQNTYDEDQLDAWIKLSHIIMLKLSLIVTNERYVERRDEHEIAGLEQNSSSVS >PPA15701 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3030:59:430:1 gene:PPA15701 transcript:PPA15701 gene_biotype:protein_coding transcript_biotype:protein_coding ALDSCFTMGEWLNVRYLVTDITPVSTLDECKYLCVAMAECAACSFSKSYSCALLGPDTNRRLSSCFAPAVLYERDPTCG >PPA15708 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig304:20591:21583:-1 gene:PPA15708 transcript:PPA15708 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNEGADRIREDLLDGELPIGGGIRLRVSQAELRRQKKVREKKKKFIPRFCPQVWIYCGDAYSSSSPLSWPLPQLPMIQYARADIINRCRFTQGIDVDHLA >PPA15703 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig304:1209:3793:-1 gene:PPA15703 transcript:PPA15703 gene_biotype:protein_coding transcript_biotype:protein_coding MINVLGRSGKGQAAVLVVGGAEESLDAHPGKYGTTVRRIQKFVKKYWGVSPLMVYGTGVFFAYGFMPFRKPLNTVLGAPIPVERIENPTQEQPP >PPA15710 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig304:29026:30797:-1 gene:PPA15710 transcript:PPA15710 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCKCPKKNMTSLFCYEHRVNVCEHCLLDNHSNCVVQTYLQWLSDSDFDPNCTLCSSPMNNGDAPTVRLQCFHVYHWGCVDEWARRLPANTAPAGYKCPQCQTAVFPKPNQTSLLIERLRATLAEANWARAGLGMQLSAEYDRPVAPPPSVVAAKTAIAPAVPHQTPAVAAGNYRASTPATVLSIDDGMAEYSGPIASRSEIGVRKKFGESSEDTRPLLANESYRDADEGANKYKRRPPMEWARGLWRAKYGDGGDRNEGPTGWRKMIFIGFLILLVLATLFMVLSRVISRPGEDDPMFDPMANPNIRVAADESRSFH >PPA15704 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig304:5565:7299:-1 gene:PPA15704 transcript:PPA15704 gene_biotype:protein_coding transcript_biotype:protein_coding MTNILGMKLAPLNTPLRRRLELIFGLAPLVFLYCVWLWWDWESPYKVHKLCASYFPLKFHTTSELPDDQVGNFWVPLRREWLMLHGVINCSKESLRFVLGDSRKGQATLLVVGGAEEALDAHSGKHKLTLLKRKGFIKIALETGAHLVPCFAFGENDVYIQASNKEGTVVRWMQTMVKKWWGVSPILFFGRGIFNYTFGFMPFRTPLNTVLGTPIPVEKVQQPTQIQIDELHSLYIQRLTDLYEEHKEKYGIAADNHLIIR >PPA15707 pep:known supercontig:P_pacificus-5.0:Ppa_Contig304:12947:13979:1 gene:PPA15707 transcript:PPA15707 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEENVSKSPTTAEAANRKRKNNGNPEGSHARRRLFETACEYSNTPPEFDRFENLRISNDEIPCGSHLFSRLLSELKDHKIQLLECVDMECDPTSTEK >PPA15705 pep:known supercontig:P_pacificus-5.0:Ppa_Contig304:8492:9277:-1 gene:PPA15705 transcript:PPA15705 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHAAQGDLNSDSVTTPKKMKTEEAAAPPVQETTKVVGKDECAPCVDKDVVILSQKKILEDQTKKIKDQSEEILTLKARLYDYVIKEKDNEYAETPKKHAHA >PPA15711 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig304:31185:32997:1 gene:PPA15711 transcript:PPA15711 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGHPEKKDEKKEIKVPHGKPKQESLREPAEELNNQLSRIERRIKNRERVQLKEVGSKIKLQSTNDMVGKYKVIDVIAPDSGINEIYVVQHEETQDVNCMKVKTTYLGYYIIALQSLSIETRAEKFPILKTELFILLEIRKKADVKHFCKVYDRGQEERFNYVVLTLAGPSLRNLRRDMPNKKLSLGCGLSVGKQCLQALEELHRIGFVHRDVGPSNFCCGRREFEEERKIYFVDFCFCHQYRDSTTGALKRPRLKPTRYMGSSRHAPRAAYLGMELSRADDLESWFYMFVELIKGGLPWMTFQDPTEIYKYQRKCRTGLEKHEMLGGLPKQIETMMNLIDRLSYYDDPDYGAIYTLIDEAMVKNNVSMKDYCTFSDHGSLEVQEHPYDWELKPGEPAAEGAAAAAPADGAAAPAAPPAAPAAEPAK >PPA15706 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig304:11752:12424:-1 gene:PPA15706 transcript:PPA15706 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRVDRTHSPPNPHTFDHLHYANEAGKKLSKGERYRLNKRLRVNADVATGVAYALKTVGDVKTGASIGGGWYGGLGGATAGATVGSAVPIVGIFVGGLIGVIAGSIYGSNVTEGVAGTLGNC >PPA15709 pep:known supercontig:P_pacificus-5.0:Ppa_Contig304:22798:28176:-1 gene:PPA15709 transcript:PPA15709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nuo-5 MHRLGRSLVARGMQASRRGNASNAPAAAPEKIEVFIDGKKVLVDPGLTILQACALVGVDIPRFCYHDRLSIAGNCRMCLVEVEKSVKPVASCAMPVMKGMKVKTNSELTKKAREGVMEFLLVNHPLDCPICDQGGECDLQDQSVAFGSDRSRHQCGADGKRAVEDKNIGPLVKTVMTRCIQCTRCVRFANEVCGVPDFGTTGRGNEMQIGTYVEKLFATELSGNVIDLCPVGALTSKPYSFTARPWETRKTESVDVMDAVGSNIIVSHRTGDLLRVIPKMNDEVNEEWISDKTRFAIDGLKNQRLMQPMVKGEDGVLRTTNWEEALFTVASKLRQTPADEKAAVVGGMADTESMVALRDLFHRFNSELLLTEEEFPVGATDFRSNYTMPDGLAAVESCDALLLVGTNPRYEAPVLNARIRKSYLYSDVEVGVIGGKTDLTYDYAHLGSNTKALDDVTAGKGDFAQRFLSAKRPMIIVGAAALKGDKGAALLSKLQQLADKIRTSGKADKDVKVLNVLQRLASQTGALDLGYQPGTSAIRKRPVKFLYLLGADEGAVNRQQLAPDAFVVYQGHQGDAGASMADVVLPGAAYTEKDASYVNTEGRSQKGYLAVAPPGDARADWKIIRALSEVAGKALPYDDIKEIRGRLSEIAPHLVRFGDAEETSFESTAAAVSEKSSSPVDVDVTASQSTLADFYMTNAVTRASATMAEARKAALKEKDNEYTETPKKHAHA >PPA15712 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig304:33582:36743:-1 gene:PPA15712 transcript:PPA15712 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEGDVFLQNHRRPRHRAIPVMSPSARAADRMTFKEWLREVASLRKAIKINFRSTDVVHPVLEYLHAAQFDPSSPVLDYPLILHADVFRSYKSIESAVDPSVFVSNTENLFPDATLSLGWTKQANFTLVDEKHKRISWRQLMHILEYVARLDQPVMLSVRLTVAANSKEQLLWLLGMDKQVSLLIWSDDHDEVDDWKPIFDLRRMATKNRVLYDLLEKHRDILQRESADPIEVELTSHDVIDLIDLIQNPPTFSMESWRAVVFSSSSTDLSTVVMSARGPAFLGKPTALLLSHAHPQAFPGEQKIRGKVHFLPKKTGKGAEVDEESGVVIYLVDKIHDLQSPRVDDAIEVFIGYDGRVSIEKKMRRSNMKNKSSETYYDTKATGQAEKSHCYEFTVTDAGWRVSAEVLPVSCSDEKARGKRSLLDLPYLKGLSSLPYLDETDAPPSAYIQLETPLTR >PPA15720 pep:known supercontig:P_pacificus-5.0:Ppa_Contig305:43057:50640:1 gene:PPA15720 transcript:PPA15720 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLKPHPCFYDTSNAHYGTRHQDAQFRATAWQQAANSMGFSGGTQALSQQWKRLKERYTKERREGVAEGEEPLDDGLMAEMAWLDEYVLGGMPAPAAVAPPPSAAVADPRSSSMYYMDGEEDLLGDDFVDQHEVEEEEEGGYTHGLGDDRRGGSPAGSAHSGTGSDVDVGGVAVGGPPPPSTISLGGGGGRPKDSKEGIIGGHGPGGSSRIGMSGARQHPTASSSGAPAPHSYRFVSASSASHQPHHPAEGITVSSRGNGTLIAHPKREGSVGGGSTLTMVIDPTTYYQNGTQKMYRLVNVADLDSSSTTHLQPLQQVQHSQHAPSDRSGLGGGGGGGGGSMMGEPSSSLGFSIGRGTRKRMGAPLSPPSSSHHHHHHSAGGLLGGPSSSSDLASPFKSGIGQLGDDLILDDGI >PPA15715 pep:known supercontig:P_pacificus-5.0:Ppa_Contig305:6002:7277:1 gene:PPA15715 transcript:PPA15715 gene_biotype:protein_coding transcript_biotype:protein_coding MLASFTEYNADTSSEHPDATRSRVVAEADGSRALTDASFDDFEEDDDDFIVRVAAAARSSTTCTTDSADVAGMGREVENLIKENTELLDMKNALNVVKNDLIAQVDELSSWNTPGKV >PPA15718 pep:known supercontig:P_pacificus-5.0:Ppa_Contig305:26297:28444:1 gene:PPA15718 transcript:PPA15718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lin-37 MLQCSADVTFARDRLAVLLQQIKKGDVVVPVVKEQKKKVEEDDGTERRGPGRPRKMTKNDPRQVIFELRGKGFTLRDSDGIESVYSLCRIWYNTRKEDEKVTRDRIARDREAREEEERVAQYDDSLDLLATKEIHALPRPRSPTDIQLWPERSERLDSQIVLTGRAVNEGAVKKAYAAHWKNVKKNWVSHQREVDQRFYRSINLLETVHGIAQQNAL >PPA15717 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig305:20476:23994:1 gene:PPA15717 transcript:PPA15717 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFHFVNCLTLAFAPFFIVYKYSGLNEYTTVWRIARAVLGFFLAQLVKLLALATFFPASDGEGFNILPDLLKSSADVIDVAGMYLMITYFMTGKSEVRYFSTGLGWAAAYSASSRIFIFWSGARGTAFHWRYMQSALDSSSDLILYTAMACLVGIASRSDLPAGAKRLGTMLLAASVFHGFIYQCFFHYLALSSWALVAAKFAFSIALGGGAVVVYSSLNYHAQYYNNKRD >PPA15716 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig305:17771:19354:1 gene:PPA15716 transcript:PPA15716 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHHHHHMMMGGPGGGGQRGMHHRAQITVPPLQHSPTLLGNGSGARSAGGGYSPGLSNQGPSNAFNTSQYDADLALQHFISSHLARMNEDDKALTKLSIQRILLDARFGTGSSLRVCLEDAELQEAAVASEMVAGE >PPA15719 pep:known supercontig:P_pacificus-5.0:Ppa_Contig305:30123:32242:1 gene:PPA15719 transcript:PPA15719 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAADHRAESAITTTAGASAKDAARPAIVSSMAKANCLVPEVAKHERKIQSREKMLWTAITLFIFLVCCQIPHFGIVSTDSADPFYWLRVIMASNRETLMELGISPIVTSGLILRAGAKIIEVGDSSKDRALFSGAQKLFGMLITVGQAIVYVASGLYGEPSDIEAGICLLIVVKLVFAGLIVLLLDDLLQKGYDLGSGISFFIATNICETIVWKAFSPATVNTGRGTEFEGAVIALFHLLATRSDKVRALREAFYRQNLPNLINLMATVLVFAIVIYFHGFRVDLPIKSARYSVQYSSYPIKLFYTSNIPIILQSALVSNLYVISQMLASKFGGNILVNLLGTWSDASGPCALFSKTWIDVSGSSAKDVAKQLKEQAMVM >PPA15714 pep:known supercontig:P_pacificus-5.0:Ppa_Contig305:182:4518:1 gene:PPA15714 transcript:PPA15714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-16 VQNLAASIYREFEQMINSVGEDSVKNLMPLVVNVLEALDLSYLEKEEMSVDLEMLKEDNEQLITQYEREKQLRKAQDNIQKYIEIEDGLIGERKELETKIESLESIMRMLELKAKNATDHSSRLEEREADQKAEFERLHERYNVLLRTHIDHMERTKYLMGSDKFEMMNSMPVPGQQMRGGKLGMATSVDASSIRGVSDLICAHMTQSTTMDVNLANHISNEVDYQDEFGSPSDIETSPREDEEETERRRAAAGYELISLTRAIEAILMNALKAHSCMCL >PPA15723 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3054:23:256:-1 gene:PPA15723 transcript:PPA15723 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAPQEVDSSLSSSSLPDRTSTQPIPPSPTTRFSAFLSRFTRTTDDALPLLELVKEMRSAMKSMQVNHESMRVQLEA >PPA15724 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3058:360:811:1 gene:PPA15724 transcript:PPA15724 gene_biotype:protein_coding transcript_biotype:protein_coding AKRFRKAPCPIGERLAWSLMMPGRSNAHECPHRQALFRDHPPPYWRSYAAAAFRNIKIAECLADELINAAKESPNSYAIKKNDELERIAKFNGYA >PPA15729 pep:known supercontig:P_pacificus-5.0:Ppa_Contig306:31896:34392:-1 gene:PPA15729 transcript:PPA15729 gene_biotype:protein_coding transcript_biotype:protein_coding MVWVKPKYLIFGQPLWSQESSLFVATIDSMLDTRPPPYRIVYEYSKDEESVSIEVAVAIDRKEIFEHWKWINDNLLTTLASFENEKDVRRYVVGKIESLVSMKNYDMTGNADSLDSIGIRTASRKVQRVFGTPESEKVVNYYKCSYWNGSMPAPGDLYLTLHHLLFQSFIMGKEEKIKIKWIDITKLEKEISLTSNLLVVTREKSFVFSMFFNFEEAHDYMKQLVTFAMRQLMEDDGTFNEDDALRQKILLESGRRREEKDHISYLKRDLDARNRSEQYRICNHN >PPA15726 pep:known supercontig:P_pacificus-5.0:Ppa_Contig306:14506:15433:1 gene:PPA15726 transcript:PPA15726 gene_biotype:protein_coding transcript_biotype:protein_coding MMDEFRRKHQSFYRIAMGTQLQQLYLGTEVGIKKVARSFIIPGAVIVLSFALNLPVFFEFTVEPCYDWETHMTTSCPAPTSFRNHFVRYKSILNSLSQTIGPVTAISIMTALTEYKVHTSLRERRKSVFFL >PPA15730 pep:known supercontig:P_pacificus-5.0:Ppa_Contig306:35397:41731:1 gene:PPA15730 transcript:PPA15730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-klp-12 MESSGTSLSSSRGPSDATVQVAVRIRPQGTREKIEGSKICTLVTPGEPQITIGNDRSFTYDQVFDTGTIQREVYEKCVERLVEGALDGYNATVLAYGQTGSGKTFSMGSAFEARTIDEDDLGVIPRAINHVFRRITELKSKAEEEGRIAPSFEVSVQFTELYNEEINDLLDEEGMNTGNIRIHEDSRGEIYMQGVTTKIVTDTLNTLEILKGGSLRRTVASTNMNEQSSRSHAIFSMFIAQKRVPEGVEFNSETEMEMLTAKFHFVDLAGSERLKRTGATGDRAKEGISINCGLLALGNVISALGGNSGKVSHVPYRDSKLTRLLQDSLGGNSRTLMIACISPSDSDFVETLNTLKYANRAKNIKNKVVANQDKSSKLISELRLKITMLENELLEFRQGRRTIGIDGEDALNDQYHENAEVNQLRFRLKALQETNDIMRARNVDLMTAAATAKVYATEGSMSVESAASGESGDGDVVASTIRHYVEEMERMRSNLVESNASAEVLRKELAKWKKNGGRPGTMVEPTMKMNESLIEEAKAEVIKMKKITSDYSSDRPSDVNEEETSNSDVDGDIEDEEDEENEGERECRIIQDDLVDLQNDITIKERLIEELERSERRLTEVRVTYEKKLNELSVRIRTMEAERDRVVSDLMAKEKGNDGKKESEAARRVREDYENRLSDMRREFKKLQSVEKEHKRMQARQLAEQQQLKRYQAEVTEMKKVKVELMKKMKEESKKAQMQRQMDAKRMATQDKEARKRDNRIKQLEMKDKQREVFVKRTTEEMNRLRRQQRTPVSSARTPIQTGSASNRIGIRVNNRADMSKGGGKEAKVEAAAIAFSLKHARVKWTLIEKKLARLVVQRQSVLKLEEELERNMDERRRLIDQIHLFESKFATTTDLSEREVISELVDGCRQKMDIFTVLRFNIQCECGTPK >PPA15727 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig306:19973:21721:1 gene:PPA15727 transcript:PPA15727 gene_biotype:protein_coding transcript_biotype:protein_coding MESLDKVKAYRIVGYSAVSFSVIALLSIALTLPAVYNYGSQIRRATMKDLRVCKEVVNTVYRDVFSIRDMPSRNRTARQSGYDIPEETTHGYTHPSAPISSNYHGSQDGSSGSSLCQGCCLPGTAGPGGAPGRPVPKYGKNLGTPGAPGAAGSPGNPGRPSGGKPCNPTTPPPCKPCPQGKAGAPGPPGPAGNNGRPGSPGPKGPDGGSGGAASPPGAPGKPGRPGKDGRPGAPGKSAQSGRPIPGPPGQPGRPGSKGPAGPAGRPGNDGRPGQNGSRGQPGQSGAPGNDGQAGGPGHDGNSGGSGEKGICPKYCALDGGIFFEDGTRR >PPA15728 pep:known supercontig:P_pacificus-5.0:Ppa_Contig306:22631:26861:-1 gene:PPA15728 transcript:PPA15728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tbc-9 MWMMCSGAAAEMQLNQGYYESILRRKKGVYTLALEEIERDLHRSLPEHAAFQKGPGIDALRRLLTAYAFRNPNIGYCQAMNIVGSVLLLYCKEEEAFWVLVAICERLLPDYYNTKVVGALVDQGVFSELVLSSLPSISNKLSSLGLVDMVALSWFLTIFLSALPLDAAVRIVDLFFYEGAKRSVVDVLNNSYEHFGNAFDKEKVDMLRLRHRVKVVQNFEDSQNITRSVGQDYKLSQSEIEALYKLIRQEYLIASKDRVNISMNNEASLETGVLVQTPYHLDYSLFSSILSRLFPWKTSQVLLTLDKSRSDCLSFHDIVNLLSSLLKGDSIDKMSLLYQCHIPPAWQEKDIEDLAENEEKDVMEAEEGMDATEILMREEKEEGEGEDGRLRSVSEPMKKKDEVSYTLSSFSPRTPSLSDSDWSVGIEDNVVHLVPSTSNLSTTLLESGEQRERKDGEEWSFIF >PPA15725 pep:known supercontig:P_pacificus-5.0:Ppa_Contig306:3057:6250:-1 gene:PPA15725 transcript:PPA15725 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLPDGILLGMGNPLLDLQAQVEKDFLDKWGLKENDAILCDDKHVAMFEELAKNYKVEYIPGGATQNSLRVCQWMLNAPNKTTFFGAIGDDSYGKLLSQKAREAGVNVQYQVTSGVKTGTCAALINGIHRSLCAHLAAANTFKHDHIKKDDHWALVKKAQFYYSAGFFLTVCPEAVVTVATEMCSRNRPVLINLAAPFIPQFFNEPLSKAIYYADYVFGNEDEAAAYAKAAGLETTDLKEIAKHLAGVDKANKERKRVVIFTQGAEPVLVVSLDGVTEVPVDRLPKENIVDTNAAGDAFVGGFLSQFIQGKGDIECVKAGNYAASEIIQQHGCTFPPLCKAREGSEGLIEEDKEDDNDISEVERHSEDSGGIHEDLIDAVARGTEDTEVLREQDRFLPICNISRVMRRMVPESGKMSKESKEAVQEAVSEFISFITSEASDKCIEMCDMIATVYVSHCKKE >PPA15731 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3060:91:732:-1 gene:PPA15731 transcript:PPA15731 gene_biotype:protein_coding transcript_biotype:protein_coding DDGSEMKLAKVDATVHGDLSSKFEVRFADIPRGRDADAIANWLRKKTGPAAVAIESSDDLKAFAEGNTLLPTSRLAPDFTDLTTENIVSFNERFLAGELKQDLMSADV >PPA15735 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig307:20460:21594:1 gene:PPA15735 transcript:PPA15735 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHDHKGRLRSRNAPLRCGATAISTEIDQVPVSHLSLHWPIGNGGNAAPRMDVISDPVNRSIISFLFQLYSIERFPLRPSALVHLLAGGSFPASLSPIGAGSVSALRFSGIA >PPA15734 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig307:16715:19357:-1 gene:PPA15734 transcript:PPA15734 gene_biotype:protein_coding transcript_biotype:protein_coding MWNANTKQSEDCLYLNVFVPGRLDQTKRLAVMVWVFGGGFWSGSSTLDVYDGKIFPTEENIILVTLNYRVSIFGFLYLGTPEAPGNMGLWDQHLALKWVHANIDQFGGDNTRITLFGESAGAASVNMQMLSEKSTPYFHRAIIQSGSATAPWAMETTEPKSEEFLQTNNHSKI >PPA15737 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig307:26168:26600:-1 gene:PPA15737 transcript:PPA15737 gene_biotype:protein_coding transcript_biotype:protein_coding MADIAHANDVIYLRDGSPLFGEELTAPNGKLVTQFFGIPFAEPPVGNLR >PPA15733 pep:known supercontig:P_pacificus-5.0:Ppa_Contig307:10509:15323:-1 gene:PPA15733 transcript:PPA15733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ace-1 MDINKIYKCFMSASHETLRDNEWAPVREFVDFPWVPVVDGDFLIESAQTSLRNGHFKTTQLLAGSNLDESIYFIVYQLGEIFPLKKFFTGRDFIQDRQTWIKGLMDLLPREMVRSKVAVSSIVHEYEPADLPVKPSDWINALDKALGDLQFTCNVNEMALAHTRHGGDTFYYYFSHRASMQTWPAWMGVLHGYEINFIFGEPYNRISYNYTREEQELSSRFMRYWANFARTGDPNKNEDGSYTTDPWPKYNAASMQYMNLTVESDYTAGAGRLGHGPRRKECQFWKAYLPNLMTALDEVELIESDEESSSSTTVPSTTVPVEPTVSSSTLPYPMAADTRSSEASLVTPIVVALSLYGLVLLTAVCLVTAPRFFNRRKTENLPECTCEEEESLGPTIYMSSV >PPA15736 pep:known supercontig:P_pacificus-5.0:Ppa_Contig307:23015:24665:1 gene:PPA15736 transcript:PPA15736 gene_biotype:protein_coding transcript_biotype:protein_coding MFREQKRPSLEVLCKAKDEWLQGKDEGRSVCPATVYKCMRAMGFSYRQLTTRVHIFTNPSLSSLRNYYLKTMADLRTRTGSDSPYFGYLDEIWIYPGMRHNFCWVDSFVEEDPFLAMKIGLTPGIDPEYKKGERLVLVGVFSEEGFIHRKVYRTGRKEDESCRDYHGEMNSDVFEEYAEGAFAELAARARALDKSPVLIMDNASYHSRFLEKVPTKSKTKGMFIEFLERNQISFNRKMKKDELFSEQPIKIISKLDPKQYNRYAVEEIAKKHGSDNGETAKAKVEEIFDSFDTSLAPKYLRHEHVAKGSLTFDHRDIDTHAYIRAQARADVGLDSHPVPLTVSDGDDEGREEGAEEGGEGEEREEEEPQLLDDDGEPLLEELTDDEEEQYSEQF >PPA15738 pep:known supercontig:P_pacificus-5.0:Ppa_Contig307:27517:27893:-1 gene:PPA15738 transcript:PPA15738 gene_biotype:protein_coding transcript_biotype:protein_coding MDCQEPSTSYCEYPVPPSEDEPEPEACRRVPRGSPARRSTVAVTIESIALLLALLVQNA >PPA15739 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3070:543:873:1 gene:PPA15739 transcript:PPA15739 gene_biotype:protein_coding transcript_biotype:protein_coding GLGAKVAMDIEPVKVVKNPDGSLAQAALMQGALSKERKETKIAQQREKDGDNN >PPA15740 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3071:252:964:1 gene:PPA15740 transcript:PPA15740 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPAGRLPDRQNIFTHNKKAYVVYCIAEESLRTSTGYINMDKIVVEFRVKVLSSEPPIFDLSKFSSPNELSNVTLVIGDEKLRVCKDYLSIHSPFFAAMFFGDFAEKEKEEVELKEIIYE >PPA15741 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3073:274:886:1 gene:PPA15741 transcript:PPA15741 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLDPNVRAQDLEDIFREADNGFADQANTQPPVKKARSNLLAKAAPRATPVASTESKIRCLKTREYDLLYELAFMSDVPEDSDPCTFWKAHEQKFPRLANIARRLLSIPPSSIDSERLFSTVGLISSNSRRSRIASATMKKLIFLAAFCRKETFT >PPA15744 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3078:459:882:1 gene:PPA15744 transcript:PPA15744 gene_biotype:protein_coding transcript_biotype:protein_coding VLNGLFVGLIDDVFGGGDDLFRGERRREELVGSENKGTGLCTMGDTLQQRMNGKKTRNEEGGWREERRSEKRKE >PPA15749 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig308:21015:21402:-1 gene:PPA15749 transcript:PPA15749 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTWLEGDIDCKRLAGLKKRADVRRERHLAAPPVLPVRFESDKLESELCAICHSKYPPNQGDEAVDENQQQPEFPWHYCEKICKLWMHDACIAEKHPNIDKCYTCNRVF >PPA15746 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig308:11100:11722:-1 gene:PPA15746 transcript:PPA15746 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIVACTCGEVFTKTNLPLIDNCGHYVCTSHKNDAKPFECSVKADSNSLFIDKVFEDFII >PPA15750 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig308:22545:22797:1 gene:PPA15750 transcript:PPA15750 gene_biotype:protein_coding transcript_biotype:protein_coding MRISLLFSSISHRKKVDVLGLIRLSKPSMKDDINLFVLFSLPFLLPSPSMERMRN >PPA15745 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig308:235:1402:-1 gene:PPA15745 transcript:PPA15745 gene_biotype:protein_coding transcript_biotype:protein_coding MFIAILFYLLLILNVLSSHPIDGPLSLLDTTWHDQFEPVIYRRKPAKKEGSEEDLTASNNHGFDEQGMKDLDEVGSLVGGVRSDVNSLLRPYYSMVEMGAGGNKQGMGSYMHILPDSSEGDTPLYTLGGSFYGGLEPAGVKTGYMTRPFG >PPA15747 pep:known supercontig:P_pacificus-5.0:Ppa_Contig308:13589:16600:1 gene:PPA15747 transcript:PPA15747 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLWVVASVPVAVGRAMEHFAEKFLLAAAQVVSNTENWLCLRIPTSHFLNRFYEKWEYHRERVKHINIPNKPPYPNRCVEDDLVVDSDMADNGVLKPPSPGDIKTVHYIYLVV >PPA15748 pep:known supercontig:P_pacificus-5.0:Ppa_Contig308:17120:19707:-1 gene:PPA15748 transcript:PPA15748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acl-2 MPVDRAHMIFDCQCGNALLIFLVLLVIAYALNARARFVIRCTLLYLTIMLNALVCMAICIPASFFGHACTVVFASMKILSRWTGINVETRYFDKVFSKQQSPCVVICNHQSALDTIVMAHAYPPRGTVMMKRSLAYVPIFNITAWLCSVIFVDRFNREKAMKAVEQCTQVMNKKNLKLWVFPEGTRNRSLDGMLPFKKGAFNVAVQGQIPIVPVVASSYKCFYSHNEHYFDNDGEIIIEIMDPIPTTGLTLDDVPALADEVREKMLVVYERITKEPV >PPA15751 pep:known supercontig:P_pacificus-5.0:Ppa_Contig308:25308:27859:1 gene:PPA15751 transcript:PPA15751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-yif-1 MAQGWDNSWDNQNQWNQPQQDYNQYGNQYGSAYGAPQPPQQQQQQQHQQQQQSYGQSSGPYAEGYGLPQGASQFMADPMFKAAQQFGGQFAEQQKDKLVKYLNPFQLKYYFSVDNAYVGKKLGLILFPFFHSDWAPKFSHDNGPVSAREDINAPDLYIPLMAFLTYVLVSGFVLGTQSRFSPEIFGILTSNALVLSLIENVIINIAKMTLCLLAFIFGGKSIYYAVLAYTSAALVFFLLRTVANFFFDAHYTPDGRKRKGFLILFILITQPFIMWWLTSGVTNFDYKQYEFASKAMGNLGMKDVPMTGEGEVDYEALLKLPK >PPA15752 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3080:144:1389:-1 gene:PPA15752 transcript:PPA15752 gene_biotype:protein_coding transcript_biotype:protein_coding SSLPPPLIHYCLIRDMSYVLYFFEKSFNLIDRTAYKGAPLFGSEIDVKKDGKVIKGMYIDEGTFTEMNAEKDKYDRGEKKIPKKIKNPILRVVEPHESEEELSEDEPLLEALRRLSRPVRRVRVKQERMSVEPERKKHRGNSLPPSTLAPPSTVLPLIPPTTVPPRSTKKAIAVPVLPSSAVAVPSLSTSPSQPGHVTLDTIFEKLVDIQSTLSLLSSRQDRLERKVGDITNDIVGIRHESRGLVEVTESIQKDVSTLTTVIEEVKDRLPPPPKGPQYDQYGLTEEKVADLDDSNDGILIFAGKLDALLFESTHLPHQQRNQDMLRWLLQKQ >PPA15753 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3083:355:684:1 gene:PPA15753 transcript:PPA15753 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSHITSHEDVHFSRVSVEAALALSRFCKAAPVGVPDSERAEMDEFLKKEQMAQEKRRKSREEKMKMGV >PPA15757 pep:known supercontig:P_pacificus-5.0:Ppa_Contig309:41899:46215:1 gene:PPA15757 transcript:PPA15757 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSPEIINDNNTGSPSNPPGDLHPDDAAYEVDGWQRGFDVEFNGVGGRIEVRENDDSSDWESDVSDNEVENRPEGYSALASEPPPQPSDQSSTASDDKSRGELTDQQSSTASAISHMDEETAKSIRNAVAGLKLPAPAWATKMSRKRTRDEVDCEGAKRGVWLVKVPKYLSDIWEANAGSDVGRLNISASNNGKTVVKLKNRQGLIMPDNMEGATAKGGSIPEEHSFIIGDIVNQTMVVLGEDKKGLNEDINIRTGSLSLEGRVVKRAECRPPDSLAYLKMKIGHIEKSGQPKRHIQTIDKAAVKYKPVTMHDEDIARMKQKKEGIKTVRMDKDVLRQNIFMAFEKHEYYRLQDLQQLMNQPASYVKEILQEIAVYNSQPPHKSMWNLKPEYRKAPFILRIARRMVHFSVGLSTQIGRVGSISKWGNAQLTQQTPSFMVYTRSGHIPHLTWEVVDTQLRLNQTPIYQMTLPSLIEMVGQIAVYKGDARGFCGMPRDSILHLSVLDPLTVSVPSLIKWCREMEVNSVDSLLDYEFTPETTLKRMNKAVDRTNQWLESIREEVIVDSIESVRREYSENMSRIFLPG >PPA15762 pep:known supercontig:P_pacificus-5.0:Ppa_Contig309:61752:67057:1 gene:PPA15762 transcript:PPA15762 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLRKGQVIGAITNKISGIRMAHSVVDKVIFFDVVPNLHSLVLNCSKIVDNRRNLFFIDKIDLHEKKDELRSLVQYLKDTDTEIPDELTQFDQSPDSMDTNNGKEQKKTKRRPKLQLDDLATRLINSSVGENYEEVCIKNVFNDIMDAVPAKIVGNLKGMGITQLLPTQRYTLPLLAEHDTDLFVGAATGNGKTLSFLIPIVVKLLRENERTSVTNHPSALVIANTQVYLDKLQYFIYDEADKMTQMGAFRNEVAEISEFIPPEIKKDVEGQGRTLFDKRKIPYLHKTVVFVCRKIRCNFLAAFLGMYGYSVGVANSDLSLKMRNDIIQRFCNGEMQALIATDSMARGHDIPNVTHVINYDMDDNALDTFKHRAGRTARIGHKGICTTLLSRSQFLLFDNPRFNITEMDPRRKRAAEFAHNELISWNLFEIENCDPKAVLRTFGYNVDDEEITHPTPHVTKRKKSLNTQEKKDESNVKVPPKLVIEMDEYGTPIVDASETEREISEDENEESDEEKEKEKEEDDDGDWGGVTIDESEYDEANDSNETMVDYMDDSPPTGPLRWTDDEDDIVHNNPLDELKENYMKQTMEKEEEMGIIEEQKENNKEEKEEQKEDKDEWIVGRIHLINNLKSFFAH >PPA15761 pep:known supercontig:P_pacificus-5.0:Ppa_Contig309:59456:60510:1 gene:PPA15761 transcript:PPA15761 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQYLVIFEMEELADAGGDYSRIITNQRKEKNFTLIMQTHTYFSDRKNDSELSKMQHLRAIIEEHAKNKKNTDFNPEGDPKHILYLERYT >PPA15755 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig309:8679:9578:1 gene:PPA15755 transcript:PPA15755 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEQAQVRQNTRGNEFHSLDDVYYSGGQQAHEQVAIEKHVAENDREIDMEVGDLLGIAGNHWDGFSKGTNRRTGKVGMLKDGMKHDELEIEN >PPA15759 pep:known supercontig:P_pacificus-5.0:Ppa_Contig309:51600:54779:-1 gene:PPA15759 transcript:PPA15759 gene_biotype:protein_coding transcript_biotype:protein_coding MPEWRRPTTESFEAFKKARDVNPVLIENAAKRSPMEVLKSMTQMESNAKECLAKFDLENGLIYYWRATNIGIEFLKRTKLKKGDNIYNRISVIVTFCLGESEKLSPVLENLYVKSAVSKDEKGVATAVHLLKIREKDYELISPRTIVQSLAKSQDLKYLLIDIRESSGEQVRYEPNESALTILNFPKSIFPKGMTLNNMLKILPSQDKHKLHRISEYNVVIVMGRETDEDRESLTAIITAFGVYNSGLKLQKDVCIMEGGFNAWKKYVSGVTRKQTYVDSDDLTELILNFRKKSSISDQPLYPDISSPSKSPIESVTPPSPTPPPLRDSPPPPIPSIPSTTSTSSVPIVPSISDAKRYPSNGGGIPSEPSLPHSSSSSRISSIVYPTTVLPPASDNQNIPRPSPIPTPRIPNIPGRELKPQSQMGRASAGGSTYSLIPSIDRSDKPSARQAMRSNKMGTNGVMVAAISALFDLMWSGQFQALRITRFISLFSSEVNSTLADGRQHDAHEFQTFLLDSLHEDTNFGQRKGFEQNYKGGSMILAEGADFERKNKGFANSPIQAIFYLQTVSELQCQTCGETSATFEVIN >PPA15756 pep:known supercontig:P_pacificus-5.0:Ppa_Contig309:37723:39599:-1 gene:PPA15756 transcript:PPA15756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lin-40 MAQNMYRVGDYVYFEISSAAPYQIRKIEELSKNAAGQVEAKVMCFYRRREISSALLKISDQAERKFTEFFEVSSMPDGYVHSGHVVASINGKKEDENGVKIENGENGSCEKEKEEDTPTGDWGLGGLPIGAEKLNDAGRHKLRLRELFVSRNMETLPATHIRGKCSVTLLNEVETIDSYERPDAFFYSLMFDPGQSTLLADKGAIRVGDKYQCVVPEKEEERKEEGEEEKIKETKKEEDDEDENGVLMIDEEEDKKDDKEDGEGEDGDEKRNDYGNRDEREHHGKNN >PPA15760 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig309:55111:57130:1 gene:PPA15760 transcript:PPA15760 gene_biotype:protein_coding transcript_biotype:protein_coding MTSESILEAFDGAQLAKNLEDLKESGELPHDNLNDSEEEENESFDSEEKLPEYDTLIVAPPGKAQIEALCIPIINKIMLSLKEDFQNTDKRPRAVILSSNDHERTKIEEVIRLLTRGPVTKGKMRDKDAVPQKHQPTVREGKSSKRLWNV >PPA15758 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig309:47020:47960:1 gene:PPA15758 transcript:PPA15758 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVKLDRRYDWVGPPDQVSKIRYIKLRRVDGETSEEAKYREEREALNEWNSKFWAEHNQLFDKKKSEFVEQKRKEISRLDSVSASDMSGFYKEFLEIRRVPLADYNREWYRRNLSLIWPAVRVNMHRLIRMLKR >PPA15754 pep:known supercontig:P_pacificus-5.0:Ppa_Contig309:3771:7110:1 gene:PPA15754 transcript:PPA15754 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fut-8 MRNIVALAIFTWIIALIYFMNNIMYVQKKEGLDEGMIDKLRAALEGIEELKEQNVKLKSLAEEEKKEHTENVRLLNEILHQKKREGKLSEWKEKEREGKEKEEKNEKMKSQLYSSDHEKLRKMLDDRIIEAFFYAKKYVGDNKTDRKSVANHLENQLLSLIGLSYQFSEVDQAEEWRRKSLANLTQHIQTTIDRIQNPSNCSKAKILVCNLDKQCGFGCQLHHVAYCFVVAFGEGRTLVMEGDGKEWRYSHNGWTSTFLPVTSCTVKDVIAGSGPVNWESDRSARVVSLPIVDSLRILPSYLPLSFPSSISDQLIKFHTNPPAFFIAQFEE >PPA15763 pep:known supercontig:P_pacificus-5.0:Ppa_Contig309:73727:77677:-1 gene:PPA15763 transcript:PPA15763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-70 ERLEQLRHAAVHLADDKPEFHGTIDPQIEELATQWEQLEKTTEEKGQKLFDANRQQLYVQSIADMKEWAQQLEQEMVREDQPSDLTTVNVAMQKQQMIETEMVKRAAHIDQLMAMEPQLEEMHPETLEDIKAHRLAVQEQLQRLQAPLDDRRRLLERRKNAFQFGRDVDDEKIWIAERINAARAKNLGDSLPDCHRLQKNTQSLQAEIDNHEQWIESICNNGRELIDAGHENSAAFELRISELRDAWKELRDAIAERKERLGESEKAHQFLHDCGEAEAWMSEQELYMMQDERGKDEFSTRNQIKKHERLQNDIDKFANNIRDLSGKAQNFMDENSPLSEQIALRQAQIEKLYAGLQDLSKERRKRLEETLELYALHREIDDLLQWIADKEVVAGSQENGQDYEHERFQQFARDTENIGSERVSNANEGCDRLISDGHSDAPTIALWKDSLNEAWENLLELMDTRAQILESSRLFHKFLHDCRDCLSRIVEKSHAMPDDLGRDSSSVGALSRKHNNFLKDIEAIGEQVRQIQRDALALRDSYAGDRSMEIGQREGEVVKAWNELRGSCESRSMRLGDTSDLFRFLNMVRDLLAWMDEVKREMNTHERPRDVSGVELLMNNHQSLKAEVDAREENFSACIALGRDLLNRKHYASSEIEKKLIRLTTERAEMMRKWEDRWEYLQLILEVYQFARDAAVAETWLMAQEPYLVSREYGRNLEETIKLIKKHEAFEKSASAQEERFLALEKLTTVCNY >PPA15764 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3094:142:442:1 gene:PPA15764 transcript:PPA15764 gene_biotype:protein_coding transcript_biotype:protein_coding TQSTLEHAGRIATPVRFRRIRKYIEPEPEDQLYSWNFDEQYVYSGDYKCLVVHRHQLDAMSLLKWVLDGL >PPA15915 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:797030:797750:-1 gene:PPA15915 transcript:PPA15915 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLSCLPSCYRTQSKVGPISIIAPLPLPISSIPSVSSHVEDTLSARQWVPSEGTHFPHLFGEWKVVYEEKQREKDEANKE >PPA15950 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:925217:927387:1 gene:PPA15950 transcript:PPA15950 gene_biotype:protein_coding transcript_biotype:protein_coding MANPTREKSLSCLENLVRDFDRLVVGDKNAKLRKSTGRPLFVAKIFFDAVRLLKESDALLRIDPSMANRKSCLVISAFLSSFFNDFLSKLPNDFLNNIESHMAEAGVPKQSLMIHIRNQVLPQIIIDRETQRKTSRRFLDVQRLARHKDTGVDLDIDEEDVKHIQTMTKEEAVLMIQSFERVYQAISRRDYLRHVMQKAMDLRSEKKKMDPIIAVIKIQARTRGYFTRKKVREMREQEHEILGISVRGTLLQTQPIPDRLCPRQYSTEKEAERGIQSTASSIQSLLEEIKSKYFEISDDRRFDGSQAAATFDEQLENLYGDLYLRRVVANPSRLMSMDVLVTQRATCTLGGNTFSFDLKNLIYLLAVIPMRGFINIF >PPA15872 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:576215:577436:-1 gene:PPA15872 transcript:PPA15872 gene_biotype:protein_coding transcript_biotype:protein_coding MQASEAYILVMSPRGRMNQNRDGEWKDATLYYVPYHDDDPFHRNSIHVYSNLADSMYYYGPYEGRSPVIFQEVLDRLPKICDRYKVPMRDLFKILAEEGKETDMSDGTHKYDEGNLHDGIVRAANLISPLLQIEPGFMLTANPIEQHKTAFSKDKPLTPVLVYKCPENETDGEKIFATIGSHNRIKKELIFFDEQCVEKNVKDSEGYKYLELDVIQSGAHRISSHYPTMAMIIAIIPLLMAL >PPA15840 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:427384:431499:1 gene:PPA15840 transcript:PPA15840 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGLIKGEDRVNRCADYCSYSGVRVRALDHGANCGDFERLECVGCRHVLPFHSYQALGYHLTLRHACGTPDKPNNGWWNCLLCFEVGVIIYSSKYKHRLHIPFARHDAATLNSMIQALPFHGGVTNTGEALFEAVRVLERERPQRVAVITMTDGYSWDALDRGSAQIRSIPGTTTYAVALEDIVLKKELDILAGSPERVFIHADSCERLAQVLASGFRKITPSPQSSNRYSSATIGNEDETHAHFDDHIFIVDGATAHTPDESVAIFDPSPISAPKSRNYWESQHNNLPIPRRNQVEQCALDVVFAFDSTSHAGLPALLAASQTLLHSRVFKKRTVRIGAVKFSDSMDSRIVFALE >PPA15858 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:506514:507742:1 gene:PPA15858 transcript:PPA15858 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCLVRVPTGLYVVPEWGAGGLKTGPGAVGGDVSIDDEMGIRRRGVVIANGTKKDMQRDKAGMEGRDTADDFDDADDDAGTSTRRIRVTRSMSNSSSALGSPSPRRARRASPCAATRRPDTPRTTKATSSRGSNGRGRGRGSASRVNAGPRPVIPPTPITPMQPISVLPSGSSTSISSGSFSTSPLSMAPPPGISLIPSLPPAHPVSSSDPVVSHLLTEVSRLSQTIHGTKDKLDDVAKSLEKVEIEVNKLAANTASRPKFQYAPYSTKESIDEMGGGQVSRGHLSSSGKGTVEQWRVEH >PPA15962 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:974349:974879:1 gene:PPA15962 transcript:PPA15962 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYYPFAEDQFSRGVKDPLVTLNPYVLTSRTPLNTIISFVIAVFAGVSFGLVRINEEWIVVCAVCKIGWFRPREPE >PPA15998 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:1157983:1161098:1 gene:PPA15998 transcript:PPA15998 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSQSPVRCSDVVEATAAADAAQVQTDQLGTYLTKRSCRRSYMGLKPLQVAALEMATLRLEAWRSDESLAAEKIAKWPSNFVRERLSPRTRSLESLTEVSCDVEKQSETDHAAIAARLTKSAAACTKRIDPLRNAHFANRPKRSTGIFNLTVLLEDEENDTESAPGANLLESPTLGMQRSISADLLVRKE >PPA15920 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:811112:811952:-1 gene:PPA15920 transcript:PPA15920 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLPSPPLSPRPSPPSGEPLPKEDDESSSRPWTPPTPEIRIRPPYRSFPTTMLLEKDNSSNEEGEFSLALIRHTIAMEALYDEITSLEIELDMCSCQETYSEEECGRQHNLYTEINCLKRRYKLMEAIEDVDFPILPHKLP >PPA15870 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:565806:567783:1 gene:PPA15870 transcript:PPA15870 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAYGWRSIYYAIGGIIAGSTALWAIVYRDDPRTSPATAIEVVHIQRGKEKKEGRVSVPYRRILSDYRIYALWAAALVDTAASIMLMTYSSKFFAKLGFDSTGTALATSLPGYAFIVGKILTGILSDAIKIVSETTKIRICTFISLQLSAFVLLAIALTIEGDKSIQVAFQVIFQFLIGANVGAFYKGGVLMSGPYAFFVIGNVQLFKSLSSLIEPLLFGWIVSNNELSEWQTFYYIHVGVLTLGTIIYIPFVTTKNRYMDDDKQQGSDDSEEASEHYKL >PPA15965 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:982306:983483:1 gene:PPA15965 transcript:PPA15965 gene_biotype:protein_coding transcript_biotype:protein_coding MIWSGRLPILHLIHCDGYFCDGNRVNSPEDGRRYQGQKCALHNYVKDWHTAGALAVGGCCRVGVPELTKIRNAIYKINGVTEPPVRFRPSPSTIHPEGWFDPWEDSDDNEQEDFDKHEESIVQVMEIEKEVLLLSQLPSPNKVDDTTNLESELEDGELPDMIN >PPA15765 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:5433:16218:-1 gene:PPA15765 transcript:PPA15765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-set-18 MIKMGYQKAVILRKIIFDSPFAHQLLNPLIDNYCSFCLRFPEEGKLLTCAGCHFSKYCNVECQKKGWAAHKLECRRLKKVFPNLPMTEVLFLSKIIDRVLFLSEKSDEFGWEKERTFEEDIEKDGPKMKHFEKILVKMTTFRAEEMVSREVFFEIFCKTSINSHSIHSNAGIEIGMALDLGISKYNHSCRPSCAMVFDGVRVFFRPLIPDVQVDDLDKSFISYIDVGRSRYRRRIDLKAKWYFDCECERCSDPDDNRLTALKCPKEGCEGMILTAEDEEPMDIACPECSAVLDESKVKEGQELMKRLPPSFDPKCPAETVQEFLDQATAVLHPSNVYLCFPKADRHVAYSLLNVVKSLIKTGKRKEAVIYSYEAMTILEVCLGLEHPYYLQSLALWTYLQNDSKKSDEELINLTRYGDNKPINISKLLEVTTPQLQQLAISEDRPDIDISDPNQNCCGGTPMDPDHCLGHNKILGYVALDKRRVKNVFMWNLPDGRERQVTFENRDVIAFYWTGIKDFILFISDNDGDENTMMFKQNVSEKALPSNRTIVYQKKGVMANILANDVDKSYVLLAINDANPALVGFDESNKNLYWIWGEKSNLGKLITAPLEDFSKRRVLYSPTRAELDFIFTSPQHYTILAISEYYHRPEFVFLYNRSKKTAEFLLNLNPDLKVNYRGSTGFGKTLTNAGNGEWSRKMHNDLIDAVEFVIAKGISNRSDVAIMGISYGGYATLVGLTFTPDFFACGVDIVGPMTGGDPHTVEGKRELEARSPLFKADQVKKPVMIMQGANDPRVKQNESEQFVSALKNHSIPFTYVLYPDEGHGFRRNPNSMAMFGLVEKFLHKCLGGDYQPFTSGQYNESAIIEEEHYAMNIEQKGNKH >PPA15910 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:766234:771610:-1 gene:PPA15910 transcript:PPA15910 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLQTDGLVISTSVADGTEDVPFTTYTYRVVGCYHTNEGQYIEYGDVLASQSLFDSCQKTYEFSVQGFEEIGFRIDIKKAENQDVNCYNNGKPKNLVETFHLTASHFPFFVSTREDGRGREQFVEEYEKYYGVKITHLDLPGVTGPEPVLGTAIDPPEFLFIAPLHMQTSNENYQANSKFALVFTACKLLKIMNHTKEEWSDPICSLVDMSTTFSWSSRGHNQESRSDNGILGTPSCNIDQFVERSVPSLNRSPQGWNPFLQQSFSAALEIRQEARFLEERMMGSIESEIVHAKHLKKRQDSIKYVSRPSIVGGRSPALFCVKRIDHDSGVATLEAVDPYVYYGMEQLRFIELDGEADNLHSFMSGIDLGEVASGDLVWVNETTLVGNERRSQGKPFFKVRRCTFVQRAEPLMNTGKNKRWTIDSGWKGKGEGGSHRVPASDEAIQTLFHFVFEESKVQEDIHPMKIEKVQGPTMKIIRQRAQVEKMDLTAELPKLKTVLELIQLGISGLMREELKLLDLAPRVITLQFLTKTLRNRGIFRSEIIGKVKEDGWSEGEMLTVLSNNGTFGATILISRFAEDNQIIEIVVDLEDGDLPEGEVTATLVEPSPNPSHVCVQRGVVIKGRGEEILKTIYDCVNEQPEIAHRQARDIANVAGVEWDPEQGEFIILSSSLRNSLVFLDAGPGCGKTTVLGESVHQTVINNPDVLVLVLAITNTAVGVATEKIQQPANRSSSNTRAIRVIFRHDEESTETDLSNVILRSTDGQLRKSVRNLRELMKSMNNANRDESTKVRFSSQILQFKDMEGAFRCITKNSLERVIKVERPNVLCMTIDTLLKAMKDDEMKPVFGKPKKNALTEYIKSKKEIVIFVDEASQVPEASLLALTDALPQACQRYCGDPRQLPPFSCLNEPSFYTDFGAYSVAKVLKRSRKVLRVTLRNCRRMDPGVGAIPSSLFYGGSLLFTRERSRGLLPELFKGNEPVLFVELEGQAEEVSASGSRFNLEELEIVDRLTNRMKNELHEYSLRVITFYKEQKDRMKMKNPSLTVGTVDASQGSEADIVILMTTRTSGEPEFVSQNCRLNVALTRAKKALIIIGRQQTLIQHESWKKIIHYIKLNGRIELASSFI >PPA15776 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:72477:73868:1 gene:PPA15776 transcript:PPA15776 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFAGLYVTLVFIVVCILMAICYNWRRFVQEFDEVYPEEDVDAQDEEETPLHDGPRLYRTDKEIIQLLKDNMLA >PPA15844 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:442602:443809:1 gene:PPA15844 transcript:PPA15844 gene_biotype:protein_coding transcript_biotype:protein_coding MNNAMLLHRLASLRQVTQAASIHTHVKTIAQLSSKYEKDEAKENWLKEQGDGGSVKYTRNGSNPDLGQVTFHHKEKLNAFTGAMMHDFSLAVREAVKDERTRCVVVRGENGNFCSGGELNFVEKM >PPA15905 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:743777:745410:-1 gene:PPA15905 transcript:PPA15905 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRMIPRKEMKKRRMEALPLKKSKTTTTPEKRSRLLNLSTNSSDDKRGVSTIIYFQLSSNGSDDSAMEEEEPEEEGSENGEETKAESSEKYDGEEEAEEIPDKEKSEKAENDQSERKRDSDSELMSNVVVAKVPSVRQRRLNKLLEIDMAFAPVPSDSSSSKRSLRNRGAKATPAAAPPAKRARVVTPPKAKKALVAKRGCTEMGYDDAEDGASDDNWRNDLKVITFPAAVAPPLPKKGRGSNVKACPVKKEHVQKLPKESPDKLIANH >PPA15835 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:396941:398387:1 gene:PPA15835 transcript:PPA15835 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEDDDLRVLIETTTQPTTTTTQPTTTTSTTTVVPTTSFVGTVPVTQNIRVIDDPPVIHISATPSNDPQSLADAPSDQTTQPPPPPPPSSPSEPSEGYDVPSTSTSAFVPPAPAPPLSDAPITTTEVPPPPPPPVSTGSTTTTTPRPTPSPATPTSPPQPIPRPQPHPSSVVVPPRPQPHPAHPSPNQSDGDSPPIVPDLLFVVDASGSMKTAWSRQLQCVEKIARPAAGRSKGSGALSGNCQARPKKIRIFQR >PPA15913 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:792799:793415:-1 gene:PPA15913 transcript:PPA15913 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLRALSHVDLDGRPCYSLSFPLHSMALHAGIIVIAILVGAGTVLVVIDTLTEYGIHRYINKLFNVCPVQTVCYGVSDFIYYCILPVHHNNLISRSCS >PPA15831 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:379585:381546:-1 gene:PPA15831 transcript:PPA15831 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGDVIIIREDDESPFLDDCEEYSEDEEEDEEDEEDKEEEEEEKKEMTDGERLVTNLNEMKRNEIRQRAYSGFKFQQYMTSEEPEGKATPEKRLRIRSFLTVMTDEPIELKSTFAEGFCSKIHTFLHCNFGNMETFVTGQRDENYITTRAFERKTSHLKNDFTGYEGDSQADEQCFSFLYDVLSMTKQVLSRAKACRFVYMPKSGIIQFEPITIKEARKRGHDFPEEFKSRFDRSLKPYGLAL >PPA15988 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:1123672:1125366:-1 gene:PPA15988 transcript:PPA15988 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIPLKTSNVEKAHKSRSRRAENEHAHTREVILTRAQELNDGEKMPLEIDENTGSRPDYRKKSRTRRENGEIVMGKAQEIHRGARVERTVSSEEASNTLVKAKELNDGERTPVDTQPSQSQMPDKVKAHKKRTARHLNQVAVEENPIKRIVNAEDEDSVERREDTSEWKRENEEDYDDGGNSK >PPA15795 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:177256:177825:1 gene:PPA15795 transcript:PPA15795 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFCSKEASDRDLMKRLRIWHSFQQFDRSEDKYEKDFKLDRAVHSKTSRERCRKEVYVIEDKKKKNKEMIEQFGSIFVNSPSQVSEEEYI >PPA15787 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:139716:151103:1 gene:PPA15787 transcript:PPA15787 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acn-1 MFERFDFSVKTFRSSAAVPHHQPVSGSTAPRIRAGDQIVHHGADSFADYDVLHPVEATHGEPAPATAAPTPAPEPQPEPEPTPEPEPATVTAAAAAAAASDVEEAPRARALSSKNLHATDGPKEHAAHHDHHHHHEEPTITEEADSPFEDSGFAPVKGPQGAPPPETAPEPAPEPHPEPEPEPEPKPEPAAHAPTHAVKEEQKETVEELPVPLPEPAIKFDNIESEDYDIGTEEVKAPDTIDNEIIAGLVEKFLNQGGDAPADGKSTPAPKSFANPQAQAMINSSPYWTTENLEAPGSVKDESEAREWMKGYAEEARKMLHLVTKSGWMYFTDASPTLNRALNEAEEVMARFVRATAQQAKQFDTSAFADEALKKQFGYVTFEGMSTLNVADAEAFSTANSNMNKMAADVTVCDLDLPPPCALKKIDLESIARTDKDANRLGHLYTTFNQNVAALKGNYQKIIELTNKGAKMNGFGSGSSMWLSSFDLSTKDTPPQFDVMKKLNEVFKQVSPFYKQLHAYMRRQIAGLYKNPEGLTKDLAIPAHLFGTLEGGDWSAHYLDTRPYDDEDSVPEEILAAFHGQNITQKEMFVKAYRYFKAVGFPKLPKSFWTNSVFARVWSKDMICNPPAAIDMRDGEEFRVKMCAQLGDTDFKQAHSLLAQTFYQFLYRKQPFSFREAANPSFNEAIANVFSILSSNTDYLFSQSLIAPDSLVPKESQVINRLYKEALTHFVKLPFDLAADEWRIEYFNGKTVPSKLNERWWQLRERYEGVRHVSPTVNASTLDALINTAIVQEHSPATRQMITYVMQFQILKALCPEGSILSEGCILSEDTTGKLREAMEKGSSINWLEALKIVTGKGELDVAPLLEYYEPLINWLQNTNEVDQVIVGWDGEGVRFSSEEVPAPRADGGSGIGGGSSAILSEDRVAYPGGDCANGQECLLESVCDGKVCVCAPHLYTLTIGNTINCVPGNPADKGFGDGKGGLVIGLFPSESTTTSAPSTTTKPKNYRSRKAASSAFGIVPLLALVCAFLF >PPA15784 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:100450:101115:1 gene:PPA15784 transcript:PPA15784 gene_biotype:protein_coding transcript_biotype:protein_coding MVYILNPEHDKMTIDRATGRLNGIAQSTSDKYRLNLFSVGLTHFQTRIQSP >PPA15911 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:776617:777232:1 gene:PPA15911 transcript:PPA15911 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPSSPRPSHPSGQPLPKDDDQPTDQPSPAELYARPQTLEELRAQIRSLYPVTPPPSPIRRSYRSRQTAATSSCPNITEFAQVRHAREMDVLFPLIVSLKFDLEMCKNEYATEDCVRQKNLFDKMRCYVSKYKELQKMEDLEYPIRFVKPLKKSMRRRSHSH >PPA15896 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:692071:696346:-1 gene:PPA15896 transcript:PPA15896 gene_biotype:protein_coding transcript_biotype:protein_coding MSADLVLRELNHLRSMRHPNLVRFLESYTVSNEEETPETPEQMESLSVPRNATCRHFPDQSYRQWLVLNESRKELDKRVAQCIALPQLTRKFGKMPHQIHGPQPFIVLKGYEHYQAIEALVHWNGPLDKKGCLQTKRLFILSCELILVQRRKSLKIKKKLCVRGDINCGAQLCEFTSEFLRSFQPVKILGIGGYGCVFEAEKSIDKRVKWKRAVKRIALKGRPQDVDNALREVEALVKLDHPGIVRFHNAWKEMPPAGWQRYSDKNLFAEELDISELTNRFFSYRDDSIFLYIEMELCDSTLAQWLFDNTVRVLDRSKMWFRQIVSAVDYIHELQYIHRDLKPSNILFAGPDRLKICDLGIVTNRLIINEDGDREISKSRSFAVGTPMYMAPEQRGIAGYSSKVDIFALGLIFAQLCVVMTMQQAMKVFDDYREGKTTSIIDFPPGVGEFVAWLTNVRDSERPECKDILKHPFLVYN >PPA15917 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:801080:801875:-1 gene:PPA15917 transcript:PPA15917 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKWEKIRKWSWRRQPNEPATSEVPKKDSLPPVTQPPQYKKEVETPPTMGDTTHPLPKEEKKEVGGRVLGDTANPTLKEEKNAEDEPVAEYEGSESQKAHFDELYRCWDEKSPAQAQQELTARQTAALEVQKAHFDELYSHWDEVHEKYERNKKESNQ >PPA15996 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:1145479:1151647:-1 gene:PPA15996 transcript:PPA15996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gcy-9 MEGKRLLLYAVLLGSCCGVAGIKADNYDDGLRRDSGGFVVIGHLQPNNPNIAHEPDILRIVATRESCNRYSGVENAAYLHYMRNATIYFGPGCNMEMLVIGRLAPRWNVPIIAHMSGDDALADRREFPSLGSIAVVRPTKDHERLSVHALINICREKGIKVNDVFEVDPYASADQIISNGIPDEISSNARIIVVEMGMDLHAATQFMLAVKKQMMKNADYVYVLPWLAHIADHYPWEASNLDKQEVKAAFESSIIITAHGYDRKFFDEFQDRFSKKTGIISTHYATLNYMSLYDALFLYGLALRDAFEETLDYNVHKNGTTGQVLINNKAIRVPSYAVYYASNGTLDIVVELEAKLGDRNECAKNADLCSEHLAHEMKQFYWRSHNGMFPTDEPGCGFTGSKCDYTIYYVLAGILASISVIVPLAYFIYIKQKEKQLYDMTWRIPRDTIRLVDGLRGKSENSLASKSISTSGSLSDSHTSSQNKKNLISAKQALCNGVALAVKRFTQTRNITFPKHELKILKELKLLENENLNKFYGISFNQQNEFIVAWLLCSRGSLEDILFNDEMKLGHNFQVSFAKDIVKGLTFLHSSPILYHGMLCLQNCLVDSNWTVKLSNFQTERIIAEKLANAEVKPYHPEGETVDPDADKERFMDRIADHGGHAAEYIQQAPEFIREIVTNGTIPPGSQNADIYSLGMVVYQILFRVTPFHERGKSTEKLMEMLAMTNDDDQLIRPSFPSSNAGEEGFNLQLLSCLEACWLEIPEMRPNIKKVRTMINANLRSKGKGSLVDQMMKMMEEYTVNLENLVKDRTAMLEEAQKQADRLLNNMLPRPVADDLKVGKPVLPQLYACATVLFSDIRGFTRISSTSTPLQA >PPA15937 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:874171:874471:-1 gene:PPA15937 transcript:PPA15937 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRVYILLLAILVAYVYAQVCQDAAADCRCKLGLCTNQMYRTLMTRMCNLSCGICTATGK >PPA15825 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:341386:344091:-1 gene:PPA15825 transcript:PPA15825 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLVRCKNLRKRQNVHSYLPNSMYKRLNKVMNSFYDRIYNALAGKNAHSGEEVEAVRIQAQRIHEAITENWDRKRPEWLLLLLYQLCENLNERMGSAPILDLFLAETASASGKTMHSIESVEEQCNPISSVSQEQVLFAINYTIAYLEKPEKLIAARRKERTLSDIVRDYRCGQVEHTMKSTRDLAIVIDPEMDRKEQLIEDQLKDDILVTRNERMARRIASLMQAKPHSKMFAAVGTGHFFGPRNILDHLNNIGYVVAPVAETDFV >PPA15944 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:902604:903033:1 gene:PPA15944 transcript:PPA15944 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPQWFDWTEFNMFGKAPVNNPNNVNTMENIDEQLDVVTIPQYDDNEDDIEVVVNPRRPGSPSIWDLSREENEFYWGARGRTKPSPGEPIPMKVYVPRYRQ >PPA15867 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:560268:560632:-1 gene:PPA15867 transcript:PPA15867 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEVLRLVRDVDGKEMIAVCTQMMRTNRLKTGWQLNEVELGVIFQWVHDMWNSQHNVHLNVTDALSIAESFRLTPARTCEILSFLCCNEYFVKVIERSRTD >PPA15973 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:1027093:1032429:1 gene:PPA15973 transcript:PPA15973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cwp-5 MNIAKQVTKTKGVNEINTQIFTLPVLTGYVVGNAQTREIAIVCRGNEFFSVANHTGDLILGDPSNEEGCVFITNDDYMMHFGPCATAAVVLCSQPEDSNDQCSVAPTCPSTTTDASGLDNCEELDFNNNNNNNTEHGTPVQKVEHDDFSADSIPDAKTTLLPSSSTSASGGAGGASSTTQSSSTECRKGGLMMFGWCVPWWLLATAIALAALAILFLVCCLCCWGGCCCKKKTKAAVVRDVERGPEVLKHTKAVQVDPPVEEPKITLPPPVMQPAAIIPAPIPIDYMPDKPATEDKEIMTDPWEVPEPVIIYRDREPEPKVEYDREERMVLPPPPVTARSEAPQFMDSEDDSPSHHRIVAAPVAVIVRPPRRPSPDLPSPRDPFPPLPRRALTHEDLPLPPREPKEKKKKRERPHLEPVVFLHNAPPEERPYREETLPPPPLSRGPRAFPPPPPVSRSATIQDRPFPEQFKSPSPVLASPAPKQSRLLSPPPSSDRPYREETLPAPPPDRAPKRDRSPEVPELVAPFRLVGSPISPSPPNTELAIRGRTANHGSTVLLGNHERPLPRYGREIDAIRSSCHEDKG >PPA15923 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:822810:824354:1 gene:PPA15923 transcript:PPA15923 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSSEAKVNPTGGDAFTKDPPVGAKSDVDEPSPPRDCEISEEYTPAESPEPAPIPDENPLPLPDPERVLDEAQATNTYFEELHNQNHYSIAFWEVVVFEGKTSPVIYNNKEVNMENLVPYSEAWWKATLTAHH >PPA15817 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:313511:314095:-1 gene:PPA15817 transcript:PPA15817 gene_biotype:protein_coding transcript_biotype:protein_coding MSAICLDRLVAIMLYSRYNQLVTTGRIQRFCVFVWFFFLTANVLFFAFNFCCLTQPLKEHNFYTFGYKSFDPPQMNIYTIYYTPVEILTICILTVSNPITLVQLYRRHKRKVALRM >PPA15863 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:541310:548976:1 gene:PPA15863 transcript:PPA15863 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLLILPFLGAAQLFGGPPAQDNYDTFGMRQSLGKWTEALKKIAHQKLYDPTTPGPHDVVRPSLFNGKASIDEAKHTFENRKCNPLSQDEKPTQRRKGKGDSIINAQLLNLILPRYKSEDDLKKKKLEIEQQKKEDEEEYEDDEEYEDEDEEETTTQKPTTTTRKVTTTTRKPTTTTTKATTTTQEPTTTTEKVTTTVKPTTTTTIESPTTTVEVTTTTTTKKTTTAAPTTTTEEATYEVTSKNEKKTTTAQTTTTEKIPTTPEDNTTYEVNSLNDTALKVRIRSAAEKEEEEKKKSDEKVKKENEKKKEVEKVEKTTAAPSTTVPVKINTNIVMDGSNWDDFTEEEKEERKRWKVEAEKLSKQFDAMKEWTEKRKRENFEEEKLLVEGSGLEGSGEVAEAADEVITTTSSTTTTTTTTTTQAPTTTVDEKTRLEENKKKAEDRLRKLLEEKEKRLQEIKARQEALQKQRLEEEKRERDSTSTTSTTTTTTTTTTEAPTTTLTEKPTTTTTKKPKKKPTTTTTTEAPEDVSEEEKKPVEKEDPRGKLIRVWEESDEEETTTTTKKPKRVKKPEDDFKNLKVINEDDGTEDVRGEKESEEKKPKKKQSRKKESKKKENSAVDEEDEETSTTEEAELKAIPEAEYDDEDNQITDDLPIEEYKKIDEKRKELEEQKKKAEAEEEARLKELAELTKKAREAEKKRKEHRRRQQQREEAEEEEEEGAPGIVQESKGFIQEDDVVATTDSTFAASPSPSTVYNLNANANSKADPQISNVVAPIAGIVDSISPLLLPLIGGQAAAARARGAPTYNQAEVPSGMVQQPLSEQSLVGFGSQIAREILNPGSYQREQEASTNTFMARVAEAKAQAKAAETAKQQEAAVEENRRRLAETLMKQQQVDVNGNTLPAFTQEAVPTPALTALVAPPPPPPPPPPPPPPPPPRSYRGRVPVPPKAPPPPPIPEALLRQFAAKYAPRVLPPIYTGKNGVANLPLLLDQNGHPVPMVVDGFHEQAKVQPTTVAPDEAGFVPISNDRRPSFGEDSGVTANQAFPPGFNPSGNGFVLVQSEGGGDDSGLTRTRPSGPTPGSRLFGGAPPGFDSGDSGLTRTKPSGPNPFGGGGESQSAFDGDSGLGGGGDDSGMVRTRPDGPPPESRLFGAAPPGFNTNGEGTRSMSEEKKAFKKKMKEFDGKDTGYEKGRHGVSSAFEGDSGMMMGGEAPPPHIDMSSFGKSGTGFGLSEAPAPKNEEKKAENSEACYSYPQLTSVEVEKPKKKIRKNKKKSKKAKENSAVIEPAVLEFTRPPQEIGGGSFGGGFGGGGKRDHITTIILGYAEIANCRGWIRWRKRTFR >PPA15823 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:337322:338153:-1 gene:PPA15823 transcript:PPA15823 gene_biotype:protein_coding transcript_biotype:protein_coding MWWRERALDVVDGFGRHHQHMFIWLGGFIAAFILFFTLLKISHKIDWRGTLTSRVFLPVIPVGKTGQWEVLLIYFIVEAEAVLPEEERGGGEQGECRCDRHRNGRSLSLHLHPRRRRRC >PPA15981 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:1074567:1076747:1 gene:PPA15981 transcript:PPA15981 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYRVINHGIDKRAFPYRPSATHGCGRARSRTYLFLCSSFANIACKMHIIMHAKFDVMNYYFTVAKRFWILDLLWTGYCRSLGMSSGMPPPMHPSPMNHQMMSPMMSSPAPPMHIPNSQAMPYRQQMASPHAPQSMLQSPSIGMSSPLAAVSHAMHPSTSMGMMTMTSSVTMMQHRPPTSMPPTTYQQSMMDLNKNIFC >PPA15947 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:909571:910836:1 gene:PPA15947 transcript:PPA15947 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLLGLISLGCLSGLITSQAIKPNSLLCAKMQLGQTATVAVKNAYKTFLLNLAKDKTLAAQKTRAKTWLNNNFKAVGIAQADLSWVIPNVQSLLEARWRIVAYYKGLLAKIKAKVAAAKFTEIQKLMWKIDADKVNNAMYGYGMWKDQALALLTAAKQTEIKTIITNWESADSAKSPKDFNTDSMDWMSPPGFTGCAIS >PPA15839 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:422799:423882:-1 gene:PPA15839 transcript:PPA15839 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLLLAFLTGTAALRCTMEQGYPFAYQCNEGSYCKEVYKPDGSARYHGCDLNKQCVKSGCSAWIEKDYVMCCSDTTAIPSPPPAMTRKFTTTAKSTTTSKTTTSSVATESNAPPTSAALPITSTIATVSDANLPQAAAISSASPGPSDAALVLVAAATISIASAIN >PPA15933 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:855926:857099:1 gene:PPA15933 transcript:PPA15933 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAINFMIPSPNCYQFDVQGLTFYVQKEKLWNIEEKCIKHIFPYSDHSRPITVDNVSAKSFEHFLRLTHGGRARLTEANLIDLFILSVKYDAMYLYAKLHIVLSNTNTLNVIKKLAIHGRFPYLLYFRVWCCSYYRRSNEPIFSFLLQKQ >PPA15793 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:172796:174413:1 gene:PPA15793 transcript:PPA15793 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSYRSDIDPMIPVGKSKEEERLPIQIPRSLAHFDDPSLEEKGPELRLEFRRSSRPMDSRYSDIYNDPSPPISLETAQEYRTRRDQDLTF >PPA15991 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:1131778:1133726:1 gene:PPA15991 transcript:PPA15991 gene_biotype:protein_coding transcript_biotype:protein_coding MIAMFTTSMACILLIVGAYIDSEVCMPVNALPPLNWNRIIQSFGTVMFAYGGHGAFPTIQHDMKHPHKFDKAVTGAFTGIACMYLPVSLVGALVYGASLGDVVIFSIQTSWIQQAVNMLITVHVFLALTIVFNPINQEAEQLFNVPELFSFRRIIVRAGILCLVVLCALTVPNFGPLLDLVGGSTITLMAIVLPPLFSLYLNARLNKFGTKGSHLEPLRLTDLWTHNRGAKFFWNTLLILIGLSVGGYSSYQSIMSMYTINFQRPCYADFLFGAPNNVPINSHADAFGIPLTQKFMCCGPHRNVSALGPQYCLDIDTLSSMGSHG >PPA15845 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:447159:447585:1 gene:PPA15845 transcript:PPA15845 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRDFLQIASEEGSYVMNTVMMSSLKKLANSDKVSIAVLEGSTMGGASEIASACDIRVAHRKAKASLTFFEFRDL >PPA15939 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:879827:882792:1 gene:PPA15939 transcript:PPA15939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tsp-13 MGRDSWGNRWDAGSLTTTVEAGGGLAMPRIYRNLDSDPRRPPPEEVYTYTEEMSKIDEEKSTCLSFVKYGIFAANIVVGTAIMALGAWLRTDSRFRDFLSERYRQVVEEAFWEAPTLYAFSYIMIVLGAAMIVVAFFGCCGVTASAKPFIGIYAVAVFVLLIATISAGLFIFYKKDGIDVELSDALNYMVQHYYQGPSIVQESLDHLQQTFRCCGNGGCGDFRVFRQDPPRSCDIRCDGCHYRIWHALTIGFTASCVVFFFVILAQVVSFSLACYLLFRPCQDVRVLYISDHANAAYQINSEA >PPA16000 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:1165875:1166980:1 gene:PPA16000 transcript:PPA16000 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDKEIKLTQKVFEKEKIMGETGLQSPFLLAPLNFRFFVGYGGKQPFPPPLNRFMVTYRRNTVDDQEDEDAAKRMRTESSVENDETPTDESTFIVESKGNRREKSDDDDLYEF >PPA15967 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:987070:991939:1 gene:PPA15967 transcript:PPA15967 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tre-4 MVYQEHKLWRHEEESYQNLSRSEVRVMAATHLLIWVAILSCIVLFSHCGEPDQTQHSGHAQQLTHSDTLSEVFIIDAPLVVNCSVPVCDGPLKEIYCIGPILTAAWQFGLQKSCPGSKIKGTPQSVLDNFKKLTYPINRTAFTTFCDENFDNVKYLESVTFEDWKDNPELIGKIPDLRRRKLALEVHRRWNALGKKFSSDVLTNPSQYPVTPVSNAFIVPGGEFDIYFYWDSYWCTDSTMLPGLLVSNMTATVRGMISNFANLVDTHGFIPNSGKLQLSFRSQPPLFTQMLYEYFIATGDTNFTRTILPSVEKELQFWESKRRFSVAKDGASYNVFQYRTASNCPRPENFLVDYWQGVNSTRKPEDVWSSTTSACESGWDFSSRWFDQNGTDAYSKKSIHTNSIVPVDLNTFMAWNYKAIAIMYKKLNETSKSDEYRQKFSDFSATLDKFFWDEKEGMWLDYDIEQTSPRRFFYPSNLFPLLVQKTTGDVIKRVGEYLEKNSVFTLPGGIPSTLPVNSTEQWDFPNVWAPTLHLFVMSLLSTRDAYLVQKAKETADRFTSNVYQGMFEPQKGSAAGIWEKYDARKADGSHGGGGEYPVQEGFGWTNGAVLHLIMMFDQPQQFGFARRLLFNLDTGKYFYSLGITEAPSKEAEAATMLGAFPNNFVICGLLFFCFCISILYAIS >PPA15889 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:663812:666232:-1 gene:PPA15889 transcript:PPA15889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] [Source:UniProtKB/TrEMBL;Acc:H3F1B8] MSNHAVAVLRGEGVNGVVHIHQRTPVVFVFPRAKQCRAEKSESEPAVLKGEISGLTAGLHGFHVHQYGDSTNGCISAGPHFNPFGKTHAGPKDENRHVGDLGNVEAGADGIAKFEITDSLVTLYGVNTVIGRSLVVHAGTDDLGRGTGDKAEESLKTGNAGARAACGVIALAAPTD >PPA15903 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:737087:739629:-1 gene:PPA15903 transcript:PPA15903 gene_biotype:protein_coding transcript_biotype:protein_coding MGINHNCNGYRRYLMHHFQLPAFDAVYSGHVNLYMGKAVFTKEVQELEQEEDSIEEEEGIAINPAKTSSELGEDDEEETDPNVSSFFTPKKVDTDSDHTLFTLLFFIRLLVNGTELLRYGSPFFLKDNEVPGDQSRSSLFCEPIHFLNQVAVSTDPIIVMAIVFDYGLGLSRKWFRFPFLLGVLSLEFMLYFHSFVRIINLIGLAIAIGRMKKKRQASCLNFAKANDTLDGWNDLIFIVDLTCFLDLLIVTTLFCGFFTFNSFAPSSQNYSFFSATDDSDQLVIGSQENGEIAKEGNPSPNEQ >PPA15909 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:764968:765572:1 gene:PPA15909 transcript:PPA15909 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKEIEEELLKDPEASLAEIYKKRDVRTQIYAALGEAPPKGRPPRNEMDILKERVRRKFGAVKKVQF >PPA15792 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:171586:172605:-1 gene:PPA15792 transcript:PPA15792 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFPVNSLPEKQSMWIRRLNLLPEEGDALLQQFREGLAKQPPVRTYWCPAHFDGDQPDPIDLSEVAVRDCNVSVAPPSPLTLFSQSSIPPIESENDQSNEYNSQEFDAGDYSSQETTTDSVDESTSLELPEFLLIESSQLLSLFDRCPSCGLKAIVSRSFTLNGSAVRIAWDCEHCLAPQSWRSQSLLKGRYYHGNVKLVTAAHTTGLPFPVCT >PPA15888 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:662703:663229:1 gene:PPA15888 transcript:PPA15888 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAPQEFFERIQDTDGEPSPVTVRVVARPNNIASKSQQVYHAPRPEPVNSGERYMREHYNNGESVPEVIDKSCCGRIKRHKFRFLLIILAIASLILLIIFGILYFAF >PPA15786 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:115128:121346:-1 gene:PPA15786 transcript:PPA15786 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEKDSNRVRYGICLNFYQSFDRKAPPGEQRLTLGQRAKRDHVKSLTSLVLISHHPFITIFHDLLVLLKKLIDACNSRVAQTHSKRDLVWSVLTGHWKESIPPDCMREIKELETWILMLLSSPVPVPGKTKVQLEVMPPEICGMFEFALPDHTRFSLVDFPMHLPFELLGPDAALKVLTAVMLEYKVVLQSRNYNAVSMCVLAFVALLYPLEYMFPVIPLLPAYMPSAEQLLLAPTPFIIGLPATFFETRKMRELPNDVVLVDLDTNVIQIPEDMSIPDMPEPDTGILKASSSQTKNLRDALTRMSHYGTERRGSVEVGIAPDADEIDVAVRVAMVKFYNSANVFGNFTEHTRTLRLYPRPVVSLQNESFLRSRPTVSQFTSDLCRTQAVEYFAECSLCPKNETFVRVQSGVESASAVGDKIKWFGDQLMPVHFAVSTLS >PPA15964 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:978230:979908:1 gene:PPA15964 transcript:PPA15964 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPPEKDKKKVKKLAFKAYLDDKKAKNELVFLDGSFSTELEKENVDFENHIGWTAYANFEFLDKVQAVYESYINIGCDIITTNTYHHAYETLAPIYGCNATNSAFRAAINTAHIARFAHKNEDNVRILLSIGSYAITQRDGSEYTGAYAATVDPQHVRRYYLNQLVFARPLDYDGVIFETIPTKIDVEMIINAIREQSAIHDVIVSFSLNKLNLRDGTPLQDAVRMLLNVSQIIGVGVNCTNPADGVDQIKSIVDCGWIDAGKHIFIYPNSGEAWVDGQCVYFNHSFISNRFETTVTRTSPNMGV >PPA15833 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:384917:386076:-1 gene:PPA15833 transcript:PPA15833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-avr-14 MLVVVSWVSFWLDKDAVPARVSLGVTTLLTMTTQASGINSKLPPVSYIKAVDVWIGVCLAFIFGALLEYAVVNYYGRKEFLRNQEKSKKMNRMEDCACPGDRPALRLDLSSYRKKTWSPRLPAWFSIIGKTKELSRKVDLISRITFPTFLLLLLRR >PPA15877 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:610112:613048:-1 gene:PPA15877 transcript:PPA15877 gene_biotype:protein_coding transcript_biotype:protein_coding MISVPPIIGWNDWTAKKLVETCELSSEKLFVLYSASGSFFVPLLVMVVVYVKIFISARQRIRTNRGRSALMRINHPPPEVRDGPEVSRKPLSTKNGSLGRKCERQPLVEADTASAQPLRQESSKLVDEDSSGKTHSEDTITKDMKYAHSVTVKKLDKDKDEENPANVLRKREKISVAKEKRAAKTIAVIIFVFSFCWLPFFCAYVIMPFCESCTLHPKVLQAFTWLGYINSSLNPFLYGILNLEFRQDSVSEVRT >PPA15983 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:1089356:1090490:1 gene:PPA15983 transcript:PPA15983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ldb-1 MLPSKNSAFRSPVSQLTPQDALKRICFARHQQQEQQRVMMNAQSAQMMQQQQQQPPEEAKAKPTRKRTRKAPANPKGAKKGGAPASASPAPSAPFVSPQGIPPAVRCVVVDIMDDGSLSSLLASLSDSASSAAALLPLAPVMQPLPQSFLEALGPTPLTSTRLFPTTTGINMHFQGMPEVYVVGEPSMLGGEFRETRSAWIITRPYT >PPA15963 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:976443:977390:1 gene:PPA15963 transcript:PPA15963 gene_biotype:protein_coding transcript_biotype:protein_coding MMSCRSFLLPVLILGSSQGFERFPMLTNALSNSTEHSNYRSTEGFEGVFVITMMILGGCSVTILLSLLQLVMYKGVQKRIRRQERRANIEMGLSRDGSSVTPRLATAIPVTTSTDSVLAKGSIAYPNNAIYV >PPA15789 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:154891:155346:-1 gene:PPA15789 transcript:PPA15789 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGFSTNHPILESSCFLKFNRTCFRKCIANCKAKPDYTGCIPSDGIPNAQLWICCIRKVDWQTNLKCDSECWSTALPV >PPA15812 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:275457:276360:1 gene:PPA15812 transcript:PPA15812 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLINSRDELLGLVRFRLHIHGDHHADIVNQPGHSVLKDLGEFAPNRLYSSLGPFTLYSIVAVILLATCGLVAVFIPRFKRVIEQKELMEKN >PPA15892 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:674024:682182:-1 gene:PPA15892 transcript:PPA15892 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIPYYSYKVDIFALGLILAELCVPLSIDEKEQLFTKYRDFEEGAHSNVRPLEPETVDFQQLMKDLRRSPKLSEFLTKFDAAKIIGDGAYGYVFDAQNIRDETRYAIKRIPTASRQDTLCVCDLSAWLKHNKLRDLELAKMWFRQLVSGVAYLHKEGRIHRDLKPSNIMLGEEGNLKIGDLAISTEPLMENVDDIHEMQLRTTIGTPLYMPKEQVFDTIRRNETHELLTAHSGLSQFVAWLTHEDPSQRPTAEEILEHDFLLQYDGTHTLTTSHMELAPAAFENVKIIDSGTFGTVYEATSKVDKRTYAVKRIRVRKGTRVDSYLREVKALAEFDHRGIVTYHHAWKEMMFADCVHLCIQMELCRGTLEKWLSENQHRDLDPSNILFAGQNWLKVCDLGTINNVVYVREDGGQEGDPEQTARTGTPMYMAPEQTSWQYTNKVDIFTLGLIFTELCAPMSKDDAAEAFGAFRKGEKPKIAMTLRVVDNAVSCEPEAHSFAFAASNDEDVRILENHTLHKARNDCDSDTLNSWLGQIVSAVGYIRDKGTTHRDSMPSNSMFAGPKCLKVCDLGTVNDVVHVREDGLQEVDPEQTADQGTTTIWTVDNKDHATKMEKQKELREPFNSKFLEDFEPIRMLPNAGFGTVFEARNLLDNQIYAVKRIAADERYVNKALVEAQAHALLKHEGIVRYFAAWIEKPPPGWQHERDEILQRQLGARNFQAMYHNWFAKDSAFLYIKMQPNNILISFNDRVKLCDFGITTEEILKLGQSGTTSIKTGLYDTFMKMRKEQNAPTTSRESSVDGPQRQANASKKFTSISSKSTKKSKSKEKKSKAYIAPEQIPRISSTDDVLSNNHPFHFLIIMSYFASQHERIRTIHSHIKDAKKTIDSLIESSYSVASLEDYDSKRIELRRSLTHFQTTIGTYSTTIASFRRQVDKMKSDTPEQQDSKKKEQKLFEELRTGDDGGIDYDDVSLSESLLPSIISVVDQTLAFAKSDAMTKMEIEARRESIHQAMSIQSQPVHDSTTTLFTPILTQNEHMSTSVFKRDRSHVSYFRSCKLVYLRNALTGAALRSVEGIPIEGKNLKSTIDRLKSVYGRSKRSNTILINQLFSIRPKSFTLEDQLECTQQLINKIHQLEDQSMVDNFALINQIAGTIHSKHLRKMYQLEPSTMKEALFHIEKDLREELEISKLESTFHSNHHSDYHLIPRETNLYPVNEKTIKSFNSKQKYSGPSCVYCGQHEYSHCTIITSLTERKAILREKKLCYKCLSSKHLSNLCDRMCQQCSKPHHKSICDSIPITPQSNQSILTATITSVDPIHTMTRLYTTSVLLQNPLTNRTAQRDVLLDNGSMVSLISRKLTQELNLKPHRSIPMTLRRFGGIPTGGSTHDVVTVNIHTTRGILPINAIVLDTSITHPMYHHPLSNDDYSIVQSKCGDHPHLIHPSSVTPDLLIAIDGTQTILNDSTTINLPSGYTLTNCIIGPIISGKPHSHQFPLNHVINDHVLISGVMAESTSNKSVVYSE >PPA15940 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:883772:887823:1 gene:PPA15940 transcript:PPA15940 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNRRPATSSFPSSASIAPCVIIPIDDVDDESDPASALLAATQSGSDQLSEPSPSPSESVHDGTASNDPQFYENNHLIEALLLQRVQEAAETAALNAMSGRRNTVTYGDSDGAAPQSIRVTESMEDTSESDDEETADEEEPPRDRANNVETTPEILRREFNRVRLALRQNRNAQTVASMFSKFLPFALLFLLKLCFEKSSALLSIILGYMVFLIGDKHITSISSSQRGSIFVTLWAIVGHYVALHYVIGVDLVPVLNALILHFQPYEPCLVTLYFVIMSDLAAKHITILVKAVVVSIPVRTMALKRRRRLLQFLEYTSQTFRCTIAGPWWIMYFLGPFNMADSASALTYLHELPVANTLFVCAFIILKVKEIIEFGQSMYRSAVSLLNMVSYGVAPTQEEIAKHDKCSICFENLRFPIKLLCNHIFCEECAEKWLDEKHTCPMCRAQVEEQDSRFFNAATCKTVRIY >PPA15898 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:699377:708210:-1 gene:PPA15898 transcript:PPA15898 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIECVESTWRHQLPSNTSCYQVEDGTFFIFNEVAPYALCAVVKGRRLDVALPALKETLFCSGVDGNGLYFYSSPPRRRSFKFYRASVNQDAVEFTKIREAVYSRGDSEVLYVVAGDELSAIINHTLAKTFRLQPSPNSSFDFSSSLAIVGVHNAQLVFSALESSTNVRNLWSAELNEQHCNRKAHCNLPPHFPSFIPSKNIAAMRTKNLSNLAVMFPRMHISNGNTSFDSPPKAINDALKEVKTMETFNHPGIVRFHDAWKEQPPKGWQRESDTILFNDLDYKEKVFYNYRAGQPNTVLNKHPQVEQADGMMFSAHGITENLKLEPKIDSSFLYIQMELCQFSLEEWLSRNEPRDLSRIKRWLEQMVSAVKYIHEMGYILRDLKPSNILFDGSDGMKVSDLGVISDSGIMNASVERKDVPTSRTIRNGTQIKRKISDNQPTSINKKARRGNGAVKKSEEATQDISTSIEILPCRRKMNSNSGYTFKVDMFALGLILTELCVVMTDEEAVKVFDNYRAGKPNSVLDHLPDVKDLVDWLTNVDPSDRPTCEELISDEKDIIRRYRFTQWIGYAPPQMTFQTPSEFENIKVIDSGTFGTVYEATSKVDKRTYAVKRIRVEKGRRIDNYLKEVNALATFEHPGIVTFNHAWKETIFHDLVYLYIQMEPGNILFAGPNWLKVCDLGLSNVVQVSEEREQDVNHDQTDRQGTPKYRAPEQSMEMENHAEIEGSFKSKFLEDFEPIRMLPNEGFGTVFEARNLLDGQMYAVKRIPTDESYVNKALVEAKAAALLRHEGIVRYFTAWIEKPPTRWQHERDELLRRQLGAHNFQETYYNWFAKDSAFLYIKMEPTNILFGKDGLLKIADLAKVDMFALGLILAELCVVMTDDEAAKVRQ >PPA15990 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:1128280:1130826:1 gene:PPA15990 transcript:PPA15990 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSNGQSKTNGSATGPNSPAAAAAAAEAADAVGIELTERRGPSSASSGGRSKYRLSAEIDEPLLKERALRDDEGAIIHDDISSLDDSQASEFDDDEVEKAVNDDPFRPRRISISEPAAIMGRTGARFRDLKNFNATGGFVNTVGMTVPITSLFIIGETAGGGLVALPTVMAQCGVIFGTVVVVLGALLCGYAGVCLGECWCIMMLRYSKYRYHCNKPYPAIARRAVGRKAGIAVSVILNITQFGTAVVFLLLG >PPA15978 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:1049552:1053592:1 gene:PPA15978 transcript:PPA15978 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-daf-6 MPSINGAIASSSIELQRTSICRQLWKFAKSEERSKSQRVYPENLKQYHRTCTKSNSLSDFSDIASNLSTSSSGSNILSPSKSCQSLPSVSLGVPTMPYAHTVQNTAHSEPSMIIKWIGEKFGPFLLRDSMRALATFVFLIYLSIALYGCVNFREGLNPGNLVYHCRDALMAVVSSFENTPYTMGREGTVFFLLEYLNYLEQLNAEVEDTDRIWKTKLKSWLKYTGGSSQWDCDIRTNETTGEFTAYRFQIALQNIIEPNQHKLAAKTLREIADRQPFHIEIYYEAFPFADQYLIILPATIQNVGISLLCMTVVSILLVPSLPSGVIIFISIVSINIGVFGYMTLWGVNLDAVSMISIIMSIGFAVDLSAHIIYAFVTSHGDSKERVIGALEALGWPIFQGASSTILGISVLYTVDAYIILTFFKTIWLTMMIGAIHEL >PPA15925 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:827331:828586:-1 gene:PPA15925 transcript:PPA15925 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIQARLAGIDSSDHLPQEDGFSACVLRARLTGGSYTPTVPSSDQTGPFVRFQELLASGSRSDPFEKRREVKKRSSDTAVELVWTMKKYLKVFVSEECGCKHESFFL >PPA15853 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:481387:483072:-1 gene:PPA15853 transcript:PPA15853 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGRASTATGSSVITELLVDLKNKSMSCICSKRYTGQYCDACSKQAYDVSPYPECEKVVPRVVPHVPREPKKKVQHAKPARSTVLFIGGIGLIIFMICMFLASFIWNRTQKAKEQKQALEGHLKERTEFLEEAAKEKYTGRRGISVRTFMEMGRKEDVIEKVILGQYVPIRNEGGEESSRYKHKHEYL >PPA15956 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:955429:959455:-1 gene:PPA15956 transcript:PPA15956 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKRRCTNCIHTLCDVVGRQRPDRCIEFVCESISLFEKHFGFEYKEETRELALEAIAKFGPSTPFENDERMLPIYRILGKYSRSLSSTDIYDKLYEKGLYARSPQFHIDWADVHLMANQIEQAKAILHMMEAAVGGDHENCSQLRQRIIEEEKRQAEIGRITSRTSKIAALVNPGEAPNKSARRNLFGSTSPDTKLPTETIAEEPNMKSPSEQSETVLPTSRDEPIVLPNIVDAPPIDISIVSPSINLIQSGQLAPNFALPPVFSFSNAIPMSTASYKPSMHASTRETTMIRNAIMSTTIMPTMACANMLSGLPSHPGQIDSQFVVPPLPSFRDVARAANSENVDPRGALANHTFARRDLAGILMPSKNIDLDPFGELEAVEKKPTSAYELKVGSFK >PPA15847 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:451385:452901:1 gene:PPA15847 transcript:PPA15847 gene_biotype:protein_coding transcript_biotype:protein_coding MNTCLLLLLSTLALAQSRFLLAPSVHKHVDPEVSVADTSLLVDPPSVSFTAHSNLTSTRFTSGDELSFYCEAVGTPMINVYWTLNGKIVQGHRRRSNLERLRNEGKVMIGQNVVGSKLELECVDERMTGTLSCVADNGVEIVKSSVQISTTGAHECARMRPARPQIGTWTKSRIEEIGNSAIFQCRKASAGVSIRWENDEGKELKKEDGFVVLPNGDLLIPSLQWEMMGSYLCVATSEEGEERQETFVFPTDENAPEPSGDGGQDYP >PPA15770 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:37461:38522:1 gene:PPA15770 transcript:PPA15770 gene_biotype:protein_coding transcript_biotype:protein_coding MERCSEIKSLLIVLLCAAAGLTTSAVLRSGTFPSQDADHTAGLTNADQTAIRSRTSELSPVTQETDQSRVIDDPFRVYYNPCWFGIDEETNKEIMRCADGTTERKFRERANSGW >PPA15957 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:961318:964090:1 gene:PPA15957 transcript:PPA15957 gene_biotype:protein_coding transcript_biotype:protein_coding MRHKLPWMAAFAMNIGNLFDTKDYWTAVNEIQVFTHTWSLGLEIQFYLLVPFLLLTERLIVVKTSAPHFIFPLVLSLGSSLFMVTMRHPFAVSLILFLVTGPIFSFNTIPTRWYLLRLWQFLAAKVISDVSKYVRVKVDSKEYESDDALKKIGVHDCITYGICLALSSFVVLLALTPDYTIDPIILRLVSLSAMSFVLIAGQKQFTRAKIFEVPILTYLGDISYVAYLVHWPIIAFTKFFFDVTAFSVFDGSFVLILSLLVASAIHFHLERPSLFWPFPLSTTVVIVAYALCALTFTPLVYRVRHQVFIPESVWSSESYLWNNHLDQHWKAEHKNRTLFAGCNGNSASNLDILLVGNSFAGFSAPYFHEIAAGRFRSLRGIIQKGCSFLQPASLRCQQYRDAVFKLADAMRPAIIIVSIRTYAPQMGPIVENDAALTAMKEMFDKLSMYSRFVIVDDAYPAARVPSTVPTSLLKRARFNQSISDLKQDFKSYSSRFRHYFDRVRQFNHSNIVRLETGKLMFNGSDVFSW >PPA15875 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:586500:590837:-1 gene:PPA15875 transcript:PPA15875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-kin-20 MMIPPIPAYATIRQNIHNNEEVAVKLECFKTKHPQLHIEVRLYKIMNGGIGIPQVKWCGYEGEYNVMVMELLGPSLEDLFNFCQRRFSLKTVLLLADQMLSRIEYIHMHHYIHRDIKPDNFLMGLGKKGNLVYIIDFGLAKRFRDQNSHAHIPYRENKNLTGTARYASVNTHRGVEQSRRDDIESLGYVLMYFNRGTLPWQGLKAATKRQKYDKISEKKISTSVEELCNGFPGGDAFCEYLKYCRGMGFEEAPDYSHLRNLFRMLFQRQGYVYDYIFDWNLLKFVLPDKATDGTADSAAASTGCATGTVKILPRTPTEEIVRIDLTGLIPSLSYPGIMIPPPSVRR >PPA15782 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:90120:91927:1 gene:PPA15782 transcript:PPA15782 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSHQLFSLKLQELHTFKGKNFTDDIQNVELSTVDIFPNRSGFGHLKASLISQLVYFKRDHTSNFEKIRVYTRKEWESLKDINNGDRTRGETYSITII >PPA15861 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:524367:528772:-1 gene:PPA15861 transcript:PPA15861 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ttr-14 MNLENNAEETLHYVLYFERMNGGDKVVYFEPYSESKLEEEGVAFHIVGDEIETTWEREEWYIRCLYIGSQKESIEVANRLCKEHNEAVVKHQTAKQMEEGQKKQQEKKKNGGHKKDKHLSNGSSEGIDGNGNVVDGSPAKVSRLILDGASGSDDGLAMGPGAPPTEVRTDEEQAVYEKRMEILRKHPYLESIREQLESGDDDSIQQRSAEVPELIEQEIPEAKTIKKGEKVERAEEEGKEKDEVVQEMEEDEQDSMHDALLTETKEQLEQLSKVEMKRTMLNVANSTITAPTSMEECESNIQHLVMIYATTEMETCEEISILKSRHADKKTAEKKEIDEDKMDRVRRKMEINERRRREDEERRIEDEETKRRDDDAERKRKEKEDEWKRDEMDIENTRVKKEKKLLNIENILKKNQLELETMKKLEDILRQKSERKKKREEEIEKRRIEDEKQREADEKLEEEERELREQQNNLDCSIYSDNSDDEDESGDEKEW >PPA15954 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:937452:943115:1 gene:PPA15954 transcript:PPA15954 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLIPGEKYELTIRSAVSDAQVSNTAAIVEITMPKDEEFFEIGNLIISSLFKNSGAGAVNLTWDVPPAMVNNIQGYDVQYSEAGKDDWQTIKFGGAQSSASLHGLKSDTQYLLKIRTLLKNDVYTESGQFNFKTPKVTPNAIKKVDVIYSHEVNSVRLQWILEPHIHAATVAGYDVYVSDNKDLSENHWRHIPLPSRDAELSLPNLKSLTTYFVKVNIRNTDGSVIRAPSLYRFTTIDSDAEFAEVREANALSYRNLVPGKVAISWNFPESVMETLAGTNVYFAEHLTLPIQEWSKVELNDARKVDDQLKWCTNSVVLTNLREGTPYLIRIVPVRKDGVKDFKNQEQFEMTTDTRERFDEQLRSVHHALPLIKGDKSFLRITSCNPDAILDECGLQETCIAVREDSSGWCIPFSLKDSILHS >PPA15941 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:889704:894504:1 gene:PPA15941 transcript:PPA15941 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQECTEKTVTEDEVKKDEEVKKEEEEKEEGTEPMRQNSSFHSLVRIPHSADTLYAIVKTETKEVRTDEEVKKEDEQEEATSPIRQKAEEEVREEEEEVSKPKEERRESTEEGEVPEDPRDSQSLPEIPSSPSTTHTSSPKEKDESSENAKTDEDTKKREEVSDMDGRKKKVARRRLPAKAGVHIDEQSSSETSSSLSSTSKSSIPEMVGTVPSTQERRMFPGAGGVMEAHFEMPEETSETQGKQCAFQKPRHPTSCHCRGRSYGCPCYLPAFMHRADEVSRERWPEAHRSEQKYERKAVEEMTRYMLWKESIDRRLGAYGFPVPEGEPDNSPIYCYCGCGRDAWPDEQQMHKARQAGRKPVSHLHPPVAPLPPVQPRAVQREPRESDLHKHEESAFVRILNASINDEPYEEDDRRIVEQLRQTRRGHSDTNWSDLIAAIHRNTISPAWRIYFMLCHANHLSPVECRRPRKGDTVYDHLSIENAIGTNNDQELIESFVAAVENTGPDRHFYVEYSKRSALEWTMKLGEKYKQRMGTPKPRHEFSRRPVFATSNELLEGMQWFRDFLIVEVRRAEVAKLLPIPPGTVIEEPVPKMSPEDMIRTIMTRLESSPHFPTLPPTPSIVDAEQSSPPSSSSHPVDPVEDPTTESDSTTDRDESEH >PPA15927 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:840332:843314:1 gene:PPA15927 transcript:PPA15927 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQSPESDKTRKRNSTVVLVSNIGSRQIHVHFQASYNAQSISSPIQIALMKPWRLEERHPINLWSSQRRNTVNSATIRVASYNVLCQSSFENNPKLHSHLDGVSPSRTWSHRWTRIVNEISALDADVLGLQEVSEAVFKEFFEKLLESLGYTIFFSERTMDEHNHGLIIAVRKERFKVESHETVDFYQQEDAVLDRGNIGQIVRVVCRKTRASLLLAHTHLLFNPLRGDTKFAQIAYLLSRVHQSRKDEEPVLLLGDFNIEPASKLFNYITSGILNGTMFSLQTGSGQITSDEWNRLKSRRMTFGNKQAVIPHDDFLYELPRETYVHTMMDRRGEIQSDLFDLLYSLNTTHPNQFSHQLNFVSTYAPHQAPTVTSYTVHMANPDYIFYDTGNGKSCLTLNRRLAVPTEHDLSELERWPNEHIPSDHICLLAEFSLTRF >PPA15774 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:62514:63529:1 gene:PPA15774 transcript:PPA15774 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTADSDIHELTEKLFQEAYNMVNKEKAKVELSEKLQMESKMKVYVLQAEADALKLLISTSGVGFDLIQNSPSPLPHMKKQNRPFFGRLFGSSKPTSSSLTKPKSSSFIPSIVENESNYGLDKIATDKTEEIAAVGDFFTYLRYLSLGIIKTDLRDSYFEIVALRKNMTLARLGQGYVPRTSLPRRGSGFF >PPA15931 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:852292:853755:-1 gene:PPA15931 transcript:PPA15931 gene_biotype:protein_coding transcript_biotype:protein_coding MRVALIALLFAYVAISWAHDIEDFSDASDASDDVSSSNEPVPVPVIGHGWGPFGGHHHHHKPCRHHFPRPCNCTAAYKKGYNKGYASGYPIGREEGFPVGKRDGYLEGLEKGKEEGEKEGQKEGYHHGFFAGMVKGASRGLFKGMADGKREGISCAMDKAYERGKEIGKAEGRAKGEKDGFNEGSLECKDEVERLRAIFKTLRDELKHSHHHHHPHCPCNAHERHDHSVKPDWMTGAGEHADAHVVDDRFPGAKK >PPA15857 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:504614:505681:-1 gene:PPA15857 transcript:PPA15857 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKGEQFLVHTFTDESYVQLGKNARTCFVKNRADAVKAAPKHVPKLLIWAGISVRGPTPITILRGKDCIVNSLKYQSILHESFLERNRMTFGPIGKLVQDAAPCHSSKSTKYYLERSEISVEEWPPESPDLMPIETVWAIMKRWVESEWKPTSIDHLEEGIREE >PPA15901 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:730303:734510:-1 gene:PPA15901 transcript:PPA15901 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dao-3 MAKLISGLEYSKKVLTEVAGGIAHVKEQQPDFCPCLAIVQVGDRSDSNVYIGAKLKKAAEIGAQGRLVKLPSTISQAELEKQIDALNEDDTVDGIIVQLPLDCSNEIDADEVIDRIDRLKDVDGLTRENAGRLARGELSSTVFPCTPHGCLYLVQQATGDPNYVSGKQVVVLGRSKIVGSPAAALFMWHQATTTICHSRTKNIEEHCRRADVLIVAIGKKNFVKGDWIKPGAIVIDCGINVEPSSEPGKKNKLFGDVDFDAAKEVAGFITPVPGGVGPMTVAMLIKNTYEQAIKRRYGERKLGEWKLSYLKPILVKPVPSDIAVSRSQPPKDIQLLAREIGIKKSELDLYGDKKAKVSLKVLDRLSHVKDGKYVVVAGITPTPLGEGKSTTTIGLVQAIGAHLKKNVFACVRQPSQGPTFGIKGGAAGGGYSQVIPMEEFNLHLTGDIHAITAANNLLAAAIDARMFHENTQKDDALFNRLCPQNKQGVRVLSAVQKRRLARLGINVVDDGNLLSEEERTRFARLNIDPATITWNRVMDTNDRFLRAIEIGLGPQEKGHTRKTQFDITVASEPQELRKKLRRGFAPSWGNNGLNVHSRGPSWHRPFRKGLAGSLATPILKKYRNNNNNAGAES >PPA15826 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:346345:348962:1 gene:PPA15826 transcript:PPA15826 gene_biotype:protein_coding transcript_biotype:protein_coding MISVTVAAQGKKQRLVGGHLKAYGSGRLSIFDLDKTLETGKPRAQINIWARAFTKLFDVFYAVLISCFPFVFTFFNAPSPDNDKEGTAGLFKKMNKISTSVVKYSAIQKLDEKMLGRIFTYLDPMDIVCCEVVCRKWRRVVGSVIKDLPKIQKDQIRFLFDEGELVVYPVDEKRCPSRYPMPSLQHTRQEL >PPA15814 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:288717:294917:1 gene:PPA15814 transcript:PPA15814 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLLALERCALSDRANTWHYQWTPVSQRKAEEKPKKEEPPPAVESTEPLEHSVSNRTKAKEEDLKEEKEEEKGEKKSAEKTPILAPPEVVDTPKPSAEINTNPIASDSIEKKDEGGKGEESLLEDSVFIRDPFKTVEEQYKEQPHPPREEKAFTPLRESPPPIGESPIVQSKSSSEESVKEASNPLQEKPIAPARVKKELSVHFDSPPPFDQPEEEPTDERDHRDSSPSDDSDTEAMQGNRAAFMAQLNAKLAAKPPSGMGVRPVSMIETSSTPFVPPSVDRSNDTPPITRRAASETKSEGPLSHANKSRPRGPVRRPPTTKRITSSSESEDTTKSVAMPRSTVSPSAGRDTVSKIIPPKSPVASSPVKESPVVFRKTSDPKSPPSSISRKSSSSSLGPDGDPLSAISAVHTSPYKTSPAAKPPTNPMSRMTVSSISTVKSDAASTTQSTDSKPKKSIFDSDSDDFYEKFGGSKTLPTKNSVFKNELESALKKDKKAPSTSSSQKKGLFDDSDEDLDMFRKKK >PPA15769 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:33182:34592:1 gene:PPA15769 transcript:PPA15769 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKFEDASRSAKYSFIAIVCCNIASNVCNVILGVGENFAKDTLIQNHLTIYAVTVDVASLAITFICSLRLPIYLLCQTPLSEEILGIFRSWFKCNKDRVSPQDKDGENGISDTTNDTLTKNDDSEKRLLDVSSSQLCLETNSSNVSS >PPA15890 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:666864:669275:1 gene:PPA15890 transcript:PPA15890 gene_biotype:protein_coding transcript_biotype:protein_coding METDHDIDGDLSRILSCMGTNDKEAKRKFVLIRQFQQVCGDVTISPETCHFFLDMSNWNIQTALGNYYDYGASNNVSNLYEAAALNMQLVNDVTIGEGEAIPPNTRFTKTWRVRNNGLLAWPPGSCIAFMEGAPLSFETAVLVPSIEPGDEIEISVDMQSPGMAGMYQSRWHLNTFQRVPFGESIWCIITVDQNGIMDITQQLASAPLADRSFPTMFGLSSAPLDPNPRMDIAIPMPNPFQLHGGKVDEGMEGLQTKDK >PPA15993 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:1137121:1139304:-1 gene:PPA15993 transcript:PPA15993 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLCFLASVLLLVAGQVQTGYQESLARTLLNLAGGAYGTNQEGCILRSFPPEQQYYIYNTVVTTCDALDSSCEFYIVNSNVTRQAFIVFRGTKTTGQLLLEGWESYQPGTDFIGMGQVNKYFNKAHNHLWPSVLDYLARPEFQGYQITFTGHSLGGALASLAVAQTVYQRYRPASSVLLYTFGQPRTGSYRYAMTFDGLGIKSYRVVFSDDIIPHNPSCKKNKIATPLDNGSRPCIADETNQAYHHGIEIWYPESMTPGSQYIQCVGQPVNEDMACSDQIMFNPDDSDVYAWKHRHYFNLMVTGYGKSGCTNTTVDDAPPYHTGERTLGSAISSILEAVKGRK >PPA15804 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:237106:237860:1 gene:PPA15804 transcript:PPA15804 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVALSQSLDSTVFLRVDSDENNWNLRRVEPTTSSILHDSRPNIAAWPAPPANPILERQHVTHQHLQVNTVQPVQQSSSPALSPNPSVSGRPGPYDDVSDSASFLSMIRASVNAMRLSIPHALSPL >PPA15851 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:476680:478692:1 gene:PPA15851 transcript:PPA15851 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIMGRLQLPQCPCTTCRDVIRSQPHPQMHPLHHPHVRLAPPPNGAPATHPTVGAAAQPAAAPRMMMPMPMDTPVAIPIAKGIKILNPSLIPRAVAPVQQMAVLPTEAPEGTPSENGSTSTEGDIKEVTVIKSPQTDAATNQLLTSLFNTPGVLQTISNANPSGTPNPFAEQNHGGRKSKYCNSEQKRMVAEYASMHGAAQAARKYNIPPSVAAYYQRKLAKMKQNEKQTAAVAVAQALGVPPSHIDPESMCAEDSPLKSLDCTPGTPTTPSYLRGRGRGRPKLIGDELDAELIEFMTKVRTLIFYGNRE >PPA15828 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:360643:362870:1 gene:PPA15828 transcript:PPA15828 gene_biotype:protein_coding transcript_biotype:protein_coding MCKATAIVIHIVIVILCASLVLEAIFADAMVHNKSFINGKIPPFLNYIIPIVIGLIPALVTYFVKKNDYLTGLHCFAEVPFEMFWGFVIPVWILLYIAGLKSSLGNLACDLTDGDVQDEDQIFWAKKTCKVLFFFSFNLLTCYLLCLFASSQQRFVIFIFFFLNTLIFGPIIFISHTYCHRNSQRKLYGKGMIGAFYKPCKQKHVPPPLPSPVAAVPAPVATKKKEKHTQTDKEPEAKPEEKKAEPEKAATPQPPKTDDRPSALPQENTPGQAQASPRRPSSAGTPPNWPNSREFYGWVGGKNKYDKSQDALFMPKPS >PPA15790 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:162016:165079:1 gene:PPA15790 transcript:PPA15790 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSQILNMQSYQAVVCQRRFMAVTTVLAWLAVIPLVIALATCSWCIIDFVNIDNEKVHVKLGTWGEWRTRQNDTAIFTDWIPHFPAPPESVLRLADASLRHFHRAQAALGAISICLLLGTNALALYTFSEHRFVYKRLCAFMYVITGVCVYATVEIVSQSVDEWRTDILTKNSYDAFDFEAQKKYGYSAYLALGVAATCILAAIAFAWGSHKQKGDHAATVDLEIEDRPVHYGRD >PPA15951 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:927554:928319:1 gene:PPA15951 transcript:PPA15951 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRDLTQDQFCIDKAEQESSIEGSIAYYRSSVLSVLKALSKMSSFVIGMSRVEEIAPAVAEYFPVKICISNLDNFSKIGVITHSLHRLGDPNRIPKIPQRLTEMRKATAKHNAGGTVQYVQRKFTRLARNYIAQ >PPA15777 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:74585:78408:-1 gene:PPA15777 transcript:PPA15777 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGEAWPTICAKAKPANTSTEPQKRARKARKTVPVLSTPPSNAQVPQKYPPVSISSSTMPPTAEVIEQQSISGGLIVALILYFVAHCIRKANPTDEDETADIEMSLNRDGAVAPRLASAVAVSLATRANSVHAKAP >PPA15883 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:641612:643252:-1 gene:PPA15883 transcript:PPA15883 gene_biotype:protein_coding transcript_biotype:protein_coding MFREQKRPSLEVLCKAKDEWLQGKDEGRSVCPATVYKCMRAMGFSYRQLTTRVHIFTNPSLSSLRNYYLKTMADLRTRTGSDSPYFGYLDETWIYPGMRHNFCWVDSFVEEDPFLAMKIGLTPGIDPEYKKGERLVLVGVFSEEGFIHRKVYRTGRKEDESCRDYHGEMNSDVFEEYAEGAFAELAERARALKKAPVLIMDNASYHSRSDKSAHQVEDERHQPIKIISKLDPKQYNRYAVEEIAKKHGVRIVRLPPYHCIYSAIEFAWAWLKGKARDQLSTSDNGETAKAKVEAIFDSFDPLLAPKYLRHEHIAKGSLTFDHRDIDTHAYIRAQARADVGLDSHPVPLTVSDGEEEEGGDGEAEAEEREEEEAPLLDDDGEPLLEELSDDEEEQYSEQF >PPA15810 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:264566:265227:-1 gene:PPA15810 transcript:PPA15810 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLRRKNNLNQCFNQCRANQNDLRQKGIPVPQYKTVETDDCARLKMREDEIYIVNLKQ >PPA15894 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:684700:687739:-1 gene:PPA15894 transcript:PPA15894 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKLNVLNMKDKYSTKKDTELSDTVKLPITETDFVSDEEDMGYVLEPARKKQDRLSRHKGIVKYYDAWIEIPPPGWQLCKSNLSEWLAANQNRDLARMRFWFRQIVSAVEYMHEHGQIHRDLKPSNIAFAGEDHLKLCDLGSMGNRAFVGCHEVAKERTFDKGTALYMAPEQYMLLLKNFITHLNSGKRIRRLAN >PPA15836 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:399278:408257:1 gene:PPA15836 transcript:PPA15836 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHVSTAEKDNVDGEQPRPDQVITDNNEERNEAGDILPVENPPPADHHLVDKDAEATLNAMLDKIENDRSSDSTQQPIPPTAPNPVQNPSPMEPNEIGLDGVEESEAADSSNYNAHKTNECALMVMFQKGDDMFAATASTVAVAPSSLLSVAPPPPPATTTTTPALVQPRNGGGINDDSMNGVNDGGVRTIRETRKVSPSSSELARLARDYNDDATSPNDESKNGDMANEDKIEPSENTRPLSATRGVKSAPIPPRDVGAARDGLGEETESNSANLTAAAHATPLDALPLSPLLPPNAASAAPIPVTMGFYHNLVLCFWETSRHRHCHHFYSGKRLVPVNTRLDLILRRLNSNGTRFSYIYPDSRQYAAGANVLVPNVILEKIGYVAAGLRVCAQSISQMTQAVMDELAPHIEQLGANAQGTNGRLDELQSSMAENIADTQIIRDINFVHSSDGAANESRHSERARCHVGRTWNVCPTLRQLHESPGAPETDTGPIAHAASATTTSAIEPLPTAQPSLRITRSIFVIPFIKFSIPLRPPIPDRFHCSISKPHIKGKRANAESTSSTAFRYSSDATRRYQLEMDEMEYGRPPSASSPPRPPKNRKRNRASKGTSTVVPRPNVVKKNRRTYAEILMHDKWAHDKKRFFNDSSCSDDDDGVETDKTRMTDRDRRATSRAMTRERSLAPCNADNDGNSEGTTCADKNVQKKRGGTPAPVKVTKPVQARDKPDTERGSASTAGVLSLALSIGDPSSHIDNSSSHILMPIPL >PPA15806 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:249192:249480:1 gene:PPA15806 transcript:PPA15806 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQWTHTDSMEDNSDWNNLDPIPLVNLLEDSTFEPAVHDEEIVSFSVEYGVADDGRHFLTLIVEYGSHLTHYIYRVFLD >PPA15980 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:1064908:1067303:1 gene:PPA15980 transcript:PPA15980 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nac-1 MMKYFNPRELQMAKGFLVSVCYAANIGGAATITGTASNLVLIGQLEKIFPGVDTGINFVSWIIFATPMAFVCLVLTWMTLCFLFLRNAPKGSATVSMKLKDKYRRLPAVSFAEVAVSCCFGLLLFLWIFRDPKVVPGFGEYFKKGYVTDATSAVFIVIILFVLPEKCPNFQKMGSKKNKGTKGLLDWATIQESFPWSVVLLLGGGFALAAGVKESELSQTIGDLLSSLGGLDKFVAVTICVVITIGLTNVCSNTVVASIFTPIVAELARSLNINPLLFMLPVTILVASSFAFLLPVATPPNAIVFGAGVVDVKDMAFAGFFVSIWCALCNLANMWLLANRWFDLDTFPAWANYNEELAGNATSFLINVLSDNQTVAILTTN >PPA15866 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:558184:559183:-1 gene:PPA15866 transcript:PPA15866 gene_biotype:protein_coding transcript_biotype:protein_coding MSELDPQSTRAFPIKMCSRCRRLVVMTQPRRVNDEMVHEGCTTESPSVDDVMIHYHYRIHGFEKILHPYGRENRRQIDGHRHRPKRARKMGVVFDVTTDDEDDFENESWSDGEEAHYEPTEIEKILLEEAGLDY >PPA15882 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:638905:639906:-1 gene:PPA15882 transcript:PPA15882 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFPELEIALETVNKMQEREEKELRVFPASVDPEVVAACACQHCPPRSTQEPGEYCCASIFTLQPLQKGILLRDGLLRKLKEHGSHPCITLDPLCSNYIMTEAAARSSAEMYSMLTGEPITDVNRSYRYGAYRLFVATSIGHLGKGIRIRLPSCFVHAVRQIWPSSHYRGFNQ >PPA15798 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:200135:200927:1 gene:PPA15798 transcript:PPA15798 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATAAEAQVYKQYKRRFLYAIVVIVINLSNAMTWISFAPISYHTNAYYQTDLAAVLLNVVFMALSIPVGLVAVWLIDRFGLRGGVSPILSIPWIRPL >PPA15842 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:437881:439563:-1 gene:PPA15842 transcript:PPA15842 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLQQNNCPICRKPFRALSQLVAKKTVESGHGTRPNRYEQFSLVEALNGTLPQTPGNSSNLDEIHTVDEDIVRASMNKGRGLKVIGKKTVVEEMKETPEGIEMTSVESFMHHPVVPVVVVKPAKAKKKRWKRNVIDDEEIDSLIWP >PPA15779 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:82250:82575:1 gene:PPA15779 transcript:PPA15779 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPAERDGTRRFGKVADKLNEWFVSAFVTASRIRFVMLHTAPPSLPYPFSLRPP >PPA15922 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:818423:822306:1 gene:PPA15922 transcript:PPA15922 gene_biotype:protein_coding transcript_biotype:protein_coding MSESVVRNTSFSHIILFKQHQFRAQSKWECARKSRDRTISEPAESGTIRADDVVVEQRSRGMSLREVKKNKVDDITDGKEKVAVVKTKMGQRHRVEIGFVSRIENDVALQQSIDRALRPLPPTPSPYMPPISSPPRSPYRTVTPAQHQRVAGYPSRIPSRAREGHARSQSQGGNRASSAFFDSTDSIDQSRPSDGERGRGFALPFNVPSLVRYILGRRNRSRSAADLNNIRSSTKQRGIIKTPTSGLDRNRTLRHTVHFGQMDRPIVPSEMALLGPLLDLSHSRTKRKPQETTEDRNTEVYKEFAKQNAKLYSRLFKQYVKELSPSYPLGIVNVTDDYLPIPISLNVNHFRVNKVDQVSQSADVVISMRVGWIDMRLVWNENDYGQIPYILVKSDSIWQPNISPCDAQSRENLDDDKAAMAKIYSNGTVYVNLNWAISYSCDMVMSNFPFDSHTCRMCFVLNQLTPDEAMFHISQYYDPTKMTGKVNNEWALISLSADLVQEPYGTAIYFDCNIIRHPTFWVQLVIIPAYFLGVLIITGLFLGDEGNMDTAVNYGLAVMMSITVIIGILADGLPKSSSLPVLGYFVMGQLIIVCSAVLFVVLRREVKKGILFLVSYIHKRKIERDFLKGLIKQKDRSSTSRNFLRCLQFLCRVIRSNYLAFILFQLMHALNLIVVLNAFNSEQYEKAMQNSLMDATSRAKETFEREFNA >PPA15820 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:325361:326548:-1 gene:PPA15820 transcript:PPA15820 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAIYGEPKITSTPEGNVTLEVVVTNAVPEKSLWFFGDREIEANENFIFSHSDEGGGRKTLKCEIKRM >PPA15943 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:900992:902097:1 gene:PPA15943 transcript:PPA15943 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSRDREYLRDGDPPHDASMGSEEAAVVPIAAGMGKKRRDVIEAHPVESESRPETPQRNGAPVSQSPSISPSPTSSFLPSYPVHDAEDHVLDAYLKKVTPRSVMSEESIHLKGESSVVAKATPKYL >PPA15772 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:49527:50255:1 gene:PPA15772 transcript:PPA15772 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSVAVLALVAAVALSAPFNSIDDVPAEYKDLIPAQAKEFLTSLTDEDKKILKEVAMNYKEYKTEEDALNALKEKSPALYEKAQKLGNYLKEKLDALNPEAKKFAEEVWYY >PPA15802 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:217411:220348:1 gene:PPA15802 transcript:PPA15802 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSKKYSIAREEDRQRLAARIRGDFRGVVSATLPRERDPLSEIGYDTVVQVFSNLSKVDLFNMSAANQVYNGFVADYFKIAPKKAAAYLEIAHFDRGTAFRLIQKDGSELNFLYLQRTILHMITADKQERGVLYEYRQTFLNDDTRKPFENHFIDNSVAEGVRNEFNTHFFNRIIQSLKHYAPEGLNLNNFEVSSSFMRRFKEKIENKFVRLCLMNVVVNEDLEQEFLDFLLDKKFTEIEVLLCDNKYGAFMDAGFAKKMACDPKKNYILNVMTDRPKWFNMVAFAPDDFASTTLIKFDIFRNASLVVKANDVLLDAMLARAERKVEGHWKILLDKKIKFLDRMRLKRESAEYTLKNPHTIMDFIRDLEDDEYIIR >PPA15781 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:87447:88028:-1 gene:PPA15781 transcript:PPA15781 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPLETSNQTELNRARKQRSINGSVRYFKSQFGSPRIPYNHICCCDSSDICEPRANRGEALSTRN >PPA15934 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:857317:859081:-1 gene:PPA15934 transcript:PPA15934 gene_biotype:protein_coding transcript_biotype:protein_coding MRFALVVLLFGLAAIALAQDEHDVEHEDAYEGEDHEIAAAEDHGDEEHHEEETGDEHVGDAEEHDSGDVHSSIVNPEAGHGPSHHGHGHYYKRYHHHPHHIFRRPDCRAAFHKGQCKGFADGKKAGYKAGYDEGKEEGFKTGFEDGKKLGHSNGFHEGVKSGFKAGMDVGEKKGYDHGFVLGKKEGIRIAVTRGLEKGRAEGVKIGYEEGHAIGLEKGRKWCSTMVADLRRKIYKIKCALAKRNHHHHHDGYDKKK >PPA15972 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:1020259:1022688:-1 gene:PPA15972 transcript:PPA15972 gene_biotype:protein_coding transcript_biotype:protein_coding MLCMYRTTIHNNALEAVDQLIKACDVFKFKFEISTKEDIQRFYAYFKTVRPEDENVTIPLGIARCKIDNPIRFRTVGITELEFEHKRLMVDVGGQRNERRKWIHVFDNVDMLMYVCALSDFDMQDPEDPTMNRMMQNFEIFKTLVVSEIFKKASIVLFLNKHDIFVEKLKSVPLMRCFHNYSGDNTDEDACKFVQKTFAHYVHRKHRFYSFTTRATDTDLMDRTTVLSFVPLKCVKCVIVKGLK >PPA15868 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:561296:562213:-1 gene:PPA15868 transcript:PPA15868 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFRLGSYSSRAEIPVRKIIEAAKSTDQPIILIEFVHFLFRNPLISEKQFCIAFIRILLSHNNEETNDDRTIISYDDLAKYKFVGISKSSIVELVEVLMESVHAKISQTFNDSRDA >PPA15879 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:626979:628352:1 gene:PPA15879 transcript:PPA15879 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSFGVLAFSQAIADCGHQAIFTFYVVPLMTLNLNIFKDPMVMSRLGHAIVIFYQVCGYSHVCTSFNRFSSVYLPTCRRNTVFLCMFIWALAVVPTSLNIHFPTYITIATTFSILDIFTLWRVYRYSKIGVSTQAAPEMGGKARKRKLETIFFWQVCLQGVLYVTELITYFYLATLTTDKWARFFLTDVIWCLCHAGDG >PPA15893 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:682676:683069:-1 gene:PPA15893 transcript:PPA15893 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPERYPDPSAEPPEEPDATLNSKFESDFRIEREIGYGSFGTVFEVKNKHDLCAYAVKKVPVKKGDKDAKLALKEVRALAIITHPGIVKYNYS >PPA15796 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:188141:188915:-1 gene:PPA15796 transcript:PPA15796 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQWKRPSNTWLYVSQESGLCKCEALYCHFNASDVACKKACAENSSKFKKVTLPTENADEDIETCWNGCKADCREGVMCDNECTVLCGTHWNSTNRKEYEEEYLQWANETGRF >PPA15970 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:1008716:1013929:1 gene:PPA15970 transcript:PPA15970 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sad-1 MKLIEHPHVLHLFDVYENKKYLYLLLEHVSGGELFDYLVRKGRLMSKEARKFFRQIISALDFCHAHNICHRDLKPENLLLDERNNIKVADFGMASLQVEGSMLETSCGSPHYACPEVIRGEKYDGRKADVWSCGVILYALLVGALPFDDDNLRNLLEKVKKGVFHIPHFVPTDVQSLLRAMIEVDPNKRYSLSEVFRHPWVKGSSRDEPELELPMAQVVQTHVIPNEDSIDPDVLRHMNSLGCFKDREKLIRDLLSAKHNTEKMVYFLLLDRKRRKPANEDDTEVVIRGNSVIADPPKKRTDSSRPGRYAVGSIADGSPINPRKQYGRYRSGRHASLGGSPSGSPRSARDVFTAASNPSSFSARGDRNEERENSREARGRSATSRNSSNYHYYTQPVDPACLAEAARHVRAVRQQSIPFKQGSKLKEVHAKERNNGMDTSTISTASASPSTNSVAKRSDSRGENKYSPPSIMTDSMASGGMGSTTSSTNSLISGGGSSSNQLNSSSGPWRAKITSIKNSFLGTPRFHRRKMSNGSDNEENQIIDTSDLVKKSWFGSLTSSISVERDDAHVVPVQGRTLNGIKAELIRAFLTIHELSHSVVGQNSFRVEYKRGPTAGGSVFSRGVKMNVDILPGNQNVNAPGEPPMYVVQFTLLAGPVRRFKRLVEHLSAILQNTTQQQRSERAHQAALMVRPRRLSDSSVSSACSDTESAVSVNAIISQNRKADTSSSSYIDRHSSSMRSVGSNNSEFCVSINADLLPTASY >PPA15968 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:993670:996646:1 gene:PPA15968 transcript:PPA15968 gene_biotype:protein_coding transcript_biotype:protein_coding MEERDVEPHVHTPFFRQNGNIVAFMEKFLNYRSTDDRGVDQSAATQQGEDDSSKSGHCRLYVVGLATLVCSATTANAFAFTYSSVLGSPQEISSITSHSIDPRTDMILPRSPVMGVLYGASPVGSILGILTSLFISRKLSIRYQVMICLILSALPTLLIPIAFDMETNQRVPIIAVLRFVQGLGSSVMVPLMINLAHQWTAEEEATLVLALVSSQAQIGVMITSLTAGLLGNTFGWRTICNLSAVYTAIIFALWSTFYFDVPSMYCCCLGEKEKELLSKDERPRASISPFRLKNTLAVFGEMMRNLSVWAVLVAAFGSYGGISPLFLFQTSILKRSLKLTNEETCIVACISCLLHLVIKALGCLFYFKGYGSGNWRTKAVNFAACALTGCVFAIVASNYYEGKYARAGLFALAQALSGFSYSGYHCASNIVAKRFSMIILSIFITMSIFTSMIEPALIAFYFPDHKWDEWRLLIFLHGILLIPSNVFYFLVADAPPRLNRKDLPVRQNSDMEMVERPPPNEFAD >PPA15830 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:366213:367382:-1 gene:PPA15830 transcript:PPA15830 gene_biotype:protein_coding transcript_biotype:protein_coding MRIALILLFLLTGSCSAFIRTMEPPPDLSLEPTVLTYPDVSLNPVTPRPLLYIPPPVPTESAVETSLPVPTTNTDVPALTDTPEEDYPDIELEQIKQFSRESSAPSSPSRSNRKRRSFLTFDDRIVCIERCYVPCRDTIVQFNNGTTQKMYECRQISKVDMIMNGLGNNRIAKVFLLFAAFFIVSFCLVAVLVCDAKFIRQKRCTSVKKEKTKKEDDQNSNAKKEDDQNSNTDKEDSHE >PPA15936 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:866470:875556:1 gene:PPA15936 transcript:PPA15936 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ced-5 MRGEIVKNLTTFGFILRLTKNPQPADRFPKRPCFDIPGPSRSPAPTHCSYVYINEDKPKWNETVKVRLPTIVPDDCLFLRMCYHVRRSVDKHKSEKGPFALSYLKILDSGEIIQDKEHEPYVYKIDQSRYETPNADYITLPSARTDIRDIFAVVKHSQGVFSLSDKCSMTVSTHLVSSRIPMSPNLRKILHHSKHSADLARQLVRFAPKIVESLFDIWDTHQNLEQQVFSVLVNLLSIHEQKQFVKCRARIVEVAERTWHTSAAFRILRCLTSLLTLGGRHFHAKRAMDAMKSLSWFATVISESNRKGIEAGVNCADACTTALETFMTRVTDLVSRTEPSFVDDKVSLATVQNTVIRHLPELITPLIMTPKESKRFSTLSNQSEESKDSDRTGHLIDQQCELLKECRGMYDANKLTEHLHDIIQNCSPFVADMHRISYVDAIIRTPLFMMESCREVLLTPFLTILLKLMELDDLTANDEKRTQVSTQCFKTLLMMIEKLFPTKEHGGFQTGTANELEMIVTTSLRTSVRTAVFVSNGILPYKVDDARSHAYTLVITLLHHTSATTFKKYIASHNEAKVEVILEMLLMVRDILNKSPFCVTWVLLNREMNRVVYQVLQYVQAIHKTLIDVTSFVELWREYMLSLIAFIIHASAKDNTPAHFESEELVKNAMFELRSTWFMLSPAQKMRHIPHMIRDFLLVAITTKSEHGEIIKILCDMMMTEYSLSDAQPRNFNQTSRELYLQLDSLIVQETKGNRSFRELLIHFMEQNLSQDPELWEVSGQKFVVEVDRVLNLLFDYRLAKEREDNEEQRMRCIVLLLQVYQDSDQAELSIRYVYTLFDLHISCGYYIEAANTLKIHAESMLSWSNTELPEYLVTANRNRNCATERQLKAVLLADIAELYEKGELYEEAIHNYNKIMPHYERIVVDYRAMARITNEVGKLYNKIEDGTRVHPFFYLVGFYGLGFGILNGKQYVYMSKATMRLGDFCTHLKKAYPLSALVDSSANTEKLKTSSGRHFQVMNLTAVPNQYPFEAENVSESIKMYYEYFHIQKFEYTKAFKNKESKWLPYATNDTAVETAQMFTSKIYVVPNEEMPGILNFSKARDLVISISDPIIISPLQMALTQMVEKNEALYNSAKKYRTSQDSASVQNLERQVLGICQAAVMGGVANYLIFFAPELEEGYDDNEKEMVEKLRALIIRQVVLVEYAVFHHKGADPKLHEHLVNSFRDHRAFVEKQFGAVEDSIIPSDATLDEERRESLTMSVLTLNEPPRESVDAQSFSLMSPASPSSSSLTTDTLFSPSPSSRLSSRSLKGESNGVEEAIDKVRNFVTTPIRKPKLPSGPPPPLPEKPGKIRESKADDSSSEGSQSHFGTLRSKTFFTPKNKPLPPTPSEQ >PPA15987 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:1119568:1123478:1 gene:PPA15987 transcript:PPA15987 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRISPIRLLYALGITACIAVTLCMLFSIEVYYLVGNFRVLPVWSEGVFTFPEREQQREEECKEHHIALVLGGYQVVNRASALFKSILYHHRGPLVFHFITDNKSEEVLPTIFDTWHLPAVRYYTYDMEKYKSRVDWIPNAHYSAVFGLMKLVIPDILSLDVEKVLLLDSDLIVLGDITPIFDSFKGSNESALFAMGENNSPWYTQATSTRRRWPARGRGFNSGVVLVNLERIRKTNWSLMWKSETEERLKEYGKVQLADQIAHFNSPEKMQLRTKFVVHYAQQYTVYQSMDGYVFRLRKDCSTNTTSSLLKDLNTNEENDSECGALFTAIRTIYRTHLYFNGFPSSTIAGDVTLVTQLSVDRFDIFEKVLDTWEGPVSAVVYCTDAELSQIEEFMDASQMARGRKNIALHAVFKIGRYYPINYLRNVALNASKTDFAYLADVDFIPSRGLYENLRSVVQNVNMNKKALVVPAFEIASSEKLHFPRTREELIREWDDGKIQPFRTDIWPAGHNSTDYDKWKEAESPYEVEWKPGYDPYAVIARNSTPLYDERFVGFGWNKVSHLMSLHADGYRFEVVPSAFTVHHPHPPSFEISRYRGSALYRKCMRILRNQFARDLHFQKLAQAAVAKP >PPA15859 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:516444:518331:-1 gene:PPA15859 transcript:PPA15859 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFNTKGGTVFGKFERWEAVVAIEEASNEAIKKKVAEYEREREKKARKAMAKAAKKQAAAAVAPPQSLLTSPHPPSSSSILPAAVHQVDAPNEEGEREEKKGRSIVPKDQVGKGNGAKETTGDEEEDQEKDSRENDDEESAGGDESEEGQEDTVEVNGIGTPSTPSGPFVTSPSDELSDIPFFVHHSAYNPPVKTNDPRTASPID >PPA15818 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:316994:317872:-1 gene:PPA15818 transcript:PPA15818 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVIRERGGDDEMASSTMDENGTSSSSLSMEILQEPTSKAPINALDIIVFCGLESLGILAILGNISLIIVLLRNKYLNRARS >PPA15829 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:365391:365759:1 gene:PPA15829 transcript:PPA15829 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGGILEAAVDGRLERGKSPLHQSEMERDRSRRLTETRMNGETTDNTRKLARNKDNVDCQETTITDVLACRRRVQHRTRNVH >PPA15834 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:391112:391666:-1 gene:PPA15834 transcript:PPA15834 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGGPVVVTVNVYLRSISKIDDVNMEYSAQFTFREEWKDARLAFDRFADDNTEVPPFLVLATSEHSDLSQQIWMPDTFFQNENALSVFLTGERAL >PPA15982 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:1085789:1088350:1 gene:PPA15982 transcript:PPA15982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ldb-1 MRQWMRPAPGMGTPTPVGIPNGVHPLPHQMPTPTPIEFRIHDMNRRFYLFLNTGVQEKDYAQWWDAFSHEFFDEDAKMTFIVTDEKGMKNEKFVIGRQLIPRYFRTLLDGGMNEMYYVIKGPSRETPTPDGLTTYECNQFMQVSKYELPYQSEVQTDAVIKLDFTPYEECYNYRIRNWVIELQPSQEFTLNPITREFTPLMLAENKRRPITCGMSPATLQALRFSVILEPMQLIMSTCKGQPMGTMKEENPLEAGN >PPA15985 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:1106115:1108971:-1 gene:PPA15985 transcript:PPA15985 gene_biotype:protein_coding transcript_biotype:protein_coding MTINTLHAWTIAALSAHRYWKISRPVEARLKDTVCMARWMLIAMSIVILFFRAPIFLVELKIKWLPMFRIVNEPWATERLSNYRLIYHSILDPLVSHVIPFLWMSFFSLLTLLEIFRSRRFNYKSIALKGNSRTIPMSGRLLRFSSRQPLTNGFKQRKQPQELRATISIVIIIVMYLALHSMQLFTIARKWQLLLQHQCPTRRDYLHSHISIILSLGSTTVNAFVFIAFTSRLRKYFKKLIRKTSRTLSNSSEPPLSPKTTITIEAVNEKFNENLNL >PPA15855 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:500314:501781:-1 gene:PPA15855 transcript:PPA15855 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAQNLIDGVQDRRGVKRGQRGDKKLLSVEPVERSRKKDNKGEATKDSENKLNEQRFEERRKRMAEEKKMEKLCRNPIAAVDGLLEAVQSAKAAEQNNVKEAEDKIIELTASLVQMRLNVAKFEKEETRLAELKVNVEKEEGLSNEFFEKFQAHKLTAAQLIRTELQRAAAQERIENLTEHRSDPAGNEDQKNPIAINSSKKGKEKSNDKKKEDCKPSGGDSMEQKEDKNEENSGKKGEDDESQGGQLSMAANGKKPEELEENGKDRTSGGLDLMNENGVKPGDVNGTPSTSTAVPAGPSTSKPVPMDVDPFHFKPDY >PPA15908 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:755474:762438:1 gene:PPA15908 transcript:PPA15908 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRSKMTKRGDSHQLSTPARAKPAPEPKSSKKKAKTPSKTPVKPRSVDRPNDKRKCRVCHDHHPLRNDFPYEKIDFDRSDVAWLDFIDCEECKSEFHLGCIRLEPFKKMLIATYVCAACEREDRRTTMKTAEELGETTDAIEDVGSQNWIKNFLRPKEFASPPAAASQEEFGLRVIEDGRQFEEEFNKNSTWNCIFLIKSKKDLGIQVPQKPFGMKELIALMGVDTSQWLESVIDVTAQVTTRMLLSTFSELFNLDEGERERVYNILSLEYSKTGLAGIVIPPEIYRTLSLADRYWPLNGESAEKCETKHLDMRPAVERFVLIGMGGSYTDFHIDFGGSSVWYHVFQGQKVFYVAPPTPKNLQIFEGHQNGDQQKTVFLGDRLEGCSRVVIRSGQTLFVPSGWVHAVYTPMDSIVFGGNFLTLQGMQMQIEIQKMDTRLELGNEFGYPYFDTVMFYTALTLSKQLKEHCEKRATGSEDVFPYLDGAVALVEYLKEADKEVTSKKQSPSKFARGLSKKDIVEQLEEAVKMAKENDAAEDQPAINPKKEVNEETEEGMNSDEENMNDTEENTDTEFDPDQEEEDEEDLENKNGHDNKDEKDKKKDLLEDDEEKQPIIVKAGNVLQQNRDQGNDEFKKKIVRSENGATISADRDRPMKRGNADDRENERIKRQRTGGAPVDITRITRTILKKENDDEEDERTRRAIEEEKKAEMERKVAAAQNRMEQLFNNPRPTIQVQLEVPGSLEQDAEYIRIVNNVKAREAAAEKKRREGAVVRAEKAKLRAAIAREKEIEEEQKIADAEVQMARELQEWIEQERNKANQLMEVHVQAFLADQAVIMAADDDLTAAAAGDEGADAIIPCYY >PPA15775 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:68140:70555:1 gene:PPA15775 transcript:PPA15775 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLNATYYEVAKQWVSCNSIMREVDLLLQQKRALKIPDKAKELNEIIHRGKIEAKKLLVDNTELQIELLTHYGEIKEVLDILTEKITIIKEATRNKQRRKVSIACTVLSLSEGT >PPA15822 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:331809:332174:1 gene:PPA15822 transcript:PPA15822 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPKLLNRLSNGIARLDISRSASSAYDQTRINMKVNKNTRVLIQGITGRQLDITTYD >PPA15816 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:310304:312139:-1 gene:PPA15816 transcript:PPA15816 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKMGSRAISTDVRDMATRRANRQQQRILVQISVVALIFYAYMTAYYISYYVTFLSSTATMIFNSFFYSTTHMINPVIYFSLNKEMREQLIDAIKTYYRTLTCQPKPPLPSRSNTHREHTIDRAHPSCTTYSETIPFFSTAAVANYKTTTTTNGNFLRDRDGDKLLVDNDAVIHDKLKIRHNMANIISEGEEEEEEVIEGSSSAMMESVTVTKDSYLTDDQLNKLQDRERSEFMNDLISALKCESNPSLNIEDEQEPTRLGLELPLNKLPTCHTQTIRIIGDSENVS >PPA15924 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:826830:827207:1 gene:PPA15924 transcript:PPA15924 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLTFCGIIIVVMIVGLFCGLFVSWLGFALASLSVWCWTKKEDKKEEEKYFNGN >PPA15960 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:971426:971802:1 gene:PPA15960 transcript:PPA15960 gene_biotype:protein_coding transcript_biotype:protein_coding MPYWSSAMLYVLALKLSLLAFCLFKHKLTKLYRYLTKKREVTLEDVVIQSISNRVSTPVPPRRVKGRGGRPVLLTSSVDRRPRTPIVRKSRGPARPQLTQSVPGSFEL >PPA16001 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:1168104:1168663:-1 gene:PPA16001 transcript:PPA16001 gene_biotype:protein_coding transcript_biotype:protein_coding MARNNENQNGEQIYPAPSHSSHSMLSAEEEEKEGVMKIIRANIKRITEEANKVRIWSTD >PPA15891 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:670801:672923:1 gene:PPA15891 transcript:PPA15891 gene_biotype:protein_coding transcript_biotype:protein_coding MHITVCDLDRIANVDLTDDCPIENLLALVMADLENDSHDISLVRLLKDGVDVLVNGRSKTLADCGLKDGDLLLYSYNTPAISSSAISSTTSAPVASFSTAGPSSADGLDAQRKRLAELLGQGMQELAKKMKPAKTPEEEEEEKCRKLYESMNQPAVKSNVYQKHPQLLEQYLKNPTDYGILCKLAVHDTRLTSESMSREQLRVLGREGGKGWMDGLDGWIGQHVVRG >PPA15979 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:1060750:1064471:1 gene:PPA15979 transcript:PPA15979 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSIAAIIRDFQETAVILMVPFIASPLLFMDQPNEVKLKCCYVVIIMSLYWVFEVLPLAITALVPMVLFPALGIMKSEAVAKTYLPDTGFLFIGGLMVAVAVEKSNLHTRIALLVLSLVGSDPRFIMLGFMSVTAFLSMWISNTATAALMVPIVQSVISELVSNQRSGELIERQHLASRRRSIEGRRLSMTRDPASLIDQQTARTDASQSLLPESESRRHLMDHEEKMAALCSPSIVRLEKAVEEAENHLIPFPAQGENHHVMTRIQYNDQLEQSDNMTLKWVICMHVT >PPA15997 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:1152180:1152663:1 gene:PPA15997 transcript:PPA15997 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEEAPMPKEMLKCPFCELPLMTVAHADQHLRTAHKHEVHLAFTCSNCPRRFFEVGQILHHFETNLFCHSAICKVLQPHPHQRVIPPRPNIFRRLYGMLKRK >PPA15885 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:650327:652915:-1 gene:PPA15885 transcript:PPA15885 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSNVEIRECKKNQFLIFLWAIISEAPKIYLKNLTNYPGRSGRQYCLQSHDWFVEEYIGYNRYISWTYLYKLIFVHVIPCFLLTLFTIALFCKLRWQDSKRAKLLHPDDDDEMDKKKKEDTATTSTSENVSVVQETRELTAVEVMRSATNGDVTALDSIQFIDEGVELGEYEVLINIENVEEGVERKKSFLDGLVEVVPPVIHEVIDEEVLGIPEVHEIHHSNEYKDRPIQRTHEEAIEGVQEVKEEIEIVKEEVVQSTVVHPPIIVVTPPMVVDDDAIELLGSEDMVVPAAERTVEQEIEIVVEEIIVKVVAKVEGEQKPAKPANDDWAKYMRSRSRGSTPSPNGANATEPGERPPLKSYAGSSKFLFIIVIIHLLVELPVSVVLVGRFYYELYGFDIIGTVFAAEFTNVFMVKKTLNCIAHFQK >PPA15811 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:265754:267053:1 gene:PPA15811 transcript:PPA15811 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHNLLYGEDGKLIEEENEEMMDEDELLRETPAVEEEAIHPVEKTAIKGVIEGAKHGIEDDLLLLDGVLVANSTDQSNVEKWKARYQECNEKLIAMNDEIEKAKNGTVLSNSENRTVLNKSENGTVSEVAESRTVFESLAKLRSSYEALLKEKKSRETRDTTILATVGVESMEEVIDRATKGERRNFAAETSAKVLEQITSALDEVGHSNIATMVDEIFKMREVNEKQADVLDEIMESLNLSQKDVEEKMKKLEIDLAKAEDLVEKRTRERNEAVAEHEQAKQALFAKERAADAALARQREARAAMSAAFRGYNLNDARKQRTERDTDKLRYV >PPA15904 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:741589:742850:1 gene:PPA15904 transcript:PPA15904 gene_biotype:protein_coding transcript_biotype:protein_coding MIATIAMEDVDRNHDFVCKVVSLFAKYYGKEFKVEAATLATHAVALFGYSAFRPRVEVCDRRIRPIYKLLNTYSLPLPDLKKILEENWVDLASFMYDKADMCILRKDLHTAKKILTEMEDAVGQHHEKVEELREYITEQETQKNTKFNAGTPPPSSTQSRGTVKKSGSKKRGRPSTASEAARKKDKKKKEIKKNGGRKVLPECKRRSAQ >PPA15928 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:843954:845950:1 gene:PPA15928 transcript:PPA15928 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNTTNGMAAVAAGIDAGTIYSDFVEPLLCILYAFLIAKIMCSTSEDLKGPFFSFAITTGTSAILNILCNLLTRLFDLRIHVFPDRGLFMNILSCFSHTFAFSMTLGKILAVATRFTSVWLPFSFKRFWSPPHIRIIITLQFTLPLAIFAYMPFMTAAFARTDDGYGHYLGIESVPFKIAKGFNMFGYMLFFVTTIPMCVATVAKLEMDRKKIASMQGGWTASVSSVSRSERILVLCSVVLTLAHAVKATQQTIWFMALIMNEPALQATMISLYMVPNAITSFAEPVLLLISSKALRREIFGICTPKEFKEPNTTRVASFAARSM >PPA15794 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:176021:176799:1 gene:PPA15794 transcript:PPA15794 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNFEDAEAQFSEKTEFSRFENVANTMDNLHTQNMKLHREIQRISTEMGRLIAVIFGPEESIEEEEEEEGNDEDRSETTIKEDSDENTNEMKDGNK >PPA15848 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:454437:455786:-1 gene:PPA15848 transcript:PPA15848 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFQKAKVQIASKYDRQIDRRNFNERRMMFEHGHKTVRIHDLYLRLASDRKAYPLFPGVPCPPTILEKTIGKLKPRDDEEEDKYHYGQEEEEEDVEHLCSFPGGVRVISRNHRSHPSMIYHILTANDNAPAQELTYKNLVVFVIVMFYQKIWRIGRSHQKTNKDIEIVRGGQKPDWESINNEEIASIRTGRNVVSDFLAKPYDDANLGDAHSAVCLGMIIPLSCVFRFDAVQ >PPA15785 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:104942:111520:-1 gene:PPA15785 transcript:PPA15785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-aex-3 MYAHPYKGSNFPWQGLSQLTDSGEKVLGKNFMSAINGYAEKSNDIFSSVLNRTGATAKAQAIKEKTMMPIANAAAQRIDQSQQLVKKAAPAPTSIQAATQQGRIATQQGKNQQLAREICDAVLAGQGVGVFVYPKLKRLCEEESMRELICSKLNIGLEHKMSEDDFMKEVQLTRAQYKGYIKVLQACIAGIELSFNTPGSNGLASMFHVLEIAHTHYWSRQTSEVMTPSSATPSLANTPSASTQDLTSLTSTRYKISTDGKNVTPQRVENGGSRKNSEHSIGKTSLNGITPSSLASSEITPTPDVSIPPAMPPPSLPPRPGSTSSTSSSTSGPPPHGSEECITSNVHPDNLTSETLCFLPIPVTIPLVNISPRLNLSSRRLSYIEFSLSLSCVSSVSVLSVSRVAADQISIISMYDTLYLTLSPTLCHNYYRHYALSSGAQRPTISPTAINRRPTAAATAPPRWSTTQGATPTTGHRWRTTHGAAAAAASSSSGDHRASATDCARTTHDEDASYAAGSGCEDSGSTPGFSCCNGCSDQSPGSLASEWIRVESGAGKKAHGTQHSRGTYLLSLNSNIIDHDSLESPFLSRALTDLSVAAANASKQGSTPSEPTRHYIYQDLLLTTPNPLWQKVVFWENVFVDIVATEREIIGMDQEPSEMIDRYAHLGEHEKKRLELEEDRLLATLLHNLTAYMIMCGTGQKAIQQKIRRLLGKAHIGLVCSKEINQLLDDLPQTQGNSIPLKPLGSRLVQKQSFTVYVGSNTEGTMMFMEVCDDAVVLRAVTGAVSERWWYERLVNMTYSPKTKVLCLWRKHEDKVHMHKFYTKKCRDLYLCMKNAMERAAARGKVNVEGRDLGGEFPVHDTEANQGGLLQVRIDGVSIEFVNRQYFIELGNIKKCNTYGGNVFLLEEFDRKENKLIQRRYISAMASSIAWALHRVFSIRQTAVAAIPPPRPFRHTLSAVAALPQGRLAEELQQGHLQLMLQSNPSIESGNSVDSAIVVDSEGSTPVQ >PPA15921 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:815397:816002:1 gene:PPA15921 transcript:PPA15921 gene_biotype:protein_coding transcript_biotype:protein_coding MISAYLRDAFAVADFHCFVAYHPITRTHSSSGTIAHLREINWWNANYEGFRHFLSPNDRKRTANEIRADGKMSSVEWS >PPA15791 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:167019:170546:-1 gene:PPA15791 transcript:PPA15791 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLKRRGLDLSMDGRQCEWEDGESRSEGGIEENTSDAIENTFRMHGQRCQVGEDAAGGRSLQGHSASSRFLASHKIDKPRSTRAKKRSCGNIQYWRRKIINHAYFLHFKYAKSRQLGLNYWKAVLPHVTGRHTNLDKIPFLDGIRRCKHKRLQPSTLHQIKRDSDEYQELKAVIMKPTFLAGFLRASPKKNTSPNECFNSLINLYAPKSRACSQRWYSERVKLATLHSNTLAILNLLNLREEKGNCSVNVLGRESNAVKRKMAKADHAWRREIWEAIPAVIEGRLMEQFLKRINAPNDREYMLAMQQEEEENMDEGDEEEGDGDISEELGGGVYGEEVDSDHEPAMNTIELSDAEDEESEEELVEREGEEGSGSDWDEGEAALRALERGGRGRGRGRGRGGRGGRGRGGTVAVSVTAGKTTVQGEGQPPEEENGDRREKKERRSKTARKDMEEERESTDSDNDQPPAVPLSEELDLGKITLELRGGCNIDGLVNLINCHLAAMFG >PPA15862 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:536048:538560:1 gene:PPA15862 transcript:PPA15862 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mbr-1 MWAHAYMSNIMAPFNGMHAGMMQPDFAALLNMATMMSKQQSGSKNAFPPMLPFGPHPQMFHPQLHALPMPRQKGDKPNALDLSRKSGLQTTVAVDRKGGGGTVKGTKRKVDAAGAVDTPEEPAKPTSGPGMKRNYTQADLDRAVTDIRCGRLGTRRASVVYGIPRSTLRNKIYKLEAADEQAGIAPIYKRRKVGGGERGNGRGQQRLQAGGQSSAEKMLADVVELTARSAIVVKKEESPDSSPVESAPPSKSASPTEIIDQTEAVEEIELKVDSPVINDDITDDITEEIKEEVNGMEEGEIEEEDETDLVQASLRTLAEMAGTVPAKEAARSTSPDLNLLQTPAAADILNQMIRNCLPLDFLANNLMMPADPVDKILMNGSSMVDDQMAVSPSGDWKKQRPKRGQYR >PPA15788 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:154337:157028:1 gene:PPA15788 transcript:PPA15788 gene_biotype:protein_coding transcript_biotype:protein_coding MISPILGSGANQFTLVALLACAFSAYSLTPCEDFCQGTILGLTPYCYCNENFLKFNRTCFRKCIANCKAKPDYTGCIPSDGIPNAQLWICCIRKVDWQTNLKCDSECWSTALPV >PPA15906 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:749544:750692:-1 gene:PPA15906 transcript:PPA15906 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDNVFQPPTDNDEVNEEKREMEARRLKILEALANRGTLVKPEPTEAVDEEEVLETGDQQEKNEEEEAEEAGDNPPMEMEDQIIEEV >PPA15773 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:57992:59529:1 gene:PPA15773 transcript:PPA15773 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSQCLVIACLLASFVAQIEGNTFLRARRYDEDEIDWDAHVTMCGDKVRAFAQKACNICADSPKFERIRDRSKGMVILRCCTFECSFRDSHNA >PPA15955 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:945445:947773:-1 gene:PPA15955 transcript:PPA15955 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVISIFYRDWVEALMSEGSRAEVDSIIELAKENEATPMKMLEKTIESLAEDNMELREKVLTVLGDVTHDITTIIREAEAPAAPTNFTVDELNFVLSSTKMSEVLVYLGAALAPPEALHLIFAPRDRHDMLINGGVGNGPTLDFI >PPA15887 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:658335:661562:-1 gene:PPA15887 transcript:PPA15887 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDRLAEISFEHQKRGRGRSTDSDECDPEKEKMLTTENWNELEQFHEEVERFKTDMDTLEREVKDIHVLHGRILSQPPNAALTNEMNRKTDDAHRQLRQLMEHIRTLDKNLESAKDKTATVSNRINREQVKRLIRSLVNLTEKFNKGQVEYKEKSRKKCVEYLRVMDQDLPDDVIDEAIKEGTLSDKIRGVILAVDEKKALLDAVKQRTEDIQSLEKSIRDLAEMFHDLHLLVVSQDEMLENIERNIERSVEYAFKAKDDIVSAQKMKRRAQMMKIGIIIGVIILVILLFLVGKMLFCFYLPFLC >PPA15926 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:835308:837168:-1 gene:PPA15926 transcript:PPA15926 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSASKDVCCHCNKRFTCRPAHPSVFSCRDRDDGKLRLTEIDKIVDFRHEDARNINSEKS >PPA15873 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:582066:584503:1 gene:PPA15873 transcript:PPA15873 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRASILPPRIDFIHQDNSPVVKGVKMNKGVAYSYTNGRSTNNNIEKGGDVVQLPFLYQAPSVRQGISTASIAHLKGNNTNHRRKSSRKGKKEVHLPQLPNLPRPAPPFDSSDISQLILRGSSKPLNPKASTSSSEAVSTTRNHQRPAPIHDNDNSIRAGATEEKRSSPYSGLRQNGIIVNASDSNTLPLLSVSLPQRAKSANDVREESPGRPRPDRVFIIFMLQSFNHFPLKVCARLQKEQHKLW >PPA15864 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:549607:550774:1 gene:PPA15864 transcript:PPA15864 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTTILLLAVLGIALAQWGGGGNWWNGPGSGSRWWEGGGRGGWGRHHHRHNPWGRDDSHESGGGRGGNGGGFGGNNGGFGGNNGGGGRGGFGENGGGNGGGWGSDNGGGFGGNGGGQGGFGGSGGQGGGRGGGRGGSGSGGFGGNGGNQNGGGWGSDNQNGGGFGGNQGGNGGFGGSNGGQGGFGGQGGSGGGRGGGRGGAGSGGFGGAPGGQGGWGSDGGSQGGFGGSSGGQGGFGGSGSGQGGWGSGSGQGGWGSEQGGSGGFGASGGFGGQGGAGAPGGRGGGGRGGGRGGAGAPAFGDAGGFGSR >PPA15945 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:904083:905269:-1 gene:PPA15945 transcript:PPA15945 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPYRPPNWGHPIYNNTLQEEFEWWSVKGVTMIFTCIVYAVLIGGSIFAITYLFVRVYCCGGPGASSNNRGQVADSAPVTRPLVLGLGTVVQEVRIPLAEPEIIVTPV >PPA15976 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:1039156:1040001:1 gene:PPA15976 transcript:PPA15976 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRYILFFLFCYIFCASAHPVNGEVGAAENEPQKVPAASNGKKSRDMPDCIERPFDILCGGPLGRRRKRTIPGRIVEMQSGPHISARMKRQQRHDSMRKRRSMADRPKESFAFQDHITAIPSI >PPA15809 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:261080:261423:1 gene:PPA15809 transcript:PPA15809 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSFFLLSLLLIGPSQGFDLSMPSDEYNPVSDYNYADHKGFVVSMSIAVGGTALVILYFVVNCIRKTK >PPA15977 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:1044667:1049043:1 gene:PPA15977 transcript:PPA15977 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNSVEPYVRELFEWYAPVSHRLRWPLIILPLIVTACCSVGFLRLNELRIDDPAFVFTPSDARWRRELQTFSDTWPLKETKFLPGKSFEMKRFINVLIKAKDGGNILREPILKEIQMLNQWLMYNISTPTDDRKFNLTYQDLCMSYDWECMANEHIEMLLQRHKVGRVIDLSYPRGGNQDTPVYLGTTLGDVQLYKTNHTVHEAKITQLFYFLKQEDDIVARYSSDLSYAVEKFLLSVYSSNLITISFAHYQSLQDGLDENADRFVPNFVVSFTSLSLYALIFSFCLRRAPAKGGLDFIRSKPWLAVAGLTTTLMSITSGFGFLMILGYNYNVINTIIPFLIIAIGIDDMFIMVACWEQTNANNGVIERMQATLAHAGVAITITNITDILSFAIGCITDLPGIQLFCLYACVSVAFCYVYQKKAVDVCVGRFQVS >PPA15808 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:258746:259406:1 gene:PPA15808 transcript:PPA15808 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRRVEGLKNHRDNLIYSAETYKKIIAKKFERSGPMWSVPAYNKFISEVEKHRIENRRSLEEVEKELNILERHIEIMSPPNSCTATQSEVIFMQEFNRQCAIILMWMISQKEAECTDVSTILPPQFTYLLPSFSIPDNSIQTDIESVSVFL >PPA15813 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:281242:287232:1 gene:PPA15813 transcript:PPA15813 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVKNQNDVKSVRNQQASFRGLSSCNSTSALFPAKMNDLEQLRTRSVKWTLADDSKLITVISSLVESMIDRGRNFDSSILTTSSAISRLHSTIDTAESAIGLYSGTQFIEQRVLDDDYRPRRPSQPAPTLSLEQRQAIILDDIRMAMKNGLQMIDNSFRRLGDDSDPFIQYEPIDRYNKSIPPLIGSDAFHSMGKRMKKTEETKEIGPIAVSTREEVSSLPSSSVQPSPSVPSTQFIGISAYPPSTSAPPPPPPPMPTKISPLPIDRSALNAEITSALKGVRGEFRVPHDDGPEDVDAGLTPPLPPRSTLHPTPSTTTAPNLPPRGSIQHTTAPELPPKKDEKPSTTLPLPAEPKKKSIFDFDSDDDDFATVLSRPSKTQASSSTLSSSMRSNESETKSEESQEEKKEQKKNQAPSSLVAELAAAAANKRAQQLESAGNPTVPQRPPRSFVNKEDRPIDSPGSFINPLATSTPAPKKEEKKKEEIRKEEEVKPVVKKQSWAAPKKSIFDDEDSDLDDFFKPPAKNSTLKKQEIKEEVKKEEVKKTVQTPKPVAATPQPPKPRRKNIFDSDSDEDFLKPKKNRDRA >PPA15832 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:383075:383718:-1 gene:PPA15832 transcript:PPA15832 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDQIKIRKYFYRDRKGILDNKRTISELSIRKGKVNLDRRNARYLVEENIREDANYDLKVGLREYYEELEDTL >PPA15918 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:806352:806923:1 gene:PPA15918 transcript:PPA15918 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGFPSVAMHIKLIVVLTSLGSLSASSIEYSPSTIVNPSTVSHNQLYNEKWTVESCVSGVVQRAEERKRNCPCRPEDVIVFGELSIIRDGRVSCCQKKCEEGDTPLTTSELTLDAQFCCEGVRRLLIEKN >PPA15929 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:846065:848377:-1 gene:PPA15929 transcript:PPA15929 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVKQRKRKESLTKRKQSLTKDEIQDIAPPKPGFFERRRISEEKKKYKEGLDELVHCYEEDKKVVDHDSEPEEEERDTDKFINNFIFYLTIVLIFANSIASYLSGSLSILSTLVDASMDLSTSTIMGICLHQAQQVDPRYPRGKERLEMIAVILNSVIMGIANVVMIVQSLQAIANDTVDPHMTLTTMIILVSGCSIKFFIMVVCYRRGNDSSKCLAMDLRNDILTGVVAILCAYLGDAYWKYSDALGAISVCSYIAISWFRNTLEHIPFMVGVSAEKEHLSRILKIAIEHDERIQKIDHIMIYHIGAKALVELHIVMDEKLPLKITHDISHPLEQKLNRLDFVQRSFVHCDYSCDGDH >PPA15999 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:1164446:1165060:1 gene:PPA15999 transcript:PPA15999 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPFERPQNPTPPPSSPSTPLEGGEFIFPSASHTLTRQISEVRSSDGYETDSQLGDDVPLSLTASSSMESLPTDES >PPA15949 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:917671:923763:1 gene:PPA15949 transcript:PPA15949 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVDLDVLVAGDFRGRQPSFHSVHSFQQKVAAKRPAAAPLDFAGPQRARFDDTEITLSCSAPVTTSLSLPLTYKALVRPSAESGTESDGSIGDAPADPTISPRKEDHEASGLLQSQLHAVPFEIVNASSPAKDIVEEVLEEEAEEEKEEAPRRIVRPEDISLFNPDSGLGYRASKIEYHTDDSDDGHDDEDDYENGGDEGIEPEECPPSNPESPLEQHEIFPFQGEFPVEEVIEGDAEETLPFNTPLDLQLSYDPTVNMGLLMIDPSHQDRFNQLADSNNTGFTAYETLLQQYEHENSSRLDQIENALMLNTDFDGEFDGEEVEEIIEEIVEEVYEDEDEEHLSLPPANPPSSPVFLSASSD >PPA15995 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:1143519:1145421:-1 gene:PPA15995 transcript:PPA15995 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGFDAIIAKHDAYKVETIGDAYMIVSGVPTENGNNHVLNIAEIALKMRAFVSNFKLAHRPDEIMMVRIGFHSGSVAAGVVGLAAPRYCLFGDTVNMASRMESTGVANKIQASIEQPIRFLIEQSHTLIKCFFPQFTCIERGKIEVKGKGECTTYFLEGKIGNKKNSSGAR >PPA15824 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:338740:340904:1 gene:PPA15824 transcript:PPA15824 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVKARLLEQNKTRLVGPNCPGLIRPEECKMGITPGHIHKKDWWVMRTRLQGSIGIVSRSGTLTYEAVHQTTRVGLGQTLCVGIGGDPFNGTDFVDCLNVFLNDEETKGIILIGEIGGTAEEEAAAFLTEHNKGKSAKPVVSFIAGLTAPPGRRMGHAGAIISGGKGGAEGKIQALKDAGVVVTNSPAHLGATIFEAVKHKLCIEIPFPPLG >PPA15846 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:448013:448424:1 gene:PPA15846 transcript:PPA15846 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCPGWGGGRFLVKTLGTARAMEYLSTAAVVGAEELHKAGFISHLIDNEDDLTEYLKRFSRLE >PPA15838 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:413959:420253:-1 gene:PPA15838 transcript:PPA15838 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLVAALVAAAFAARDSLKWNHEVRSESVDGVESFKTGFEYRFHLDAQVSNGLPVPGSQQSAARSKSLVTLSFPESETVAHLRVEKIRVASLQKEIAEPKKIQPFELFEEIELNEEHLDTLRLPVRFRYENGMVSEIEFDREDLPWAMNIKRVYINMLQVNLAQRNSGESRFTNEFESKKDNVFTAPELTLEGECEVAYTILPESSSRREESELLVTKSINFEKCSRRVGQRYNFRFGDECPSCEPKFNGEERNIESSTVFNYRIAGTPSRFVIKEVELRSVYSYAPISEKETFFTTFVSGNMRLIEVNKENKRIPAPKSEKKETLLYSMEWEKKEEKFLATGDESLLKESPYPEIKNKHEVVSRLIKSMIVKMESEEKGIELAATHEMARIVKVLRFATKEEIKKIQKECNSESHEEFVRSQMKDIFADALALAGTFNTVDHLVEKIRAREISPLKSATLLKQLTSIRVPSEKIVKTLASLCKDSRRQPLEHQSCWLTVGALMHGVCGDHRDKLAIESSEERKCPREMKERFVSILNDEFETAETRYEKILALKTIANSGMDLTVYPLDKIIRNEKEEKIVRVQAIEALRKIRSVLPRKMINILMPVFKNIGEHPEIRVAAFHQIMQTVPEKNIIDQIIYQLEKEPSTQVHSFVYSSLEQYSRSEIPCEKTMADYCKHALRTLRTQPRKMVSSTYKHWTVYNEENKNGASLNWAALFSNDSVLPKEVMTTLETAFAGQWNKYLAQVGFQQHNIDQVFYKLLEKVEKSGLEEVLVRGKRSSFKPTDVLSGIFSKLSIVSRKQKEQDPHALVYIRFRDMDYALLPIDEQVIPEMIKTVVRNGRIELGEIESILAKGYRFNTVLSSFVYERTRSIATSIGSPLIFSSKMPTIFKIDGSVKVELEPRNGKSFDGLRVSVQARPTLASTHVTKVEMTCPMVSLGVKLLHSAHINVPVDMTTEMSWDKKFDLKTTLALPKDSRRIVQLQTRPVSFLRIWPKETRAYVEPKEKTIYVEELETLVHKIRSSNLEKATGIRMNVEGHVHGHIWEKGMEGIPSALLIGENNLEISFEKTEETPKEYVIKTEISTFDEESRMERPSMERFFENDNKEHFKTEEYEEYDGDEEERQTSFKNYVKSYKSDKAYSHRLFAEIKSVGGRKERKAELELRSVCDDKLRHCKINFAGLTTPLLEKETRDWKIESSIEMLYPEMPETLEELLNQKHRELSINVESRWGSDNKNELKMKIQGEQSKEQKRWMKRVAELRERKEDSLTALEEYTRLVEASMLNQYKVVAKYDIDCPVTRSLLERIYTYSKVSAPWFSTSYDFPRNEERIVRALLTIEPSTRQYANLTLETPSEKVSIRDYRLPVPLRLVNIRRQSMTPIRSLSTLGSNFVDETKAMCTVESRKVNSFDDVSYRTPLTTCYSVLAKDCSSEKPEFAVLLKKISKNGEEKKMKIVSRKSVIELEMDKRSEKMRVTIDGEKVERIEKLEEARVYKKNEVVVVELDDVTVEFDGYTANVKLSEYYKKKQCGICGHFDGEKKTEFRRADNEETDDIEEFHRSFLVKGEECEIEEEKLSEKRNYRLESEESSSEESFESKWDKNTKWESKKNVREEKWEKETEVVETEEKTRVIEYSNRVCFSKVPVPECPQNTVENEENMKEIKVKFTCLSRSDRETARLMRESRREVLSLEDFPESFIETITIPKNCLIY >PPA15966 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:983878:986523:-1 gene:PPA15966 transcript:PPA15966 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEISVRTYKSISLLLDAARVLDEEEKKASTPSPLVKTKVKSAAKKASSSKPAPSSMDISPVAPPPVATVPPPPQSPIKLIHDDHQYTASTTISSSFDLFNDHRSSSTTVHTQPLEESPLAKIESLAPSTIPLSASTLESLSISVPEATVPLLSPLPPTLRPSDDAMTMHRPVAALPIPAELRDIALPIAHRSVSTVTRVVATKTPRKPRGPNKKKPKTHAQITEEAQLVTNFGYPNECRTRIPPALRRSGDIRDLPGY >PPA15959 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:969956:970498:1 gene:PPA15959 transcript:PPA15959 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVTPDSSPAENRHTVTFNMDSGVVTIPTLLIDDDDDEVEEENCCWKATRSGCSLACTYATALLYGMVNAIILPFHFMLALIVRIIFCRNN >PPA15912 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:780562:787726:1 gene:PPA15912 transcript:PPA15912 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-aagr-3 MSSRAVCLLLLFSFSSLAVKRGDFKTCSQSAFCKRHRAISSNTNGYEVDWSTIEKTANQFKAKLVNNENRLQLTITGLTEGRLRVQIDEPEETAIRQRYIPTQALAGDPVELAFESIKEDADQAEIVNGDKQAKAIIHRSPFVIDLLNGNGDLVTQINAGGKLKVDLFTTRKEGVQYPDGFWQERFKSWTDSKPFGSSSVGVDITMVGFRTAYGLPEHADSFSLRSTVGSTDPYRLYNTDVFEYEIGNPMALYVSVPHLLAHRKEATAGVLWLNAAETWVDTQSAESSKGLFRKMWNTVVTDDSTPNFTSHFMSESGLVDVFFFSGKSLETGPTPQKVHKQLALTSGVTPLPPLFALGYHQSRWNYRNEDDVAKVTAKFDEHDIPMDVMWLDIEHTDGKKRCTKYFIWSPIHFKTPKQMIDGVAATGRKMVTIIDPHIKKDPAYKVYQDAMVMELYIKNADNRTEYEGNCWPGTSKYLDFFKPKTREYWISQFAFDRYEGSTENLYTWNDMNEPSVFSGPEGTIERDAIHHGGLENREVHNIYGLQYHSATFEGQLARTDGVDRPFLLSRAGFIGSQRTAAIWTGDNAAEWSHLAISSPMMLSLSVAGIPFAGADVGGFFGNPSEELHVRWYNVGAWQPFFRAHSHIETRRREPWLSSEKAMLSMRRSIRERYALLPYWYAIFREHAQNGMPPMRPIFYEFPEEEKFFETQDAWMIGDALFVHPVVTKGATTVTVQLPERDGNKTAQWFDYHTGAPQLSGKQTISAVLYESPTPVWQRGGTIVPTWQRIRRSAWLMRADPLTLLVALDEDGQAKGTVYMDDAKTHAYREKDEFVEATMEYRSTSSSTATFTSIPVGKFEAKNWIEMIEASSLCIFSTRTPCVQKLIRGVRSSPVSVALKVDGEAGQSHTGWKYDGKMQKLTLRKPGVLITKQYSIELFF >PPA15902 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:735698:736516:-1 gene:PPA15902 transcript:PPA15902 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTTSREWWNKYYLLVVLAAQIIICPIHWASRNFPKAALFQSIYGGVIFSACLFLNHMSLFIISFLMFITQLLNLGIVISTAVGFSDLETLNRVYSVMMYTSDLFSLGQAV >PPA15948 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:911078:912523:1 gene:PPA15948 transcript:PPA15948 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYLVLLLLFCVGAIVAQKIGYGSAMCLKLKLSASSSTAIKNKYKALIDNLGKDKTLKAQNARVKKWVNNNFKEIGITKKEIAGVVKTAHEGLTARSRSVAYINGLFALIKPEILAAKLTEIKKKLWERDVASKNMLRNAYVNWMDDVEQAIPAAKLAKIQKIIANYEAADDKKAPKDFYSDSFLWMLPMGYRGCV >PPA15821 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:328751:331051:-1 gene:PPA15821 transcript:PPA15821 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQSQTVPEYWKVDGVLEKVFKLLGMESFFQSWRGGELDKWLENRFPTHLKEHVNVIFIGTAVTGAILGFLIFYSFFSCQSRKRNVHQRKPSDESGLDKASLSMEEEQEGESDDENTPLRILHGRFNKDVIRNLHKFNKENGELIRLGDPQAADEEDSAMYDEEDDDDMPQLEIAEIEDGEQTKGLGGRKETTPTEDAMIEKLGTLHGKLATAKIRQESIKMKKKMSADEREEESRIRAQQLEAIMALMKADKDKFGENSEELLLDQMESLYNI >PPA15907 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:752975:753639:-1 gene:PPA15907 transcript:PPA15907 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSPEPDLMLFSSERERPKTPTRTPGESSFQRRQRERREEEEKKKLEDEKNAMEERKKEIAELLRPPSEDYGIMSADSDGNQGEEAGEDSTEVEKMVKRWA >PPA15881 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:635982:638774:-1 gene:PPA15881 transcript:PPA15881 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCVYCGVKQKLKDMRQFTARAHVRDQWLTASTKDDSSKAELDLYLNTEPGRHFLCENHFSDDSFDESTGSRILKPGAVPMSKRTMPTNDYSDPMVTYSPPPTPRPSLLSTTPRGRPLADRSVPSLRLLDAPVPPCCRCCCKRETETEMKDDTDWTPPSASIRNLPASNYFIVDKASLVQLLERCRSCTGQNDLTFSEDAHALSCKCKCTTCGAEFNWSNSPVLPTLNASPQEKLRQVNVDVCSGSAVTAIGTSRLNHFLKAVGLNSVSNRTFHRHKNEYLFPAVSQAFTQTQEGVFDRLKERLTKVACLQKALDALVIKIGGVHHIESLVTDRHSGVIKMLKEKYPGISHYYDPWHYFRNLTLNLMKICKPSYMMQVKLTWARTIINKAYDSVVRAQGNGTLASEMFRSALLCSAGIHDFSNSLNALACRYAPKDNFFDRKGHELRAMMTTMHWNELKRDEAEGTRTITGKKYYFNNTLKKNVARNVKSPAKNAWRAIVKAKCYEVRASLTSSPYTIVKNENIEKQKEKDHWNSINTPIVPHWADSNLDQSEDEDELADLPSTDVEKVDDLLERSLLILEQEDDSDEEEEEL >PPA15799 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:201800:206099:1 gene:PPA15799 transcript:PPA15799 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGARNGSCNELTESKCLIYIGGLLNFIGNALRLIADFDFVPASARFAIAMTGQSLAAISQPFIMYLPTKLTGYWFPADERNLWNTLTSMSNPIGIAIMYASSPQIVNNDNPTNFMVLNGICFGMAALSAIGCVTITKSEPPTPVSGSKAVDVETPGFWAGIKKACRSRTFLVLCLCLGGGVGLFNALYNNLQPALCAKGYSETFNGVMGALLIASGLFGAAAAGILADKKKNWTEFIMKLSRYVCIMDVEGTWSVKMTQKRQKREKTPIQGAFVVACIGAGSLTVAVNYEGQEAWIIISIIVFGFAGFALYPLGLETGVEITFPVAEATSTGLIIMSGQIQGVLYVLVTAILNVPASPHERSIQTCSDLMSETASISNWKWSFIAWMVFVAVLGLICIIFFRPKLRRMALDVGANARAQLKEDEEESEFKM >PPA15780 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:86545:87417:-1 gene:PPA15780 transcript:PPA15780 gene_biotype:protein_coding transcript_biotype:protein_coding MYNKRVYIMARVVLIYKETVTETCFLKWAVRIGVDALKGEGDSPLILLRILPLVLLAMHFLYMLAFIGLIAGAANGDVFSLPRIPPVPRRAPLQTHCTITRVRRDCGYTFSAKERQWVQVFKPPVTLF >PPA15958 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:965291:967753:-1 gene:PPA15958 transcript:PPA15958 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYLFEYLTEDGSNNGFEVFHSLPDHCSARTPMVNARCTLIHKGKVHSARLLYHGDDSLLLKQCLDTRPALISVKQVLLANSDHKIVERAKKKVDAMKASEAASRKITPSRKRGASKRGKSRAAKKHKSEAEQELEEAKREFEKASSVHDNSKHIVAKTENANAKYAAVDEEKRGQITSCLREIEEFKQLKQSGEVNIDILIDSCENRIEELRRALQVINDKKKTEEEVEELRKKEREATHDLKRAELVYKARLENVQKMTCRVQTAKKLDVQLKNILHRLKEEEKNMMVDVENANFNAAWAICMDAQLLSVQERIPIDWSVETNAPPTADGFGPSFSQGSPSTSGTLPSLAGSTPTRDDEP >PPA15778 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:76352:77241:1 gene:PPA15778 transcript:PPA15778 gene_biotype:protein_coding transcript_biotype:protein_coding MQWFGTLLLALFAVMIMMCLASCCNWPYMIEALDRFHSTIRHGEITREADARRNVD >PPA15869 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:564149:564383:1 gene:PPA15869 transcript:PPA15869 gene_biotype:protein_coding transcript_biotype:protein_coding MDGILARVRSLRNYYRYVIVVVTFIMLGSLMIGPDVFTYTMVYMENNSTSALSF >PPA15768 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:25329:26619:1 gene:PPA15768 transcript:PPA15768 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKLFDPTSIWPKTSLELRTKYPQKFFVDGNRLCSRLRTETQADDAELRRLLSSPSTEGTSDSTLLKFLIHLVFQALHCECGETIKAAELVDKIRVHCAKDITREIKRLGGETKVIEAICEKSPEVFTCNYSLPCKQMFVALQWFFRKNAMVHSCKEIR >PPA15841 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:432793:433241:-1 gene:PPA15841 transcript:PPA15841 gene_biotype:protein_coding transcript_biotype:protein_coding MALILVIVICTFVSLTRTNSGSEFWSPHEFMQRRNQSAGKPKRVPRVGYHGDEVAFGAFGAINRLYYPVGEMNLHRINLTSIGLAMHNAHLKHP >PPA15850 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:464271:469285:1 gene:PPA15850 transcript:PPA15850 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRDSWYKLATTTITMDEQKLVISFALFLLVICLILFFFPFFAVLIPIVIAIIYHVNQLQRADGATATSFFNGWIFGGHRGSPYSFPENSMSGFAQAQKEGADLIEFDLAMSKDGIAVIMHDDTLDRTTDLSGPVKDKTLGELRAANLAAKFARHNSSPVSGSVPREGVPTLEEVIQFAVKNNIRMLFDVKDYSDEMVGIIVKAFEDYQLYNKAIVCSFYPWVIYKVKRASGGILTGLTWRQHFFAFSDLDAQVPRYFGLSHYAAQFVDAVYLFMLKTWLPTFLGADMLLTNEKDICPAYVNAMREKGMRVAVWTVNDIVQAQWMMGSLQIPILTDFPYLSKQLGNLDKFVKNGFK >PPA15989 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:1126014:1127312:-1 gene:PPA15989 transcript:PPA15989 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVYLTLITFVLLAVTIDGAKKKKNNHRDRDEGSSRQQLTEDEKEEKPLKKAEDESSSEEEEEKKAAVNASTVAPVISWTS >PPA15974 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:1035039:1036334:1 gene:PPA15974 transcript:PPA15974 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVHNFFNSHATVPPTLVVPPPKRPLTRAPANRPVITQQPEPQRNERRPAQFPPPSAVPLRESPPLTKPPSDKPAPARSSSGGDRPAAAAPVRKVYRARSSSPARGAPAPRATSPFAPKQNSVAPAAQGSLFKAAPSKSESNLRAANGKLGGVAGGHGEVPRGWKAWSPNARTFSTNPGADF >PPA15961 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:972928:973671:1 gene:PPA15961 transcript:PPA15961 gene_biotype:protein_coding transcript_biotype:protein_coding MHGADSTDAVADFEQGKVTRGPVIDMRDKVHVQLPPRESYEEREIGSPSSLPTPPPTAATSPSHTIAPPECQSDRHSSHAYPRRGRENEEEDLLPR >PPA15946 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:908145:908916:1 gene:PPA15946 transcript:PPA15946 gene_biotype:protein_coding transcript_biotype:protein_coding MGLATIVAAACLLVIVASQGIVYNGLTCVSFGMGSTVKNDMKTKYKTMIGNLNKDTTLKAQQTRGTNFLNNNWKNLEGIFDQTTLTEYVGRLKTQLEARWRITTYLKNVLAKIKPKLAAAKFTEIKNLLWSKDKAEKNNMFFFYDDWKTEAIAAISNAAKKTEIQKIIDNQEATYTKLEDDKMQFFPGMGFSNCGLS >PPA15880 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:635002:635959:1 gene:PPA15880 transcript:PPA15880 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFGDAPHTERHSSSDSSQDSSFIEERPARTLARPQLGQPQDEKTQGGKKKEKETTVIAEFHAVYCLVSRCQNKAHKGRCYIGYTKDTNRRIKQHNGGKDVGGAKKTDGRGPWDMVFCVEGFPNQVSGLRFEWASQNPDKSRVLKDRAIKKEKKETPLAFSLNDR >PPA15800 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:206520:210591:-1 gene:PPA15800 transcript:PPA15800 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTLNLGCKDDDPTFKYQLYVTTFLGYGVNEGLRRYEQSLSDSLENGTLSRDSCLPINLQKEVIRADGSKFMRKGTGDWIDCTARLTDIVTNAKTKCADSCFFGSAPAPKMSLNDVEIYGFSEYWFSVEDVLGLGGAYNYSQVAEKSKSFCSQRWSNIQNQARRKLYAKANDDRLRSQCFKSAWVNAVLHGGFNVSKEHNTFRSAYNIAGQEIQWALGAMIYNMRYYPLRLAQRKKLQEESAHFHRPVSSIQVTQLFAVLVLIGAIAYVTYLCTTRKRATSSIRRENSFWSYMMVPTEDRFKTTTNIYRSPLTPSLSFVQLRAYVRVYNISQNVSFVGHQSERARRTNIITLVLMITIYQKNITEITTCSYTLQTTSVNVMDNTRELNSSKLQARSQLQYSQTNEKFSTE >PPA15852 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:479795:480246:1 gene:PPA15852 transcript:PPA15852 gene_biotype:protein_coding transcript_biotype:protein_coding MENPQIHFNPSTALNIARDYILKKSPQILKESGGSVELKLTWAMKLVSRISEREQEIKLGLPAGALSNYGRTGLQQLQSISGNGANLFNDITSTLFLQQLQKLTEDRQ >PPA15767 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:20873:23904:-1 gene:PPA15767 transcript:PPA15767 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKRTHEGDFGVAAKKGHPKKDAEGHIIYSIGDVIHSADSQEGAKREIKILNHIGTKDPEGKNFVLPLLTHFDFFGHTCLLFDPLGLTLATLIDQKTDTFTMDQMRSTVFQMCTSVKFLHDNQFTHADLKPENILFCDPNIKSKKDIHNASIRLIDLGLATFGDEPHLPLVGSRFYRAPEMIMELGWSHPIDVWALGCILFEITKYFTKQQLDKSPTVREDYVKALKTLEEWMKDEAEETKELFDLLRSMLRIDPERRIIIHEALKHPFFKRTSKNDNVLKESSAVGGNTDTTEAL >PPA15897 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:698097:698762:1 gene:PPA15897 transcript:PPA15897 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGCREQTCASCGYPAAKKCVYQWSIKAIRRSTTGTGRMRHLKKIQHRFKNGFREGTVAVSQKKRTQSAASN >PPA15900 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:727364:729640:-1 gene:PPA15900 transcript:PPA15900 gene_biotype:protein_coding transcript_biotype:protein_coding MAILALTTSLEDMRDRLGKIVIGSDKNGQPVLMRDTVRPNLMQTLEGTPVFVHAGPFANIAHGQSSILADRLALKLVGPEGFVITEAGFGADIGMEKFFDIKCRYSRLQPHAVVLVATVRALKMHGGGPAVVAGAPLKHEYLEENLGLVEGGCDSNLRKQIENANKFGVPVVVCVNRFATDTVAEIELVVKKAKAHGALHAVVSEHWSDGGEGAIALAEAVIDACNAKSNFRFLYPMEMALDKKIETIAKEIYGADGIELSEIAQKKIETYTRQGFSALPICMAKTHLSLSSDPTKKGAPTGFTLPIRDVRASIGAGFIYPLVGEMTTMPGLNTRPCFYDITIDPQTEQIDGLF >PPA15856 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:502052:503379:-1 gene:PPA15856 transcript:PPA15856 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKTLLANPNLTLAEYSAIANKEKARLEKKQERQELAGASNELDATSAKKRRFIDRGAGRDDGEEEEEERHGERRTNDGSPEHSEASDDDEEEEEEEEVGDAEDDEMEDGREGDEEVDEGGEYTKKRSDIRDDEKNKEEEAMN >PPA15953 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:932161:932668:-1 gene:PPA15953 transcript:PPA15953 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPNVTMRVEEPLVVPQTLSESLEISYQIMNLREGGVESRLVKLSRQLMFAHIMVLVVYGLWRSWWIYSFFWTQGDLMCRLFSFVTALPFHLWSNIVAALAIDMLCCIGYVQGMKE >PPA15801 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:213275:216098:-1 gene:PPA15801 transcript:PPA15801 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFLILYLIVEITGANSQLSIVAGIAPDDEVSYGIIIDAGSTGSRLYLYNWRATSVDELIDIKPTLDEHNNPVVRKVFPGLSTFADKPDHAADYIKPLLDYAIQYVPPANRPYTPVFILATAGMRLVPIEQQKAILSNLHTRLPKLTEMQIMKEHIRVIEGKWEGIYSWIAVNYILGKFASSHSKPLTSSTTLSDVAVSTPSPAPVPRQPAVGMIDMGGASVQIAFEMPQNETFRSENVENFSPAVSFCK >PPA15815 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:306656:308865:-1 gene:PPA15815 transcript:PPA15815 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSELIGNLREIFTTDKVDVDEVRRVMESYKSNPEDWRMFAKFDENKYTRNLVDIGNGKYNLMILCWGPGMASSIHDHTDAHCFVKILAGRLIETQFEWPKEEDKEERLQVKQKTAYTTNGVSYMSDTIGLHRMENDSHQDGAVSLHLYIPPYTTCNAFDERTGRKTKCTVTFHSKYGMKVDYRGSKEGRVEGMIDYPTTRCSDVSA >PPA15783 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:95270:97992:1 gene:PPA15783 transcript:PPA15783 gene_biotype:protein_coding transcript_biotype:protein_coding MNSALLLLLLAALASLAAAQDTQGASVDTAKGDAEKTDSNEKYHTVTEDKLDTAAVLLDDTPDNSNRVGDDAGNSTTIAETGSNRAADETTSPLNTTTTESENAPTSVEDPQITVERDGDKQISKVEVDGREYKIVVEPASEAGDSGKRKNETAPATQKTPEDNSASSTVTTSGSTAENSSTVEVTTQESSSEDESSTKSTKATEVEASTQERNTEEESYTKSTNDSTIEVTTQKIGSEEETSIKSINATTVEVTTQKTGSEEETSTKSTISSSEPTKAPASENAVDELPKIHNRRKREAGYAVVDPIITVERDGAKEMHTLDLEGVRYQIVIEPEFVDDPNVDKTQDDGIDNEDLMTMELGMKIRKDDKQTAASGNGTATILKNFPTPGELSTEAPLAAKGGDNITKTTFEKKKN >PPA15932 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:854303:854610:1 gene:PPA15932 transcript:PPA15932 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLRTTIIGSEKSSEDMYLDEGKIRTDIIELEKMRRLNKYSEFWRITGFQQAPDSACTETNPDTASIHVKN >PPA15771 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:39466:40804:-1 gene:PPA15771 transcript:PPA15771 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQHSTCSAFIFEKSPALYEKAQKLGNYLKEKIDALKPEAKKFAEEIFGDARKIQAAVIAGNNPSLDELKAKATEIINKFKALSDEAKADIEKNFPITASVVKNEKFQELAKKLLEKQA >PPA15914 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:794221:794674:-1 gene:PPA15914 transcript:PPA15914 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCVDLLKWFCWGIDGSLERTTSTVVPITQPIKTQPKAQGLPVGPAKSVDNEDGFTPRDEIVFTVEQAAHLKDLDSKWTAKNN >PPA15807 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:251665:252405:-1 gene:PPA15807 transcript:PPA15807 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHKRSREQKKIKDDDEVKVTRVVKPNPLRKPKKVKIYVKDEPKEFWAENWANPEELAPEDRSEKKMRRREEKRRKKEMKDNRDIEDKANQEQEKKVGQEKKGEKKAYRLAIFSDHSSRIESFPTPSIYGPIYRPLSWSFRGPDKDRYYYDC >PPA15884 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:645569:647311:1 gene:PPA15884 transcript:PPA15884 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLLVLSASIASVAAQCGSTNMARCSIWVGNGFCTNANYNILVRQASCPTMCKVGCPNTPSTAVDACVGDGNANCAAWNAKADNPFCLSTTMAASSKFIYCCRTCAAEITPQGDCSIYNNARTRVSMLTTNAAIQTIPAGNTLFRAFVRTGCSLKLFAESTAVPATATALQTLTGSTMWQAVTTAAATALGVTCTCP >PPA15986 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:1111279:1111474:-1 gene:PPA15986 transcript:PPA15986 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTHDESQWWGAVMCFHDPKTHDWELYEELMKLSHMIILPITIAGLFVNCLALN >PPA15975 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:1037964:1038715:1 gene:PPA15975 transcript:PPA15975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nlp-3 MNPFLDSMGKRGMNPFLDSMGKRSDRTFEELPTEKRYFDSLAGQSLGKRSVRLAFVPYE >PPA15849 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:456738:459597:-1 gene:PPA15849 transcript:PPA15849 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tnt-4 MLVKYSEYEEEEVEEVEEEEVEEEVEQEAEQVSRQAKRPTYEVDNASNLTEAEQAMIAAKKRHEDEEAAKVEEYMEKRRLEKENEEEELRKLKERQELRKKEREEEELAFAARKAEEEEKRKIEEDERRARYEAEKAKKEEEREKRQQQMAGLTGGRNFVIPEKKDKGDKFGNIVQAKQEMGMTKDQQLEAKRIFMAAVMKGMDASGLLPADLKEKIKALHQRICKLETEKYDLEKRHERQEYDLKELNERQRQMARNSALKKGLDPNDSNSRHPVSWAGEYAFFN >PPA15819 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:322599:324113:-1 gene:PPA15819 transcript:PPA15819 gene_biotype:protein_coding transcript_biotype:protein_coding MNFQDFDKPMAGEYKVKFFSADGENHATFNVVAGNAPDFHDKPHIVQRDGGNIIVIKVRAKSHLEMKAEWFKDDKPVKFNDRVKSVIKKDDKDKDGFQFLLEITGPQKEDEAKYKCVVKNSEGQNQQSLNLCFE >PPA15878 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:613908:615307:-1 gene:PPA15878 transcript:PPA15878 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVGNTLVVVAVFSYRPLKKVQEVQNYLLVSLAASDLAVAIFVMPLHVVKFLADGKWLLGIWVCQFFTTSDILLCTSSILNLCAIAIDRYWAIHDPLNYAQKRTPKFVCGVIVILIWQWNSSLALIDRLYSISPRKNKHQFPA >PPA15899 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:713036:713762:-1 gene:PPA15899 transcript:PPA15899 gene_biotype:protein_coding transcript_biotype:protein_coding MAVILCELLTGRVLFSASNGQVVHNVLDRTVEICGPVDAVVLNRMTLTADREGMTARGAGKIRQEMREVLRRSVNGPRIIRPEDIDNEPELNDFLRTTLAYNPDERVSADRAMCHPFLYAGELPVERRVPMDAEEARRRALLEAILEEVRA >PPA15935 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:861008:863980:1 gene:PPA15935 transcript:PPA15935 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIHYAVAVCNFTPSSAQIEAASSLPYPFLDLTIGDEVHLLAEEEDWAFGCKTSHPSQYGLFPMQFVGYPEMRILESENWPLDRVIAADIKDALTKWWPKISAAMCSESDVHYLKELLDIMDKLRSIRKRIEAELIPSTRLNVMRKEVSYMIDKGAAFLKLPLQIRDDDDRPFEQGDLSITECFNQYIKKHKSLSVPLEDAHGSGSLPKSLLLDIRNSTLNLFSNAEYSMGLYDLTKKRYVTDIFSFIWIGTEKRFEKGAARCIFTNLDQSLDIDKVVLITRVARLAPVDNTSGTLIKKLTSSADRNPVHNCRQPIAFDIRDLRSILPKGRSGNAQHVAAILNREDSFDVSLEKWSMMMKLPRGEGSANKTTEDATLHFTVVLLEGSRSKIMHTNSHLFIDRKPTEKKCIHLHDLIKDEERNELLVTLLYGEFLTKAVDKKNIEIRLSLVDKHDRIIPGLVIGGISAGLP >PPA15992 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:1134820:1135425:-1 gene:PPA15992 transcript:PPA15992 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLSTPPLLKPRPPVAVPVRPLTSTRSLELLSHLVTSETKSLCAFDYSYLSKLTPSARSIPSIGMTSQTSLLLIALCMGMASAGLFRNCARLHHVVNLPYIAPTIADIGKCPPGCEPESYSPIQIIYVKEGKGQIVENEENGDLVIQSCKASRRH >PPA15930 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:848719:850600:-1 gene:PPA15930 transcript:PPA15930 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTSLFLLFGLVALSFAQFEGADLSDDHSDIAHDEPIHEDEVTSEDDHEDVAAAAEAAEHEVHPNSGETPVAHSREHHVGYGVEKPFYHHHHGHHHHHQPCKKFYSKGFSAGYERGTARGFKAGFCAGEKKGLEIGIEKGKAIGIDIGFVKGEKKGIDVGEKIGFKKGHEAGFVEGDKHGFHRGLKKGFEHGHAKGLIDGFKKGHEAGLKEGLCKCKDRVQELKDQVTEAKKKCRGRRHRKSSSSSEEKGHRHHYGRHA >PPA15805 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:239023:246556:1 gene:PPA15805 transcript:PPA15805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-278 MGTFTEYRERVQEREKKLEADYSTKIISLSEEVLAAKKDFEQRMKHFQSLQERFEREKEQALEKLREEHQKEIQLLEQRFSESQLLNLEQKYLLEIQRLEEERKSLRQEKDRLGETFEMKLRRAQSLYETELAAAKMLYSRELEALRDHEEALKEELLARQEDFHDRIQELQHQAKRSRDELSSCKNEVAALEKKLFSKEAEVQQISQELEEARNETNDALRRLTTMESQLDEKTSKFQEQEEELRRKCDRLDAVEATKVKLEAVISALQNEVKSLKNRVNFLEKERQNLQSQSESQTQLQNSQVHALEAVLESVKGERESTKEHYENLLTKEREQADARELSMKKEFTIKLNELEEQYNSLKEDLQHTARLDKDELRESTEQELEALRVEKTALVAQVSALKAQFGDEKEEPEQMETRLAEILKQTDSLSECLQTQRERIASKDEEIARLRRRVEEENQVTEDQLLVLREEVRMEMMNAGNNGEMDELHARIAELEVQCEKDEQELEEDAEKIRSLETENTRLKEELGAHGAKDIEKDVTEALAEQETKLRDEFNKKVEEAIAAEKEKAEKEIKEQSENLTKQFEKEKAQLNSELAILRDTSGTSDQKLITLQAHCESLMDEMEGIKGELQKKTEQIENLTKSGDGQRTEEARRVLCLEDELLKEKKNHVEEIEGLRKEKESFADRISQLQSELEEKQRELTEQSEKISQPTATTSIDVQTDEMEKPAPVAIVDLAKEKELRIRIIELESALEQKEALISELHERIDTVIMDDMPKKKDRSASVGGEHSLGGIKCQKSKLHNFVSSMTDGSKKEKREAEAQAAAKKKEAEKAEKAAAHAFNQREVSVNPKIEVSVSSDSVERAKPWKGEDATTIIVYGECGHTQFIQQNLQNLLSPSDADLERRSPSRALFGRTKKESSTQPPPTTVNTSNDKKDAARPAWKI >PPA15969 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:997958:1000791:1 gene:PPA15969 transcript:PPA15969 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKDSDQNVVLIPSEKEVSQFLPNESKQQWRIPHLRYFIVIIGTLSASIGHVWHCRLHHSNGTHSHRLDYWPTSTLILKLIQGAAVAPTIPLIGHIAANWTPLAEVGFFIAILSSYSQVGIFITMSSAGPLCDLYGWRSIFYFNAAASAVVLCLWYFFFHDTPAQHNRLSDKEFQIIKPNEKPVVQKKPKVPFREFFKNPSVWAVLIAAIGNYNGISPLIVFSSAVLKKAVGLTDMQTSNYNAISFFMQLVLKIVSGILSDRWTSVSESFKTRFFNTISCGFAGVLMIATAFLSVENKVLCAVFITVTQSFIGFNSAGFNKAAMIVTK >PPA15919 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:809456:809883:1 gene:PPA15919 transcript:PPA15919 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRSLSYVALIALFGCTHANDAAFRMCGTKLLQHVMDHNLCDPNAPRCKEVFPPTPEKALEIVDTATPSPVFSSRARRGADSKLISTMCCTNMCRPSDIQKVCCGN >PPA15916 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:798878:799099:-1 gene:PPA15916 transcript:PPA15916 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLLNSCSGTTEDIEQQSVSAVVTQPIDTQPTAQTSPINIEVEIKAKPTEDEVKAH >PPA15971 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:1018246:1019658:1 gene:PPA15971 transcript:PPA15971 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLPAPISWSNKVNVQKKDFRIHCRYLDSRRDDSDIKKKLIGLLAKDDRPEDGTAPPPPLPLAGPPREDGTTTPPPTPFIDGAPAQSITTANHCTVHDKPTVAALAPSFSVRRGRLSQHPPRVPTSAPPPIGIPPPLFSKSVRRKPKNDKDKEQKDNGPPPVPIGAPPSTPPPSGPPPPRPSNRATSVKKRAKTVEPPPERKITQ >PPA15854 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:493886:499130:1 gene:PPA15854 transcript:PPA15854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tbc-12 MFSKDLSLITSFEEALCSLHLAAREERERKEREEAEKAKEELRKEEDCGLSCTSTDTRLTPDSAIGDSVESKRSSLDRKSDLSVGDWEETEADTPSSSTRTRRRIIPSINFAKGLFSRKEGRTSSWNPFSKMKEANGVVHTTSLILEERPSHLPAKSAEEANRHKQMHQEIIVANKRREAKAEKERARQMEEKNRLEEQAMSACRMWNDQILPKWDEMRASKRCRELWWQGVPSSIRGKVWSLAVGNGLNITPELYDCYSKRAEQKLIEYTETKGFDSSEPCGSNGNRENSLAQVHLDVSRTFPSLGIFQKDGPYYDSLQKLICTYVCYRPDVGYVQSMSFIAGLLLLQMEPYPAFVAFANIMSRPLQTAFFQLQQPEMTEYFIAFDRYFAQELKELHAHFDEIDVRPDLYLIECCGNTRCTHSSPKSLPLDVTCRVWDVFLRDDEEFVFKTALGILRMHEKSLLSADFEGVVSFLTHLPDSLSGSELFRHIEPFMRSYPVNCETARSKKRFFQILSEVKEQFKPVRSESSSTVESSVQSMKMSKSLSSFIGDLLKPSD >PPA15876 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:602301:605835:1 gene:PPA15876 transcript:PPA15876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-jud-4 MRIALLASILIGASAQIDKRCGLPPNHASLPEFAKEELQAIWKDYVPGAPCKKEIELTDEILKVLDEFGSDFETDTATSSSAVVSGNDSSGSASITDVETTTEEVTTPEPPIERQHFVPPATLKPRRTKTSTWAPRIDGASTPNYRRIASSTVKHNDDYYDYHDPAPTQGKELLRDRKRKNLDDNIGNFFRTTTRKPVEYHSRKATTGAGAPRQFHDYDFKLNDYSDDFNVHFDTPDAPFLKNVPPKVREEFERLWNNDDIPSESLRAEKVHLLAVSLLNGEQLEIYNNWATNRKKALKITRII >PPA15803 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:233738:234357:1 gene:PPA15803 transcript:PPA15803 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nlp-1 MTSPSLPSLVLLGLLIGAAVVSSFSIDDDYLTYAKRARGGVLDAKSFRLGFGKRSVPRPAALAGGIDRMAFGGMKFGKRSAAPAAPSVEEDDEEMFEEDKRMDKAAFRVGFGRR >PPA15827 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:358998:360532:1 gene:PPA15827 transcript:PPA15827 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWLSPVLLLFFLAEVGHVDGQGTAPVTDTEADPNDTTTDSDCGQFCNMSNKTANFYTAKPTDKVGVIKCEAPLPTTTVATKTTIRGGGVVVDDGNETEKNNTIITPPRCETGVLSGTKLLIAKIFSYLSFAWILICVLATIIANTKHKNKGHHRWLHMFQEFFIVLLWLFMGIFNLTFKTSAVSTTIDVN >PPA15843 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:439986:441509:-1 gene:PPA15843 transcript:PPA15843 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPEASLFFVENKELDLLGDKPVMFPTNGIRGNERGSDLVIPVDVWVNVRKESIKFVKIAKEEGEEGPDKYRIEFMYDADKPGTIQLHFNALEINEGTDVRFGYRDNKTGDPFSGEFAFDIGADQIFNEFVFDTSNFDMAKMQYKGGNYFPVVIACTVKEEGRVQVQTTVCTITEASDNSKALMLKIRRQKVFVNGIMYLVQELFGIENKEQRFAEEQPFECVICMNDIRDTVILPCRHLCLCYSCAEHLRQKVNGVT >PPA15994 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:1142196:1143268:-1 gene:PPA15994 transcript:PPA15994 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPARPLAITEELDRRFNKGISGIRISVRRLVKRVKGDTWRVASRRKITRVMVERDAQLDRALNLVDNIKKNTTTGTIGEQITLILVCACIEIMSALGAVCNDIRKNIGSNTADELTTRERMQAVEDFAKHLDSLMDQALKFI >PPA15766 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:19031:19379:-1 gene:PPA15766 transcript:PPA15766 gene_biotype:protein_coding transcript_biotype:protein_coding MHKFHRFSDLHPECHRIIREINNTKKISVNKTSSATMKSPVCGLRTILLVVREL >PPA15874 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:584915:585392:1 gene:PPA15874 transcript:PPA15874 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAVASVEYLDKGQIVKATPYHFRRKSEMAPASDEVEIEKEVLMGVIEDALGETFDRYSRQRTSQLIARNARKQAEIWRDAKDFIMGSLIPQSINVANKSRRRQQTAAEIVGNIKMYP >PPA15938 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:876375:878473:1 gene:PPA15938 transcript:PPA15938 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGLVMELQQPFDYETYLFDADGVLWRSMDPVPGAIKFINELLERGKQVYIVTNNSTKTITQYMKKVADLGFGNLHENNIISPNVVLADFFKRNPHFMSKAVYTIASSGVIETLEKELGVETFGSGPDAVSPDATFLSTIDVSREVSAVVVGYDVHISYNKIMKAANYLRDPNCGFFITNEDYTFPGPDGALVVPGTGCVTAAIRACAHPREPTVFGKPSEQLELYMKANFKLDPTSTIMFGDRLDTDIKFGNQLGVDTCWMRTGVHKEEDVMRAIDEGAKELVPHYTFSFEQYYAA >PPA15837 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:411498:412265:1 gene:PPA15837 transcript:PPA15837 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASKQEAQLITILQAAAVSVAVIAGTCVICGLRTDLPQSPLVSSHRSPSSLSLLEDYFAGATDAPQWLWA >PPA15865 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:555629:555944:1 gene:PPA15865 transcript:PPA15865 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLILLIAFIALASALHHCKDGNTDCRVKCETGRGASVCTSLREHTPVARLAQSVEHQTLNLAVAGSSPASGWHTFAVFFKI >PPA15886 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:653940:654977:-1 gene:PPA15886 transcript:PPA15886 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEELAGVATAMFNLSLSNSTLTSVPTKTYPMSMWDPEWRWEVEMQDPPFHQKYAVLINISMIQPPYVTKPLYAIVVPAILLVAMVTNSLVFFVMNQLGLRTPTNVVLCTMAISELATGNR >PPA15895 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:688204:689736:-1 gene:PPA15895 transcript:PPA15895 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLEENFDSEFLRKFEVKRLVGYGGHGLVFEAFNKMDKNTYAVKRIPMRARDTEKWIEQLRRHASLRHEGIVGYYSSWLEKPPAGWQPRNILFGMDGCMKLCDLLHYADQQIENCQETDAERTMIGFGTRMYLAPEQVCDNFRARKPNNVLDHIPEAKGFVAWLTNIDPAERPTCAEILQHPFMLN >PPA15942 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:898771:899714:1 gene:PPA15942 transcript:PPA15942 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLAALKEQLQEIINNLDEMKATEEDRTKLAEAVTNLKRKVKKVRESQELRVTLPTQSIVDALFRSVADKEEKQEKIQYPECFDYYSPYNSITVMQGSEEAGWKAAEAKIRAHIKAKTEEVRKIWLEDSDEELEDKENKKNVKNKKA >PPA15860 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig31:521411:522530:-1 gene:PPA15860 transcript:PPA15860 gene_biotype:protein_coding transcript_biotype:protein_coding MCDTTVYSVVEFVGKDGRQYGHYTDDEIRKNTGQSFLLGDYDGHVFWMEEQQYYTMRICFIGNLEDALDRRAQLEAREMTPKESVKRKEVKQSKATRKRRAVLAKIAQLPAPEEEPFDP >PPA15952 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:929209:931065:-1 gene:PPA15952 transcript:PPA15952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gnrr-3 MIFFCWFAAITCAAPMAFVKHTYKLKKVDLEPLIGYVLPDNFSGEYYQCYTDIENYPELVIVNSYIHVFSSFYAPLVIVCVSYCSIGVILHRQMTTRRLISDGSNVTAARISATKKRFLKATVAIVCTYVLTWLPYQVLHLLKLVCTPDGTCAAFTSNINFLQAIIIARIRLVQHVHQSVPV >PPA15797 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:190012:192396:1 gene:PPA15797 transcript:PPA15797 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHVIVNGIPLVLKGVIYPGFETEHYAPLGLKLQKLNKILDVVKSNNFNAIQLPFSLEMVRFNPYTKNIDCELNPEFCGITSIRMLDFFIDRWNVFAIDLKNEPHWGSTWGNSNKKTDWNKAAERMINRMGSFSGLFFVDGLDFSNDMEHAGKYPIRTLDELSNNRVIYTPHCYGPEVYTQSVLQALFSQADFPSNLGALFMARFGFLVGKHLPFYWSLDHDASFTPGLLSDDWLTPIARKLELINRLQPNPSKFEARNGKICITEGAFPESHCQYREGLTPYAGKHIAGERTIKSPHFGTYLSPSTWYNGTAVFMSENRKCVKWFIDDIDGKVDLRPDCSGDFTTYLTADPEENLVRRKEWGPAGQVWTPSLNVDGTWCFKSIYGNFLRAEPNKTVRMQNDCSGFARFVVEPWIVSK >PPA15871 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:574082:575097:1 gene:PPA15871 transcript:PPA15871 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEILSRCTVCPYATHDSFDFYQHTYTIHGAISNKYPWFGCPMNGCFYTVSNLNLFSDHRSHAHPEIKDGYWGITSRFNVKEVIYCPRCRCAPFTNAYLFYAHVRESHWTEYKWDFKYGCTNCAGIVTESFTNLISHF >PPA15984 pep:known supercontig:P_pacificus-5.0:Ppa_Contig31:1099603:1105410:1 gene:PPA15984 transcript:PPA15984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:H3F1L3] MRLGNTNSTLPNRRHGLENTGNSCYIASAVQALRPVVTRILMQPDLLVCENDQIVTIIKNLFVTLEKGTPEEIDFEWRCFSANFATNFRSGLFKAGDQDDASLALMAILDKLHDFTKKALVQPTAQQLRGLSEQDRHCLINRADAYSSFYYNNVSIAQTLNVCLHCQDSIYKFNDEIMAPTVIPERSTIDTMPPLKVSFVRLVAGRCQNYRAHLNRYLYNMVPSVCQLKDQMYDHELIHPFQLSTTEVFVHGREGWELLGEQDSNRIGSIQGYNEFLTAIEFPANIENNLLVVVSFGGALTLNTTPVISDGPLFFILNMNATVDDLRKAMHNYLGVNHPFVNVDFVINREQDPRTRYTGTVDTHILSSGVIIHYEVVQHNIKVHKSFFPNLTQFIRISCQYDPSILDSISIHRSAAKPKWARKFIMKMDVPFLLKSGSQSIGSTGWTCSNGHSDKSVQVSSFCNLANFISISIKRVDVNANLNNPSRGKNHEDVVVPMYIDFAPLYRKEYPKEHGFVTPRTHRRRMIYELVSTMSHEGSYADVGHYTTIVRDPSSPTGFLKYNDSLVREASMECLHKNPALVIYRRKPTADFSDVKYDIATFAKGAEEAPRPAEHVFEDPLAGFLTRVQFRQTRGKGKVHFDRYREPRYHPDRPPADLKGSKRKKAKNVSLSPTRF >PPA16003 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig310:8358:9972:-1 gene:PPA16003 transcript:PPA16003 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit F [Source:UniProtKB/TrEMBL;Acc:H3F1N2] MATHTKGKIMAVIGDEDTVVGFLLGGVGELNKARKPNYLIVDKNTTVTEIEEAFKTFTSREDIAIILINQHIAEQIRFTVDQHTQSIPAVLEIPSKEAPYDPSKDSILNRARGLFNPEDFR >PPA16004 pep:known supercontig:P_pacificus-5.0:Ppa_Contig310:10504:14096:-1 gene:PPA16004 transcript:PPA16004 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSDNITLGFGGWNYPYLYRGPSGLPVGFMADVWSAFPGVTFEKFPYENSSGIECDGVLLAIQQGRILTSADGSSLTGFRPRLFHISAPVYYTAFEFFEADRGGEIASADLVFFTVFSIPALCLLLALHFIASFVQFLSGILEAANDNRDVKRTPLYHGFKSLTGVVYVLGITVTVYYHAAGFRGNAIDFRHGGKTSFSDFITGLRSGGRRLITMEENAFDEQELLTLFGSATPTILNEPDQATLLQRLCDEQNLVAMMERNMIKSMSLLERPCQVDNIAVASGTPGLQNVGVQIMQNYLFARNLTSKRMIEDVNQILLRMYSQERIENLWTKRYLTALRNYEDPLEEDLGVDDDYFMPMSLLRLELLFFITIPGWILSALVFVFEVATAKSPVSRVLRVVHNPEIGARKPNLMR >PPA16005 pep:known supercontig:P_pacificus-5.0:Ppa_Contig310:16213:28401:-1 gene:PPA16005 transcript:PPA16005 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-bpl-1 MQGDAGGPRPRSLFDTRRRSQSRRLHSASREKGKPKMKPPCVLVYTAGVSSTYDRIHSVLSSLLPSSVYTVFNISPEAIRKHHWIDPSSACLILADTHELDDAAWKQLQEYFNKSGKMIFVCQNSLLASISSCESVKKQVTLLRMAFGEKASGSMSKDLEGFLKKTLKALAKSGEVHERYQSKDLVGGLKYSVVLSKKQDSPLLLYMESGGAHSASAVFSDATTVGKEGGKAYQLLAPGSRIVADALARIGVKIDEAVRAPSLSIGYFISDEEFLNECKSLRYGAEIGDAPKLFMRRRDLIADHPLPSPSPALLPIQVLTREEASSTCSFDTKKYYARLATRCLGRNVLFVPVTTTTIDVCRSLSDALPGYDGMCVVARQQLRGQGRGGNEFISPVGVAMFNFSYSLPASCALAKCPSFIQHVACVSLAAGVHDLSGMEDFPLQDFPLRVKWPNDFYFNRSHKIGGLLVSAKSRDDAVEFSVGIGINVSNSLPTTCLNDMLPDNSPESANFSIEEVIAMTMNKFEYFVNVYENKGQAAFLEHYYKHWLHSREEVTLGDENEKVVIRGLDKYGFLECRSRKTPSKLMGSANASRSAASRFTHFD >PPA16002 pep:known supercontig:P_pacificus-5.0:Ppa_Contig310:3542:7472:-1 gene:PPA16002 transcript:PPA16002 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-clpp-1 description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:H3F1N1] MASSLLRRSCSSSSLLQAAAGAAVRQLHATAALARPVPLVIESEGRSERVYDIYSRLLKDRIICLMTPVDDHVASAIIAQLLFLQSESGKKPIHMYINSPGGSVTAGLGIYDTMQYISAPVATWCMGQAASMGALLLAAGEPGMRSCLPNARVMIHQPSGGAQGTASDILIRAEEIIRLRTRLNEILVSHSGQPIKRVEEAVDRWGILFINLIT >PPA16011 pep:known supercontig:P_pacificus-5.0:Ppa_Contig311:19918:23826:-1 gene:PPA16011 transcript:PPA16011 gene_biotype:protein_coding transcript_biotype:protein_coding MITLLIIIFATIGAVVAACKNKKSELAEIKDQRAKSKEQRSSLHLVAPPIVSAPSSLPPSTSSSSFDSAKQKAPSHTTTEFALDLLRAASGPAANFVLSPFSLGSSLAMLHDGARGATQKELTTLLGKTLSPGEVSMIYSTLETSHAIMNSSVQIRSANKMFIDKAFTVIPEYQTRVEKAFGASAENLDFTDGAGAAKCVNDFVKASTNGVIPAMVDARALDGDASALVINATYFKGGWAQPFYTDKTRVRPFHGVHGNGKIEFMMKDDLNTRFSLTNDLVVVSLAYTDPAYSLLLLMPKRGNFGQWRDKMTAERLHGAIARLRSGKINLNLPKFVTVSTINGKSALQKLGVKRVFERTADLSSITENHDLYVSALMHKAVSEQGTEAAGATTCEFITFGISDSPTVSFDKPFIYGIVKDDRDILFLGQMVYA >PPA16008 pep:known supercontig:P_pacificus-5.0:Ppa_Contig311:5516:7277:1 gene:PPA16008 transcript:PPA16008 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIEIDCVARNASSASLSPYCGQPSPALANGGASSGDLKKCRLSETALSRPLSRTGSDSDEFLKFEVPSQVGAQCSYRPLHERIEKRVKAGTPFFSLEFFPPKGKKNL >PPA16009 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig311:9370:17464:1 gene:PPA16009 transcript:PPA16009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylenetetrahydrofolate reductase [Source:UniProtKB/TrEMBL;Acc:H3F1N6] MLWDHSITKSITVFQTANGVANFYTRLDRYKEGDPLSMVVRATNVRASGVVYNGRRFSANLTRETSSSSIAAGCLDYCRMDTMLHMTCTQYTKEQTIAHLEQSKRIGLRNILALRGDLPPNTSSDAPVIKPKYMALDMIKWIKEEFGDYFTIACSGYPLGHPEAVSYAEDLKYLKAKVDAGAQLIVTQLFFEAEAYERFVRDCREMGITVPILPGIMPIQSCEKIRQSYDSIRRIAQLSQLAIPDSILADLEPIKHDDDAVRNYGRHRAIEMCRRILSNGTAPAIHMYTMNREGSCREILQELGLWHKRPMRALPWEPHGVNHPLRCKEDVRPIFWSARPKSYIYRTRDWDDFPNGRWGNSSSPAFNDLHDYYLFNLHSTVNEKDKLRDMFGHELRSIDDVGRVFARYIDQQDNEHGVKVTTLPWNEQENGVQAETSLISDDLVWCNERGVLTLNSQPSVNGAPSTDPLVGWGKPGGYCYQKAYLECFISAENAASLLEFIDEHHPRINYHIVNKDLPSGQGYEL >PPA16010 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig311:18123:19310:1 gene:PPA16010 transcript:PPA16010 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSNAEATTPIAVTWGVFPGAEIAQPTVVDPISFRVWRDEAYDMWMKNWAYLYPADSRSHQVIEEIHNSFCLLTLVDNDFVHPSILFDVVREMVARTETRTASSSPKEAVAQAIKA >PPA16012 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3110:11:250:-1 gene:PPA16012 transcript:PPA16012 gene_biotype:protein_coding transcript_biotype:protein_coding GAAGGAAAAAASAASSAASAAPDPVSIAGSDASAADALSGADSGSLADLGICLGDILPAVKEKYPNKKIFIQIHTIRAPS >PPA16013 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3117:125:399:1 gene:PPA16013 transcript:PPA16013 gene_biotype:protein_coding transcript_biotype:protein_coding KKQKELKEARDCIDELKRWKESANEELERLRPIKEENVKVKRELEETKGRMEELEKTLEEIGGRLSE >PPA16016 pep:known supercontig:P_pacificus-5.0:Ppa_Contig312:21039:24315:1 gene:PPA16016 transcript:PPA16016 gene_biotype:protein_coding transcript_biotype:protein_coding MVHILEIGLFQLELLFFNQLMAAEGEKRNCPLFELRCQNDQIKAWVCHDSLVTLINTMVEVAQSDLWKDERAVDVDGVLSPDEETHSVSSALTKSTVTTVKKGDSLPPGAERRLKRLVENACEDAPIPPSPSPYEGAEIGYAKEAVEEWAAHEDLAFDNNLLEDAMRDMSIGSGAGQNRSASFSTDEEFFMVEDIPGCGITSSSGEPRIRLIDPDNTAESGDYYYNYIPEHIPTSGDFRSDCIPLPADYPMPLIRYKLKDISIQLHIFAGNDLGTCPEAAKTYSAEEYRDGSGRGQSIAREARGGPFRDHSVSVVLELAKISFLHQLFDKEASVRSMNFISIQDVTVHDRLTLSNIKEMLYQLRCA >PPA16017 pep:known supercontig:P_pacificus-5.0:Ppa_Contig312:27680:33052:1 gene:PPA16017 transcript:PPA16017 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIRLNIDQDTLEFLEDFVQAVNTGLALPETAKLQLTNHPVIEVPEGIESSEPSPNPRPVPPPLLSPLPLKPTRSPSVVEDVFTPQMSKLRDLDDLDDFYGLGSSAPVVDLPSLTDFPPPPSTFPVPPPRPSRRDSRDETMTRKPSTNPFEDDLISEFMPERLSPFGSRALDAGQRGDTPPSADEDEFALSSPVRPSLFDMDAAQTQLALEELDQPSGDWASSSSIHFPPSSAASHRVDDLLSRSTMEGSMYGGGLGQRIEDDEDDDEPITDEPFLTSDDRFQVETSVPNEDTEGEEERQGEDEDDHDTICSEAPRRMSTSESEEKIRGTTFFKEFIFSPDCTIYIDYHGKNKYNMERSGAVLGVLRGIGQLNRTEINLKSFEHRNGLLGMGRCATHAFTEWQENIMANIPGVLSSVGPISPLVQIGKGICDLFWMPVSEMRKEDGHVVKGLQRGTSSFGFSTAAAVVDLAQRLVGVVQITAESVLFEMTPDHPSLNNRNRRPVNQRVHTPQDVRHGMQLAYDLIANGVQQTREDLELATQEDRASGRSSMRSVLRYATPAILRPFVITSQIGF >PPA16014 pep:known supercontig:P_pacificus-5.0:Ppa_Contig312:6660:16917:1 gene:PPA16014 transcript:PPA16014 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFDFNISDHLHGRWCRFIIHRYLGHLLEKNLSLEQLSIDLSKGCVQIEQVMLNAQYINETMSSLNLPLRLVDGFIGSIRIKVPWSSLMADASEVDLSDVQLTFRGMEAFKLEDKDLVSCMVGSVVESLVSSMDLAQSFCKEEKDEGQWSEGSDDDSVHALSKVVDAVVSRFCCNIDDLILRVESDAAANCDMATAVEFKIEKLRFMDEQMKMCRQEGKSAETITSQPHGLSSVTNLNKYINLDGVSLYTDTFSKADLPVANDYGSPVTSMYLRREHKKSLQKAGSPHASMYMSTNLADSMMMSGIYQSCYSIQPNPSPSIDEFKSVRPEPTLISNPVKFAEIAGETTIVVRIKNSDMNAKEKLDSKFEFDIFSKGINILVTPSQVELIKHITGLLIPVDEKVSKKSVGGEPMANRDFDIVNRQLDDITTDYVPAGNALGRNGNWQGVEDFHSFQSISLKDDSQHRMASIRREQEKATTEANKRETAMISVKIGTILAFLTHDDPLSADSVALMERGPREAVDLLHVNAKRFFEKAAELKIFHAMPISSMQAAADDLYLKDHIRLIGSTVSVSYSVESAPNSGTRVSTKLSVANCDISEYLTAEATMTGVARHNPLFTFEREDGDQGSQFTVVMRKEETKTSTVIHLGKCCSELDMSISDRISHLLCPRPFFTQPTRSFKSAPAPSGLSEDLFSEVITKEAAPSSIEVNCANWTIDLKIPKVDLREGGDDRISHTVQNTHDEFLRLKLTSCKVLMPSKKSNGPTVVEITATKITGNFVGELSKLGCTDEETAFLYAGSKGKPVRIALEFDGSNKSLKARSNSGLLPTTVDDMTKSISADLIKNRPKKASTRPKNSKLTRKVPSLRRINLSRRATRRVTMKTSCKKSLGNDDL >PPA16015 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig312:17008:20167:1 gene:PPA16015 transcript:PPA16015 gene_biotype:protein_coding transcript_biotype:protein_coding MATFARDCLEQADVTLRIDFPLLRLLIPNHQFLELLYNRLVNDFALWQPSAPVFKAKNTSLNINLDDCFKEVKSAVGHRLQLDSDDDDDMNEYSRERDRSTMKAQSHFFALNLEVMNGTMVMGTEVAPPSEDDPAQPAQVVAELGGTQMFVCYGFHGDLNQTFFHMTSTNVTVAQRNEPTIPKNVLNREFGSYEKSEVHLRSLAYGSHLREERRDDSLSIALHLVTSEDGRVKETTAAIALRLLELNLIPVKRMEEWWIMQLKDLFYLTDFDIPGYEMPVCNTYLHIHLDHAVLAHDHNRIIKGSPLKYKFVLGNVDINSTIVPSMKVNKFLFLFERVHLHMTNEDNEEENRTISYEDEPKIKVVYASRNTIEVI >PPA16018 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3120:276:765:1 gene:PPA16018 transcript:PPA16018 gene_biotype:protein_coding transcript_biotype:protein_coding AKKCLPTDIRYKKTRAMRRAHQARGLHQVGQAASHHGYKRETKLLPTLIFIKSVVWKNLFNKEADKLERSNDDDKT >PPA16019 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3125:13:715:1 gene:PPA16019 transcript:PPA16019 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVRYVPYARTTMPSGEDAEIAKKRSCGNIQYWRRKIINHAYFLHFKYAKSRQLGLNYWKAVLPHVTGRHTNLGKIPFLDGIRRCKHKRLQPSTQIKRDSDEYQELKAVIMKPTFLAGFLRASPKKNTSPNECFNSIINLYAPKSRAW >PPA16026 pep:known supercontig:P_pacificus-5.0:Ppa_Contig313:26104:26991:-1 gene:PPA16026 transcript:PPA16026 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRLREHHRAENTTETTVVITAELFGLDAFKTFLENLPRKKKGDVKTRIALDKEKKEGKQCEECGCEFLRVALSWDGEDKIVECMNRSCLTTIEELPKNTHLPVGFIFNPAHDNTLIPSDLINIIRTMFCCYPTPL >PPA16022 pep:known supercontig:P_pacificus-5.0:Ppa_Contig313:8079:11594:1 gene:PPA16022 transcript:PPA16022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-smg-8 MGGNNKPLAEWIQHMEKSGVSLCKNKGLVVITVIGKDTSSSTKISDLNDALGGSYLSSWGQRDLNCGSIDAFFSFDLEALFLVVNSYKDVSAFASVSSSSNKEFFEWVGQEESRMESQLAVAFSLSHLVLIMENACRIELTLLQLLQNVNKKRMELRDSMSEGTQLNNYNRFAVPRFIFALHRHLIRKDLGIGKRKEVLEKLEQSLEDQTFSVFKHYKLLSGGENGADEALGHIWGEGYIHLMEKSNEVTTQHILSKLFSAMDGETLEKEESDSNTNRLLHFLNSHIKKVRDGRKLVNEIPSHERFMSSATNLLNAMESLEMEDEESEFITKLAAMHMDNAREKYMPSGTNLVLSRGEHEERMNSVFESLDSIQVNPRDYTALKESFEAIWSSDLRSCEYRSLLGNSCRLAAHASIGDAVDREKWTLHSSSTTHISGCNCGRSQMLRNDPFTLKEANCDFYTQFACCGRAMETHQFKLVADEKGQRLEALEEEWPEARVVGQIGEREEIEEKRDEEFEEKEYEEDREGEEEEDDLEIEDTQNSQEDNSGDEKNYLEEEQLVVKSTKDAQLTKAIQEFDALVRLRSDEVPLLEGVPHLDAPDVRPLFPSWSLVCVGTSSLYSHTSGIRGQYGFIGDTHLLPLDVYLETPCENAQLMRIHIVTPKAPVTVSINPKIIASESSPVFYPGESLDLSWSRYYILRLPWIYCGPDGIIPRPTTNIHPGLLLSNSISVSYTPLSNW >PPA16027 pep:known supercontig:P_pacificus-5.0:Ppa_Contig313:27948:29153:1 gene:PPA16027 transcript:PPA16027 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPLSSSSFLRRTLPPYYKYAVFLLCGFDLVFSCFVLAISEAYYKSAKTVLPIAYGMFNDTITKGKDNFTWDQSMQDSLELYKYKMMVLWCLVRRRLGWILFTIQLIIDLILGAAIVWAWIGCAETVAMFHKLFSESEAEEAFVSKLEKELECWTDDDKEVKTHQVCWNMVKMSVIRGWWMDAILITFFIGHLIVLLLTPLFNRQLVKPDEDDDIYKEDLLHE >PPA16020 pep:known supercontig:P_pacificus-5.0:Ppa_Contig313:236:1783:1 gene:PPA16020 transcript:PPA16020 gene_biotype:protein_coding transcript_biotype:protein_coding WFPGRIGFASGVIVAGFGCGTLFIAPLQTLYVNPDHYTTNSEGYFTQEDLLDRVPSLFLVMALFFTILQLIGLLLLASPIENPFEGEDEEDTVSLLPDSSRSSIGLKGMFFSSSFVFLFLTLFFSSCWVQITTGLYKAYGLQFISSDHFLSMVAAVSSIFNCGSRITWGWLADKSSYQKTMVMVSLMAAFLTWTLPLVKYSDSPILFLITICLLFTCVGGTYSLLPFVSSRLFGKEHFGVMYGLVQISLSMAGVISGLFGQYVLPYLG >PPA16025 pep:known supercontig:P_pacificus-5.0:Ppa_Contig313:23343:25533:1 gene:PPA16025 transcript:PPA16025 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLFNWNGLARKVEMISALSLSFYELNGSTGIEYPKVKIDLLTLMPHLSSTNQNSYGLFRSIVSFTIFCHSSRFWHLLLIDSDGESHISTVYQSNTAQSIHPLNLPVEINSAVAVHSSNDNIFTSVIASSANGALVQTIDSLFILDLSSSQRYLTAIALGAISHEWETKSVDRIVAGPSSVSGGTAVEWMTSNCVSRVDAVNGAREIQNGSILSLYDTLRQSEERMYNNRLLTQSLIHKANLLWNCLSSRSIDSSKEIEMIKTLKEHSKQLDHLATTVPKVTLDMEMAKRVISRTTESSTRLKRRADVVSIRDEIEALEIRCEGIDKMMI >PPA16024 pep:known supercontig:P_pacificus-5.0:Ppa_Contig313:19363:22527:-1 gene:PPA16024 transcript:PPA16024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ndx-6 MHFKCRNAEVPYLNSSIKRFLVPDDKVAWSTEWSSYAPVEYTDPSINGKPWADTNDLSNVKFNALDGKVNRRSYIWYANATARVVRCAYYSSAEDLDYSFDANQRPINPMGRSGMSGRGILGRWGPNHAADPIVSRFKDGRLQFVAIKRKDTGEWAIPGGMVDPGEEVSATLKREFGEEALGGKTIDMGKVWKNGKRLMARRPPPEIEGLTSLKVDNISYNTTPNDLRRLFDKYGEIGDIHIPRDRYNRQSRGFGFVRFYSRRDAEYAQDRTDGKFIDGREIRVSVAKYDRPQDESSSGSRGRSGGRGSGEKLIYSQMAETSCCWDAVVEAARAVCSRVAGCWLIVRRMGCECRMVKVSISFPLSLPKKKISHSFSSQSQSIQEQRSIQIKVNQRNVLVRVLVLAPLLLEETTTEEADWVTGN >PPA16021 pep:known supercontig:P_pacificus-5.0:Ppa_Contig313:2259:7556:-1 gene:PPA16021 transcript:PPA16021 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHDEPLTATYNTQRPPWVGIWSDRKYTGRADWWKEPGNKDELARHGKLRLVRSRYNEEDPDWFVVHLYDPKVPDGTSETGPLGLDRTKHKDITKKGDTPGDFEEFQENVYKNTTQFKRMSEAFQLSKEHPGIAVSPTMNTLALTEKSLLGRNAPFQNSWYTFGPRFFDTPLNEGTFWKGLAAAKYTAVLMVPYTMLEIRSLGTVPWKTFSPRLFVSRYAAIAPLPIAVATSWAIALSAASNIRNKDDVYNHLYSSAAVGAVVASAKDSVARGVTLGMVTLVAGVFWHYLRVSETGLQSSVSQPTSGGIWGGPLVWKLFDQGDITIAALPCGHTFHYDCVIQWIQTSKNCPSCRVRCIERNVIKNLFFNSDMDTRHRWQKKAEEGMEATKKVGQLQAMLVDQNEKEKEIRELKAKLKASKFYQVITTGGSDEKLDKYIRSDGEVNTDHFLHILKNDLKKATEKIKEERKKREEAESKIPEYKRLVQGLKDKLKGKEADDSLVERLDHFSNEKRLSLGGDNIKPEFSDEFLGSAMRPAPKGKINRGKIAEECNTILDIPSASRRPFRPSPEKDVFDVVMSKKVSDRIGHNEKMSNGMGGAFSMKNTFDRLSTLPLVEKENRGKPYDRMAPKKKIIANNARLSDFFSKKSSNFGVIQMIVVCEYADQAVKIGLDFSPRYKLTEEQKSVQIEVFKRQLDMARELNLAVNIHSRCASTETLSILSSYCLPILLHAFDGKEDAIKQAIQMGCYLSIPPAFTLSDQGKLLISLCPLSQLLLESDSPALGVEKGRNEPSSLSLSANFISRIKGIPLQDVYDISTHNAKTLFRL >PPA16029 pep:known supercontig:P_pacificus-5.0:Ppa_Contig313:32456:33350:-1 gene:PPA16029 transcript:PPA16029 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINQQGTSSNFNCLRQNSYSTVFVRAYKPDGKGAVDTTAVPNINMAYQAGLGIEVFMTPNPTSSKTATSQVDEVITALTNGGITIRSLWIQVTSPVNWNKNQATNVNFINTAIQRIRSRGVRPGVYTNNYDWQQITNQANNLGSDVMLWYWNVYSSGVSGETAPNFTDFRPFGNWNIASVKQFGQYESVCGFTVNRDVYPLSSVTRNEKSMEKDEILLKTIVVGSIGL >PPA16028 pep:known supercontig:P_pacificus-5.0:Ppa_Contig313:29715:32331:-1 gene:PPA16028 transcript:PPA16028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rer-1 MFSPRFAILVLLYGFISPMVVHGKKLHSDEISTPEVVQTTTPSHNETSALETVEKMAIEAKDFVVDGASSLVHKVGELVRQAVQSLQEFMKSDDNSVTNSTAVEEKEIPSTTKKATKKKSKKWDHTSLPNFELDPRLTLTRGKMDDSSDLRDQPGVVSRFFSSLELKYQYYLDRLTPFTTVRWLINLVFNALFIARMVYLQGFYIVTYALYIYYLNLFLLFLTPSIDPQLMDDDDDGPVLPSKGNDEFRPFMRRLPEFKFWHSSLKACIFAITCTFFEFFNVPVFWPILVLYFIILFCLTMKRQIMHMIKYRYIPFTVGKPKMAGKEDSGKVVMG >PPA16030 pep:known supercontig:P_pacificus-5.0:Ppa_Contig313:34028:35555:1 gene:PPA16030 transcript:PPA16030 gene_biotype:protein_coding transcript_biotype:protein_coding MISLIQYGPFAHLDHQEEMETREQTDLLVQKGKTERRELMVYFLPISLSQSEVVVYVHMGRKDKMDLLGLMENQVDLERLGVEGQMDCLGSEEPTQSQLSAIGADGEKGEEGMDGRHGACGEPGKEGINGVKGPSGPIGSTGIIDTPYQLSLL >PPA16023 pep:known supercontig:P_pacificus-5.0:Ppa_Contig313:11956:14229:1 gene:PPA16023 transcript:PPA16023 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSRSLLGACRLLVVGGGSAGLGMASKMARKLPKGSITLIDSIQTHYYQPGLTLVGAGLMNLEQNRRKQKDLIPSGVNWIKDRVISFDPSNNTVRTKEGSKIEYDYMVICTGIEIRLDMIEGLAESIKDQTCPVSTIYLPEYAEKTYRLLSSVEILRSRGVRSSSSLHYFTTLPRIFGVENYANALMNVVKDRDINLHTRHSLKKIDGKNRIATFENLEDSSNVEMEFSFLHVGPPCSPIESLRSSPSLTDSNGWVDVNAVTLQSTRFSNIFSLGDCTNSPNAKTAAAISSQLKAVEKNLWDAMNGKPLLAQYDGYASCPLLVSRSKVILAEFSGSSPLESFPFDQSKPSRFSFLLKRYFMPWLYWNGLIKGLWNGPSTFRKLIAPFKKN >PPA16031 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3130:563:930:1 gene:PPA16031 transcript:PPA16031 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKCAARFPGGRFTDLSHFSSPFSSSTMPPKPISSPTLSSPDAAAKIIKLLETLHVKLDSKPQCECNQLRQELMELKKMLGKSAEQPPPPLDTYSAVKMAINDAAAYSEKAKRAVW >PPA16034 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3137:524:1073:-1 gene:PPA16034 transcript:PPA16034 gene_biotype:protein_coding transcript_biotype:protein_coding VKVPEWSDLVGPRQDRCRPPIDRRPADVLVYFRKRHSQGPQAGRLERGWPGPHPLQADFALYLSNLHFQGRKDLDRIAADLRSTAASAEL >PPA16035 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3140:220:1446:1 gene:PPA16035 transcript:PPA16035 gene_biotype:protein_coding transcript_biotype:protein_coding MSERSWKDKVVMWNEGGISSAEIVKRCEQIGKKVSKSKVLRLLKKTYVVRKPYTPSSIIDKEKIQPIFKYIFDAFMSESQEDEEKIVKEIQAQFGETITPTIVKRIREAQGIGTDHVRYGHSVRMVNRPPRVAFCTHHLSVGTMFTHHAFTDESMVQSGKRGRFCFVLKGDTSRRIKPKFKHPPQLMIWGGVSWEGATPLVVMRNKVRIDGGVYQSMLHSTYLKWAEEKFGGNVVLVQDNAACHTSESTQAFFKRSGVQTLDWPAESPDLNPVEMAWAIMKQWLKKHKKENTLAALEEGIREWWKT >PPA16036 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3141:419:975:-1 gene:PPA16036 transcript:PPA16036 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFGQHSCIIKDPLFRKYILTQNAARSAAETFAMMSGEKITDKNKSYRYGAYRLFVATTMGHLGKGTRVRLPSCFVSAVRKLWPSPHYSGFSSSNITDM >PPA16038 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3143:74:977:1 gene:PPA16038 transcript:PPA16038 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-yars-1 FDCQPIAIIGGATALVGDPNRDVSRLHEALENKHLIMKQIQLPWRNARERVLMRAKNEAARFPLAYSCPSNRMLRTRAIRSRLEAGAGSGVSFPEFVYQSLQAYDWRMLAEKHDCCFQLGGKYNNKIGKSTGSGGTWLDGEMTSPFHLFQFFRQLHDTDAVMMLI >PPA16039 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig315:18317:18611:1 gene:PPA16039 transcript:PPA16039 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLSMFTALLHLASRFHPDTGVKASKTTVGAAAENWKEAQIICGSFGAQVASIHNEKVPI >PPA16041 pep:known supercontig:P_pacificus-5.0:Ppa_Contig315:21593:27479:1 gene:PPA16041 transcript:PPA16041 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTALNALQGMNNDYATIKKDLSLALRVGTNNYDNYNEQIQLMTSKVLYAITDLLLAGFENIELGKQFDLTYKLVDAYSTMNKCQNPKDPLQICLFKFARCFILFMDNLHGKSLLKGEEAHEKRLMPSVWVDNEIAKRNAPVKQPPIRSSKSTSIGVVRNIGAQQVVVINDRPGPSGCSQIEPAPYLPVPVVVMPPAPSLEKDSPSFFEDPETVKKVKTGEEDEAWMRMAEQPSTSARNDAPPSFLAHPPKEEAEDGRINQSTSYHSAAPSTSTQPIKEEVEDNYNYGDVKMEIEIKEEEEEEMDGPIADTVGTKPHESHSRKLSELCSSTNGFYDPTSSTSQPVVSSSSRRKQKITLSPPQPECSGFATAEAQKLFFQSPVNCPYCGVEIPDGKKLEQHMKINHRDHWLKYVQKCPVNICDFRSSDPSVVQRHHVMVHSRNYNTRMGNVAVNFKFVATCPFCPDPLRGLAGFVNHMEKKHPRLCTYEAKILAYAECRYAASNVHMLLSHWLKKVPMCTQGLRFNYDIAANTKINDAVVYVSGGAASKLFKIRVVRKNIRDQTDEQRAVLCVALSPSSRLPSRQRSACPRTSDTRRPVLCVALTKHEASIKSAKQLAIT >PPA16040 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig315:20462:21133:1 gene:PPA16040 transcript:PPA16040 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGSTGEGYTAPACSTGPWVEGQIMYSPGFPFDAATPCDFILSVDSGKKIELEVLLVEANPCCDHLIIYENYFGGTVIANSPTRRCNRWWRFEEH >PPA16042 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3151:201:720:1 gene:PPA16042 transcript:PPA16042 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTDSNSMVDKRLRENPKLKDIEAQRDFWHVQKPLRKKWWKGMKMESCPTLAKSKKRPETKDRREKPEVAEGMYQGIHLQ >PPA16043 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3154:420:1033:-1 gene:PPA16043 transcript:PPA16043 gene_biotype:protein_coding transcript_biotype:protein_coding PIQKQEIEDTPLVYDFEEEVTQEEIDASSQRDRRHSHGEDSIAASLINDHFV >PPA16044 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3155:35:1208:1 gene:PPA16044 transcript:PPA16044 gene_biotype:protein_coding transcript_biotype:protein_coding EAPPTRMLYIQMEYCARGTLRSMIDRKSFVDDPKKIWRVFGEMLSGLQYIHKQDMIHRDIKPMNILLDSKEHVKIGDFGLATRQFFSKIAKKAAEEGGTTSEEGGTGGRGGGKPDDMTMPVDIIVLLCAY >PPA16045 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3159:24:1208:-1 gene:PPA16045 transcript:PPA16045 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDHPLRPDHSDIVGLCECGLFADFPDLIVHRLKGDKGTYGAPHSTLVYWTYDEMEAEEMSEEEKARKGAFSDMAICLEMEPVMIMVLLLGHSKTQELRRCIWRSCAKHNFLFDTFEEMEEHNAKQHNIKLLYTCRVDHPDEKSIVTCLSAP >PPA16048 pep:known supercontig:P_pacificus-5.0:Ppa_Contig316:10791:13054:1 gene:PPA16048 transcript:PPA16048 gene_biotype:protein_coding transcript_biotype:protein_coding MILRICLLAALLQLAHSADDVVACYTEYHHPSTASIPSGLCTHYQLIGQTSVDWLGNFVPPNETVTDEFLEIRNREKDESKKPVLLLCVTGANPHWSRLVSFDNNMRKFATNAAKFLKESGLQGLDLDWEFPYWSSDGVIWDYEGFARLLEILHETFAPQGLLLTAAVSGPPTITRVSYNVAALNNFADLVFVMNYDFHVWTPSAPFVVCVRKTIT >PPA16051 pep:known supercontig:P_pacificus-5.0:Ppa_Contig316:21091:21484:1 gene:PPA16051 transcript:PPA16051 gene_biotype:protein_coding transcript_biotype:protein_coding MFCQCCGKDFLIIFIVSTGLCAELDFFCEGVNANIEINGGMGVIRDEDDGDIDGLAYLNLQCREDGTGWEVADVKGIRVFNVECAAG >PPA16050 pep:known supercontig:P_pacificus-5.0:Ppa_Contig316:17862:19376:1 gene:PPA16050 transcript:PPA16050 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLIGLILAGLIPIATSCLATPSMPMPVVPCLTCSTDTITYTTGPAGGKEFQEAIIYIDGGCNELELLCVGVDANVEFNFAMGVIRDTDPGQTLGQVRINLFCNDQGDWEFANFRGLPIVNVECSSFHPHS >PPA16046 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig316:793:4113:1 gene:PPA16046 transcript:PPA16046 gene_biotype:protein_coding transcript_biotype:protein_coding EGFIDCSTSRHGGVHHHHHADWADGDEGETEELLHSHSMDKVAAAAAWVARVAWVASMLAARVVASRDDLQNSEENSKDEEEENEPRGVKKYAKLILPHVGLVLLTCAYTVIGASVFYSVERPHELTSKRKQLDEIYLHQERFVEPNRRSGEQWHHPLDEIYLNQERFVEQIVALANNGTINRGTINDAALSHMHNMSDSLFVAFEKYFLTSAEVKKNATQEIWSFSTSIFFAVTVVTTIGEHFQ >PPA16047 pep:known supercontig:P_pacificus-5.0:Ppa_Contig316:6192:8274:1 gene:PPA16047 transcript:PPA16047 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-twk-7 MYGNWLKLKHWLCQRHSHKRDRREHVCTHCQHAGIDHNMQIVEEQRIPALLVLFILVAYTALGGLLMSKLETWSFFTSFYWSFITMTTVGFGDLMPRRDEYMYIILLYIILGLAITTMCIDLVGVQYIRKIHYFGRKIQDARSALAVVGGKVVLVSELYANLMQKRAKAGSKEAFIIDNLYISKHIIPFIPSDIRWIKRGTL >PPA16053 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig316:27962:28426:1 gene:PPA16053 transcript:PPA16053 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKADVIGLCEVRRKEEGAIDLTSSSGTLYHTGRFGNRSAGCGFFVSRRMKPKVVRFLTISPRIALLDCRLPNNVLLRLVQCYAPCSNHSDDQYDAFLSELESVFRQVVPGQRKFRKVYRVIMGDLNARVGKALPGDTAIGKFGYGDRNDRGEK >PPA16049 pep:known supercontig:P_pacificus-5.0:Ppa_Contig316:14922:16348:1 gene:PPA16049 transcript:PPA16049 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYVKLGLDRKKAIFGMPVYNLAFVLANGKFHIPYAFADDITYDYTSHNLVCNLTSSKGWNRVWNKYAASSYLYHEEQKLWISEETEDSVRAKAGYAREQAFGGELNSRYDSPLVSGINLESSTPVFKLESSTPFPQVGIKQAYVPAASSPQLAYKVPFHSPVLTAFGHECDRLPTVPRIMIFSLGTDDVSGDCGNEVFPLTNLAARTFRGQ >PPA16052 pep:known supercontig:P_pacificus-5.0:Ppa_Contig316:25413:26668:1 gene:PPA16052 transcript:PPA16052 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVTNARQRAVDVAWNATFRVNKLDTDQWKRAVHAQVKKFQKECMVAIRVLEGADDDGDTHFSYRRSVGSRRRDV >PPA16057 pep:known supercontig:P_pacificus-5.0:Ppa_Contig317:17220:17699:1 gene:PPA16057 transcript:PPA16057 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIREWGETSSCHGIPHMAAASSLFATVVWSIILALCAIGFIFLFSDTLRQYLRFDKIVKLNLGLESTNFPSVTFCNINPYKLSKIKDVPELEALLEVYKNSGDG >PPA16058 pep:known supercontig:P_pacificus-5.0:Ppa_Contig317:18224:19115:1 gene:PPA16058 transcript:PPA16058 gene_biotype:protein_coding transcript_biotype:protein_coding MSINDPRLQVFEMELMEHITIGSVYLKIRDTVGEDGLNCIINNAGIFDAYDINGPVKRKMIVDMIEVNSIGPTIMNQGFLPQLRKAVRAGKRAMMVNISDEIGSMTLCRGTTDRKALIYQMSKASLNMLTRSMAVDCKKEKVAYLALAPGSVKTEMGGAAAKDTVEEVSRDMVDSILHKITMEHTGGFFDRKMNPIPF >PPA16060 pep:known supercontig:P_pacificus-5.0:Ppa_Contig317:24773:25254:1 gene:PPA16060 transcript:PPA16060 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLSFIVVFLLTLAIVHSFDYNYYGSRAFGEMDKRNPGMAMRNVGPQENLAGFLNQFKPSFGKRSRPYSFYPDM >PPA16061 pep:known supercontig:P_pacificus-5.0:Ppa_Contig317:26167:34924:1 gene:PPA16061 transcript:PPA16061 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLLLVAFVVTLSGFASATFRGPIADIYSTWDLSKETIYVKDFDHLAPLYVEKEVHEEEHSRKKRNAEEAARFIASANPITKTCDRPGYTGQYCEFPICQQTNLMIDPTQFQTGDGYLVDVADLGNCTRSQEIIVDETMYDIRILIQSADNVNPTFTVTDSNGYYGQPDGELKESDRYEAHFNELKPGFYRVTGKADSLNSRCLLQTTSQTIMTISGGFSTDERDRNDFPNPNAQVHQFNSIMVHLNGARSPAELKTISVIGTNNYVFRPRILDKRYGCGYEYYFDSMFCMVKGSYAMIVEGVDFNGNPFRRAATFQCADGPPQTTQPPTSTVTTPMPTACDNGGVFLFNGLQSSCVCQDHWAGGHCEQPLCINGGTLIEGKCFCPTGFEGVHCEDVRCEPNSDHGFGVDRPTLVLVVRVRQQMNDVMAQVLRAVDEISDNLQFEPNYFTRFQVVYFNDYTNFKSQSYKNIYEFNADFFKATISDHTDGGCTDAVIGAAATALTNLALTSNSFIYVITDALADDSPAMTDALLQWNSYFRATINFIYVEPTTDSGCQSDLSDPGFRKFDDIANTFSGLAMHVSDRTKVYDVFYNHLNSIVYKSQLMLTVDREECGNGLVKTVMIENKNENLILMSKGKGFFPVITNPMGENLGEDTLLTVVKQDYLTIWQITRLLVRIKQKPFGVSPLILIRMIYASNGLYRDGCSYKFYFPSFRCRANEKLHYEFFLRNDYGFYIQRAGVMDCYLYIPTPVPPTDCQNGGVMFNETCLCLAHFTGDKCQTQICENGGTPGVNYQCICPNGWGGAFCQFAVCSEPGLPPTFGYHVDMAFLVEVTKSGVNQIKELIDQLPGLIRDITSQHGDWIDRLVLIGYDSKDVIRMVDAPISSPGKVFDALTAWGNSNPTDDNCVVRVWEAVFQLMRNRMDGPNRRNLPRRSIVNIFQSSLPDNQGDAIQALSTSEELLETNALTNVFQWLDTTSDSHWRCNGKQDDFQYIEQLARRGDGKMYTIENSDIKNILRMIPTLFSSSIVYKYHNEECHSSTNLVYFPIDAYTQTISAIVAGYKSTVQLFKYTGDQFTDDGRIPIHKNDMEQIVEFRNPCDSGWQSISQYCMYFNANSGMVKTFNDATTYCRSLSAFLADDLSDQKTQFFVDNSAGQKIWLGLSWSATGGWVFQHDDGTTLAVPANMKKNWDGGVEPNGANGKTCAYFNPTAKNGYWFAEDCTKKYLTVCQKHMFDSSNEPSSIVDDDLAPGKYYLKVQTETPTNGWGGCDVEVRVQSDLNVEFGFVDGLRKDSPHPVANIDSNSNRVVSSISIGQAKTDLSVLEHVLLRDDSNQNVLLEAATYSYRFGCAYEYYSQELNCDLTQGKDFNHIGEDDTGNTFQRYSTSLCYKWNVCSNGGVYSNGACLCTDYWTGDNCRTPICQNNGVLNKDGKSCTCQPGYGGNACEFVQCDADSQTKFSNDGKVLALILEKSENTADSIRDIANNFKKIMDGINAKADKWINTFILHTFTSTGSVDDTVVLRDIDDVIAHLNQYASDADKLIGSCQQPLWDAINGLFTAFTPFLKGSEVLIITASAPLDADLSSVQSTMELFDEGAPIVDFIHIESVCQTEDWMRGLESFYWFFQTLGGTMFRVQPGIVADGLIGFLPTRYAAQSLTIQDAGACQQNTMYIQKLWKVDIPYPGVYAVTISSNSKACFPTVYGSGGAQVFVGFVQDYSTSDKPLPYAVYGKVNFPVFHIMDRPDAPGVETLYMANMYVQSVWGKQGKMYDTDLDSRTGCSFEYIGKGFTCSNKDEVITVISSGVDDYNQPFSRESVAWCKAGTVPPPSSTTSKPTTTGPTVTSTLPPSK >PPA16056 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig317:16302:16758:1 gene:PPA16056 transcript:PPA16056 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPSLAIALYGFLSISYFPAELHETASKTRAIFCGNLRVWLPYREKAYSLALLFLSYIDHAELGVSAWGFATLSRPAILTTLSVMVTLLALLFQIRGCAPVR >PPA16055 pep:known supercontig:P_pacificus-5.0:Ppa_Contig317:4186:14030:1 gene:PPA16055 transcript:PPA16055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-plx-1 MLHNITEWTIESPPMLANDANSTSVIFIGSGPSDLLDDDSSRSSFDSEVSVLYVASTFVGGTRWRDEIPAVASRRLNRYRDYNPFELMNKGLVSPKSAVSIDRKHTEEYKIDYVSGFELGRYAYFATRQQTSLDDEKIQSRLVRVCTGDRNFESYTEVPLECSSGDRQYNLLRDIHVGIAGFGLAKRLGIIGETTPLVYAVFEEGSSDSPRRDVVTSRSALCVYTIDDIERIFRENILDCYQNSHVSTNLPWFANKQCTPTRLNWEQVECGNNVNTRIGGSEPISAEPIIEMDDARVTSVATNTTHGGSTVVFMGTKDGRLVKTVLEDEENSFVYATESISSEPILQDLQFSDRGNFIFALTPNNVIRWPSSNCDHMAQTCSLCMAKRDPHCGWCIRTSSCSLKETCDRSLPMTNSGWLRYDTNVCPNIRSVFPEQVQVSTSDYLNVTMENIGASRKGKLRCVFTFPNGETRQSEPTSATVDDSLRCATPNVEQIPRMASNETSLTSKLSIVEVSSQPLPPLASINFTFFDCRRFSTCTACTSSPFPCDWCLRSNECVSGQETEDRCRGQVFVNGMKRSGSSSRRGPSHCPHIVAPSPLIYQPAGHRRPIAVKAQNIDTAFMHDFKCQFRLPNGNVQKRVATRRNDGNIECDEMVMDDTGRVSIDVVWTARDEPAIPHLLDNTQEISIEVYKCDEIASDCGTCLTLDTSKFACGWCESQQSVQSRCVAPSLCPPRSPFLNSTQLCTTPVIRSFSPKKGPITGNTTMTIRGTNLGRSPVDIKTIMVANVECKVIASEYVAPSTVVCVTGPAQRQNDGRNIQGPIVLKLRPDGLEYSGLSAESFQYVEPAISRVKPSSGPISGGTDLSFNGVDLDAGTEVEVTIGGETCDIKSRSTSTLICRSPPGERIESKPIRVEIDRQHVKMSRGMGDFQYLANPKVSSVLPERSVSAGGIRVDVKGEGFRILQRPKMILFGRGDIKTEGKDCVIRDDSHMECFTPSLPSTEKINDRSIEVGYAFDFDGSTTSRGRLEIFPDPTIESWQEARYYRPTENYLTINGEHLNDAANERDVKVSIGGAECTSTVVASRVITCRPPERKPPLPTGADPEVIVSIAHLKFSAGVLSYSTPGLSSTSISIIAVAIFALLTLLILLLVLYQRKSNSHQRQMKMLKSKMDAIEMRVATECKEAFAELQTSLNAYHADLPLGAPVVPFLEYREYAARVLFPNSGHAHLALRELEVDSSRAKYVEEGLRELHKLLLNKTFLLTMVRTMESNKFFVGKDRVYLGSLLMVVLQEKMAYCTEILKQLLRELIEKTVEKRFQPKILFRRSESVAERMLAAWFSFLMHNYLEESAGKRLFDLYWGIKQQMEKGPQDAITLEARYSLNEEKLLRATFDFREQLVLLSCESGVPQSGMPPGYNTSIGEVPVRVLDCDTITQVKEKCLEARFRSTSFSDRPRTDEVDLEYRHPMHGRLLLQDIDATSRIESGGWKRMNTLAHYQIPPNAVLTMVTRHASLYNLVNPSTASWVGYQSMSLLSEKSSLSIKNSPTVSRANLFGGTNSSNHSKDSDSKVFHLVKPSEHGPSDGQEKMVTEIYLTRLLMMKGTLQKFINDLLESIFSSSRHATLPACVKYMFDFMDSQALEHGITDPEVTHAWKSNALPLRFWR >PPA16059 pep:known supercontig:P_pacificus-5.0:Ppa_Contig317:19632:22329:-1 gene:PPA16059 transcript:PPA16059 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYVSKYEANQKYDMNLRFIDDKSGVKVNVWAAAQSSSQGGTKKPVFLEGKTSITIINNNEHAFDDTNLPAVFYFVDESIGATVYEATEAIERRKMPAGDNATLTIMSASMAISVFDFKFPKGSSLRLTSGGAAQVEKADRSMAPIGVRTVVMSPGFGFEGMPSKSRKYSFKLETSAKVNVDYLGTMKLSTSSLEVVGSNNKGKQTYEQKFDSKSWEKRTTNETFTAEIISVDIRHENGSPGGILAHQSSLYFLSFFSPSFVSFDSMLHSFIKHFNGSSSHLLPLLPYLPSSFHFSFFSHTMVNVLITGSNRGIGLALVKELLKDKRVKHVFATHRDTADIKSLKGIKDDRMHIIKMDILYDDEIMKVVDQVSSIVGHDGLNILINNAAVMFDYDMAGNVMRKLMCSQLEVNAASHVVVTQYFLPLIRRAASLPGGRATVINLADPLGSIEMSDGATARNATVYRMSKAALNMFTRALGIDLVKEKIIMVGLCPGRTKTEIGGDKTEFTTEATAKPMVDAILNKITIEHASLILDRHLNPVKF >PPA16063 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3177:33:967:1 gene:PPA16063 transcript:PPA16063 gene_biotype:protein_coding transcript_biotype:protein_coding LTQEELDHIAYIQKMAEQSSFETIAAAPTRPAPPQVEEVIAARERFGSMQEESVDKFDIEEEHSSATSGADAERSSFEQESFDKGYHYERSSALLEPTQAESDMTTTAATEAIEEPVQLTQEELDHIAYIQKMAEQSSFETIAAAPTRPAPPQVEEVIAARERFGSMQEESVDKFDIEEEHSSATSGADAERSSFEQESFDKGYHYERSSALLEPTEEESDMTTTAATEAIEEPVQLTQEELDHIAYIQKMAEQSSFETIAAAPTRPAPPQVEEVIAARERFGSMQEESVDKFDIEEEHSSATSGADAERS >PPA16064 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3178:4:789:1 gene:PPA16064 transcript:PPA16064 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPFTLLNWSPIKRGDYNLEHQPHPGRHSSRVRGRVLRELKANPKSSVRDIEKTIHIPKTTVARILHDAGKTPKLPQVIPHDLTTAQLKKRVDVCQGLLHRRSNFNWVSHIVAMDEKWITYDNPERKLQWVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVRVPPKLLSEGKILMLMDNARPHHAKITQKKMDELEMEWLPHPPYSPDLSPCDYHCFRSLSNFCRGKKFKNRDAL >PPA16066 pep:known supercontig:P_pacificus-5.0:Ppa_Contig318:31681:32393:1 gene:PPA16066 transcript:PPA16066 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTARRLLILHTLSAPELPVEAPAEVAAAAAAHVAASPPPPAPAAAVPEQQPAAPTDAPAADVAPQPDAPSATSVSISDSERTSQTDKTKKKGSSRRSSKESKEEPKLE >PPA16065 pep:known supercontig:P_pacificus-5.0:Ppa_Contig318:21280:25338:1 gene:PPA16065 transcript:PPA16065 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVGNDNRQSYKRHYLRELTYHRTMEKARTKSDYEKRRRDKLVELAKRAEQDQEKLLLQRTIAEQEAREIDKSLGMDEDFRKKSMAKMKGQIDVMGWRTNREVRDVRRELQEWKQKTSMADSERKKTMEQVEKNWADFGRLKTQSMALEVTQIKNRRGAAHLARMPKDVAEGTSKNLYKLCADLNRLTFHLNSKSPLISLVDEALVLAEAIAPLFGAKDEFVDSREATSTTNVSLSNVSITTSSGASAESSSTNSSAESSKSPSKKLTAPVRSSLRRVGKNPVAVSIPPLSKFVPNYSLREHL >PPA16067 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig318:33324:34455:1 gene:PPA16067 transcript:PPA16067 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIPDYDQIILAAPSTPEAGEVTSSTSVVPAPQEKGSPSNIARHATRTIKKDEKKEGQKGSDNLFACRATRMKGNEEKAAAPAMVAVRAPMDPTEDKDRAAPASEAGAAAEYDDGYEVYGA >PPA16068 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3181:208:816:1 gene:PPA16068 transcript:PPA16068 gene_biotype:protein_coding transcript_biotype:protein_coding MFYCLIKNGSEYEIEDRLNVRGPKLPWGPVTVKRGKTWKDGHAAIFVSGGDMKEMEMEKEKYETGELKPPRSNTKRKPLFDIVPPCQDLPLDDDDDEEMDEDEPMAKRPVRRAVLRAVKMEREDSLPPAPLPAKKAARDRANSLPPIKKAISSSISNHPPTVSTTLTAPKATVPVPAAAPSFSAQS >PPA16070 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3188:147:793:1 gene:PPA16070 transcript:PPA16070 gene_biotype:protein_coding transcript_biotype:protein_coding RQNIFTHNKKAYVVYCIAEESLRTSTGYINMDKIVVEFRVKVLSSEPPIFDLSKFSSPNELSNVTLVIGDEKLRVCKDYLSIHSPFFAAMFFGDFAEKEKEEVELKEIIYE >PPA16076 pep:known supercontig:P_pacificus-5.0:Ppa_Contig319:40371:41016:1 gene:PPA16076 transcript:PPA16076 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRRMIEGETQLIADLLVVLEKVLCHGFKGGKSILSMRSTDEEMWRAIKRMTSDRKDIMDTVNCVDQQEQLVTPISRIRCLLRLVMMQKKIMDLFHALHNSPYT >PPA16074 pep:known supercontig:P_pacificus-5.0:Ppa_Contig319:13501:15267:-1 gene:PPA16074 transcript:PPA16074 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNDNPPVRLWIGPLLLIFIVTIIYIFSILPQTRSSIGMDPLPSHPSYGKSERIIPSFRMVADNRDEYKDEECNRHGHWNEINGSCDCINTFQGHRCSIPICSNGGNVKLNVCRCQIGFGVSSRCLCERHKFGPRCEFICLNGKVEGGECVCYQGWEGMGCDMCSPSSSSCINASSNGRSSINSRLTLSGLSFCLITIGLLCVTASRRRSSSQSQRFFSLRNNRNPFGYPSTLRSSSRSSHIRPPPPLTPPPIYRSLDNLSQATSPPSYEEATAIQIDPPQEQFDEDKTTD >PPA16073 pep:known supercontig:P_pacificus-5.0:Ppa_Contig319:9969:12955:-1 gene:PPA16073 transcript:PPA16073 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLFPFLFILRLQCGLAQKMVVIIAEGLGGSEYHKYAQYNAFQTIEEGGVSPRKHGLIADHIYNWRSGETFNAFSSPSDLDYSQWWGSFPLFLSAQKQKARVAMLYFPECQVNWPFPPKMCISTNTNLTLQSEGEIDRVIEMTNLYDIILIHDSSIFDEFSRMGPKRAGHSGESKMNRIVEESRKRVDLNVIFVSLHGIIEVPPQNERILDDYLPMEMVKMTVGEGASMQIVSRTGQTHQKDESKRFPSGIQKKRMGVAGYNGKHPDMIGLFMAYGPLFRRRYEKSGLEVVDIYVLLCTLLKIQDCNPTTGSIRRVQDILVKDILSSSTSHFYSPIFLIFFLRHYC >PPA16075 pep:known supercontig:P_pacificus-5.0:Ppa_Contig319:21407:25333:-1 gene:PPA16075 transcript:PPA16075 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEMRRLQPELVKYENSVHPAARPVQTEIVSTLSLAEKTKGIKQDTSKLKLIENELASLNNNVSTLFGEVGAKVCERDSLFDEIEHATSSMNSLTIGSPQYEEDSDKVHYNVRNGSNQLLGIAGNFQERVKKKLSILADLQKSQSDLDTTIAMKQQRINVLQAQKAENASMIDLDYLDHLKAELVDLTDKTVKLNDYIAAVTKDEGQRLENLTISITECEALKKEKNELIERLEEMNSRENNTVLEMEELNKKVDVAQNKLDDFRKQREFLSNNHEIKMNELKIVNREECLKLRKELESMGKVEPLNLSGEIESLKRQIEDTRSSITRRMGDAHYYRVLAVRVNAQTLHERDTIATHYENEVFLLKRKMAQERVERENRLAHETRRLLEKAKERRLRKEREEKRRIEKEKEREEKRRMEKEKEQEEKKRVEKEKEERRRVEKEKEKTRKMKEEEEKKLKRMKEDNEKKKKKEESKSGIIDLVHNKNVASGIVSQVQLRRMNMEAAASIPFSSNDHLPTGIKARSHSIPKKSMVIFDDDSSEDDDDDMSDFLLDESARVMPKVPEKYAGSPPRVNNSYNPFELSFADVQSSTPIRNIKNRLNVIGNLRNPTPAFDISFNQVVTSTPQIQRGSKSNKRQTRARGKKRTK >PPA16072 pep:known supercontig:P_pacificus-5.0:Ppa_Contig319:7624:8792:-1 gene:PPA16072 transcript:PPA16072 gene_biotype:protein_coding transcript_biotype:protein_coding MRRREENETEKVKQRARDDFESKKQSTPSAKKKLADKEAEKKKEADKRKKIPIQSNSIDSVNSETIAQFETRVRDTFSPERILEEIREEACSPIGEKKGDTVSQVSFDQLNRNDSKVNMFKENEDSDTNQPALGKGINLEEKIT >PPA16071 pep:known supercontig:P_pacificus-5.0:Ppa_Contig319:2066:6437:1 gene:PPA16071 transcript:PPA16071 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEDEDTKDMDANAESVIERCSNLVEAKYLMQHLFNYSLEQSVSATKFEAANKACEARIEQLVQQSYINEQLLSTVIEGDRNTASEMVDALESSHGSSSDYEETSGRKMRTRTAAVDELLYPSSDSNTHSVIDGGRNENEEKKERRSRNSLLVPLPIITSFTRNSRERATVTGVPLRPKQIGGDRRSKEGRIVAARGDERSGKRLTRTHTLEGHTKAILCVDSSEERLITGSKDRSAKVWDLQRSLEMYTFNQHPNNVTAVKLVPHSHLALTLSLHQVRVWDLRSSICVKVLQSSGQIVDGDGGQVGSRQNTIPFMEQIVNAIAVDPMGKLVFTSFKDEVKIWNLEKNGAYGKLLSASHSSQSEVSCLSIAPSTSFSSGYQVLTGSRDHYVKLYEVPSDGSTIAQASVEFSPPHYDNVTAVLPMKKYIFTASKDKNIMKFNQVDMKRDHLELNAHSNYIQCLTSMRLEGDDSVLVSACKEGTIKLWDVENTRRITLIEQINTAHGDSINELSSNSSLLFSASSDQTLQLCRWNRSIHFLSQSSQ >PPA16077 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3191:12:942:-1 gene:PPA16077 transcript:PPA16077 gene_biotype:protein_coding transcript_biotype:protein_coding FTPEKIPVGLCTHIFYAFAAVNIATYEAKLTDSWSDIDLKSMSGVQSLKKKQPGLKTLLSFGGWTESASGIYAKVASDPVKRSKFVKSAWVLANSNGFDGIDLDWEYPDAANKANFVSLIKELKSQSGGKLLTAAVSAGAATINAGYDVPSFEKYVDYLTVMSYDFHFGSEPKIAHQGAYSETIGEL >PPA16230 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:681988:686450:-1 gene:PPA16230 transcript:PPA16230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cutl-5 MAYGSLFLLLVTCSLFGNAVKYPNEIEETPTVICEKDQIVVKIKTSSSNPSLIYADDFGEDPECSTRNRNLIEIKHGKCGMSTERTEDPMGAVQRVCISVQLHPMFVTEADRSYCVQCVYVEQHVLKDFEQSLDVSEAPATELAPQFDFQTVPKCVYSIRKGSPTGPPAHYAVIGDAVYHVWQCDGNNHGILVQNCYVEDGQGNRILIIDQDGCGVDTYVMNTPEYSEDLHSAFQETHVFKFAQKTVTRFACQIRICVQGEDCHRLAPPKQCPSSSERNAAAAVVVSSTAAAEAATNADDAAVESATTGSEQNGYGEDKEDLGVEEEESVEEGYRRKVQGVTTFERPLSPNATIGTVYFGGDLRARRDVLPGGKKSTSNVVRRSPAGYPEMDITSEIRVLDSPEDVQYYERALNKNTVADSITDMSCISGLTYTVLNKLQKKFIFLKSVHHIEICKNDN >PPA16123 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:220761:226762:-1 gene:PPA16123 transcript:PPA16123 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGQLLEAVSFDNCSILSSVKEVMTPKKTCCDKGTIVLDNPKWSRDSTLPVARLTLTKPYGQFWTHWDVRGKVASTNNEIRLTPAVKGVSGAIWSKTQLGLAGFGVEVDFRFASKDGLHADGAAVWFVDKPSEGTAYGISSNFTGIGVVLDTFQNLEYGVGSFVGETDPSRCGAVRRLGIVGSDGTTPHLMDNNGKDVLLGKCRVSNMVKVDKNRKKFAETVSVYIEYLGNFIRVFYKYPEGTEWELCADSKVHIPLSYTMGVSASTGELSARHELIALRVFEIPVDHSIAVARSTDKLVFEKKATVENQKDADMITVMWIFIIVIVSVIIIVAAILIFDYYYAQYSGEERNAADIPAAFAGDLSVGARRFPAVPAREPAPARPIPPGSVLTTMSEVRLTSNAKSMTGSIWSREILGINAFSVEARLRISSQNAGSVVADGMGIWFTERTSLGSAYGISPMFTGFGVVLDTYDNTNSRRTPFLGLVASNGTVPLNNDNNGELHTLESCRIYDSGAFIRDTNDHTARESITVLIEYLPGSIGIFYKLDRDLDWQHCFTHANVFIPNYFFLGASASTGDLSAQQDLLSLKVFDLPVDMTGTAKSKMSFDQNPRAGTEKKEADGGKALLLLCFFALCFVAVVGGLVIILKPDLIPGTQASIDKKKRFY >PPA16166 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:427320:427881:1 gene:PPA16166 transcript:PPA16166 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTKAEENVESRGPGVNLAVKPPLESCNIGLMYHKEQNKCIPYQRCSDGSYLNCGNGPRCSCAPPAVVLLTKNPYHVAEPYYVQ >PPA16262 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:864524:865320:1 gene:PPA16262 transcript:PPA16262 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLLLTAFCIKVYSQQRSSAQPTPFYESRTLQQSSATVPQQATVSRQYPSIAPPVTAYHTAAAGVPAATNIQQHQTQQQRTRNPYPTQMSYRAPQRKSTPRGHAQSNHVPILTKASPTRIGNIVLVIFCGSVMRSDRLARGACPAAATEGGTREKKSRGSA >PPA16118 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:193864:194686:1 gene:PPA16118 transcript:PPA16118 gene_biotype:protein_coding transcript_biotype:protein_coding MEDANGALSIDLGSERKGAISKKLRVQLVFFSSLASLPSIMIALLWLFPLAVEALPVRGNDPWTVVLCKLSDNNHEPMSHEWVREWISGWKQGMTFEL >PPA16172 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:451160:451570:-1 gene:PPA16172 transcript:PPA16172 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPAERFIVNRRQMNNGMPLNLISHTHNWIRGIQSRGANWTPAVSSRFDFSVKKRLSEMRGQKGVFQSESNNPILFIPRQKETNVQNLIRKFLAQKPSDDFP >PPA16212 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:598624:600992:1 gene:PPA16212 transcript:PPA16212 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTIASAMATFPFQDQDALRVPSSVSLECTEGDGAHDSPHVLFIDDKKIPVDLIKSIIPNSALVVFPTSLYLNGVLWTYKLHLFRMRGVYKFSIHSKGPHQRLSESSNVAGETYGSTHRNEPARQVRPTILAASITFYGPNNDPKQSIDCVWTELGARYDATTKFTLQQLLALADKKKG >PPA16155 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:386419:387096:1 gene:PPA16155 transcript:PPA16155 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEKISQIGSFSCFKLQWAGTMKLRKVIDARLVYVEVNILADNLNAEVVLYTIGKGEAIINEVGHPTEPASTTPRAVVVTARHWLERYEEILREADPER >PPA16138 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:263152:266660:-1 gene:PPA16138 transcript:PPA16138 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVPLSEESDTRAARAPSAPSAPPIEHVTPLQQSAADPPQNPPRNMPMYPQMPVEEHPSSSVHQQGFSSMAPPPAYDEALSMPQQLPQAPADWSTQQNGGAFPPMPMPTHLPQPPPQPPQPTTIIVTNGHVVPGRCEHCSGPLEMHRDTGMLIFIILCLIFCCPIGIFMLCCVPCTNQRRCAVCHRPG >PPA16170 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:438728:440948:-1 gene:PPA16170 transcript:PPA16170 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEEECLHFCALTATRCRSIVYDSASHLCHFFLDDGAEQAEFAPRMIYFRVTAKRCLEDTIKKLPAETQALPTTTEEPKEKEFKSPVVARKRGGKLAKRKQIDSADWTTTTTTTTTTTESPPTLEDMGMKEAMDDLLDDIERNTEAFEIEQRKEKRARDDGERAELREIKEQIRKHLKEKIETLRENYPEMYEELMGRDVEEEEEQEETTTTTTHSLRPLRGVIESRTTQRPINDGEIPFRRKKVARAPEKEVTTIANIVANDDELKSEDLGVLVPEAEEKPVEKKFLAIPDVRSEEIEYEDDVRII >PPA16150 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:347537:352119:1 gene:PPA16150 transcript:PPA16150 gene_biotype:protein_coding transcript_biotype:protein_coding MNETLESAICTSIIGGLPPRLPPEFDSLTIQNATDFYVIPKHSFRKMDRLREIRFENCPHLQTIEKFAFKNLRNLRLLSFSGCPSLTELVKGAFSSIQNEELLKIRITAPIRTVHGGAFRHACRLREVSISGSLMHLQKHAFSSINQLDFLDLSGVMKIDSHAFSNSTRFHIINIFMSQVSIEKYAFADLNGIHQIIIHRCRLPVLESEAFSGVITLEHLELCDNDIGMMSPHAFTGAINVGRIKLARNKIDRMESPDAVNQGDAITLALEGNKIRCSCDLKWMSYHSNRDLVNLNYCADERTVRNYLSNFCFAAPGSIIKSANRNLPVNPRIQVSEDGKFKFKPPYKINGKKNLLKLLRKRHENADGAVLLSDLAECVPFSEKLLESLGKEAIVIVTKWNGKQDKAILWNDQSTDFL >PPA16274 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:936136:937242:-1 gene:PPA16274 transcript:PPA16274 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLGTDKLRLEESERRPTPSQASERNEFSRIARERPSFTTVHCLRFRYRKFADKKREGTLDATQEVKDSPNKKELSGPAQGHFDSPVISEAGDVSVEDS >PPA16082 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:28501:29399:1 gene:PPA16082 transcript:PPA16082 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGATQGDLSNVIIEHHATLIFMNTDGTWAFGMCNKYDETTNKVKAFASLLGQCHCCWKRPSVAIRREILDEEDINVKIQADCSGSSSSTDILHVKLERPDKLTIKMRILYKESPAGITCLISIPEAEAAHLEGPLKIVGVQGATLLYIKA >PPA16097 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:79970:85031:-1 gene:PPA16097 transcript:PPA16097 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLVLLLACFIGSNAHKILVYNPKFAHSHSNFIGNIADILVDAGHDVTTLIPEMNDKLKDGTTKSKVIRIPPHPDAAEVVVKIDTGEVDVLSVSELNPIVPLIMSRETSTMFTKQCEATLDSGEIEKLQKEKFDVYIVESFDLCGMMLAHRLKPKAVIKTATTHLFGDQFDELGVPSALSYNPSTLTRSLDVHSMCSRAWNLFAERVARIMFSGPRSTVDAMFRQRFGKDYPTLKEISSNVSYIFTNTEPLIDFATPTLTKVIPIGGLGAKEPKPLDEYWTSIMTRRPKVVLLSFGSVAKSYLLAPAVKEGLLKVAAAFPSVTFIWKYEKKDEFALGEAAKVENLVLIDWMPQNDLLNHPNLAVFITHGGMGSVQELALRGKPALIPKYSTFRSVGPSFTFALFSGLHLSSAVNADDDEYVPPNKKLKNTCYFSTPKKSPLERFARDDRYKNRITTLLSQKKEHQRKVHGHRQRREIWLRKEYHWNEVLSKLEVGNYQKIIGLLKELMENPM >PPA16133 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:250803:252276:1 gene:PPA16133 transcript:PPA16133 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTVQMQPLETKHVQLSLISSLPQGGPIIFERDMCCFMWLLVFCGVCTLCCLPERQAECASCGAKQNYSIPNIPNILIRLPHSSWAVAVTAARPPRARPKEAADSASKIKDQLQGVGPIVFERDACAVASIILFTCCFAVLFLPKKEAKCAACGMKQGYYPV >PPA16116 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:184274:190761:-1 gene:PPA16116 transcript:PPA16116 gene_biotype:protein_coding transcript_biotype:protein_coding MRNREFLRKFVCFTIITTFPCPAGPDALDGPNGPDGVSAMQWMVRMSSKTCTKCAPGPRGPPGPAGPAGHAGYPGHQGRIGRPGRAGSRGYPGERGDAGPRGAPGKQGHVGRPGRSGRRGVGAPGRPGPAGYVGRRGGQGHRGEPGHVGKQGRPGNPGRRGYPGRRSYDGKPGQPGPRGPPGIDAQYCPCPGEETARTSRVRPDRPVQQQQKKEKHVQQQGYDAPVALQQQQGYDSPYPAQKPYSPAPKPYEEPVQQQEQQQGYEAPVEQQPHQKGYDTARPATAEQRPVQQGYEAPEVQKPVQQGYDAPVEEKQEQRGYETPVEEKKEERSYESPVEHRPNVYEEQPYEVPVDSRPEQRGYEAPVEERKEEQRGYHTSGPTAAQIEKQRPEERGHEQPKDEPEQPGYNDERSVERHMKPMDDLYMNAAAAADITMDGYGQSTRGYEVSPPAHEPPSESAVLGYEEPATRPYHQDPTQAPRSRPTTRKEPYTYSPEQSAYGTAAPIRTKPEPTGYEAPVESRPYEQPTEAPAYEAPVESRSYEASTEAPAYENPVETTHEQRGYEAPVETRPYQASTEAPAYEAPVETTPEERGYDQPTTAAPYEAPVRDEEPEPYQQPTEAPVEERPEQRPYEAAKPEQKGYEAPAEERPQARPYEAPFDAKPDPYEQHAPTQAPYEAPEETQAPYEQPTNTPAPYEAPDPTTDAPYQAPSTAGYEVSQPQRIDSSPAPSPYDQPAKPEERPYEAPVESTPEPIAYEEPAPPPRDEQVQAQGYEPATEAPEPYQEPSTTAGYEQAPETTAAATRGYEEPAPLPGYDNPVSKPPTKKEPSSPSPRPYEEESPYETEPTTKGRFRYVTQKPATRRTRRPKKPTTTTTTEAPYAVEEEEEPEEETGYEAPVQTPTTTEASSAYEWPQEPLQPATAGYEATEASTAATVIASGYDSVATPAPRLMIARKEPPRGPPVMVQAPPAPVFYSTTPQQPLPLYASTPPPFVSVQPLLPQQQLPSPFGSFGYVEQQPAQQPLLQLQQPQFVPYPVPIVVAAPTPAPTPAPKNDRIVIDASASADGSRRDEQFNGFHYQPHAPFNAPQYAQLANNPYYAYAVQRHAQFSISTADMKRRIAAALQKQATENAAAAKTQ >PPA16198 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:528864:529646:-1 gene:PPA16198 transcript:PPA16198 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWQRRRDLFLKLRDAANATVDHDTIVSEHLNDAVLLMAYGFCNLQSRRYFSKERLR >PPA16272 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:924527:932894:1 gene:PPA16272 transcript:PPA16272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mog-4 MSDNSFPVDIYYTKAPEADYLDAAMVSVLQIHLTQPLPGDILVFLTGQEEIETVMESLIERTKQLAGKVKELIILPIYANLPSDMQAKIFEPTPPNARKVILATNIAETSVTIDGICYVIDPGFSKQNSFDARSGVEHLHVVTISKAAANQRAGRAGRTGPGKCFRLYTAWAYQHELEDQPIPEIQRVNLGNVVLMLKSLGIHDLVHFDFLDAPPQETLVIALEQLYALGALNHRGELTKLGRRMAEFPCDPCMSKMIIASEKYACSEEIVTIASMLSVNAAVFYRPKAMVIHADSARKGFWSPAGDHLSLMNVYNKWKETDFNMQWCMENFVQHRTMKKARDIRDQLMALLERVEIEPKSSDDSIAIRKAITAGYFYNCSKLDNSGHYKTVKHKHTVQPHPNSCLFEELPRWVVYYELVFTSKEFMREMITIEPLWLLEVAPHYYKQRELEDATNKKMPKQKGKSKAELDTYALRPHLVDMKAD >PPA16101 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:97582:100150:-1 gene:PPA16101 transcript:PPA16101 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAILLLALILGANSHKILVYNVKFGHSHSNYLGNLADILVEAGHDVTSFIPEIASSLKDGTTKSKVVRVPPHPEAAELHAKFDKGEIDFFAMSELSPLMPFLMSMNIAFMFSKQCEVTLDSGEVEKLQKEQFDVYIVESFDFCGMMLAHILKPRAVIKASTTLLLGNHFNELGVPLPLSYNPSPLTRSLDVHSITSRAWNLYSEALTRIMFSGPRRAVDAVFRQRFGADFPTLKEISSNVAYVFTNTEPLIDFATPTLSRVIEIGGLGAKEPKELDEYWTSIMTRRPKVVLISFGSFAKSYLLASAVKDGILKVASAFPEITFIWKYEKKDDFALGAAAKIDNLVLTDWMPQNDLLNHPNLAVFITHGGMGSVQELTLRGKPAILIPIFGDQPRNAAMIEHNKLGKVLSKLEVGNYEKIISLLKELMENSEYAENSKRVARMLSKKPFSSKEKLLRYVSFAAEFGPSSALRPQSQDMSFIEYHNLDIIFVGKVNIKKAKKE >PPA16165 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:421252:424416:1 gene:PPA16165 transcript:PPA16165 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSAVATRRLSHFVVSVGMPYWVLLSPDAPCLSQADHQLFCEIYTSLTFKKPYCTDGSERICTSVESSLLPCYCSGGGKITTVPWQCKDELFYDSRKNVCIELAKCAPDETHYYNGTEYICRKTVFPYCSDGSYQMCGIIGPCHCAKGGQNLVQPDSKNLAPFWASTPNPCDGVLGCNNHGTCAGTLEEGLYCICDPGYFGLRCQLPDEEPGCETMIDCNGNGKCAGGVEDLHCECFDGWYGVRCQIPTDRLIETEPGRCKFGLVYDYKNHKCNEIRLCPAGKQYLCGVNGDKCSCYGETKPLRPVAEGECPRGYGFNPKTHTCVSKNLI >PPA16089 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:59111:62743:-1 gene:PPA16089 transcript:PPA16089 gene_biotype:protein_coding transcript_biotype:protein_coding MWIIQFFLLAAALVCTSTAAELFVTEYKLPEAFVDGTIITFYFWHSGDHWDRPDLYSKTQYAYINFYDVASVDNDTVYDIPAQLRLETDYFNPVTSNTFQDGRWHKEENKFQFMDGLDMSGEWWRVDIYKHDSYRMNVFFKGFWSGVRTFHMPLDNIKSINIVSEYVDPKWVESYKFVNFTIGSRIDMIAFLRSNIDPTLTLEDSPDPVICSDHNGDLQHLRIERTAYDTIKIMSKFGGAMMFHLEHTMARFEGK >PPA16183 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:481038:481427:-1 gene:PPA16183 transcript:PPA16183 gene_biotype:protein_coding transcript_biotype:protein_coding MALFAIPLCGICLPILAVPAVVLQEKSQWSTLELIIEATNMAIAAVAPVFTGYIVYVFEKNPQDDRICSAGFRSGSAL >PPA16135 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:255283:255937:-1 gene:PPA16135 transcript:PPA16135 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVYSGFDLLLLFVLLSSLLHERPNLSAARRLPETTSNSDYKATGIENKPTIDNNSMIE >PPA16107 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:142474:145224:1 gene:PPA16107 transcript:PPA16107 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYDQDPKEAVARADSLRRLAFFGIAVSTVATLTAIIAVPMLYNYMQHVQSTLQQEVDFCRHRTDGLWGEYGRYEKIKGVQGRLKRDIKDQGSKIEDHLIQVSHRSSGFSSQSKRARARGASTYGGSGYDDAPVENFPSSGGSCCSCGVGNTGPPGPPGIDGRPGNDGLSGKDGRPGNDAQPGQESNEGDFCFDCPIGPAGPAGRPGPKGQPGHPGAPGKPAQSAGRGRPGPPGPPGPAGNDGQPGRPGQRGAPGEVITVPGPNGPPGPPGPAGAPGSAGQPGQPGKSRPGPPGPQGDSGADGRPGAPGQDGQPGEPGAPGSGGGCDHCPPPRTAPGY >PPA16214 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:612063:613823:1 gene:PPA16214 transcript:PPA16214 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTQDEAEKRYYAIFGTRARFVVMILVMLCLTSVWSNILAFNFTIICIEDDNSSDPNLDYFTNSTVLTSFEKSFRTSIVALTALCANIPVTLAINRLGIRTIFGVLGLISGLSTILMPIAIEGGYAWLLVARGFQGLAFAGNFPVIGSFCARWAYWKQTGLFVSTLVASVQLAPAITMPVSGALCEGVSWQSVYYIHGSACIVLFALYTFFFRNSPAKHPFVGQPECDKVARLKCTDKTAARHIPYEQILKSPAIW >PPA16161 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:407012:407730:1 gene:PPA16161 transcript:PPA16161 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAALFKWYCIGACAISMIFNALLIFIANKRKEKVGFYRFFTQVTVLIGVLYSLGFAIAQPLWYAGPGMLGFFSIAPWSNCEMSIKIILIK >PPA16111 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:158455:159484:1 gene:PPA16111 transcript:PPA16111 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTDCEVESVKKFAFFGVAVSTIATLTAIVAVPMLCMYMQNVQSGLQDELQYCRTRATSLKGEFTKLDSFRTAEMSREKRQTAYTCCSCGVGAAGPPGAPGQDGAPGNDGQPGQAGSPGQDAGDNHQQPSASDFCFDCPAGPPGAPGSPGPKGPNGAPGGPGEAGPSGRPGGRGQPGAPGQPGAPGNDGQPGNPGRDGNVRTQPSPAGRPGAPGAPGPQGPAGPDGRPGNPGRDGQPGQPGAPGNDGQPGQNGAPGSRGQDGGNGGKGSCDHCPPPRTAPGY >PPA16186 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:491751:492457:-1 gene:PPA16186 transcript:PPA16186 gene_biotype:protein_coding transcript_biotype:protein_coding MGGINGIIFAATMVAPAFRVLLRRNVKRLGALNDIMARRSAQDSSLLLCADC >PPA16127 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:232834:234284:1 gene:PPA16127 transcript:PPA16127 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDSNDRFAYFSSISSAIFPFATNLFQFLVAANLLTAVRYPFKHKENSSVVRCISDWEPDSWNVPEPKRSSPS >PPA16152 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:356097:362201:1 gene:PPA16152 transcript:PPA16152 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIHIAMTVVGRHDCSQRLYHGKTYATVLTARRSKITCAPRLITDASVQFNSRRASNPNADYQLEHIPGRTVAGCEALPPVIGVAHVIKNRADANRGYWGGRNVADVCLKSKQFACWNNQSPDNSNPSGEGYDRMHEIARGVLDGRIPDPTRGALYYNNPAKETASWVKVPEWSDLVKLGVTKDMAPDWYYILAASGARRLYIYPLPRVNTFRNSDAKASGSVIRKALESLYALKWVDKSEDGKGRILPKQGRKDLDRIAADLRSTVAPLGIVYRIPLLNGKSCAVKTGVANDEIERLSRLNHPNIVKFIILLHLTITGQCIPKIADFGIAREIKLSHRCPYTAPELLVPENIEGTDEERITLLLVLEPRIDTWASGCLVWEILTGIVPYQGCYNVALPAMVAAGSMLDIVEIGAEVYEEMRCMADEGWTAEQQRYLAELAKHGFKARSAADMRKTRDLQIRKKFEELYKECWAAYFRPILQKMMKEVLMLCGKNERSATNTVLWISDYLYKYSKSAGYRRFARAVERPIAYVLCNVPIFLRNGPGSENFIPYYAP >PPA16228 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:661748:668355:-1 gene:PPA16228 transcript:PPA16228 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEEPSKVEHAVASSCPSSISPVGDSRARGDRSTTKDCDPVLLYTSSRDRLNELSGAGSSPGSVDSATAAGVSSASAGGGKDADRGERMSLGGVSVGSHDSREGGNKSSSDGGSNGQPAKEKSKGLGAFIDKAKHRLGGSKERDKRSNTKEPSQAPEATVKEEEKSDSTQIKNRRPSSLPIDGTVVVAPKEDTLSPMLHLITHHLTQLPFPQTRLYVGALLLALTMAWPGFVVGLLWGMYISGVSFLFFFVSDPKEGDEERDGDVKGVTRASKRGNGVEDACEVFEDTAVASLSLAQPAVVYRGWMNELPQTMRYDPSNYHVNSCRCVLVRLEGTTLRISRPSRAVLKHAFHTDPTLTATPPTMVAQSIYDLTDATVSLRPRRLARLRWWSRKYPIYIRLKSEKEEGEKKKGKRRKVPRMKRSISMHPSSSGFAESAAAGVDRVKREADDKRGECLDEDEWTTTESEWEESETRVPSAVGFVRARSSTEISSGLGQEKGKDNASATSSLNRPDRRKPRGIYLFARAAREKERWFHLLREACAKARIVRGRISDVDGTTVGRSRSTATMDDLSPPLTPSEKTKRRESSSGRAETEMDSATTAAREYMMYRANHAHFARSMSEAMGVSLATLARMAAAVPPPSSGIGGVTTPTTTPAEARKAMMMRDASLEDDTQSVNLGSMRWKPSTLPEGNADLVASVNAIAGRIFFDFCRDEYWCAQVKEKIQTKLATIHLPYFIENLELSSLDLGTTTPHIESVHAPACDEWGLWVDFEMKYSGGIRLALETRVNLLKLQSAADEAENPEESQSSAWARRSNRPATRYSDEDLPESPEDSPDEDFGAKMSAEKEKTGRERAASKIVSLVESAARSSIFQKAAKLSKVAKVITDISSTRLILNVEVEAIEGTMTVNLPPPPSDRIWYAFRKRPKVSIRAIPQVGDRSVDLTTVSDWIESKLRLLIEKNLVCPNMDDIIIPAMSGNELLKMNYNR >PPA16194 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:509298:510316:-1 gene:PPA16194 transcript:PPA16194 gene_biotype:protein_coding transcript_biotype:protein_coding MNTARDYRSVLLHYFLLGRTATESHRKLVQTHGENAPSLHTCFNWFTRFKRGDYNLEHQPHPGRPSSRVRGRVLRELKANPKSSVRDIEKTIHIPKTTVARILHDAGKTPKLPQGLLPRRSNFNWVSHIVAMDEKWITYDNPERKLQWVDVDEKPQQAPKAELHGKKELLCFFFSVLEILPPGITIKADLFTTQLEEVAVRVPPKLLSEGKILMLMDNARPHHAKITQKKMDELEMEWLPHPPYSPDLSPCDYHCFRSLSNFCRGKKFKNRDALVKEFEAWINSKPQAFWKRGIETLPDRWRQVVTTKGAYIDY >PPA16251 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:770376:773829:1 gene:PPA16251 transcript:PPA16251 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRNNRAGSARKSAYKDEIALEEIPWTPIVVSNEDPNVFGATSIDISIGRGIAGDHMGYDGARRANYGAKGEMHFKTRDRARMESRTFFADHVGNYVWLVLQRPYRTKENPHEQVGIDRIVVLGYPMREGEGEMMNSRREERTRASSEVRRQPSAKSKPTAEVAPMKASTAPQRRQTAVLPPPRERPVSSKKDGMGDGMRMTGENLATDPLSTLRMVKRVLVRKMESAQERGFDVEANTCRRAIQRLDEYEARMEDLDERRSDALIHNDHNEARRIENTMADCRDTCFRSIHVDLLLSKSELRSIGVASAWASD >PPA16122 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:216643:217036:-1 gene:PPA16122 transcript:PPA16122 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKQSYEVSKEAAAVQPVYRESAEDEIKRWEQLAEKEIEYLVWKARMHQFCKRYETYLLLILSYFVVFFFFRG >PPA16237 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:710359:713106:-1 gene:PPA16237 transcript:PPA16237 gene_biotype:protein_coding transcript_biotype:protein_coding MALILVGLNSDLDPSFGRTRFLTREEATIYRCQCGDPARTALACAQHLEQRPFCTRLPSTCLDEWRIQFGGRHATFPTLAPVPFTRIPPPTPSPSFLQPFRDRSQRPQQQRSFRVPEFVVPSPPRDPVQSARKTPASTRSFTRQPDRQPTTVRGTTGKSLPVTLDVSRRPVTTLTTVSMTSSTPLKTTSDTPTTRIPLVMSDIVAGSTPKSIIFETFPSLVDLRLSPVERQQKQRRMEEKYLVERKEEKGIKGFTKILSSASAVKQNPSLPLPDFASELSPKQIVDALNNGGFSNTRRRVELMV >PPA16219 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:628128:631793:1 gene:PPA16219 transcript:PPA16219 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDYPKPHRKLSDRAFSEPLIQFEKKIEDEEYIDLFGTRTRFVVMILVLMCLTSIWSNILSFNFAVICMAPTNGNSTKQRDSYMFSKSESSWITSVVALGALVANFPVVPVVNRLGTRMVFSVMGILSAVATLALPWAIRIYYQAMLQNGFSWILIMRALQGMSFAGNFTVIGAFCSRWTYFKQTGLFVAILVSYVQLSPAITMPVSGALCSAFSWPSVFYAHGFTTMLLFIVYGLFYRNNPQKHPFVGPAESRKISTGKAVEKKTVVPYTEILSTPAVWAVWAAAIGNFTAVNLMFLYSPTYLSAVLGLSTNSTGITAALPPFTQFLVKLICGVISDRIHCLTERGKLRLFNSIAFGGSATCFLLLACMGTETKTLNMLLLGGAAGILGCSTGGFYKAGPVISKQYSYFVTGNISLMLTLTMIVVPFIVSSLTPNNTQDEWRWVFVITAAVQLLSNVIFCLFIRGEPCEWTKTSEKELMDLKTETNENNNLL >PPA16196 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:515937:520943:1 gene:PPA16196 transcript:PPA16196 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLYSWLHGVSEMITEKILHKNPRKSVELFNRELITLRSKESAEKSIKKIVEHYRGNKKCAKILMIRMDEREESNLLFEFINPSSENPRFGSKSAKAIQSTKLILSGPAPQAAIDDGVVSRTDKKLCGLYALLKTGYLNQYDCITVAGETQQKLYRLYNTNDTLPTAYAYDLKIQAMERNEIDPTIGPVDQSVPSTLVDERISNADTVAETLAGIRNSLHPSVVELTLQSFQELVANRAEDETWIVDFFAPWCGPCQQQQSPLAQQPRAHGQPEQQAQMATLQQPCPQNYPQAMPPNYPPIQNQQQPLPLYAPPQYQQADPPQQLQNYPTSQQFAPAQMYQQQDHYSPQAQPMMNSMQQMPQHQQLQPNGQPLFNQQQMVQPQHAAQFNQQQFQQQSVVQPGPAFPQAHPHPMPQYQQQQPMHQQQQLQYWQQAPLANPQAPVGHL >PPA16175 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:458643:459499:-1 gene:PPA16175 transcript:PPA16175 gene_biotype:protein_coding transcript_biotype:protein_coding MRYQSIENLRSATLLRRLVLLSVFFQLLCGGIYSAIRFTTEKSFRFYLLNLYYALIALIATLLNYVVVRSHPTLRKEFYKLTKLDKFFGQDDTQEINSVQGSRLDIPLSDHIL >PPA16247 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:760083:760897:-1 gene:PPA16247 transcript:PPA16247 gene_biotype:protein_coding transcript_biotype:protein_coding MALALSVRSSGAKNYRRSHATAVDPSFVVLSPSRRRTIQFKSKKSSKKTLLTFQMICNLM >PPA16254 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:789042:790656:-1 gene:PPA16254 transcript:PPA16254 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQTSQPPYRCFIETEKQAYLPGDRVNCNAELTFDRKFTCDEIVAMFTGEARVYWMDKQVSAKALCRHQPYYQKRTLFEQKKTIWRAEFIDIRKKTESTMTDIVRFSSPHSIRPGNLLSGEKKPEWCGFEEGKYVLPMDFSLPEDGIYSSVEVDDELVSVRYQIERRITRESTTQWRNTKHISNPAQKRSKINH >PPA16099 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:89587:92309:-1 gene:PPA16099 transcript:PPA16099 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLFLILAFLVGSEAHKILVYNMKFAHSHSNFLGNIADILVDAGHDVTVLIPEIDVNLKDGSSKAKVVRIPPHEEATTVFAKLDAGEVDMFQFSEMNPLIPFLMRRDTGIMFSKQCEKTLESGEVEKLQKERFDVYIVETFDICGMMLAHLIKPRSIIKTSTTIMMGDHFDELGVPQPLSYNPSPLTRSLDVHSITSRAWNIFGDQMTKVMFSGARSQVDAVFRKKYGDKYPSLKEISSNVAYVFTNTEPLIDFGTPILSRVVDIGGLGAKEPKPLDEYWTSIITHRPKTVLISFGSLAKSFLLAPSVKEGILKVASAFPSVTFIWKYEKKDEFALGQASKIENLVLTDWMPQNDLLNHPNLAVFITHGGMGSVQELTLRGKPAILIPIFGDQPRNAAMIEHNKLGKVLSKLEVGNYEKIIALLKDLMENPEYAENSKRVARMLAKKPFSSKEKLLKYVDFAAEFGPSQALRPQSQDMSFIEYHNLDITFVGFLLILAGTYLLMKFACIVLKKFGIRKVKNE >PPA16225 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:647855:650441:-1 gene:PPA16225 transcript:PPA16225 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAKVVTVTVSTAAGVSILTSLIVAGLMINDINTFWDEQVHTLGEFKFFANDAWDEMIFTNSRAAARGASPARSIFKRHTAGLPAHCACAAGPNTCPPGPRGPRGAPGRVGREGEPGIEGRPGQYGMEMAMPASYRECITCPPGAVGPPGADGEPGPQGKPGHEGYRGFPGKGGLYGPPGTRGQPGAPGRPGNDGYPGHPGTNGRGGRGAPGGPGRVGRQGRPGNVGRHGTRGLDGTPGPEGPAGEGGRNGEDAKNGKDGLPGGRGAPGEDAQYCPCPRRVKEGGPAVDFEAMMAAYANAGFEKPAHEPPTSYQEPARPYDAPVHHQPAAAAATGYDQPAATDAPVRHEQHQQLQGYEAPVEQPRHQKPPRPEQPKYEEIMSMLTDAAAGYDQPAAVAPPVHQQSQHQGYDAPVQQPQLQQGSYDAPVHQQEQQQGYDAPSQAASEFMHIRMEEPYAAPVMAPIQGHQGHHHQQHHDQHGYEPYPEPPLTRVINRSRVIVCLITGLAMRNRRD >PPA16094 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:72609:74430:-1 gene:PPA16094 transcript:PPA16094 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVELIGAFLFTYTCWTLLVYVMVLSAVFLERKLASVNAFYTIYMEPPTEEPSLQHGHCDKLNGLIEGPFEKNLTSV >PPA16145 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:324007:327273:1 gene:PPA16145 transcript:PPA16145 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSCLFQTRLIYRELDLLENIRHSNIAAFIESYVVDGYIYRITEYCGPALKEVIWKESYTVQDVKRWTRELLSAVRHLHEKGIIHRNLKPEAMLSADEALAHPFLKVDKRKYRALEDKYETVMNPKERIVAKLSYAPVDEQQIKRAQMSQEQLPDFKSKFAAEFSTKRVIGVGGFGVVFEVEDIRNKASMLDESKALRETQAMTDLNECDRIVRMHETWTEKPPEGWQYNVDLATLKTVEQNKFVSKMRETMTHRETFLYIRMEKR >PPA16281 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:976236:983728:1 gene:PPA16281 transcript:PPA16281 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTNKKTDFDVTSFGANDGELHLRTLLDRETKPKIKLFVVAIDSTGRFDVAPATDRDLSSSLRYDIRVPNESSMLSKLIKVDNNGTVTTTGPLQGLDGNVMFEVIVSDGKHTAIAEVNLEIMRNFDCHPQFDMETEQGGNFVFEIQENAPIKFALGKVKAEAMDEKCVLEFTLWDEEKNENLMKHNELRHVRSATDVASVGNGIHEIARDAEVAQFDSAIGVHQDVGGLHVWKEHGFVYNTADISIDNATGELKTNRVFDAEMDDVRIPVVLGLRSQGHTAKMGAELRIIDLNDNPLRFAVDEIHFKVPENSPNGTFIGAIEAYDKDAIDHIFYHFDEPNNIFTVNATSGQIHLIGAIDREKADKHSFTIIATNSNTFSSLHSAMIRVNVHIQDMNDNPPEFSIKDHIMIMNDATVAGAALLRMRAEDPDEEKDGQQIFYRIAGTTFEYRRVVHPVDEVFSIGEKTGDLRLEKNVKDFTGGRFNILIAASDKNNTKGHERVAVVKAIVHSPSDVVTAQVAGAPSRMTRKVLGEIVNKLSSATDSDAHVVAVEFTTELGQTVKDASSLYIVFTGRDSGEPIPAEKMVAKMDRLRAEGVKNLPLVRADQHYSASPDHKETIAMVSSLAPVFIVLLFFITLLAIVIFIFSIMVCVYRRRYYSLKKAKEDVMAIKNSQKTPEIQRPMLAIKNGSDRNPSSTISTPSIVDSCYRSGKYDVQEAKMDVVNPEDD >PPA16267 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:890099:892585:1 gene:PPA16267 transcript:PPA16267 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNEPCTGTLLEREIALTNLSLTVSYGKVFKFYVLFPFIFLIFLNIYIVFTLRRQQRAAALFRFATSRHKLQVRSATRLTVLIVCSFLISNALNVAFTVMEFWDLDLLMATDYFKWYEYGTDFVSVMYIFVCATRLLMYVVCNEEIRNEVYKFLCGLSSYNRKGFRKQSNRYI >PPA16113 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:171313:172334:1 gene:PPA16113 transcript:PPA16113 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYDAEVESVKKFAFFGVAVSTIATLTAIVAVPMLCMYMQNVQSTLQDDLQYCRTRADSLKGEFSRLDSLRSVEMSREKRQVASTCCSCGVGAAGPPGAPGQDGAPGNDGQPGQAGSPGQDAGDNHQQPSASDFCFDCPAGPAGAPGAPGPKGPDGAPGAPGDAGSAGRPGGRGQPGPAGQPGAPGNDGQPGNPGRDGNVRTQPSPAGRPGAPGAPGPQGPAGPDGRPGNPGRDGQPGQPGEPGRDGAPGQNGAPGSRGQDGGNGGKGSCDHCPPPRTAPGY >PPA16185 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:485984:486399:-1 gene:PPA16185 transcript:PPA16185 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAPIISAMVLFVFEQVRRMLIPKVHPVTDVPSIKDAETDQYFDRYYPIL >PPA16245 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:751974:753726:1 gene:PPA16245 transcript:PPA16245 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLPLTLLLAGTAAYYDTVLEKKCMALKRINENAVYVNGFCFVACAKKQKVSYKDWPKLQESCKLINGYKGQLAVVPDKPFLAKLQKSGVLTVKSRYFVGARQYPIPGCEDNGGTCTEEEQKKNWFFFDEKNNKIGEVEPEVWLDSEPGNQQPPETVAVLERRVHFDTYRGGLNDVNPDNAGYQALCQFTDYLKCDDGYILIRDKCYKVINTDASNAAQSKKKCEEDGAILASIHDDAMNAIIIDFSRDNNRTVAQPIRFGLAYGDSWTNDDGTPVDYFRWWDQAGCGIPTPFTGGNVRAALIVSGKARNPDPSYWIRLTALPLPKEPH >PPA16106 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:135607:138258:1 gene:PPA16106 transcript:PPA16106 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDSSFASYEHEERWYRGATVIGAGISALALFTAAVVLPLLVAHLGTSTANLQEELDFCTSTSHNLWDQLEQLEDRTGHESSLRRQNYLVKKSTRASIVPEISSREKRSMRVRRQYGEAAAPATKESICIKGDPGPQGPPGYDGAPGKDGKAGHAGTPGADAEDGMVVCFDCYIGEPGPPGKPGPKGTSGKAGPGGAPGHHGKPGYKGAPGKRGPAGQDGYPGRPGKKGTDGVVEEVYMRPGPIGAPGPAGPRGDSGTPGLQGKDGAPGAPGAEGAPGRDGADGGRGAPGEPGANGPAGPTGGCGHCPPARLPPGY >PPA16233 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:696394:696700:1 gene:PPA16233 transcript:PPA16233 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIAHNISDAAGQNQQGGAHGQTTDGKPTVLDEVAKEQTAGVNHFHGISVD >PPA16231 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:690965:692502:1 gene:PPA16231 transcript:PPA16231 gene_biotype:protein_coding transcript_biotype:protein_coding MARCGRVAVIASGLLGSLALIALIEIFSFELSTESGITSTQPLTMARRAMSSLSDAKITYTQFSLPSRHFPSALLIGVRKGGTRALLDALALHPQIKAARREVHYFDDEMNYGKGEEWYLQQMPFSRNEITIEKTPAYFTNPLVPERVYRMNPAMKIILIIREPVTRAISDFTQVYYNRLELNKSLPVFSELAFTREGQIEMSYKPLRLAEDFLGLPHAIRDSQLIFNPSKGFHCFRKTSSSKNMSFRYRVLAIRSIV >PPA16169 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:438320:438559:1 gene:PPA16169 transcript:PPA16169 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVLRNRRCNAVSDAHATREFNQLAFRAPTESECPHGHPFKDGECSKIRVED >PPA16216 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:619503:622251:1 gene:PPA16216 transcript:PPA16216 gene_biotype:protein_coding transcript_biotype:protein_coding MYDSPEEANARYIALFGTRTRFVVMVLVLLCLTSIWSNILTFNFAVICMAPKTMNTTDDPFGNLTAQPDTYSYTKAQNSWITSVVAIAALICNQIVVAIVNRVGIRIFRDDQKIIDNFPYRVHDARTAVSHLDHSHADRHPGTAGYAWLLVARSFQGIAFAGNFPVIGAFCSRWTYFKQTGFFVSVLVAYVQLSPAITNPVSGALCTAFSWSSVFYSHGVACLVLFVIYGVFYRNSPQKHPFVGPVETGKIAKGKPTVDKKMIKTIPYVAILKTPAVWAVWVAAIGNFTAVNLMFLYSPTYLSTVLGFSTHSTGFSAALPPLAQFLMKLICGFISDRIKCISEVAKFRIFNSIAFLGSAICFAVLACMGADTKVSLPLIQI >PPA16192 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:504854:506512:-1 gene:PPA16192 transcript:PPA16192 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTSLSILLLLLLLLPSIYSFDDNETSIAAASFVPRSRSNSGQQTIPTFDQKHCSQETSVTCMLPTESRKKVFMYGKGKTHKLSGVQVIMRHFHAWMMLVEIWVDGTSAELKNHEGQTIFGCRSTSSGIEKITELDGAELKLLASGVDPTGKLYCRLMITSPAQEIIKRPTDFDQHQEKLTLITDTATYNETTTDNMIRGRG >PPA16253 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:783288:788001:-1 gene:PPA16253 transcript:PPA16253 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFRQLLHVFAPRDLSGEMDKLATPKSSETTHQSKIGKLSAILSLPKTGYIPGEPLNAKVVVHNMTSNSVKFASVCIVKKIVAIADTPTYEFKDRDDETAGSILPFHKIERAERKEWIAQIYVPALTPNLCIEDFIHINYEAKLSVGFDRAKKKNSVIQIKFGEISSAQLPITIGTVAIDSTLPDALPSKVASEKVPISTEQSANVNLELRHRMAMLNLSTGSQLPSAPPSYEDSSAPVGLLVAVAVVLVIALVLVVVNVTDVVVAAAVVVVATIEVVVAVASTVVVSPEPSSGASIAVVIAGAGAADTADVQPVAVVAVASAEPVRVVAAPEAAVESDEAEVVVVAPDVHVASDELVVANATVAAAVLRSIRRASKEMAFGHNPA >PPA16159 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:399110:400259:-1 gene:PPA16159 transcript:PPA16159 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEPPKILRHPKRVLIFFLLGIVPLFSFFVIYPVVIITDVRRGFAHKNGRSQEEFARFAEHFGISYEGLELWVVLITAKGGGTLTINQRVLDEVARLDDHLRNFTMVTEKGEFL >PPA16086 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:47000:47575:1 gene:PPA16086 transcript:PPA16086 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRSTYRDGIVLDVSEDEMIGTELAGVHRGRLFYSIVKEGLSEPLPDCQRTVSAYADDSLPYLYIENGQHLINCVYSIAGVRNGIVTMRGITSYFDWYRMTARLPEGYS >PPA16146 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:329558:330455:-1 gene:PPA16146 transcript:PPA16146 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMWCWLRDESKALRETQAMTDLNECDRIVRMHETWTEKPPEGWQYNVDLATLKTVEQNKFVSDIGSMIAGMFIRVERRRHLLKHKELENNNLESTSPSYRIVHGI >PPA16201 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:558109:559127:1 gene:PPA16201 transcript:PPA16201 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLEGRLNEVNAQLEAERLETNNQKEQADRAQAKSRSMRRTMEELEDSLSSEKTKVRQLQRSVEELTEQNETAQRENQQLKQVRMQTERRSTMMSGGAGSGSGHGSYVGGGVMRVDRVGRFGSNNSLRTVDDSGSVRDAGDRPGSGMTSSHGAPSDDGSSR >PPA16117 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:190813:191567:-1 gene:PPA16117 transcript:PPA16117 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGSPHSGALAFARGAVVASSFALFVAVAGMLVMFSDIDLFHSQSEADLAEFKTISALAWRTMLAPEHNGATPAPRQERSARSDAACSKCGSSARSCPRGPPGPRGRPVA >PPA16156 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:388559:390390:1 gene:PPA16156 transcript:PPA16156 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVIVEEQWIAVKSFFYRIKNYAEPNRTSRVSKITDGPATTTITISPKFIPRATMQTIRRQPSRLNQNSQNRGEGCEIYYRVFATQSLLADDERVYEPLTYESGACSHSCHRDKYTGKWVCCRPTATENVELYYFRTDSKDLRMRSVDNAMSAVIHVKPDQRRARLYQ >PPA16207 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:578581:580959:1 gene:PPA16207 transcript:PPA16207 gene_biotype:protein_coding transcript_biotype:protein_coding MMMCLGLERLVSVVQGVGSNFDTDLFRPIIGGIEKLSASGARYAGRVGAADAPGVDAAFRLVADHVRAASVALTDGARPSGADAGFVVRKMIRRAAWRATSQLAAPRHSLAALVPVVVGSLESAYPELRKEKELIEKMIENEEKNGLKHFHREIHNEGLKPGDTVSGATLFRLHDARGLSPDLVELLADARGLRLDLEGYDRLRIAAKEQSKAADEKKKGVRR >PPA16162 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:408381:408578:1 gene:PPA16162 transcript:PPA16162 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDLFPIFNPIIVIYFTEDYKRFLLRKGSSIIQISSSKSRELRVSVSNNRT >PPA16132 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:249825:250186:1 gene:PPA16132 transcript:PPA16132 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPIQKQPQAGATHRGQAVATSCSQCGGPIIYERNQCSYVMCILCCGCFSLLCLPKRHAECAACGAKQGYTIHNTWN >PPA16229 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:676875:680654:1 gene:PPA16229 transcript:PPA16229 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGEGGGSDEDDASHTSRRALVMKGRNGSWLMIVILSLVMMQQPSAAVVAAPTSIPINANLGGSIDVPSGEKATSTEKLRRNLEEEESELIHRKADGYDIYRSREFNGTLLRRSDKTRADFKKFIDHNCREKDIDNLNTFFNWEQDQFAKHLKTNVLALLETNKGSNRKEVPESYKNTLRTLIDPHKDVSDILKSICPMTDSMLDEMNLKRKTDNDRLENEIVNAVFASMYRRQASDGAIFRTSTMRRKTLEELKQEHLQFDEQFTKEITSLEEPKRPEKKCSIRS >PPA16148 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:339580:342860:1 gene:PPA16148 transcript:PPA16148 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-aat-6 MCTGCIIIYPATLAIQADTFSEYVFKGINITMENDTQLFFAKKLTGFSLIWFLLFLNFFSLKTFVSRFQIVASIAKIAATALVIGTGLYYLFFKGWTENIQDPFGNSTFAVGTFVSALFSGLYSYDGWDILNFGAEEIDNPKRTMPLAIVFGMSFIAILYLFVNFSYFIVIPIPDMLASPAVAQTFGDLTLGSFSFIIPVFVALLLIGSLNSTMFSASRYLQAAAKQGHLPSCISCINPISDSPRVALLVHVILAMGISFAGDLNQLINYVSFSQWSQRGCTMAALIYIRFTHKPVHPERIRTFILMPLLFCLICWTLVVVTIVDSFDVSVVGIGIVLAGFVFFFLFIFEKSLPSIPAYRDNSRKLNEMVTIWSQIIFNVMPELDFGEEEDNDLHKVYPEVPPLEGSISCEDGVKRRVSALSCSTQSTDSSTRF >PPA16215 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:614663:616290:1 gene:PPA16215 transcript:PPA16215 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGSVWIASIGNFAAVNLMFLYSPVYMSAVLGMSENSTGISAALPPLAQFAAKLLSGGISDRIHCVSEANKFRLFNSVAFFGSALFFIVLGCMPADTKFANMILLGAAAGALGVATGGFFKAAPVLSQQYSHFVTGSFSFFHPLTMFVIPFIVGALTPDNTQDQWRIVFFIIAAIEIVTNVIFCVFVRGEPLEWTKAAPLS >PPA16158 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:396735:397588:1 gene:PPA16158 transcript:PPA16158 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRLVNRHRSVILGLFSQTSYTKRVFRAIALVMIFDVGGWLVAVALTKLIYCIDMPNGNRLVLQFFCGCIVHFCIAIKPIIYYSSSTEYRNVLREFLGWDSIATSHAHSSSLGVKAEERSHLTN >PPA16187 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:493715:494305:1 gene:PPA16187 transcript:PPA16187 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLRIFVFALIFVFALMSSPSEVDPTHFVSRVQSSIGVNENVGEVRRGRKSSPAHTQITQSISARHDSPQ >PPA16180 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:470359:474078:1 gene:PPA16180 transcript:PPA16180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-daf-22 MEVENDRLAKCTLKTANSIIFWGSKTISAMNSQFQKEFSLDEVINARKIYDFMGLLECSPTSDGAAAAIICSENFLKKHPELRKQAVEIVGMKLGTDSPSVFKEDNMKMIGFDMIERISKELYKETGYGPNDVQVIELHDCFAPNELITYEAIGLCPVGKAGELIDRNDNTYGGKWVINPSGGLISKGHPIGATGVAQVPNAKLAMQHNIGIGGAGVVAMYKLADGAVSAAGAAPARAAPSGGALQSDAVMAEIKDRVTTEKELVKKAGQLVVRFIVTGANGQTKNWLVDLKQNPPFVGHNDSAKAELDVTLSDDTFMQMAAGKIKPDQAIATDNS >PPA16140 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:286891:287510:1 gene:PPA16140 transcript:PPA16140 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSLVVLFTLAATATADSSLIDSLFRLQPLVLKSSSTCPVPLVGQSCPHATEFYYFSCCGDFNKDCCLELQDWITTSLLLILLSIVICIFGTFCCMCRKRSD >PPA16119 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:195396:199773:1 gene:PPA16119 transcript:PPA16119 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEETGQKSAFAGVVFQYFDKVKQLCAGWAGSRGIHVNERRIVLLNSELLDGRHHYIFVKYLIIFYAAAVFGRQSGVLISPRLAFSAVLAHEMVHSMNIGHSYSERRRKVFPHGFPGEYGDRFDLMSTANAWMHATRFGWNGPGLAGPHLDYLGWLPQSRMAYFGGNKSEQIVRLSSLSVPHAQTRGLLLLQIPFDRDEPGNVFTVEMRSPHGLDPAILIHKVVRTGDGFYSYLVGHGREDELYEGGEWVRFIDKSTIIRVRVNHFSSPMEATLLVQSTFDPTLCSRDELSVPVVMTSEEKMDGRMGGGKIRKRSIAAPPGLELKMITTRRPPVFSRLHMNVVRVCSSSKRNFSPTDVDRWLAMQQFFTRRSFGQNECSEGRVWRGLDDYDYVRYRVDESRHAVAPRLRFGGCPRGYTRRRAYEADYACVAPSEYALVVSENRAVHRFYKRAAFFNGDDELIRR >PPA16226 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:651649:652855:-1 gene:PPA16226 transcript:PPA16226 gene_biotype:protein_coding transcript_biotype:protein_coding MANYTTLFVVLAGVSIVGLLSALAGLLMIWSDATEFYETQLDDVYQFKHYSNDAWQLLVARAPATGNKKSAAIGRSPFIHRKKRYAEDVCACSESAQLCPPGPPGEPGAPGAHGADGDKGAPGTHGTDYAPAGPAIRRRSYDVAPSGARRRCFTCPPGPPGRPGADGKTGSPGRPGMPGRRGAPGRHGMKGETGEPGDQGVKGKPGTDGVRGHPGQKGIKGHGAPGRHGQKGSLGSRGKPGKNGLIGLPGLHGKMGPKGPVGPAGQPGKDGATGPEGYPGLPGQDSDYCVCPARKVRF >PPA16100 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:95737:97151:1 gene:PPA16100 transcript:PPA16100 gene_biotype:protein_coding transcript_biotype:protein_coding MHWLLLLAFSTSSYSREIPEFDDELLGRLIISTKKFPFLTPKHSPELAHVYPTDQQLDRIKKTVGSEEFAVRAKRAANGTGDELPSDPTAAFAWFTEFKQFLGQFLKFEFSYEILNSAVVDPGSLPFDKISSDAFFKAMDREGPTAVLSRARVQPAYALPAEKLIQEMKECLYFKYGSASDEDFDFDKGSGGKMVYYKVTCMLVKDSYYIGLSTYDNKFTLHDIEHALPNEKNDPIGSDVLFSFDTECATPSGPDFFLFRSGWKILFIDNRKDKIKRWFEERYITLNDLKLLLDFIMRDFAERIRMEYRQYLEASNTNVPK >PPA16257 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:806171:806794:1 gene:PPA16257 transcript:PPA16257 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGVRLYHQRHHQQAVQKWTTALSTLQNPEDRFITLGYLAQAFCDAGDCEATLHYALLQMELASEKNDEYVASAQLKIYIYQHTNN >PPA16249 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:765936:767238:1 gene:PPA16249 transcript:PPA16249 gene_biotype:protein_coding transcript_biotype:protein_coding MHTIYLRQHNKWASQIRQLRPTWSDNLVYHETRRLMIAMYQQHIYTEYLPKIIGQRKMAEFDLNPSGLKNTYDSRVNPSISAEFGTAAFRFGHSQARKDIPRMTNNNVSVGAYVDLGANIFYSDPLYDKTATVFNMAQGAILPTPI >PPA16213 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:607377:608790:1 gene:PPA16213 transcript:PPA16213 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLPFIPFVYGLPPPLHEDWQRILHFGRGVLSILTDDALTSFLAQWEAEICRQARALEPTISSTYPLMRLLTDIWICPYGWMPVAKRVVIGRLGDMAHRISDTVPDFWFKEEQVKKQ >PPA16126 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:230664:232151:-1 gene:PPA16126 transcript:PPA16126 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSEGALLNLIFSILHLMLHDTAWYGMFTDFFVRNTWTAVAESAFYFCVGLVVQAATIASYVVLFRIVRRSSVFPEGSTKPRVTKSVRRSCKVAAIISIALWLGR >PPA16093 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:71306:72514:1 gene:PPA16093 transcript:PPA16093 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLKILFLLYLTSWIGFDASEKTWEPIEHFTGSGALALVLKFNEEMDKAKAVDHCVRANLNRGRKSSFSDTPHRAIPTISKKLATVLAAAASTASKRRKSTIGNLSKKTRTPAYVNNPNEQ >PPA16084 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:34508:34957:1 gene:PPA16084 transcript:PPA16084 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCTFSNSADLLHVIFERPVRNIIKLGIMYKGSLADHTCKIEIPANEVHHLDAPLKIFGKQGATILHIKV >PPA16235 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:703200:704624:-1 gene:PPA16235 transcript:PPA16235 gene_biotype:protein_coding transcript_biotype:protein_coding MGEICGDHFYYFAYGSNLLKERIQVQITGAQFVCTGRLEHFELFFAGFGERWKGAAASVREKKGDEVWGCVWRVPNSFADELDKQENWYDRRTVMVETGSGEVACRTYQMRDADGNESKPSPHYKLVIVNGAIEHGLPAAYVDRLKIVVDNGYRGPVQVPISFLKALL >PPA16103 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:114411:121095:1 gene:PPA16103 transcript:PPA16103 gene_biotype:protein_coding transcript_biotype:protein_coding MDGASPVLKPAACYSPVLSARNLAPRETASALPPRPPSARTTTGVTWRIAKAPPEGPEAPEPQPASASASSGIREIDILPMPSTWHYAKYINIPYRSKLTESIEPGQTLIIRGKTIDESKRFNINLHKDTPDFSGNDVPLHVSVRFDEGKLVFNTFAKGAWGKEERQKLPIKKGDSFDCRIRAHDSKFTISFNRLEEGNEASHPISQLEAAHCPGQLTLKKARGAYGGAPGASEQTTFAKFQKEVKVFEHRIPLQHVTHLSIDGDVILNHVQWGGKYYPVPYESGIAGEGLTAGKSLIIYGVPDKKAKKFNINLLMKNGDISLHLNPRFNEKAKSAPFICGKIKPGKKDYIDAELQLECVVRNALTAGAWGNEEREGKMPFEKGVGFDLEIKNEEYAYQLIVNGERFASFAHRAEPKDIVGLQIQGDVDISGIQIL >PPA16115 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:178360:183138:-1 gene:PPA16115 transcript:PPA16115 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSTGGPAAGPFYRRRDLLFLLTVYASLSFVTNFPSGFTNSSVNTAVEALDSFIAHSYKHRGYTLDEGTLSLVRSTILNAWYFFQIFGALLSPMFLDEYGRRQGYIIGCAVTLVSTIVQLAGIRLNLPEFLLIGRSLTAVASPLCDACLILYLQETAPLSMRGEVSFFCEIGHGAMCVLGTVLGMRNAFSLIIVWLVPETPKYLLIMRNDRAGAIRSLDFFLGAGVKGNERHDEILWQIERERAVEAGKRQSSFRECLSTFHIRRAILLSCAVLTLTLSFYPLLNSSTHFFLEMRLSSSVSEVSSMLIMVIFTLSCVAGSTIIDRFPRRQLIIGFGIITNSFLLAFALLSYCARTHPAVKYVALAAVLGYCISFGLVLGPLSWFVATEMVSQRHRATVFSICYAVSNALIAATNFATVPLYRLIGGACFILLFIVPSLFALVFIYRCMPESLGKQAHEVATEIRKSQESLYEPEHKPLLTDVDDDCWFDDEAFKY >PPA16264 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:869801:871646:-1 gene:PPA16264 transcript:PPA16264 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTCMTGLEFSDDFPDEKPAPGCGTAFDGLVNYCLCSGEMCNHDSLLSQAAENIPDAAAKHHRTTTTTSSRPMINQMKLHEQEPVQFAMEEDLEQPVVPVPSQKIAEIPDLNTRLIEPVDEASPEPSEEDKSKIQKMLREQQWKEETERLAEKSVFTI >PPA16134 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:253273:254964:1 gene:PPA16134 transcript:PPA16134 gene_biotype:protein_coding transcript_biotype:protein_coding MENDTTCLVIVIVLSIFCFPCGLFGLLCLEKKPVCIKCGAPGFVYAQQTSLQMTRLRPLRCSFRLEQRGLNSVPFERPLAIHTTRPSQQDL >PPA16114 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:175663:177999:1 gene:PPA16114 transcript:PPA16114 gene_biotype:protein_coding transcript_biotype:protein_coding MHEFFLAGHSPYRTIYRNPSSQGTSDQKALKSAEIANKVAWSKKVLTLSCKTLSEEFNRRIKKYADPKLTTICSAKNEEKNRYADVLCLDSTRVKLTGRKPDDDYIHANWVSNPDGSRVICTQGPLAETIEDFWRMVVQNKVIIILMLCQFYEGEDNEKCAQYFPTGVDESKTFGAFKVSNLASLSEPKIEGVACTNLEIVFEKKKFRVRHVLMSSWPDQCAPVTSDKVLDLWKWLKSNTKKEDENVVVHCSAGVGRTATFAAIDIAANKIGQKSSTSMMEVVKEMRSMRYQAVQSYVQYLFMHLIMLDLFVSEKIIDKYDPESKFMKEYKRFANRKITKMAKKEAAMGKTGGKEGGKKISMQEDDAP >PPA16087 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:48151:52615:1 gene:PPA16087 transcript:PPA16087 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEVTICEAVFTPKEGIVVYYQRDRHQDEQIHSRGLCSTVVDGEKYVYRMGDLAGCSNVHVDIPDQQLKTAQGVAIYAKSKKGLDKPEVSAWSANVCVISVPDLLMSYLNVLQFKLYAHDYSPFLYFTVDGQILYTFDTETMELLPPLQFENVKIESILSVREDVILLKGRNDTADFYLLTAQLPKRYAYMPNFDGVLRLTDTEVLPPDTHAYQLDDGTVFYHKHKSPQRLYVKWKGKTIPVKLPDLQISNLATSDNALYFQSNGKIFKVTFTASKIESETVKIVFADEEIFRGGVCSRTLHNGTVYAFRMQDNFMDGVQIEDEEDYLRGFVLVAIHRGKAYYAKHACGGVPTVTKLSDTVFMIELPHNARPSLIFREAWSLIYIENDKTLGAEISCSIIGIHNGELSTMHNISLCEANGKEESEAIRQVGDEVLYKSKGLFARGYNIYRIHDDPKTDKIIIDAALTLTEGVDLVGIHRNLAVFVEHNDALKYPTACRSVSAPVIWIQLPTLSKPASSWSRDNSPFIYITEGFNLHTLNTDTMEFMSSLRIVENLFEDDEECESKIGRVSVMNVKDGALSVKLAQGALMWSIMSVQLPEGYFESPASAKFID >PPA16211 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:596864:598044:1 gene:PPA16211 transcript:PPA16211 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLPLLALLPSLAVATPSAFACPFTMMRPPGMPMDPTMGEVCPSRSFLHYYACCEDNLFQCCFYFETWALSPRMSEIAHPVLLRGHWSSSAYSAL >PPA16088 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:54017:55987:1 gene:PPA16088 transcript:PPA16088 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKNDDWFLTIAELPEFYIRRKTTEPSAPPIPPTPAHHSAQPPPLMPRTAAPRAAAAIFKPPPPPRPTPRGESLSDIATENESQLKATIVEQATKLHALQAKVAELESAEKLWIKEKKSLLQKQSEMQKQLDDAVGNRSKKDTEKDQIWRVGALQSAKRPLKFTDREKLTKPLSLKLITSELSLFNKEPLYFIERSKEWSVYRYEENYSSVEGERFDISEIDLLSKYDRHYHRGILCLFRESTVATVERVNDKVDLYSIGNAQAPSDSNNHSIVGIHDGILTMAFDGVWGRHVYTTKLS >PPA16243 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:733663:742387:1 gene:PPA16243 transcript:PPA16243 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSEDDEDSRVSSCKRSLCDKIMAALLCKGDLNEDEIGEKPCSIHQLFRFASRTDTIYIAIGLLLSAITGVLMPAITVLSGLVANVYLVNSDRIVGNDDVLQQVIVFVILYAVSAILQFALSLVQQHFLLVATSRIIARLRRAFLAADAAWLDVHTAGHLSAKLNENIDRIRDGLGEKVALVMCGVGPLCAIIMGSMGRFAAKPMRKQMECSAKANSLVEEVILNVKTVQACNGQNEMVERFIKWMSLGRKPAILVYFYNGFFEGLFFFVFYLITVGGFLIDVYPDITFSPHMMALLKSRIAAAMIYKIIDRKSLHHESRVSDTHTAERLKGDIKFEQVHFKYATRETPILNGLTWSASPGTSIALVGHSGCGKSTSVGLLTRQYGISNGRILVDDRNIDTIDPRTLRQNIGIVMQEPCLFNCTIKENILLGRKWHGEGSEEERIHAVLRIAHADIFVNKLEKGLDTVIGDGGVRLSGGQKQRIAIARALFTDPPILILDEATSALDVESERLVQMAINNASHGRTTMTIAHRLSTLKDVHRIYVLEKGLVVQAGTHEELLKADGIYSSLARTQSVETTPTAAKKKADESASEKVVDTRLQNLHRYSTRASRNSVFSLQSASDSKSAGPIEYELNKAGNKTKQSSSTFLRMYTHGHYVKTFFAVLFSITRGFEIPLYVLQFKFLYAALNAPADNYSTELIRVCVLAVGIGTFIWISLSLAYSFAGWSSECVISRIKSRILSKVLHQDAAYFDQPHTSNATIVTDMNRHAANLIAGLDHRMVLFVYCCSSFTACTIIALVFNWKLGLIGLSCSTVFTFLICVIFVVMTRETEKQSQQDNTAELAIEILEQTRTIQLMVAESYFEKSYESNQEKLYPSIRKVSLLQSTIYALSQGGVYFFGFAAMVAGAHFVHAGTVSSENMFTVSLAIEFCGWVISFIYPAFPDLIKANAAARILYRYYDLPRKSEQSSRDANEEPELTGAFAAKNITFAYPSRPAQKVAKNLCVSAEAGEAIALVGCGKSTLIGLLERFYSQQGGTIKMDGVDHRDINMHHLRKQVALVGQEPVLFEGTIAENILLGTEGKTILDVIDACKMANAANFIEGLPQGYDSEVGEKGRALSGGQKQRVAIARALPKLLLLDEATSALDAESEKVGSIQINVVQEALSLAAHGRTSVSIAHRLASIKDVDRIYFIEDGGVVESGSHDELIHRGGKYAEYVKAQSLAT >PPA16238 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:715140:716779:1 gene:PPA16238 transcript:PPA16238 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVDMTPAELQECLKGDFRDPTSIDRVIVGVPMFIFTVVGLHLNITLWRIISVHKITLYNTLTIALDRFMMFITPWVGHSTYLGCHKRFNPYQLRFQYGCSDCPVYFDLLGYFATYMPVAIFAMRGCV >PPA16173 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:454242:455707:1 gene:PPA16173 transcript:PPA16173 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTKCYVVGVGMTKFEKPGKRDWDYPDMVKEAVNKALDDCKLKYSDVQQATVGYLFGGTCCGQRSLYELGFTGIPIYNVNNACASGSSGVFLCKQILESGNADCVLACGFEKMAAGSLENMNANVDDRAISVDKHIEVMSNTFGLQPAPITAQMFGNAALEHMQKYGTKREHFAKIAYKNHLHSVHNEYAFGNPE >PPA16259 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:814856:816245:1 gene:PPA16259 transcript:PPA16259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpy-1 MYLLVLRRYAQDNHVNFRETNGPTCSTSGLPPPPPPVTCTSNHRQMSASVTSSIDEAMTAEMATSLERLDSAIHANIVEQRKAMVAAKKPPPPPPRKPPPPCCGDGGLPPSVHSIISLPPPQSLPENGPLVFTRGPTITDV >PPA16190 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:498192:500788:1 gene:PPA16190 transcript:PPA16190 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWQIEILEQAETRYREKRLLVTTSETSTTSLPTTTTVDWTKSCNGQNLSAELQRRCIEHDLQSALDASEFEDDLSERMDQLTEKMEIWGKDDDHRRAALNNVDREYRDQYNKKHHIVVTTTKATTTTTQKRGPPQSKMHIDASRCSENGYEVCFYDRYCAVNGKASEKKIDMQPYANETVDTSKCPFVSIRRNPRQEDERYAVFQSPFDSVMQTVVTRRPNSKAVPTQKPKSEKISIGSIILYSALGLLGFNATLAEELRAFAKISSRAKTYPNAKMSPVAPIEAPEVTGATLARASAECTPLEVSTPNAPTPEDQEARSERHSKRDRSVMKSLLTSPSVSRAHSLHTQLTQSTSEHQEVDSS >PPA16261 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:828891:831713:1 gene:PPA16261 transcript:PPA16261 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQWWCSLEGREEYRNDSEDPATYTSIDWGPEGYQIWLGGSNGASLLQMTRSAAFANPYMEHSNRIVLIGAQRIYISPLRSREVLAASPQSVWSAIDLRPEYLHANWPIRFASLDREYGRTLVVAGSTGLSFCALSNQRWKIFGNESQERELHVTGGLFVWRGLMVGACAETGIKIFASTKETDARIIMSNMKGDILVTMDVESRLLMYTLHRVDREGDREGFPRAAIERTAEIRIGELVPHIACLVSVHLTVLTYDKAASAIFVPGVDTVLLNISGRLYTLTPKAVTRDDGAVEPARNDCIVRGASVARSSSRKEHQGR >PPA16270 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:911063:916681:-1 gene:PPA16270 transcript:PPA16270 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nst-1 MVWFIAQSTLHSTPSRVSKKRISWHSADSEKASKRQSCAKRYKIEKKVKDHNKKQAKLARKDKTKKRAAKQEIKVPNSCPFKEEILQAAEKKREEMVAQKEAAKIIMKQRKADAAKEKAPTTIEQLAAKAEARGLIHEIRVPLMEKGAGLSDKTIKQFAGEVRKTIENADVVIEVLDARDPLGSRDQAVERQIVLAGKRLVLLLNKIDLVPKENVQAWLMYLRGFYPTIAFKASTQEQNSKLGRFTASNIHSASTSKCVGADLVMKLLGNYCRNRNLKTSVRVGVIGFPNVGKSSVINSLKRKRACHVGAMPGITKQMQEVELDKNIRLIDSPGVILASNKDLDPVEIALKNAIRVDSLEDPTVPVLAILRRCSKETLMLHYVIPEFTSPDHFLALVARKLGRLKKGGTADLNAAARHVLHEWNTGKLRYHTLVPEATLGEKQERSQEEVKLVAEFAKEFDIEALEELQQAVVLDAPMEGDGMVYDPNVEITLDDDAKEEDGMEVDGEQRVVIQAGKRKQRKDDKGDDTVALPDSLSLEDGNVSLNSAIKKAVKKQKRKTRKTNDRAEKMFNAFGSALLDKDESMEEKFEK >PPA16142 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:291406:299600:1 gene:PPA16142 transcript:PPA16142 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKNPAIAPATIAAFQWQQEEYVEDVAAHLPKVYGAEVAHKLLARLKVEAAHCKVVGGRVAILASGSPPNSTIDGGRLRSFGLHVGPPLLPIRSMIYVDVRAGKVTLLTGRYDDETATETLVATDSPSCRSCTHFARWVVLLLGWSRPPWVVPYLSLRRALSSVKRVYERAYPGVECPVLTARAAADIGEVRDAVPPSSQAPPTHALPRTPHRAAARLSLATTTASIRRHLADVNLEEEAEDDESANPDTSVLTRPDDIDEAVVQEVNEEEMHDASLATLDATMLSAGARRSLTQPVDHSDASMYEASAEDNDEEMPLERRRVKVVRRLAKSLHPATLNDEEMPLERRRVKIVRRLAQRAKDVESTMEVDDEELAPLAPPSPQPPRHILTAARHLVLKRAAALLDVVPVAGAAAAAAQPVHTEVVEEPEEPLEAVEAQPPSPQSARRIATAARRLVKSYRSALPPATVSPCRDAELLVVVPVAGAAAAAAQPVPTENEDQPEPSDHGDSPDRLREHSPAFDPLDDDAFFEQQPIEAGSPHYAASPPHRRSPGIEEHSCSPLKRARMSTATLERLLEEAKEEAHRANVRSQRYNLLLHDEWAMREGARADTYRLADEVVALEADLLAEKARTLAAHKTAVAIADAAAVSQALQPAQPAAQPAVPAAAVAGPAQNPAFAASMAAIKARLLAYLSAPGHQTKGATNDRLTSCWRSLTTTVGKRLKELREAVSTGAARLTAGTIADPAYAQQLTDFEVDATRMADEFDELLAHVEDYGRKILAEHAATGRTVRWTKRGYGDEYDLIKEQAKHNLETLRGFRECCKALPLPL >PPA16241 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:729059:730198:1 gene:PPA16241 transcript:PPA16241 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDINDFYDRSMEDINEFRETADNAWSEMVIVTRAAPAVNAPEVATLFRGKRASGGSCGCGAQPNNCPAGPAGPPGAPGLPGDDGEDGADGKDGGHAIAAGIEVGNNGCIQCPEGPAGPPGPDGDAGAPGPDGDAGQPGAPGSDAQDGAPGPAGNAGPSGRPGQDGRPGAPGKPGRSGRGQPGPAGRPGPAGRPGAPGARGQQAAPGAPGPQGPTGGPGADGENGAPGNDGVAGDEGAPGTDAAYCPCPARAGAVAPSAAVEMVPAASAAAPAYGEEPVQEYHKAEGETGYRRRKLLRRFHAKTA >PPA16269 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:901499:905552:-1 gene:PPA16269 transcript:PPA16269 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVSRDDFMWTYDEQPHTSRRKEIVKKYPQIKELFGVDPSLKWVIAAMVAVQVFFCWLLQNEDWLLVTIMAYCCGGVINHALTLAIHDISHNTAFGQKRSFDNRVFGIFTNLPIGIPISVAFKKYHVEHHRYLGEDGLDTDVPTEFEAKFFTSPFRKFVWLILQVQPLFYGLRPLIIYNKLPNDLEILNFAVQIAFDLVILYAFGIKALFYLIFGTLIAMGVHPSAGHFISEHYVFTEGQETYSYYGPWNLCTFNVGYHMEHHDFPYVPSRSLPALRAMAPEYYASLDQHQSWTWVLYSFVIDPKMGPYARHKRVASAPQVLEGRHILRKYWEGT >PPA16204 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:566437:569559:-1 gene:PPA16204 transcript:PPA16204 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVDVGSVDQATLLAYGAWIHRLKMYPYFDTAHYLLVTCEIRDEMSSAAGMFSRKHPLSCWLSTMLMCFADSFLASFLLGEPLITPFKRHDDILLATLIWYLVFYAPFDAIYKMTKITPVKVVLSIMKEFKRAHKVAQGVSHAAKLYPHSYLVQVLVGTAKGAGTGVVRPIEQFVRGVWMPTHNELLRPSLYTKVCLIASTLLVLEANSPLINAPHDLVYLGMLGFLLYFKLAYLLFHVSEPFAPFENLFCAVAMGGIWDALSRAIAASRERKAANKDTVPLPGEKKEHSRTVVDADKIRISPLF >PPA16273 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:932852:935646:-1 gene:PPA16273 transcript:PPA16273 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSEHADKEKEKKEEIKKSLSKEELEKQAENDANYKRKVMEEVATLARKMSREEIEDEKKTDKKVEKMPKKTDKAFSPVLKPPAKSPDVNKSRTDSRKKKKSKIRDEINGTKKPEGRKKTNSEKDDDNNSHERPPQGGGGRARTPGLEHKQEHRKGDESDEIILNMLRENREREQRSGRQMRAPAFDELEHPRQRKRDSHSHSLVRGRGSDHRESPVKSARSLSCPKKAASEPRHDKSIKTVQIGSVQNLPPHPSSEGKLSYSTRRRTQIIIIILSLCLFASLIVIIIQSAFISTKCGLKA >PPA16144 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:318157:321164:1 gene:PPA16144 transcript:PPA16144 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRDDCLISRATLHFSGKGILPCSDEKSKRDDCLTSLAALHFPVKGILPCPDEMSKRDDCLISRAALHFSGNGILPCSDEKPKRDDCLISRAALHFSGNGILPCSDEKSKRDDCLISRAALHFSGNGILPCSDEKSKRDDCLISRAALHFSGNGILPCSDEKSKRDDCLISRAALHFSGNGILPCSDEKSKRDDCLISRAALHFSGNGILPCSDEKSKRDDCLISRAALHFSGNGILPCSDEKSKRDDCLNLNVDFR >PPA16080 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:8372:18507:1 gene:PPA16080 transcript:PPA16080 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRLDTNLAELPLPILNQLSSSLNQNETWLKVLDNDKKNAFYSSPEEIDKVTRQSNPAEAILQRWGNRGQNVQSLIVRLQWLSFDQGFGVAFDRPQLVLKRKFKALRWASEANPIKIVSDDDRQEICLKARAVGFPSPQFEWLRDGEPVGVPGRALRVSKCKCEATCQYICRVWNEVEDGQSFSDFYRVEGKQYSSELRSNPVDLTSHVQGTTQTCDRCKTAELEKIREKLGGSMVEDGVERPPSEQVAAACEQLVAADKVALIISNCSYLHLPPLRTPHCDAETLAGALQFYGQPETRLRLELHFKTVTLADLTLAEMKYMIGEYRRLLGNDVYAVLYFAGHGFEVNGQCYLLPVEAPLEAHSPEFALSMHWVLASLDSCSPALHLILLDVCRKYVPEECVPLFLRDVGEERKHKPNRNTVYGYSTSGGIAAYEVKGEVNGVFMSALKMHVKSPVPVVDMIQRVLVDIHADERVEKKQIPELRTTLTKKRSLCDELICDGHTASFDRHNVHWTLMHELPMPVYITFEEQQLTVTIWFDFCGRFTNKVYVFSSVDQLRTEDNEEGTTEGEERAMRHLAYLRFSKDLDSSPSRLVTDEHEGQSMCVLLSHLQKSKGPLECTVELTDAQTKESQIN >PPA16252 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:778734:784511:1 gene:PPA16252 transcript:PPA16252 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPLVISPPALASPNNLPAASPASTVLSASKIDSATGILLPLEPAEFPPFDWVGHIEKHGGEAAPLECFWKRRIDRFVNPFGDHIGKLLKVPDYDEVFTWEWCTVGTVIAVHGPWVQIHALDTDPVLAHWYMADDAGIQPFVTLSDQSNPKCKEPNKRRSTGNYKRMVERSMFDADGTDKRVPRECFEDQIPWKNRPVKNHFKVGYKLETYDPVRGEGCFYPATVVEVNALRELVKFHFDGYPESHTKIVSYNDYRLFPCGFAASIGWKCILPEGLLKKNENRGRKKVSVTSSAVAPISPVVASPPSIRPSTSVQPPNNNNEKHQHHHQQNHHRKNEHGRARPSYREESTPDEDDEDEEEEEDDQRFMSRTQAHRTRAPAIPGLMKRSEEASTTKKLEDKKKIEEKVAEKRKEDTIPEKKKNEEPPAKMARQKSPVITRPARQLSPLTIDDFTDEPIDDEAALPLTAYRYVHTGNQALIFLNHECNVGLNIHPSCFRLVPSKIRGSLPHVWREILQQLANCSVDGEQFINCLPKLRSGQTGYMSVTYDETSNSTVGHFIMPPPNMHVARLQLAIIMKKAGLCPNAISFDARRICEDCKKREPADSKIYEILRNAKQQQQQSHLQQPAHRMRHEHQAQQPPPQPHQIRQPLPGQQQHAQAQQMRQQQQQQAAHQLYQQHQHQQSQQQLKLQMKAQAKQQQLMQQQQQLQLLQQQQLQQRQLHQSHSQQREREQLREQQQQQRVVRPAQMTIPPAIPRVSSTSNSASHRQLPSTMHHTSVPLPAVPPTSRPVPAPAPPVNVDDLDRFLTQKVNLKTLTENEILEMLQAIGIPESIRVIFKQKKINWRALLGLTEDIMVEQLNIPRQWATTIDKYLEKNKSD >PPA16120 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:201385:204174:-1 gene:PPA16120 transcript:PPA16120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cah-2 MIAWLMTACPDFWGLVNKEWRMCKNGQAQSPINIDPNKLLFDPNLSHIKMDDGIVDAVMENIGQMPMITINSTTTHAPINITGGPAMPYKYRLSQIIVHFGAADGGEKGSEHTVDRVRFPAEIQLIAYNSDLYENYTVAESQPRGLLAIAVIVDIGSTTHPELLRMTKASHGVTYKGNTIAMRKLRPAALLPKTNHYVTYDGSLTYPGCHETVTWVIMNNPIYITKQDRHFMTNFAVVLRETRNRRDKVRPPTLQLAQWNELQQTEKKAAITQPMQPIYRPLRALNGRLLRTNINVQFKSTDAKVTCPAPVYSELGYRANGRHNHNRTHNKHRRAAEETEVDVWSTQHRNEEVEITIDSL >PPA16202 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:559842:561770:-1 gene:PPA16202 transcript:PPA16202 gene_biotype:protein_coding transcript_biotype:protein_coding MENTTEMVNTVVTFYTTPPPTLSQFVADLADLFPSGAEDKEQDEVAPIVDSKFVAEYMDHMPASYLEYVFRKSQRDNFLEAAEGRVHVKMSFSGCVIEIVPSSSLERVGCSLPTGFPDQTVDTKTAIQRLCAQFANHGFCRKGAACTHAHDVDLALDVEKIKSEKVRRRRKRRLDAQAGLERSDEKEDGVSMASSGDRDESTGDTQQQPREPFSVTGAHRAGVDAFMTGFAVLYHARMSLLKDGGSLPATITNRIPLSGKDRPLHLVSSRLIECSTAHLDRVMLARAARKKADAARAHV >PPA16177 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:462941:463760:-1 gene:PPA16177 transcript:PPA16177 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDIGQYSASYNLLVLVTERAVATLYVARYEYICSKTPWLGSLLALLQWTVCVTLLFINYYYSGRVIDAEPLLYSVGVSCAIAAVVFALLPLISRYVYNRQMAQRFSNKRYQSIENIRSATWTRN >PPA16277 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:949269:950156:-1 gene:PPA16277 transcript:PPA16277 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLYRFHSLIDVFSAKRIWESHKTAIILVTVAVPMFFYAPIWATPSYAVIDDEEMVFENKGLSWYNNEAVHCCICILCAVFVLVSQAILIFTKASKKLVGESIDRSLHIVGLVEIVVITMYTIFQFLLFARDWFDHSLPSWILYASLLLSDALGFIPPWILFISSQHISISIFIAILAFAT >PPA16220 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:632682:633878:1 gene:PPA16220 transcript:PPA16220 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLIFLLLLIAGANGQETCTRRPHEAKASGDRRPGNNGYAIEVSDAAGEDSQGTENIVPGEVYKISIRGWRTQYTVQTFRGFVLTAHLAEKNEEAVSERPAGKFELIRGGDARLAPVCRAAGVSHANLRPKTSIHVLWKAPEISTGCVVFRASVIESKYAWYSEESMLTKKICVKDDIR >PPA16189 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:495975:497365:1 gene:PPA16189 transcript:PPA16189 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIVNVAADKSDCAFVVIIKSEVSPDERFLEFQTPFDSDLSVDWEGHKIQFNPRNEGKGITVTCTRYCKVVESYPAWSKSENGGLYSKRATIALLKNEPTVTRRPIAHAGPAKKKKSTDSQQIRLGSIILYSALGLLGLIIIAIAAILLFQRHTRKRAARIHKNLIKCRSSATSSREALTQDRFQSSAECIPMMVSTPKAPTPPDQETKSEKNSKKSSPSEVDAVHSVSRVQSTVGENEKVCEVRRGRKSSPAHTQITQSISDRHDSPQ >PPA16112 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:160904:161210:1 gene:PPA16112 transcript:PPA16112 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVDVEVESVKKFAFFGVAVSTIATLTAIIAVPMLCMYMQNVQSSIQDDLSYCQTRADSLKGEFVRVSITMIA >PPA16128 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:236414:239071:1 gene:PPA16128 transcript:PPA16128 gene_biotype:protein_coding transcript_biotype:protein_coding MILPVAFVALLLCAEAHAVKKQPKCKDWACFASAKCTEGGEEDDLVSLRPNDKLVDDCPAILMIRYKSGNEYVDVNITCEGSTNTLASNYKYVVTLAGGIKKEHQNEFHARCYAKACPFCTQPTTPPGKTKPVLGDADGCKTLTCPKFFKIGDLETDEKAKCVKTEGDKFVWKIGGTTIAENTAVECVEQKTCEKIETCSDPCQLDSTTNMPSCKDGYELSVKIDKKEINNFTIACETTTGELKYTEKGKVAALLPVGSTFSCTAAKQSEEKVATGGSSSVPSPLQACIAVATVLSALLIVLSVGLWYWRKRKNEAKAAAESKSKPEKEKGAKDKGEAKQEE >PPA16236 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:705291:707366:-1 gene:PPA16236 transcript:PPA16236 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVSQRQQAFVNQNKYQFREGKIRSSSNSPHGLVEPTPLTAEDFDAMKNIPIERREHRREEGNSVEVDIESRDDSDAVVTMERGAVLSDPIELHQGFNETSRLFAEQVVDSLLPANGTNSILNDEEGGRLDEQATARNVANIMARLLREIIESSKRENTETVAPPAPPSSESTTSTTSSSFLTTGLDDVVTDLTTTSSSTKNRTEEVSTSEEMQEILITDVDGAKISITVTSVPLSIATTPPTEISINATGIPHESEAEQVVTTTSTTSTTTTSKVTTTETATTQATPISTSPFPTLIPQGTAETAPKKEFFTTRQFNPKVCEDNHSLCNIR >PPA16240 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:725443:728019:1 gene:PPA16240 transcript:PPA16240 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ggr-1 MQNLARYPMDTQKCDLRILSYAYDNEQVIIQWNSDHPIDTNPDIRMPDMRLRSIKPGLRNDTYATGVWSCALAEFLVDREIMHHIIQSYVPTALIVIISWFSFWLDVEAVPGRVSLSITTLLTLATQSSAARMALPQASYVKAIDVWMGACMAFVFSAMIEFTVVNYCTRRKPRKHREARTGLAEQVQNLVKNYREERAAQGNCYEVSLCTTNAAEQALIEKKQIREMNQSQPMFLSNRLMPLPSFKRKNIEEKIQRVERNRKYAQSIDRRSRTRRRLEHDTPSIPL >PPA16250 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:767362:768636:1 gene:PPA16250 transcript:PPA16250 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSPAMAVDRQFSFPIRHELFATRGKKASGVDLPAVNVQRAREMGVQAYNEVRTKIPGLARVTSFDALKNDMDQANIDLLKKTYASVDDIDLYVGILLERPTDPTALLGPTGSTIIADQFSAFKKGDRFFYESTASSGGLTQAEYEALRNYPLAQLICENTDGMEQVQDDIFQHK >PPA16222 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:637358:641970:1 gene:PPA16222 transcript:PPA16222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-spon-1 MDTPRSFLPTIPIRNAALAKYELEFIGIWSKDTHPRDYPTLLHLTHFTDMLGGSHSRNYSLWKIGEPSTDGMKEIAEWGNTYTAEKEAKEKASELRTLMKIKGLWYPEVQGRTKSAFVVNKCVGLSSVNLCLPDCSWVGERSFDLLPFDAGTDDGETYMSPNQPAEPRHPVRWITTRDHKSSPFYDESTDEIPPLARVVLRRTEVVPSRCQSDKEYQVEAHNITNTSEDEEYRDRRECSMSEWEPWSLCSATCGKGIRMRSRVYLFPIKAQMFSCHRQTTERQFCNAKINECAEIQLHNLANTRIGYLQLQVCHFFVGRMERVLSEATEDSCKVQLTLKDLCVGENGDDCSVTPDPLCQTTEWSEWSPCSTSCDEGVRIRTRLLFFIEHELRCSHVKLMEKDMCSLQSCRRFIEQNSDEICQEKKEEGQCKGVFPRYWYDTERGVCERFTFTGCKGNRNQFMTDEECKRACVSGYESGKAHVPNHQLISEFGQQGDVDDGGDPVPCDISEWSPWGNCSVTCGRGKKTRTRHIEVFPRNGGSACPNLLTQELHCTMPPCVLTGCRPGAWSRWSSCSVVCGDGHQFRRRRILKPHDIEDCGMLDREERACKGKCDHRLTIRQFIQHSRLFVDSHFGFEAPLDTGLFESET >PPA16153 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:364490:364928:-1 gene:PPA16153 transcript:PPA16153 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLCENCCSLIIPPIGVWMAFGCGFHLCLNILLTILGYFPGLIHACCIINSREHHHH >PPA16184 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:483170:484670:-1 gene:PPA16184 transcript:PPA16184 gene_biotype:protein_coding transcript_biotype:protein_coding MALIVHRNLTAMLVFDGPILAQFASAITREYLDHAGKFCFITVHFQERSLRFPITSQCFFQKLFRMACVVVPICICCVPILAVPAFVLQDKSQWWMLELIIETTNMAIAAVAPLLTAYIIYVFEKVKKLVIPKKWRRRVVDKKMRNITITVVHASEADQHFDQMNLLFQQALKLKQR >PPA16174 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:455804:457742:-1 gene:PPA16174 transcript:PPA16174 gene_biotype:protein_coding transcript_biotype:protein_coding MELVSNANNPLLVIATALRLLFSAVWIVVTLIFTRVLLRKKVFHVNVQCLLIALPTSYAVIIVPNTALQATIQFNVTISDDAHVTLTEITSIGIFGTTFNLFAFVFERLIASLLVHRYEHISARIPLISLFMLVVQWTGASICIALNYAGTLTLLPLLIIVGVEWAISVVPSIACVIVLLNHDSLKKDLGVIFTLGLRERRIGTESTTMFSDGPQVQIRSISGMDLVIPQERAFTPTFVN >PPA16199 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:530356:531334:1 gene:PPA16199 transcript:PPA16199 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLTYSIYFSCTSRKPRFQFNSLNEAKVVLAILECPLRKKKLRAGVRAAEAEMRCRLGLATQPGWNQQGTNQMDAMKEGSEMDETTLSLTETSGSRAASASSSRTSNSSKGSSQWDYSDNRQSYVEEARSPMPALSNYDEAIKHLDAATAQLKAIAIATIREDDELNYYTNYAKQMIEKLKSSVRDDKYS >PPA16263 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:865519:869678:1 gene:PPA16263 transcript:PPA16263 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-48 MAWKHAEKQRLENERRRQAEEKKRTEQERKHREAIEAAERQRAEEERKRADEENKRRTDEELRRKAAENERLRQHHAAQAAVQREQHPHRQLSHNHQTTHMQAHPVQVIDPRNHYNSVPLAAPIISHQAFNDCDMPPDLWCDSRESAAACGVEQQCQRLRRKPIKITLIYEALCPFCQRFISNQLGIVFNSLRGHFELELVPWGNSRLLPDGSFSCNHGQKECDANRLQSCVLDQLQVKGALPFIVCFEKNIFSQTVEASWRACTAFVRSHEREIRHCYDSEKGVQLQRIAAQKTFSARPQPIVERILKTSAGIVEKSGVASGKMVPYLLINDYSPTTENNDLNVMLFPQLLGKWAKIYNRK >PPA16124 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:226862:228537:1 gene:PPA16124 transcript:PPA16124 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKEMEEDEERSLKFNEESLQLASAVLEKGRLLLEKWENKRNTMPFDHISKMHENLENAINWMNSRQSNSSVKMVFVRTIKDEEMSDDFSSLEMDENGSNLDGSDDEENVQQRSDANDDPRKKQYECETCNKIFWSSGDLRKHALTHLAPGDPNKKKYKCEIDGCGKEYSNPGSFSMHKRTHMSEYRCTT >PPA16234 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:697567:702551:1 gene:PPA16234 transcript:PPA16234 gene_biotype:protein_coding transcript_biotype:protein_coding MTRWRAGASDGGFFSGLVDVAKDVAKAIDENAKKGAQAVGDGASGAAQATADGAKAANDQLGDLAKAIGGVIADKLGGEGAKASPPPAEGFEKAPEAVVDDIEEAVEKKVVHADTAVEEKKDEAKGFFSAASEKMSSAVKGVSDGVSGAAHAVADTTSKAAGKMVDGVTGAATAVSDGAKSGIKVVAEGGKSAVDAVSNAAASVFDGAKSATVTAADTTKDAAEGAVSAVAEAVAEAAGDAVEKAQDAAAAVADTVAEAVEAPKEEASPAEAAPAEAPKEEAAPAEPPKEEAAPTEAPKEEAAPAEAPKEEAAPTEAPKEEAAPETAEAPKEEVAPETAEAPKEEAAPAEAPKEEAAPAEAPKEEVAPETAEAPKEEAAPAEAPKEEAAPAEAPKEEAAPEAAEAPKEEATPAEAPKEEVAPETAEAPKEEAAPAEAPKEEAAPAEAPKEEAAPEAAEAPKEEAAPAEAPKEEAAPEAAEAPKEEAAPAEAPIEEAAPEAAEAPKEEAAPAEAPKEEAAPEAAEAPKVEAAPAEAPKEETAPAEAPKEEVAPEAAEAPKEEAAPTEAPKEDAAPAEAPKEEAAPAEVPKEEAAPTEAPKEEAAPETAEAPKEEAVPAEAPKEEAAPESVEAPKEEAAPAEAPKEEAAPEATEAPKEEVAPAEAPKEEAAPESVEAPIEVAQDAVASVVEGAQETAAAAVEGAKEAAAAVEEKIESVVVGALEAASDVADKTTAAAVAAGQETVDAAKSTGASVSASASDALSSLNEAARSAVGAVSSLLDEVIGGSDAKKAADSEPAAQ >PPA16102 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:102494:102942:1 gene:PPA16102 transcript:PPA16102 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIGRKQAHVIEMLSVKFNRTSSYTTLTVYPNMLQLSPSRYYPEMRIRL >PPA16096 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:79477:79842:-1 gene:PPA16096 transcript:PPA16096 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKKPFSSKEKLLKYVDFAAEFGPSAALRPQSQDMTFIEYYNLDIIVVGIIVAIILAYGILRLASCTIKRIFSGKAKKE >PPA16271 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:916782:922089:1 gene:PPA16271 transcript:PPA16271 gene_biotype:protein_coding transcript_biotype:protein_coding MSIETWVNDELHTLVGMSDRNTANFIISLARKAMDTTDLVQKLADSGAIDVNTKVERFASQLMSRIPRSAPKAERQRGPSAAELAEQQMRKMNAAAKMIDYGSEDKTKKKGAHARKRDENYDDYSAPISKSDKKSKKMKKEADSGESDIDEMNRALDDDIKERDELAERLKNKDKSNTRNVVEVGRKKAENKDKIGMDMSKLRDESRKAYLAKRHLEKIEDLELQVLDDEQLFNDEELTERERRDKDLRKKQLEYAKSYLKAEDHLKVKRYHVPDASVKITDKYVEEDDHRPGGDGAKWEEERFKASLLKFGAGDAATRQQEFDLLLDEKIDFIQALQMPGTSEQQKELSAAEKKKMTLDETRKSLPVYAFRDAFIEAVREHQVLKTMGTFILLEVHSLAPDDVTSKSVFLEYLGAHYV >PPA16141 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:289691:290344:-1 gene:PPA16141 transcript:PPA16141 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAEPIVKGGWRRRKAESKEEEEEGGRRINKMRPSGLTLSYHWDHGRQRKNDTTSNSDTLGKDGIG >PPA16256 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:796551:800371:1 gene:PPA16256 transcript:PPA16256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dpy-10 MVNRYCPLDLERRRLWFAKVRKEKRQPAKTRSPFLMIQSDLSSQEEVAHPTVDVSIEVPAVPQTTESAFDDNGNEVLSTKEKKQEGKKEDSERKQRLSLLALIKRLSTLKFLRKGRSASYALSTRQESIEAERIGWWTNTFGNEGQRRRRCKICRQSSLTSNSPRERMYRLVTVLQIVFSSLSLFILCVSLPLMYNHVQSTVEYVDAQMAFCERSNDEALVELSFGKADLAGNRTARAALRHHRFVPRSYGEEVTGTPLEQQCPGCCIPGPSGARGPSGRPGKPGSPGLAGKPGYPGTTPNQTCPIQVTREPPPCRPCPKGPPGIKGWPGFPGDVGAPGNPGERGHDGEDGAPGEPGPVGPPGFRGGPGAPGEKGETPEGELREGPPGDSGLPGPIGANGLPGLPGRNGLPGPQGDRGWPGMPGESGESGYPGPEGPMGAQGPPGEPGVCVCQNVDSILLINPGPAPVANAPEEYAMNYPTKGSYGENLHSISIKETDIIIACTTRSGSAT >PPA16218 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:624849:625953:1 gene:PPA16218 transcript:PPA16218 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGAESLVAFASIGAAVVVLGCLGGLAYMLNDINDFYYKSMEDINEFRGHADNAWSDIISVTRGPVATVDASSVFGLRGKRQAGGSCGCGAQPNNCPAGPAGPPGAPGAPGDDGEDGQSGKDGHAGISIAPTPTKTGCIQCPAGRPGPPGPAGRAGSPGPNGHAGAPGVGGGSGSPGLAGPAGNPGRSGSPGHDGQPGAPGAPGRSGHGKPGAPGRRGPAGRAGPNGNRGAPGSAGAPGPAGPQGPAGSNGETGPDGNPGSEGDAAAPGGDGGYCPCPSRNGATAAVVAGAPASKPHHDTRHDGETSGYRRRMRARYHAARVI >PPA16265 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:871932:878216:-1 gene:PPA16265 transcript:PPA16265 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLFYNIRGSEVAYCLCRTERCNQKPIAEQFMSFEEKHPELFGDLDEDIPSTAFETEAVPKRIEVDSKHSRHPPSLPLSKASAVKAPELTPIMPVNDPRSIINDNSLRRAQPSFEISKDVVNEGKGRRLDSTLSIHKINNGKLPAKEIEQLSPWSSARSLAEGPNPSSPIAIASLRCMQCGEGGLDDAVTDCLLQASVSCKHEQSFCFTRHILITSGQNAVEKMCVSQEALVAEYGQSLDLSKREVQCTSLSGGQVRVCVCSDEDNCNQRNVDEQLALSPAGRNSIVKASSPTLNQPLVPIDIGSELASSHAVAFNGIASSSLAISPDAKPIVPVRAEETVTSTTTRSPKVRSGVHCSACSSGDLSDPTADCPSATIMECMVLPGERTYCLTRQTQLSNVINVCSLSSHDLPPSIGQGLDPSLPKGLGLRVDPCLELLHKCVSVLELLSSTEVREGAETVVVARGQIRGVRWVREPFHLQLVHFLLGDFRMMRARVVHEHEDFALAQEFGRDPDRHLFQLGSEEVSLDGDAGREDLPVDGTEDGEEETEEFLLSVKFRLWSLLGFLIDVHPLKFPLRIIVGDPLLIYGDDVADPIEIGSTLSCREVMRNHLGELRCLPSIMQDSSNCGLRNMDGLLNIAHSRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAAYGGIPSPFDPGENNEAKRSGSLEQCS >PPA16091 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:64608:65819:1 gene:PPA16091 transcript:PPA16091 gene_biotype:protein_coding transcript_biotype:protein_coding MHWREKYARIAAEGELYFVQKIWERRIELDGTRSYLVSWKGYPSSERNWEPLENFFCPESRELVEKFDEEKDREEAEAEKKFSKRENMSAEMSPVAGEQENFDDELQDALDYLYGANIPEEIREALEAKKASNPPARPLAATKEKTTRQCIKDSNTSQHNNSLSKRKAASLALAKITQDKSLRSSNKKTATQSSSKTSSIAYTRRLRSHTSARKERKI >PPA16195 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:510799:511868:1 gene:PPA16195 transcript:PPA16195 gene_biotype:protein_coding transcript_biotype:protein_coding METDQQRQAWWREFESIINKRKPVELDENIANERFNEAKEEKEFKQIEQIIGRLMYKPCFCEPDKSKMALGKKFRLLAVYHLRRREYNKFGEIINHPRMARDDFTCGGGQGVSCDN >PPA16121 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:215645:216352:-1 gene:PPA16121 transcript:PPA16121 gene_biotype:protein_coding transcript_biotype:protein_coding MQKARRDPADVPVITPVVTPTGSPKQTPKQEKKATPEAVKEEKHEERNIDQSVRKAEEAASTVHILPYAEGEMATVHNIIVDDEHKKEPKEAVAVVAH >PPA16149 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:343227:343910:-1 gene:PPA16149 transcript:PPA16149 gene_biotype:protein_coding transcript_biotype:protein_coding MNVCDEEELIEMEWFHIWMIYIAKMYTLTLCWYCFCAWERAQERRLQLLQPPLPPRQQPPVREEHDDDEGFEDDFEMVEDPANNGDA >PPA16239 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:717381:719113:-1 gene:PPA16239 transcript:PPA16239 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHWDFVENDPILENFDFEEIEKDIFKNCTLKSPGGHGNRHTYGGLLCAQSLAAADKTVPSEFNCHSFNCNFILAADSNLPVTYHVKRLFDGRSFATRFVECVQEDKIKFAAIISYHGPEAQAIEHQSDMPSVPKPGDCEDFDTAWAFLQAWLPVHRRTHYDDMDFNDPDRPRFPLVDDWKTHILTLLYISDNNNNLMVFNAHTRDGFRPSMTYSLNHTIYFHGRPFNANEWMLIELESTNAAHGRGLARGRIWNEQGELIASCSQEVVVRNKESKTSKL >PPA16224 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:645331:646760:-1 gene:PPA16224 transcript:PPA16224 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGKTVITLASAVSAVAILGSLVSLGMMFSDLRDFHEQQLGDMDQFRMYANDAWSQMINANKAHGFSAVRTTPFGEAREKRSASHCGCAKGPNNCPAGPAGPPGAPGEAGLDGDNGADGQAGTAGIAFNENTNGGGCISCPAGEPGEPGADGEAGEPGPDGYPGQNGRPGSNGQRGAAGERGEAGRDGAPGRAGRPGGKGKNGRRGQGEAGQPGRAGAPGHRGKNGAHGRPGPNGTPGPQGPAGVAGQPGQPGEDGYPGEQGQNGLPGADAAYCPCPPRVTAVEAPVEHIPATAGYDAPVSAPVPAYETSAPAAPAPAPAATGYEAAAPATEAPAAYPQQTYINKPAPQVQGYSRRRAAA >PPA16108 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:146750:147747:-1 gene:PPA16108 transcript:PPA16108 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKAKLIEADSVKKFAFFGVAVSTVATLTAIVAVPMLCMYMQNVQSTLQDEINFCRIRADTLKGEYSKLESFRLTTAPRAKRASTQCCSCGIGPAGPAGAPGQDGVPGHDGRPGQPGQPGPDATEQHADPRPEDFCFDCPAGAPGPAGPQGHKGAPGAAGQPGEQGPPGRPGSPGTPGPQGAPGAPGNDGQPGQAGAPGTVRTVPAPPGPPGAPGEAGPQGPPGPDGRPGNPGRDGQQGPQGEPGQDGAPGAPGEAGEKGAPGSDGAKGSCDHCPPPRTAPGY >PPA16109 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:150969:151985:-1 gene:PPA16109 transcript:PPA16109 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTDCEVESVKKFAFFGVAVSTIATLTAIVAVPMLCMYMQSGLQDELQYCRTRATSLKGEFTKLDSFRTAEMSREKRQTAYTCCSCGVGAAGPPGAPGQDGAPGNDGQPGQAGSPGQDAGDNHQQPSASDFCFDCPAGPAGAPGAPGPKGPDGAPGAPGDAGSAGRPGGRGQPGPAGQPGAPGNDGQPGNPGRDGNVRTQPSPAGRPGAPGAPGPQGPAGPDGRPGNPGRDGQPGQPGEPGQDGQPGQDGAPGSRGQDGGNGGKGSCDHCPPPRTAPGY >PPA16137 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:259580:260823:1 gene:PPA16137 transcript:PPA16137 gene_biotype:protein_coding transcript_biotype:protein_coding MQCEPSAPPLPPQEKPVPREAAGGVADTASTEKEPSAPPLSPQEKPVHREAAGAVVVQTPPPAYYAGPPPVVMAPMPYGASCCMRCGSSAIDYEFDCGMTCLIIFFIIFGVGLLGLIVCLITKRDARCMQCGACGMTCNMPRAILY >PPA16171 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:442706:443997:-1 gene:PPA16171 transcript:PPA16171 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAKRLSMLDVELSSGTFFVIFNAVVMLLAYNWWNHFPREGGKYRNPNYYIKINQTKKIGLAMWMICSNLQFLSNM >PPA16179 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:467608:469652:-1 gene:PPA16179 transcript:PPA16179 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNLPVLVPIWCLEGVLLIVHVLATVIYEYALLMTRALHPNLLTLLILSPLPLITFQSTLYVGMVVDIFLDLDSTTELVLGIAMDTALFGGGLNLFAFMLERLVATLMVERYEKLSVPIIAITLIIAQWLASFGIMVARYRELRVQGTVLEASQDLGEVLPNDSFKKGAHILRYQAKENVNSAKLLKRFVILNVALSPLAIMGYYYLKSQRALHASVLYQAIEGLYYSYVSIMGISSCVIILSSNLSLKRCVLTALFPENIRKRLLNSVGSVGIIIDSQGRNINIEQDQQQAVYFSHLAEAWN >PPA16154 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:383136:385318:-1 gene:PPA16154 transcript:PPA16154 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWHYIFSVILLATVTTAQLRQFSFKKRGGSVTPAEETNRWPPDGPMEALEPMKAPVEEVEEPISVNARGYHEVPPAKAKNDDLERELRAQAEEELRREMKKKNGFQLAIDSTRNADSSPSLPNSLNRRAPNALLEFMQHARSTATEPPAAAIAEAERKKWVTPHTLFTSALDARALMEEEKDEHEEDEESTDSPQLSPRTIRRPVPSVIRDRIVKASQPNYRDSKDKPKTLSRLEKREERQFQRDSIKTKEPDEAGVLALKQVLSGSEQSWLARMEKEKEESRARLAERLKVEREKKEMEQVEDMEQATVFSGVLCKKSKLQKKRDAEKRQVEIEEAKKRVSFVHQYTNIN >PPA16164 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:418176:419946:1 gene:PPA16164 transcript:PPA16164 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKWAVGRSAQLCKGLIWTKATTNPVNEHRKRIVIEVAVKKINRAINHPREAQICYRELKLLQHLDHSNIARIVDLYTPSRNARELHTIYLVMEYAGSTIEKLLNQSATENYAYLPMSKIPRIMRDILRALKYLKAADVLHRDLKPDNVAIDASGKAVLIDFGLSRTGRETNKSAELTDGAGTPAYAAPEVSFWRGGSYGREADIWSLGCILAALLSWEHLFKNQKRKVYDPLLKTFMETESASAPCPADMTPLKEYFSNRNKVAERNDPRIEPALSFLKGCLQYDTATRLTIEQALEHVFLDGIGITEDEYSVQPLVYDDSDRDIDDWKCVLFSEITNFEARPDTPRP >PPA16098 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:86187:88681:-1 gene:PPA16098 transcript:PPA16098 gene_biotype:protein_coding transcript_biotype:protein_coding MQIALFLLAFFIGANSHKLLVYNAKFAHSHSNFIGNIADILVDAGHDVTVLIPELDTRLKDGSNKAKVVRIPPNEEAKAVFAKLDTGEINLFRFSETNPLIPLWTKGSMGFVFAKQCEATLESGEVAKLRNEKFDVYIVEIFDICGMMLSHLLQPRSIIKVSTTIMMGEQHDELGVPLPLSYSPSCLTRSLDVHSIVSRAWNIFAEQMARLKYSGMRSQEISSNVAYAFTNTEPLIDFATPTLSRVIDIGGLGAKEPKELDEVVSAFPSITFIWKYEKKDDFAHGLAAKVDNLVLTEWMPQTDLLVFITHGGMGSVQELTQRGKPAILIPIFGDQPRNAAMIEHNLLGKVLGKLEVGNHEKIIALLKEVMENPEYAENAKRVARMLAKKPFSSKEKLLRYVDFAAEFGPSTSLRPQSQDMSFIEYHNLDIILVGFPVTLCGIYLFTKFICIALKRFGIRKLKNE >PPA16279 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:968172:972990:1 gene:PPA16279 transcript:PPA16279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cdh-7 MAQMTKLNNVVDIWIHYHPEFVDATALGSSFNLTARVVDGVGNTQRATIIVNIKKEMNTDRKLVFEQNSYNLTAAPGESGLGQLRLQSTSTSKGIVFSITEGVHDDVIHINNRTGELRYKGEIKKDDQEFDLKILVSSSTSFAVVPVHILIRGIGSFVPKFTRKDNVVTISRTLPVESVIHEFTATDLDSDAELKFQILTTTAKDMIGTPLQDPDFSRLFRLESSQSKGRLILDEDISALEVLSLRVEVQVSDQRHPDEIPDRATLLILVTALKSSQPEIPLLQLNRMPELITLPANIDVGSYVYTVAAKPSIVDGARSEFWYELNDGKQFLEINSNTGELHTVASLRNEREVEAHVVVSHLNSSTSVQNSTLRS >PPA16181 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:477019:477606:-1 gene:PPA16181 transcript:PPA16181 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSFLESFAIVTHQIHDNEYTLILLGPVGFLGEGIREALAQATLIFAFFMWEWIPASCILHAILGAEGCLMISVTRETDLVLRSYLCTAVMHVRQCD >PPA16208 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:585719:587627:1 gene:PPA16208 transcript:PPA16208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cyd-1 MRRASLAPEILYGGAAAAAAAPAAASVAARFRCEEAVPVEVLLAAASASTYSAAHHQLHHAHHTTTFKPSTLAPASSSVAAGSSMAYTARRRSLPIRAHQRPIVGGQMPAHRRRPVIAPETRLNAAQHPHVSPIYDPKIQMDDRTLRNLKDTERDSILTGPTFLKNAQSELLPHHRSTVVQWMRDVLCEEASEEEVFPLAVLILDNYLTIENILLRDVQGIAAACMLMASKMKAPTPINAARLSFFTENSVSAIQIVNFELLILRTLKWQIALPTAFDFADQVFCRVPALRDLKSHFARALYDMQLSE >PPA16197 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:522327:527900:1 gene:PPA16197 transcript:PPA16197 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTQADDIEADCDAILMLRRYTSRKWLVVLAARNRNITRIRTVHKTFDFSCQRFGKNKKVRAYYKDKALTDNELVAKNSAQLACEIHIDIDVKIQLPSKCLRDAMLDGIRSKRGRPPPLPAPSFIRKDLSPNQLDLERAAREEVKKKNIEVGSLTYGLRDYSIITYRLWVVQDEQGGEFSLTPSKTIKAKCEVDYRFACKPDDHSKRTSATNIPRMAFDENGPKLEVLRGEERIDLEQQNLKLQCKDSSSKRNRKRKQTSSGATPSKSAESTAVSSQPPSDATPITAEQP >PPA16167 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:430957:431342:1 gene:PPA16167 transcript:PPA16167 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPSKHFKFIDVHRCPKGSRLICPRDSLCFCYGGLPSKTIVEPICPDGTAYDQKSNECFYASCPSPFVVNEDGDGCIISVV >PPA16130 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:244326:247388:1 gene:PPA16130 transcript:PPA16130 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQLQNVQRMEQWPTSCCACGCPISYERDMCNLVCLIICCGIFALCCLPKREPICVVIILISVYLDVLFEPIPLVPATACYYVGVLHGTGLRPDTVLTTRPPASIKRIRHSLTVLFIQIAVPFFTMIIPAALLFISVHCECIPFAINLPIFAVLALHPLAHNLCLLSITPQFRKLLFSGIRGNTIKTGSLFLTDEKA >PPA16266 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:886171:887523:1 gene:PPA16266 transcript:PPA16266 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGALLSDNVPECTVPEISDPRLYMAVGGTSLSVISLFCNSLIAIVLIRSSHTHFFFFALLAISDTFLSVMYGPVIAMEIIKDVFRSLSLTECS >PPA16255 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:793036:795091:1 gene:PPA16255 transcript:PPA16255 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dpy-2 MGASRTTSPAVDDDYNETKEDIYKILIAGSSLISFLSLATVFLVTPSLYNSVENMGTFSRQDFAYCELSTADMEAEMNELRIAAVNRTKRSKGSYGGYGASMFVNDGPQFQECPACCIAGERGPSGDSGLPGMHGSPGPDGAPGRPGTTPNASCIPERVFEPPPCLPCPQGPRGPPGHPGFPGDNGSPGVPGKSGKDGASGGPGEAGPPGIGGLPGPRGPVGDKGRTPEARVIPGPPGDAGEPGPWGPPGHPGAPGEDGYPGTPGEKGWPGPPGQPGPPGTDGPVGPGGEEGPAGTPGTCVCQDTEVVMQDQYSTVAPEAAVHSLPAAQGYEESAPATPEPDGYAPQSLPMGAGTLAAGTETGYAPLPEAGNQAAPSLGEQPTAGAGYRRKLKRRKLRRKLLRALH >PPA16280 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:968905:969942:-1 gene:PPA16280 transcript:PPA16280 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVCKELPNLSLYDIYFIIQLSYGLPSLYVWLRIIKAILIDRSHSSFAHPFHKVLAVTGIISVVVFINDMLFTRFLLTGRFCTIISASFSDASYWLSPTVFIAMYGLGCQCTGSVLLALNRLTAVFLINHKEVDWNY >PPA16188 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:494896:495921:1 gene:PPA16188 transcript:PPA16188 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLECIMGLWKVMDWQLQILDDVDKRYSESRIVADLLNSTSPTDATPFDWTTCNETSLHDRLVQNQCIEHVLITSLSEVEEDSDLHHFINGQEGLLNKIDKWGDKLWQARVLNETSRVNFASCSNSVNICFYDRKCTNNLDEKIL >PPA16275 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:938464:941027:1 gene:PPA16275 transcript:PPA16275 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTDLLQKHCQAYKLTRDSAGEYHKQLFTRHPEIAAYYNAEDIDPDSIPKSQKFQMQGQQELQLPAASADDKKFRSALCEFKEVFTDNGIPMKEFNKVPDAFLAAMEKHAGGVSAEQKKEWQALFAKAYADMKAWGWY >PPA16129 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:239554:240545:-1 gene:PPA16129 transcript:PPA16129 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDGLPSTAPVSGPSGGVRLSAGSGLRRTTRQLSRLRERSKIKDQRSKISLQGGFVAMAYDRTCLVVVIVFGLIFFPFFLGLLCLENLFIADEPQQSYRVRQESRKN >PPA16178 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:464731:466355:-1 gene:PPA16178 transcript:PPA16178 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPQFSDHCRDVLQWFIVLSDKTSQNIMMIVDIGQFSAGYNLLVLVTERGIATWPWFAVALCCVQWTASAILLYLNYNGEHVSSITLVISILVGCVLSASMFTILPKISKRAYNREMNRNQGSVKRYQASENVKSAKAIFLNFVVLVSHDRLRSELITVTRTERWFGRNQADQIVMVTSMSGQRLDVPKGEQTDAYYGYYQNTWV >PPA16160 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:403162:406106:-1 gene:PPA16160 transcript:PPA16160 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTNQPTVHILELTDEIVKFSLEDTDLSVANSLRRVFIAEAPVMTIDWIQIESNTSVLHDEFVSHRMGVIPLTSENIIEEMVCTRDVAGSSQLNPAIARETPHGEVMCLVCNMAVMPKIRTAHVVGKTHRSKAEKLKKEVSCPTQDCDNCRQTCSGGRWGIDSSINKAKVIADGSELPEDFFVPSGGSMDTQPPPELETPWHRTRQEQNEIVRERKGLIEGLPQGFFDDKAKDMKSMLFPVRACIFPYYTLILPSPFLHWWLFPLRLRRRLVHHHLPCLLLQSYLPLLPLLTLSLLGRWLFFLLVDSRDCAVGGTKAWAIVAAVHRDIKSSSLQEEQMEADYDKLMREIAEQDAEKEAVRERKEDADTKQRELDYIDEQMERLKHLNEMEIKRDAILEGRKASSLTLIVFLTVDWTIRM >PPA16276 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:944772:947143:1 gene:PPA16276 transcript:PPA16276 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFSAGWKLAPSQLGLTKASEDVALSLLPDAPPISVSPSISPAGKTFHLLVTDPEILYANSTFSYELQVIGLITGYTQSIPVNLDVDIMKGDRPRFEADSYAFKFEKNLLKPTEVGIVKLANAYELADSFAYTLYGSHTERFDFKSRGHSSVVTILAVPCAAVDKYRKVYSTMHESFYWTIL >PPA16092 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:68341:69161:-1 gene:PPA16092 transcript:PPA16092 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSSSSYNTPKTRRTSQRAITERNDFIELASIVHDAGFDADAMEFYKPPSSKPPLNLDDITTL >PPA16206 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:573603:576190:1 gene:PPA16206 transcript:PPA16206 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-aars-1 MLVTRVIRRHASSIRSGDETRRAFVNFFESEGHVQVPSSSVVPPASDQSLLFTNAGMNQFKGVLAGVESADRWPSRVVTHQKCIRAGGKHNDLDDVGKDLHHLSFFEMMGNWAFNNAYGKETACKLAWTFLTEVIQIPKDRLYVTYFGGNARWGVAEDTETRDIWRTMGLDPSRILPFVGENFWEMGRTGSCGPCTEIHYDRVEGRSGVPHLVNRDDSVVELWNVVFTNSMSF >PPA16246 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:755564:758126:1 gene:PPA16246 transcript:PPA16246 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLVSLALVGLLVVAPVLSVDIEEEENVLVLTNDNFESALEAHPHMLVEFYAPWCGHCKSLAPEYAKAAGVLKDEESEVKLAKVDATVHGDLASKFEVRGYPTLKFFRAGKPTEYTGGRNADAIVNWLKKKTGPAAVTIESSDDLKAFAEGKAVYTVAYFEDQESAEAKAYKEVASDIDDLVFGITSAADLKEVELSVAADAKSAIVIVIEKEGKKEVFDEEFKADAIKTWIQVNRLPLVSEFSQETAPQIFGGEVKKFVMLFASKTSDKFAALYEQFAEAAKQFKGKTLYVLVDTDEASNSRITVDFFGIKDEDLPTVYIVSLEADLAKFAPDFTDLTTENIVSFNERFLAGELKQHLMSADVPEDWDTKPVKVLVGKNFNEVRKNSGKGLLVKFYAPWCGHCKSLVPVWEELGEKYATSDKILIAKVDSTQNEIEGLKVEGFPTLKYFPAGSDEVIDYKGGRALNDFVEFIEKQIGETTEDEKKEEHTEFAISTKCS >PPA16260 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:817783:828782:1 gene:PPA16260 transcript:PPA16260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lgc-45 MMEAWAGYRISTKTSRAYNTAMEFTTNDEPSASKTSTTKTTATTTEETTTKMRKKVKIKVKKKKSKEEKKGTDEDSIVTLITDTLLDFENDNETIVDDGKSLGTNKTVLIVDATYKADRLGINSSSLEQCELWQIFWTLNGSTPIDNDNSTAEEAGSAKEIQVKLKKKEVFTDEKKLEALGIEPKEFLENWKALELNYERICELRERFHWARNADQAKEIGIDENSPICAPFKEELNPGLDKLSDLAASLNQLVETAQSTRPKKKKIIDTDDNAMIGTTWDTQDKGTIFDESPTSDAPTSTTDVFESFDEESEEVEHGRIIPEEKEHSGDGMEEEPKEGTIADKVRELLGLKEEKEKEKKFDYYDYEAKNPKETPKENDEDIETNNLNGIKKLKENKQEEDSTEYYEEVTTRKYTPKHDEHGNKIADREDPDYYYVDENDKTISVMARYETHHDNEKRKRKKRRSGDSGEKSEEDLEHFLADSFGDLIAEDKKGNETTLEYPSLRIDAHDLANPSFYVTDDIAALALNLTFPENTFVHHEGIALFLPGVCAGFTPKTVGGFDGKEFENIETQGPIGVSIQALEAAGVNLTQLAEKLRNDTEVDEILSRTNETTRTLGGSFILPVLSKNQYDPYSAPIVFEGSAVTVRFGVYIESMSNFQTSTMDYDMDIYLLMAWRDARLFNPYDKPILVKEEEVLEKIWRPDPFFANAKEAEYHEVTFLNFLMRIFPDGLVLYETRIRLKTACSLILCKYPHDKQTCELQIKSFAYPLETVRFEWFTKKENAIDKNPDVKLPELYIDRYEPTTCDKTRKSGSFACLRAVFRLKRDVGFHIAQTYIPTSLALMFSWVGVWLPEEFMEGRIGVAITVLLTLSTESAGAREHLPSVSYMKAIDLWFGFITGFVFFTLLQTLFVIAFDKRAAQLRKYAAKKRSDINEETREAILRKADRYHKTGRYLDNFCRPSVLLCTYRQPEPELAEKGEFVRAYWQFDSIALAVTTKKTHLLIFSVTLDIDERSYCLKESDEREQFSYSISVETFKRWLTDAAPNRDELLICGSNGFVHHLQWNGKFDNSPSNCSSFQLSKIPFAHDQLQSKPVTGRFAAAFLSDHSSVFIRDITFAPLTGGYSIVLSDGRAALLTSNDHKFLPNTLLGVWASHLKDAVVTDTNHRFRSLLFGCTNGDIVAYHVDDLTGALHQTFRVSLTIRNGPELSGRLGHVRTLRVLPQNGAIAVVWDSGGRGSRHAKSFPDASISSSLGPSTSTATSSPSSTALTPLPPVCSLDTRTS >PPA16200 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:533084:551071:1 gene:PPA16200 transcript:PPA16200 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTEELDLLRTKAGSVFTDPSLQADWASKRYVWVAHGTNVFQLGQIQREFDGGDAYEVRVVESGEVIRASRDDVQKPNPPRFDKSEDMADMTCLNEASVLHNLRERYFSDLIYTYSGLFCVVVNPYKRLGIYTDSLASKFRGKKRKELPPHIFAVTDNAYRSMLQNREDQSILCTGESGAGKTENTKKVIQYLAHVAGSSHSTVAAAAAGDQKASRRSTVHDSSGTRQVGQLEEQLLQANPILEAFGNSKTVKNDNSSRFGKFIRINFDCSGCISGANIEFYLLEKSRVLRQAAGERSFHVFYQLLAGASDRQKGTVVWWDRLEERISSPADLLLEDCPSAYPFLSNGKVTIGGVDDSDEFADTMKAMQIMGFSDDEITAIYRVVSACLLFGNLEFTSEKNGEQAILADDKVAQKICRLLGLPLVDLIKAFTKPRIKVGRDHVQRSQTEEQARFSVEAIAKASYERLFRWLVSRLNRSLDRTIQHGVSFVGILDIAGFEIFDLNSFEQLCINYTNEKLQQLFNKTMFIQEQEEYKAEGLEWKFIDFGLDLQPTIDLIEKPLGLFSLLDEECLFPKATDRTYVDKLNNHHASHPKYYTPEIRSKSDFAILHYAGRVDYVAAAWRVKNMDPLNENVVQLLQASSDPLVVDLWKNAEYAGIGTTEVSEAASAFGARAKKGMFRTVSQMYKEQLGQAKWQTLNKHIAPTFMYKEQLGKLMQTLNNTSPHFVRCIIPNHEKKPGKMDNTLVLEQLRCNGVLEGIRISRQGFPTRIFFQQFRQRYERLLAPNAVPAGFMDGKEAVKRILKFMEVDPNTYRIGLSKVFFRTSVLAEIEMQRDQTLAALIVSFQAMARGHLARVAFRKRIDQANAIRVLQRNGLAWMKLREWPWWKLLSRVRPLLVVNSREEEMMQREEELKKTTERLRRSEVHLTDVETRLNSLAEERQKLQRQLEEESVERIEADEQRTRLEQRRMELEEALTAAQKMLANEEKRATAAETDRKKLLETVHDLETQLEEEERARQKTQLEKLSSEQRAKKAEDDKSEYVETLAKLTREKKSVEERSASLNNRLIEEEERHKALQKQKNRLEAAIQELQDELKTEKDSRTAIEQLKRQIEAELRQEREAAAERLAKAEDLTVQLTRKEAENTQHIIKLEEEQAERTRLEKEARELRKDIEDLEKEREKQEQLRKKADKERLDMLEELESFKHELEESQDKTQANQMLRARREEEYASLQKQMEEQSRRSDEEMEKLKLKLARSTEALEEECAALKREKAAAEKLRSASDADADTLRQELATTVTAKTEADRRRKAAETSLADVQSKMGDVRAALEEMNTKVAKISSERDALIASRESDEQTTVGLQKKIVALEAELEEARSGWEEEKRGKKSALDRLRASEDAAVLSEEAREEAEQRAEKAEKDLAVVRSQLADAKKKLDEEVGERAEELRKKLQREVDAANARAIEADAARDKAEKAKRKALQEAEDASKEISDLLAQVRESERRARKFDGTLAERETEKGKIAAERDAEAQKAREQESRNMQLSRELDETSARLDESERLRKVLQLEVDELASTKDDAGKNRFQLDQTNRKLEQELAKARELIMELEDAVQLSEDKCSRLEVTAQAARQEHERALASREAEDEEKKRNLVKKVRDLEEEAESERRAKAAAAAAKKKLELQVEAMQQQMADALQRADDATRLARRAQQQAKDAVAEGGEARAAMDEALQAARDTEKKLRAMESDMAALNEQLSTTQTARRKAEAERDELTDELERYTKGGAVGGEEKRRWDILSFVLFL >PPA16105 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:127015:129639:1 gene:PPA16105 transcript:PPA16105 gene_biotype:protein_coding transcript_biotype:protein_coding MCTFWAVLNRLRMPTSFIPTIAEHIKDGTSKSKIIRVPPHPEVADIHGEIDLFSSSELNPITAFILSRNSGRMFAKQCEDTLESGEVEKLQKQQFDVYIVETSDICGMMLAPLIQPRSIIKIATTTLIGQQFDELGVPLPLSYNPSVVTRSLNVNSFYSRSWNLIAEQVARLMFSGRRSYVADVFRKKLGDDYPNLKEISSKVAYVFTNTEPLIDFAAPTLSRVIPIGGLGAKEPKPLDEYWTSIMTRRPKAVLISFGSLAKSFLLAPAVKEGLLKVAAAFPSVTFIWKYEKKDEFALGDASKVENLVLTDWMPQNDLLNHPNLAVFITHGGMGSVQELALRGKPAILIPIFGDQPRNAAMIEHNKLGKLLSKREIGNYEKVIALLKELMDNPMYAKNAKRVARMLVKKPFSSKEKLLKHVNFAAEFGPSAALRPQAIDMSFIEYHNLDIVLAAFIIAIVIAFVSLRTVCNVLKRMGFGKVKKE >PPA16095 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:74843:76799:-1 gene:PPA16095 transcript:PPA16095 gene_biotype:protein_coding transcript_biotype:protein_coding MYIEIIGTFLLTYTTFTLIVYGLVVAAVFLERKLTAAIFQVTQTIIWGSRISQMLTVLMIATNRMTAIRYPLRHSWIWNKYTVRVCAFIQISSLLVSGGIATIILQPIRIPSKYGGFVNAMAIDKVYQQTSAFVSLTLQSTNAFLVLFFYVIMIRDIRAILRKNHTSIAHERNLHKISLIVGFVEVLHVLHMFASTQMSLAKETATCIYIARTALYNSIPPYLLIIFSKNQ >PPA16242 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:731742:732579:1 gene:PPA16242 transcript:PPA16242 gene_biotype:protein_coding transcript_biotype:protein_coding MSCMITAVKTKETTMTTAEQPDAYGFHMIGRIEEHKDNRRNDGGTRYNREWERIGGTLQKKNGPD >PPA16147 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:334741:335222:1 gene:PPA16147 transcript:PPA16147 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDAAAKTGRTEKMGLLGAVSYIIGNIVGSGIFITPATIVSLVGLSLVVWMLSAFIATLGAFCYVELGTSIRKSGADFAYLCYVKW >PPA16209 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:591857:592884:-1 gene:PPA16209 transcript:PPA16209 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAKNGCGGYTFSLISVGPHLSNAGALGRHESSTQAIPEIVRAHLTFFYGKKNEKKMEVECVRKAKGARFDASEQLTLQQLQEFAGKGWKRGIRVSSANALPVNSK >PPA16232 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:693173:694929:-1 gene:PPA16232 transcript:PPA16232 gene_biotype:protein_coding transcript_biotype:protein_coding MYTPLPDILLLLLNKPSRAFLEAKERLGREVWKEGGEEGEDSGETRTEWKREMKEKERETSPFFSYNRFSILPVDRCPITVLVDRSSSTLRRSDRRKAIRDDLRNLAEDLRREEEEGARAAVAPSFSSPGTSPIKQATTTYRRYFYGYPPQELYSLSEMRVADEMVESYIPTARRVAGRRMSVERPLRERFLDTSHRNHAPIYVGATSESSSIANGARVQDDAFHR >PPA16248 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:763397:765882:1 gene:PPA16248 transcript:PPA16248 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAISNIDLINMVAEDTIGEDQNPHTQKATADSIDAQKLGDIIQETTHILITENGADILNKFDGIDIMGVLNQLLGPKPSSRKKRQYGGSGGSTGSNGTITSSNPLDVSCIDRPATCDKSFPYRSISGWCNHDDTANRGWGSTMNPIRRFMGAAKYDDGFNSVRRKAANGGLLPSTRAVSNNIFAEASIPSFDPKYNHLLQQYGQWVAHDIIFTPSSVGTNGAALDCSQCESADLTSNCAPIPVPSDDAFFPTKAANGKPACIRLTRAINGQTGLGPRAQINQNSHFLDLSQVYGSTDCVAKELRTLKDGQMIMYTANGYLLPPRAANDSNCQSQKTTPQYLCFTAGDSRNSLHPGKKLTN >PPA16210 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:593950:594859:-1 gene:PPA16210 transcript:PPA16210 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLATTPHVLFFTDRNIAVKKIRKLLPINALCLPPMPLHLKGMLWAYTLAVGKKQGGE >PPA16131 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:247967:248895:1 gene:PPA16131 transcript:PPA16131 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKQQPQQWAGEVQQPIQQQLPPTCEQYQLQLQQQQWAQQIVGPKHYLQQQQQQWVQQPGQPQIMQPPVCCRNCNGPIAYERDMVTLVLLIICCGICALCCLPKREAVCVQCGLKQGYVME >PPA16143 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:306613:312217:1 gene:PPA16143 transcript:PPA16143 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSIVLFLRTTSSSSRYPRVIYRWLPYGMDVRVVCVFFCQRQDGRSASGVHRRTIRETKSVVGAARESPGKRSLPMGVLSPVSVRTDALRRAFTAVRYDSIAHLSSLILLSTIVFYPPLSSIYHCLEYCCSHEIIRIIVPSQVSTLHLNLPSMHEYLFMCVPYPRAIYRWLPYGMDVRVVCVFFCQRQDGRSASGVHRRTIRETKSVVGAARESPGKRSLPMGETKSVCAFPRQRQDGRSASGVYRRIASECDRRREEGDGGKEGRNEGWASVSGRTEDRPAPYEWMNGRKEMEEKDKLEERQDWSRELPYPHTIDLRTVGATVLKKGAVQRLLDDETSTPECPANREKGERREEEKKRREVWK >PPA16157 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:390436:397635:-1 gene:PPA16157 transcript:PPA16157 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ptr-13 MLQYRQVYPLAAFSSGINLTYPVAQIHEHRLLVASHFFGVNENGTARGAPIETARTMGLWYISNAPGLEKKKQLEEIEMDAFKLALNDSFSDVISFEMFADQVANAEMLRGTLETQNLFIVGVVLMVLFMMFTFRHLTVKSMSIIIIGAMASPALATGATFAILGWVGATLNSIMCITPFLVMAIGKRRRWVSSASCMAKTAESHRRSGHSLFEDRRSAGEDRSRRFARRRTLDGDHFRHKYDGIRSGHILTNTADFCLATALAILLDFFFEFLVFLPTLALFYEEKERTGEEEEGSCGGKSSWEFYTSMLLSTPGKISVAFLVLGLYTSSLIGLVTLKTSFAPEKTFPSNSRLVQSLAAFDRIHAEYAPLNLITRKVPVAEDASSVQRYRDMVYRIDHRPGCYPEHTQNPVLAYMDWDRLTHNDSAPSYDRLPEYLEERMMTKLKIVRWEKEENGTVRLDGNNLFVVCKGLTNWRDRADSVDNLRKLLDEYPEFDTSLFDYDGTIYDIVITVKEEVIKAVTITFICMAVACAMFIPSIIGASVASFSMLSITFTMLGSLSHWGAMLDPITMINILLAIGFSVDFSAHICYHFYKARTIRSSKSRARRMSEILESVGKPIFEASLSTFVCVAPLFMLKIYVFNSFAKTVMLVAILGLFHGLVIIPVILSFFLPAHMPSTAADEEDPEKKRSIESASASDDSNLSPKEAQKTRLPLLTTELKQ >PPA16083 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:29921:33022:1 gene:PPA16083 transcript:PPA16083 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFLLILHFCLVLVSRQHESELSAELKDGFPLTEMFRIWIPEGEKTLWWRFDLYKINLRLPSKAPGGSITPYPEDVIAAMGAAAVESNKDKVTEFWELDNFSIGKKIKLSVLMQSAPADKLYKEWNLNMDKFYSTLVFVNKEKTWGFGICNKYKDHDDFPNKPEKKYDGKVQLQLFSDPHISNFDISYWPQGLYWEGSGMGAKRK >PPA16104 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:122370:125435:1 gene:PPA16104 transcript:PPA16104 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLFLFIIFVSSAAHKILVYNVKYAHSHSNFLGNVADLLVDAGNDVTSFIPTIAESLKDGSTKSKVIRVPPHPEAAATHSKLDTGEIDLFSFSELNPIAAFIMSRNSGQMFAKQCEATLESGEVEKLQKENFDVYIVESSDVCGMMLAHLIQPRSVIKISTTILMGQQFDELGVPLPLSYNPSMVTRSLDVNSLYSRAWNIIAEGVTRLMFSGPRSYVGDVFRQKFGDNYPSLKDISSNVAYVFTNTEPQIDFAAPTLSRVIPIGGLGAKEPKPLDEYWTSIMTRRPKAVLISFGSLAKSFLLAPAVKEGLLKVAAAFPSVTFIWKYEKKDEFALGDTAKIENLVLTDWMPQNDLLSHPNLAVFITHGGMGSVQELALRGKPAIIIPIFGDQPRNAAMIEHNRLGKVLSKLEVGNYEKIIGLLRDLMENPEYTENSKRVARMLAKKPFSSKEILLKYVDFAAEFGPSSALRPQSQDMSFIEYHNLDIIFVGPIIATLIAYFSVQLMCVIFNRMFFSKVKND >PPA16221 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:634542:635712:-1 gene:PPA16221 transcript:PPA16221 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAVFLVILSTFVTAQLQECKPHKEIFCGEENTTLTEDIELCIDRQSRGLVQTIERTYEEAKVTSSAVNPVSNPQLDIRIIVAALVLLALLIILFLLSLQLMKTCKNSSTLEKLHREMCLNAALPTIPHGRADDENDYEALPIYIRSNRRQRSVSSSS >PPA16176 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:460653:462079:-1 gene:PPA16176 transcript:PPA16176 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLCGALYAYILLKTRKPLRLYYQNLYYALLAIMATLLNYVVIHSHPTLLKEFLRLMLLERYYKRKDSQEISSVQGSRLDIPLSEHNKKVP >PPA16090 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:63099:63894:-1 gene:PPA16090 transcript:PPA16090 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKPSCYTQCAAMILQGRQPYCQDCKECSDAIVNGTQIAWRQLRQRVSRAAEGTRVRRATREESQRDKEERMNKIAAANEDNDLRESLCLTYSRKCPVCLRKHPEKRVSYLCGHIVCEPCAESQEMQNGKICPFCKSARSYHRLFEEDGEEVEKIVEK >PPA16182 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:478651:480387:-1 gene:PPA16182 transcript:PPA16182 gene_biotype:protein_coding transcript_biotype:protein_coding MICLLLSFFCLCLLMNVFYKHHIVHFNLTSMMIAHGLFICFTILLRYGQIALETNMLPSLSPACSPRPQIIILRLMAYISVLLLMGGILIERALATYFVIDYEKQRRWWISLSLNAIVFLSSFVLSTQIIHGGINGVYFGIVILAPIFMSIWSFRLLLRHNEQRLARLNDMIERHCNVDEYSLSLRLQLDENIWTMQKLYRIAMLSLPICLLCLPFLFLPPFFLRDEENWWILELIIEGNNAGISALAPIFTILVALVFEQVRSLILPDSCTKYTRKVHPRWIQ >PPA16268 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:896125:901483:1 gene:PPA16268 transcript:PPA16268 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIELINTVTECIDMTCEKLRNFGISPSEIQSVGIANQRETTVVWDRDSGQPLCNAIVWLDTRTSQLAQEYIDKTDTKSKDSFKSVAGLPIHPYFSALKLRWLLDNVPAVRECRERGSLMFGTVDSWLMFKLTGVHCTDVTNASRTLLFDLATRSWSTELCNFFQIPTNILPEIRSSAEIYGHFIEGPLEGTPIAGCLGDQQAALVGHGCWSLGDAKTTYGTGTFMLCNTGEKAIVSKNGLLTTVAFQLGSESPMCFALEGSGSIGGNVVRFLRDNFKFIKEASEMEGICRTVEDTDGVFFVPCFTGLYTPQWDPTARGIIVGLTQCTTIAHICLAALRAVAFQCTEMLEAIEHDMENTTKINTLKADGGMTANTLFNELQAEIAGRKIETPRNTEISAWGAAIAAAIGARLISIADFGTRQAKFARFEPKGDSLVREVEMARWKDACI >PPA16258 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:806866:810193:1 gene:PPA16258 transcript:PPA16258 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpy-1 MKSEAFLNLAKAYEKLADYSKALSYGKASLQHPSMDPRTPGYAHLSLAMAHLGLSQFQQSLESFEAAMNVANTSDDRLLELQICVGLGVLFTLLRDITKSLIFLRNALAIVQTVTVEDVHAKYRALILLHLSEALRLSSECGNRTLHARCMCSLADIYRELGESEAKETITKSWARYEEAFRIMRSSSDRQGEMVVLASMAKSASESRSHYSGQCECQAISLNKKCLEIAKMIGCKYAMLKCHLRLAELYGQLSDDDSEEIARKAASGLTQEMELFCNFCGQRFAMKDENACTLFSKSERTRPVQK >PPA16227 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:656141:660607:-1 gene:PPA16227 transcript:PPA16227 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLWLSNCPCSSWSGLIRHATFRKPLICRRGTNDCYEKNSKPFCRKCRFVRFAAILGHPLSEEDMNKLQSQKDSNSDTSDPNSVELPEEDDHDFLDHRSFSLNPSSSIDSPFFDRMKRAYSMLCVIRKCGELGTHPYALSETDEVEMVGDGIKFLPSTHSIKLPNSRIMFAGLVQFADACFEDFRRFSTETKHFIINISFKMLSTLDGVYRSVHHFPDDDTMVSGYTSYFNAKMIEFYVDSCPFKINRKEGAEKELLTKVMAQVEEDMQVYKLMNLVNEDFGKPYECLICSAPIYNTNLGIDACRACTVFYSMMCVVRKCGELGIVEMDEDNELQDYRATCHI >PPA16136 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:257199:257977:1 gene:PPA16136 transcript:PPA16136 gene_biotype:protein_coding transcript_biotype:protein_coding MYIPGTKLDYVPPDDETSPPPMFVPPGAGTPVGVAGGICANCGGMIAYDNDSCCCVIIILCSVCCFPFGLISLCWMKRWTSPLRAVWHRRHLEVTSNKQATISHSTRPSKTLVHDKESRVTRNF >PPA16244 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:742771:750167:-1 gene:PPA16244 transcript:PPA16244 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDALIPLPPQDLGDLRDQPELQALLANPALMNHPQIAQLYAQFQQDQANLMNLPPLPPLPPVPPLPPLQQQQDVPMNQDPMLPLQPPVVPAAAQAPPPAAAAQHPLAPQFHLHMQGFMDGFAAIPVLGFAPLGPGQFPPPLRRRVAVARRLPPPPPPPPAAVPPQPQNAAGIVAGILQSCVVELTARNDGVDSEIEWVAVDRAEAPAQVEGVQIHAIPVYRGGLLHPPVGIPPLPPAAAPPVDDAMDDIGNVPLPAHDPEQQQPQQPPRQQQQPQLQQPAFRAQMVFGGALAALRNVPADAPPDAGNAAEDDNAVHRGDFRLDDPMDIAAGMGLFDEDWLGPLRGDFGDDEPVERRRPATANDGAGERTPPRDVPARLEEPCEQEIHASCPICILPFKNDTEGSRTARVLSCGHLICSGCVKQFLRNLKENRTMSYHHSYDKAPCVVCQRTVHWRGIPECKTVGYLYEQLELTNDERSTVDEAAIAKIRTDARKRTHRLLDNISSSCHEMATKIRKLVRKADRVYDDSLERASHATGRETWRRIESEAHADAVVKVVQDEADRLSYLEILFNEQYVQLNKLLEEITSSPLNIPKEHPAKLLTSDAVLTLCLFTAVALSYRDLGAPGWSCDEDLMKRSKKVPENVHSLRPADIDIIAAIGDSLTAGNGAGAEGEDVLAIAIQFRGLNWAAGGDNSLDEHITITSMPILSTTAHLNAGVPGAHSADVYEQANDLMRRMKDHPDIDFMNQWKLVHIFIGANDICSWCHRGDSYMDVCIQSMNADAYREHLRKGIQYMKENMPKTIVVLTGMIDINLLRRIDNAHLVCKEIHTFECKCEGNATVTDADLSGICHGYMTTMQELQDSGEFDTTDDFTLIIEPYLELTTDIGRNPDGTPNMDFFAPDCFHFAAYGHAIVAKNLWNNMLQPVGGKTAANLTDNGEPLICPDKV >PPA16151 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:352866:355244:-1 gene:PPA16151 transcript:PPA16151 gene_biotype:protein_coding transcript_biotype:protein_coding MERFKSVEEPSGITTFNNHTAMVMEYVDRNLRQHLDRGRLNKNSFLEITRGIADGLVYIHDKGMTHRDIKSHNILVQLTMTGQCIPKIADFGIAREVPKEWTRMRIIGSYHYMAPELLIPERITGTDEDAVQARRVQLAKLEPRMDTWSFGCLVWEMLSGLVPYIGCDPVTLPTMVAKGTMHDIVEMSEELTVEMKGLTDDEWSAEQQKYLDELALHGFKSRSATDMLKSRDSQMRKRVGDFLKEGWDEFARPILKNLLRRILMLSGDSEKSANNTICTTCCTHTALNAHCLLW >PPA16163 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:409066:410770:1 gene:PPA16163 transcript:PPA16163 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAALFKWYCIGACAISMIFNALLIFIANKRKEKVGFYRFFTQATELIGVLYSLGFAIEQPFWYAGPGMLGFFSIAPWSNEEMAIKIAFQIWFTSSRVVSWFEVPRRMAVTMCFLTMVCCGWMYNSNFLLFAESKSVCGWKIHKTIKIQTLSNKLKRLHGRALRIMTAQVLNPVMFLYIPSFINLLGMFVDSDFGDFPKASIVNRHFIFKVARSDFSEEDPHLSSCDSHNSAPDWSWHE >PPA16191 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:501168:502878:1 gene:PPA16191 transcript:PPA16191 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLRLLVFALILHNATAEKEKKVIDNSSSQTDSKKNIERGLQRNLKDWQIEIFDEANKRYRERHLITTEPSITSITTATVSAKTDNTTSASDSKIDTTTSSNTTISSTMEPITTMNTTTASIVETSTSSYTTPTSTEKPTTIAGTTIKSATTALPPASSKTKVEVVPKALLEETDESIPREPVAEPEAKETGVGKIFLFSALGILVMIAVAIGAIAMYHRRSKRRAARIRLKIKGNLAMSNERLPLKASTPMAPTPKTRTEPTPRLVQVASQS >PPA16085 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:35617:40059:1 gene:PPA16085 transcript:PPA16085 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLFLLVAAVRAQIYVTQEQFMTPPQIIANRGYPAETHTVVTPDGYILTLHRIPRGRIGFGGGRPVLLQHGLFSSSFDFLSTATNLSLSYSLADAGYDVWLGNHRGNLYSNAHVTYSNLDKRFYNFTWDEMSLYDYPAIVDYILNVTRQPNLYAVGHSQGGHTFFTSTTSSPAIQAKVKYFFAIAPSLSSTHLGSALLNLGAQFPDLAEAFFTFLPDQAYQAPLLGPFCGSTALSKLGCHLIFNEVAGPIDQIDDSLLPLATAHFPAGASDKNMIHWLQQVRNGTRYFDYGSAGNLAAYGTPYPREFNFANYTVPTSMYFSPSDKLVSTEDMNVAFSRLPASAIQKIRNITGFGHFEFIWGNRAKAECFESSVSFFRLGAEQFVHRHTTMLPRCRISLPVSHKFRNRLLIFMMLCVFLPLMWPVIIVLFVCTPRYECTLCHERDG >PPA16278 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:963313:964300:-1 gene:PPA16278 transcript:PPA16278 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWTAVLIHLPHSIFELIGKRPEWFCPTFKALAQPTFWLDIYVYVMQGNGNKGLS >PPA16081 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:21448:26074:1 gene:PPA16081 transcript:PPA16081 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLLVVAILIAVYVYNYYEGVKRYPRGPRPLPLVGNLLQFTPSRLHAFLEESSKEFGDVFTVWTPRPTIILTSYASIKEALVTRGDDFAGRMRSFPDDMWMTTENGGVIFSDGERWREQRRVAIQILRDFGMSKNLMEQQVQASLHEFMRHLDSIEDKSAIDLRWPLQILVANVINNVLFGYHYPYDNSKRLTDYADRLTFQAGSLARATYLCMLLYHLENQFKSPLIWLAAQLPFIQKLPVIGWHAAGKHREYHARLPNHVREDVAACQASFNEHEDPHCFVHAYMMMGRGRNGENLTNDQLINVCNDFYMAGMETTSTTLRWAMALVAANQDAQDRIREEVHSVLGRTREVTMADRARLPYTMAAITEVQRIANILPLNVMHRTLVDTEVGGHFIPASTLCLPQIHAVMRDPEVFQKPSEFRPDRFLMEDGKSMNKVALEKSIPFSLGKRQCAGEGLARMELFLGLVTILQKYRILPPKDGFVDLTPTDAIISTPKTNLLQMELQCNPSSNAFMHLTFRLPSDDLPQVYCTG >PPA16193 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:506617:507683:-1 gene:PPA16193 transcript:PPA16193 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKHFNNFEKLLEIWVDGPSAALKDNEGKTIFGCTSKKATSDIPSAEIKFIKGNTASSGKTYCRFKLTSHRKEIIQRPKHFDEHQEQLKIPYENLFSEVITCPEGSVLMYEIDGEQFDAVYIECKIDAGFHYFIKGVNGDRIEKNGNF >PPA16223 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:641814:644740:-1 gene:PPA16223 transcript:PPA16223 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ceh-19 MSFDMESILSMQVANRQEDKEEEEKDDTPSRSPSPPLPSLSLLNPLAAAAPLLLAASLQDGDKSPSLVELQMLLGIGARKHDYKRSRKPVSDRKPRQAYSTKQLERLEKEFQVPAHKYLSVNKRVHLSQTLNLTETQIKTWFQNRRTKWKKQLTCSLRQIYADSMGSGTGSVPGSMPQMPSLNASIMPFSLFPSSNKGRLRLFDAAYTLREPANHADFYRTNYGCFDELMAKGTHPDFVKERLPGAVHFNLDIASYPGVNVRAALYPPGLFKEYVRKLGVAKGDSVVVYGRGHSGGMLFAARAWWLLKMYGMEDVSVLEGGLETWKKEGGETTSGNDDKDDMVEWKKDFEEEQDENIQAFLEINRDGFEATAGVRILKPDWEASAFKMDRLVNYDDLMKGGLFERLDKVNMLDCRPADEFYGRKPLAFPPNGAKGARLPGSKCVPLTAVLSPSGELKSAEEIQQVLREAGYDAAKPTYTLCNGGTQAALLGLALEKAGVKWSLFNGSLREVSKRKPSLISATGEE >PPA16125 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:229438:230182:-1 gene:PPA16125 transcript:PPA16125 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLESVLGPPLDEVGDTRRVAQADGRGFSKLEVEESGGERRPPVVSARMENGEWRMENGEWRMENGERMENGEWNENGKQCYTFDNRPIIIDSPMMFILDNC >PPA16203 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:565087:566163:-1 gene:PPA16203 transcript:PPA16203 gene_biotype:protein_coding transcript_biotype:protein_coding MRMPATGVSFDEIPVIEVNRENIDRLWPHLILSIRKATLIALDLELSGLGERAAINAASLEDRYAAMSMIYRLLRQAATTRSVLSLGIALFERQKTKKEKRVAYKCQVFNVLSLCAEPFTMEPDALRFLADHSFDFNRYVRQGVSYHAAHQSAKVLIRRLRVNKINH >PPA16205 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig32:570523:573242:-1 gene:PPA16205 transcript:PPA16205 gene_biotype:protein_coding transcript_biotype:protein_coding MFAEWGHLLEKVVVDCTVPLDVAHYILMALSVREETGPSFALLHPFASWFCTLLVSFSGTFLTNFLLGDSLLVPLMSKSDIMLFTVIWYHVSILDSFAGIFNWKPVKNVICVAKEFQRNHKIMLGVHSGATKFVDHTLLQVLVGCTKGNGSGVVKIVQQFVYGKWVPTENELLKPSTTTKATILTAILYASSHISHEVAYLCGAVVLVGSKVLSLAGVSKSPLDQPYDRLRFTVIEAPRMLESLIFGSSEVAAEQKPLIEEAVTLSSEVLQAEKEKKTEESVASSVPPLASSASVAPWTLEEWQRKVAESLEEIAEMGRSNQIGIGKVNRAVCDLDEGKTETASVQTQILAELKELKGLILAHPPNPPRSPNPSLDSTVILNAPEDTVDEDD >PPA16168 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:432756:436650:-1 gene:PPA16168 transcript:PPA16168 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAVYLFKRAVVRKPVSEIRKSPTKPVVKQDEKKTELKKNTFSKAELFAQQLSGGAVVEDLPEPTTTPPTTTTTRAARTAYTRRAVMARRVITTTTTEAPEPIEIEHETEAPQFSIKSRPVVDDETADSEAPVTGCLEDEIPLWVRFENAEPDIDGPKGSAPVESREACQSACNEVDGVRSITFSEKDNSCQCNVDFDGISMRKSAADDFSVSTSTRFCFANTLAVFHHCGAFMGFRDFTLNVSPRESFESLPPSYEGLQLCIELCSLSTDYACKSATFDFEAGKCELNDADSASNPSDFAPTMVDHILYFENSCERTEAIMQKKSDASLTNVNRVAASDADMEKLTAKSSGGGIGGLKVDNCPPHLP >PPA16110 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:154121:155137:1 gene:PPA16110 transcript:PPA16110 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKAKLIEADSVKKFAFFGVAVSTVATLTAIVAVPMLCMYMQNVQSTLQDEINFCRTRADSLKGEYSKLESFRLTTAPRAKRASTQCCSCGIGPAGPAGAPGQDGVPGHDGRPGQPGQPGPDATEQHALPRPEDFCFDCPAGAPGPAGPQGHKGAPGAAGQPGEQGPPGRPGSPGTPGPQGAPGAPGNDGQPGQAGAPGTVRTVPAPPGPPGAPGEAGPQGPPGPDGRPGNPGRDGQQGPQGEPGQDGAPGAPGEAGEKGAPGSDGAKGSCDHCPPPRTAPGY >PPA16139 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:276943:286239:-1 gene:PPA16139 transcript:PPA16139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-let-858 MARSSSASSDEGSAPRKSVTPRSPSRSPSPDKKKNDEEVVAKKGRSPIRAPSPAGSPERRRRSRSRSNERRRSRSRDRDDRRRDRSGERKRRRSRSRSNSGDRRRRDRSEERKRRRSRSGSGDRRKRDRSEERDERRRRGRSDSRSPKRTRKEKSPEKQKEKTPEPIVKKKEDPADILRSRAGGAYIPPAKLRLMQAQMEDKSSEAYQRINWERIKKRIHGEVNKVNVGNLVGVVRSLLQENIVRGKGLLCRSIMQAQAFSPGFSHVYAGLVAVINSKFPFIGDLLVRRLVVQFKRCFRRGDKGTTVIVTKFIAHLINQQVTHEILGIEISILMLDKPTDDSVEVAIAFIKECGMKLQQVAPRALASVFDRLRSILNEATDLDKRTQYMIESAMAILKDKFQAYPAVVEDLDLIDEEDQVTHMMTLEDAVDPENGLNVFKMDPDFETTEAEYEEVRKNVIGDADDSDEEEDDDEDDDPDPGTAADQVARQAEKMDIIDNSEQALVAFRREIYLTIQSSLDFQEAAHKILKMGIKPQLESELCNMLVDCCAQQRTYDRMYGMLIERFCRLKKEFQDNFEQILKDVYKTIHRFEITKLRNVARLQAHLLFTDAINWTIFTEVRLNERDSTSSGRIFLKEVFQELSREMGMVKLWQRTVDKTLKPAFAGVFPRDNPEDTRFSINFFTAIGLGALTIDMREWLEKGAKKKRATSDDEDDESESSSSSSDSDSDSDSDSDSEEGKQ >PPA16217 pep:known supercontig:P_pacificus-5.0:Ppa_Contig32:623249:623941:1 gene:PPA16217 transcript:PPA16217 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLGGAAGILGATTGGFFKAGPVISKQYSHFVTGNISLMLTFTMIVVPFLVNALTPNNTQAEWRWVFVITLAVQVVTNLFFCIFIRGSPCEWTEDEWIRRNTVVDAESSRKPATAET >PPA16282 pep:known supercontig:P_pacificus-5.0:Ppa_Contig320:15515:15967:1 gene:PPA16282 transcript:PPA16282 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEYGNCYTFNFNDSVTMKNSRAGPMYGLRLLLNVNQSDYMPTTEAAGVRIVVHEQARIFEDQEPFPDTFGYSAPTGFISSFGLKTYCTVWMVRMGNVATHFDPRDTFIMNIILPRSTLLAFT >PPA16283 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig320:24822:25628:-1 gene:PPA16283 transcript:PPA16283 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLQFIFYMGWMKVAEAMLNPFGEDDDDFETNALIDRNITMGLMIVDQGYNRPPDLGRDPFWGEEVDPLYSEETAKDSREIRMEGSVSQVNLSNH >PPA16284 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3201:246:638:1 gene:PPA16284 transcript:PPA16284 gene_biotype:protein_coding transcript_biotype:protein_coding VTNSSARRIGWAFKTTNMKRLGVDPAAGVLDPKENALIAVSCDAFAYGQEDTNNDRITIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA16289 pep:known supercontig:P_pacificus-5.0:Ppa_Contig321:20996:21620:1 gene:PPA16289 transcript:PPA16289 gene_biotype:protein_coding transcript_biotype:protein_coding MNFDRFLDNFDENTISKMKRKYWTAKQMLKEKLGHKVRLRLRFSKGRRLPSCIRRGSRCQTDPIRLDPRHVGEVAALRRRVPGAYYW >PPA16285 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig321:126:508:1 gene:PPA16285 transcript:PPA16285 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIFNKLLEIQSSVALISSRQDRLEKRIGDITNDVVGTRYESRTLVDVTRKIQTDVKSLATVLEEVKDRVPPPPQGPEYGIYASGRVTTLPEE >PPA16290 pep:known supercontig:P_pacificus-5.0:Ppa_Contig321:22441:25568:1 gene:PPA16290 transcript:PPA16290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ric-19 MKKEGKEEKRRHLSISMIGLGRALCFTAHRLAAVRKPVVRFYDELHVFVDRAVHDCSQTVDAVERARTEYRGSLLWMQNKSQELDPESRQALDSFREAQSVVKANKERLDALKVDTLQKVHLLSASRVNLLVHLLGSYAELLSTYYERTAAAFGAIATNLSAYEHYDFEILTDLVEPSRKIAAKIRQESQDKEDEKRSRVGSRSSDNDKGEEQQEKDLLDFEADEEGAFRDYLFGRSTPDEERLIDSPLGILDDDEEETEHEGKMKTPTRRPKKEEKRDPMTVLSQVAKVTVGAASKLSKIRVVRKNIARVLTVINQTGIIYKKTRAMRRALAKHDASIKPVKPRGIS >PPA16288 pep:known supercontig:P_pacificus-5.0:Ppa_Contig321:15330:17813:1 gene:PPA16288 transcript:PPA16288 gene_biotype:protein_coding transcript_biotype:protein_coding MVITVGQAIVYVASGLYGEPSDIGAGICLLIVVQLVFTGLIVLLPNSSSRYASDNLKGYGLGSGISLFIATNICETIVWKAFSPATMNTGSGIEFEGAVIVLFHLHATRSDKVRALREAFYRQNLPNLMTTVLVFSVVIYLHGFHVDLPIKSTRYRVQNSSNPIKLFYTSNIPIILQSALVSNFYVISQMLASKFGGNILVNLLGTWSDTSGAYRSFPTGGICYYLSPSETLGHVLEDPLHCIIYIAFMLGSCAFFSKTWIDVFGSSAKDVAKQLKEQSMVMRGHREKSMIRDLNSSDWHLRDTLACRYIPTAAAFGGAIGWGTGILLAVTIIYQYFEIFVKEQQEMGGEKKRVGAGELCGERLGFVKNMTGFERMRSAAC >PPA16286 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig321:1109:2593:-1 gene:PPA16286 transcript:PPA16286 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLLLTLSLVSCAPRINKWSKTDLTFSIDNRRSGMTDSDTKKAIHAAFELWSAVTPLTFKEVPSGGDIQFLFASGYHGDAYPFDGKGDDMGDHWENVLFHTFFPEIGAVHFDSDEPWTVDVEREMGKPERSKEDFLNAAIKSVGNALGLSSSITIQMNDKFVPRGTLTKDAIEAIQWIYGAPKN >PPA16287 pep:known supercontig:P_pacificus-5.0:Ppa_Contig321:3691:11820:-1 gene:PPA16287 transcript:PPA16287 gene_biotype:protein_coding transcript_biotype:protein_coding MSFENTRSCSLKEVKDVRVTAEQAKILASVAIPRFRSIHTCLTIVVARVRHRRAVTTEGTTGGSSRLATSSGSTLAAASFAIAQKRALAAASWSVAETGPGRGQGQAGSIEGQGSPSLTRTQRPRRPVRPNEERNEEGEGGGKQKWGTKEMWDEEERKKKEKEEGPPKDKEKVNMGTSGLLAQDTNTFNGVVIKYNEPQDAKIPNIRWRLYQFKDGDDSLPCLYIHRQSAYLIGRDRKIADLPVDHPSCSKQHAVLQYRSVAFEKADGSRARRIRPYIIDLASANGTFLNGKKVDASRYIELKEKDVLKFGFSSREYVLLTENALEHEAKESSSDSSDVSSDEEDDGVKKEVKEEED >PPA16291 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3213:504:1223:1 gene:PPA16291 transcript:PPA16291 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDKCYEYMMANPKYQVYVVAGNVCYVGETAILKTSANAPNDCDNDCAGNSRQKCGTTDHAWQFTYSYTNETAQCDVTPKPCNQAKNQGHCVEQN >PPA16292 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3217:275:1309:-1 gene:PPA16292 transcript:PPA16292 gene_biotype:protein_coding transcript_biotype:protein_coding VSDLMDNIAEQLDQANEFAEAISQPLPGATDMLGEEDLEAELEKLQGDLLPTLPSVPAAVELPDVPSAPVTRSHKAKISRDDSMSELEALVENQP >PPA16299 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig322:20551:21088:1 gene:PPA16299 transcript:PPA16299 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKDMDMNELTLAWKPKDGGWTPLEDAKTLGSNGLSTNNSPAETFLKKRKNNYNLTMYTTNIQ >PPA16298 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig322:19444:20204:1 gene:PPA16298 transcript:PPA16298 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLQRKTEIGRTIGAGVAIGPKDGEVEFGDRYDLMDLWTMNRGGNVAFDHHGGGVDVGTRMQAADNLIRIHKTDFGVEYGDRYRPPFRRTFAKGAALKGPTLESIYPRYRYSDNWYQESFIRPGDEYRTKAKRACPWCSRLLYL >PPA16294 pep:known supercontig:P_pacificus-5.0:Ppa_Contig322:3393:5280:-1 gene:PPA16294 transcript:PPA16294 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-119 MRLTTEEELRTKGGEITPDDVLGLRSITKDYLCSPQANIYEVEFVKFKIRDMDTDTLLFEIEKPESRDEDGNPMKIDPSEMARYVRYRFSRDFLALKRVGATVSFRVGDQGVKDFRMIERHYFKDKLLKSFDFVFGYCIPGSDNTCEHIYEFPRLSDDLIEEMVNCPYETRSDSFYFADGKIV >PPA16303 pep:known supercontig:P_pacificus-5.0:Ppa_Contig322:33441:34963:1 gene:PPA16303 transcript:PPA16303 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMAKEMDTEYKIEIRKGPGKIRPNGKWDGLVQDLNESHIDVAIAPIPITSNRKELLDFSPSFYTSGISMMIKKPGPNGTIYSLNPYAPVTWIAIFVLEIMSIFTVIGLYCHMRLDISDREGRWRYYILVSFILVWVICNFSLLISILHHICTTAAVNSKAEPIEYFESVQEVVEQEEIKYGLQRGSLTEEMFKNSNESMYQEMMEVMEKNGEESFVDYYNHGIQKVRGDGKEEGNYIFILDDASNLYENAKMPCNTRKIGE >PPA16300 pep:known supercontig:P_pacificus-5.0:Ppa_Contig322:21553:25997:1 gene:PPA16300 transcript:PPA16300 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKHPVEEEVIEDDGVEEKVVKTDVIDEFTPFLNVNLTPKDVESIKNRTRKASKLSLPGLPPASLSGGITLPKAPLNEVTSLETLGYTEALLKNMKDLLQKEFGEEKVEKYESMMSLMGKYVDIAMLTDKEKKKLHKIGYCTHALNHVIRTRNTVLKNKQKLSKASEKGEVSEELVESVRDQGFVRPTVLILLPYRRDVHRLIEIIKDLMFGVDVSKADIVHKARFEEEFGQGIVKTFERLKEDEDDDGNRDDCFRLGVALSNKSLKLYAPFDKADILICSPLGLRMVIGGDSGRESYLLSSMQLAVVDEADILLQQNWEHLPLIFNTMHTQPSKIVTDVSRVRTQYLDGHAASLCQTLLFSSHRHELFTALSMGRRNHRGFVSFRPSSEGLLTQIELRICQELHSIKCEDSTTQSDARFNFFKEKKIQRIVFYQPPSRPSFYIDLINMCQPEGRLQSILLFTQYDRIRMENIFGLEMGTAILKSEKAVQAIRSLVPSSTLFIVNVHC >PPA16296 pep:known supercontig:P_pacificus-5.0:Ppa_Contig322:10844:14464:1 gene:PPA16296 transcript:PPA16296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hse-5 MRLPEKTYNSSGLFGHFATYSVETRERVKCINPITGVPMSTQWNVIPYYYPIQIAQYGLEHYSRLLSQGNESRSVVVGVDSKEWRGAPGSMDETSERVFFVDEEGKSTVNFSTKDDISNPGVYVSLSSDSDLATVSFSFKSHSPNSSFSILLTVKEGNQLILLHYVPRDDDRCVWSEDSKKMVDNQITFFYSLPFQTGEWMEITRDVLVDAARALSTVKEKGRTKKEGNVILRPGDITIHSLSFRGHFTILQQIIQSRSENEKAFIHAADWFVANQDSSGGWPVGVERKVADGRLSLPSGWYSAMGQGHALSLLSRAYGRKREERYLKAAEGALKPFAKMASEGGVRNMFFTHPWFEEYPTTPGTFVLNGFMYSLIGLHDYAQLEKTSPPPPSSFSSSFSTASSLFQEGLTSLRALLPLYDTGSGSMYDLRHVALNTPPNLARWDYHAVHVYLLKWLVAITGDGGLDQVANRWIDYANGKKAKHN >PPA16306 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig322:39419:40023:-1 gene:PPA16306 transcript:PPA16306 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDGVFLSDGELKRSSGLSSSLLTKKNIIDMVTNKKLFFVDVDSRFSKVGEDL >PPA16301 pep:known supercontig:P_pacificus-5.0:Ppa_Contig322:26177:28536:1 gene:PPA16301 transcript:PPA16301 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPPSLLLLLLLSLRVDSYMGAMMGVLNECDDGQQNLAIDWDPDDFTQFTCPTVWTFAVRDEVDEIYYALPNFNAQKDVVGHKCMNETISYNDTIPLRGDHRPNWPVYGEYLYVPPQRWLHNLEHGSIILLYHPCVNEDELDKLRALVTGCIYRHIITPYNKLDEERSLALVAWGARLTMSKVNEKSVIKFIRRHAHIAPEDISRNGKYNLHLIQHSKVISSKKDLQLCPSHPPMPDDPPNQ >PPA16297 pep:known supercontig:P_pacificus-5.0:Ppa_Contig322:15545:18728:1 gene:PPA16297 transcript:PPA16297 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGSMFLALAVEESNLHKRIALRLMTFVGAKPHMLMAGFMIITSFISLWISDTATAALMCPIAMALLESVMAHRQRHYKDTDGDGMHRVESVITGVTIPCDDMGRPLDTSLLSQRDRGICKCIMLIVAHASLIGGTGTINSTGPNLIFRSTIQQFYPGENTGVSYFSWMIFAIPPMVLYMFASWFIVQLQFLGFSHIVSIFKKGSEEERKEEEYVKKTVQLTYDNLGPMTWAEKWTLGFFVALVSLWMTSDPKVIPGWVDLFPRNMVTDSCAGILITFLFFAFPRECPDFFFLREDRDRPSIRRSGLLTWEAVRRKFSWSVILLLGAGIAISASVKESGLSRIFVCWLMDRFHGMPHFLIQILLSIIVVVATEFSTNTATGSVFIPIAFSMAEELRVHPLFFSIPAAIGPSFSFMLPMATPPNAIVYETKTMTMWEMASCGILLNIACITITVLNMNSWTWFVFNMGEYPEYAMRHNNTLPCS >PPA16302 pep:known supercontig:P_pacificus-5.0:Ppa_Contig322:29751:32129:1 gene:PPA16302 transcript:PPA16302 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQQQAFLAAVAALQQQAQQAALAQQQQQALNLSAQLQAQQLQQQQLPATFPNFNLPAVEKIGGPKYRQLLKTIEEIGKDLKPIYCNNKITTERYKRSLIHLRNLIRDCQSEVEKERVSQNQKLTAELAKLDKDHSSSRSGSLHVIEDYSNPSISTREIPTEGGVFRFTFLPDQSQLIAALTTGRLALISLEDYSITQSDVISDTMLLDVSPSPVPASTTVLSSTNQGSLILYDIDRMDTVLRWDAHSLPWTNEPCEVWTCEMVDDTVVCSGGEDTVLKVWDTRSTERPSSQIKVFDAGVTFIESIGDNKLLTGSYDELLRVIDMRAPKTPLHQVKCGGGVWHLEKCNGGWIASCMYGGWIIIDEQFNPIAQDSGAGKTLLYGSGLAPDGKTMAYCTFNDYTLTVSHLDDNRTWPEIYPIPA >PPA16305 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig322:37178:37779:-1 gene:PPA16305 transcript:PPA16305 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKEVILSSSSGCQFFGTFYEAYAYCQYKTPFGPCYHLQSLDVLCESGNFISEHVCTFPSSVKSGLTEGVLPFIQKGAERAVDLVKDSLAHEVAKIGIDGAKHFNKYELEFNTSDTGDSHWASFVIVDTIHLDLS >PPA16304 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig322:35021:35473:1 gene:PPA16304 transcript:PPA16304 gene_biotype:protein_coding transcript_biotype:protein_coding MTYEFAVATKKGSDLSDRVYAAIESLKKSGEIEKIRRHWFEERALCGKGGLMGEISAAESNASYIIYGMIGGIAMSIVTGCHTHLSCGKKENGTTYEIH >PPA16295 pep:known supercontig:P_pacificus-5.0:Ppa_Contig322:6884:9784:-1 gene:PPA16295 transcript:PPA16295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-athp-1 MKKAPLYDEDVGYAHELESILNPKGQKRSVPRYRAATNVKWKGNNTQFCIVCREGGEILCCEGCPASFHLLCHTPPIQPDAIPSGKWFCHRCASLPKDVQKTNILENPDETETERNEMATNYILQTATDEERENPLKLITAASRVRNTQNFTLPDGIDPKIPLPHTRLPVPRPTVENKNCTKCGRIIHDAPMIECDYCISPYHLDCLESPMTVPAKSRWMCPQHPEHSIDKNLLSSNSLSERLSLWNKHASSEIDPMESMRRFVEKSREEAEEGDRPREKRVAIPKAIKRLYRERAEVDDQEVFSSIESRDEWVEDMAKMMASVANAHLPETDAETSTKEKGKEDMKNSPTLKRECLRRMQFCHGKEKQLYSLALDRIEMIEMETAVVDGKPVKAEIDDSRVKPDLMILAVIARESDPSAGVPIQKGVTTVGTKDGDSTIRIASRRSGSSESGWNRLWKNGYYTKKEEGIDD >PPA16307 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3222:571:810:1 gene:PPA16307 transcript:PPA16307 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVLVKGADQLTLIDNSMITKGARSRGMTITYKTKKGLSSGTYIDIGTLTEMSGKKKQMEEETKGKKKRGRKRKEITVG >PPA16308 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3228:85:1206:-1 gene:PPA16308 transcript:PPA16308 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRKRQSTSVRASLFNWSWSGWHARVFILTLIVGYRMFTRVPIEEHTSDDSRLEEGGSAEQHHDGGRRHHNDDVSSGGVTGSTTSQASCGSIKASETSVHTGIPTRPEPAPPTPAAPSSTPASAPAASAAGPQPQSDPPPRNNNNDNNQAGARNSAEMLDDDV >PPA16313 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig323:12113:13849:1 gene:PPA16313 transcript:PPA16313 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLRKQKSRVRSVSNPTPAATISGEDDERTVVQGSLLPNVPREAPGTGKPSGDHHVVDESAEDVLLDTREDCHSPEPEELFDRPQQETCDVTTVPCGTATVESDDASIDIPGIYPTLESSAAQELHASEMVYPVLESQADKGVEQLTNLEEEQQDADLAHEEEKSDQRVDITPMYPNVCGQETTELGLLSEEVQLSFYHNVLYEDTEQLVDRFCVEEVGYSTTLDPIGPLRELLQRFKDVCQESDKAQHTCDEHNRATGTAKYEIAVMHSEKLEEIKGSASTN >PPA16315 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig323:19113:19850:1 gene:PPA16315 transcript:PPA16315 gene_biotype:protein_coding transcript_biotype:protein_coding MPDYLGDDQRKTKKTAEKEDDKPFLALDENDIAVLKRYGMRQEGTASQVNELSGVKESDTGLAPPALWDIAADKQAMQQEQPLQ >PPA16310 pep:known supercontig:P_pacificus-5.0:Ppa_Contig323:638:4997:-1 gene:PPA16310 transcript:PPA16310 gene_biotype:protein_coding transcript_biotype:protein_coding MALRNNNTKQLSRADEGKVRKMFDKYANDPQDAQPGKIGPNGMARLLGELKLAENDRQVLILAQRMNAETMCEFSWEEWLSGMAEMGAVSEATLRSRLASLDQGLRDPVGFELVYKFAFGYGKRPGSRNLDLDYAIPFWRILFKNEYSLLPLWEEFMEKVNKKAVTKDLWNQMFEFATTVKTDFSDYDEEASWPTALDDFVNWARPRLAKKARLIDGFVYDAGQKAVKRIAHFPKQTGKVKVPEWSDLVKLGVTKDMAPESTQSEDGLGRILSKQGRKDLDRIAADLRSIT >PPA16312 pep:known supercontig:P_pacificus-5.0:Ppa_Contig323:8308:8764:1 gene:PPA16312 transcript:PPA16312 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIALLTWHGSDLNTNVDGVDDAVGFKLAWMGVGNAIGCPVTAEPQFLQLLHTLSASRWCEVASLTGKMIGPHHRMN >PPA16314 pep:known supercontig:P_pacificus-5.0:Ppa_Contig323:15655:19075:1 gene:PPA16314 transcript:PPA16314 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNSSDKLKLDYCVSMISLLMSPIKSREQFLSDFASITLPSNCAHVDDENPVIISESDLTSLLEQLPVADFYTLAIRHFMATHANTVDQFTSLIAFQLLLMKIFFVGLEEYSTMKYKSFCKQIGMALRKSVRELGCQWKAVRWLLDPAADFQLQKEMDRILLLAVNYITANRSLGLWQYLIDLPYDCISEGCRMRVEYLLRSDTSSCEDHRKGGEADCRNSRELFSMQPEEIAKEMRASTLADRLSTSGEHDTVFLVQALTVLVVQTSQDPIAFLREIVQVCFVDETTRESLYKAGREAIATVLKSKPSILDSLITVIDRSIDHIEAHLMDIFASAPLHMCKISESLVGGVIGKWLIGRSPETPGNRVARRVLSGVNWGYGEDGELWMHKQVHVMCADVVVKAHIAHCSSGIIGNIAQIAYGTQDHYAKFSQFCWQFADYFAQTGLNCVDKLVSAGCTSAAVVLMSRAFSLYYERAYVITENENFLPVLERLLHADQSSYAYQLIAGSSATPGQVTKCLECAITYEARLVFNLSLYLRAWVDALVVKRAVLYRTDQAVGGFIAVNY >PPA16311 pep:known supercontig:P_pacificus-5.0:Ppa_Contig323:7471:8195:-1 gene:PPA16311 transcript:PPA16311 gene_biotype:protein_coding transcript_biotype:protein_coding MIRARASTFTGHNEKGGMQDKFFDQEVELSIGTKLTEDIVKVESFDDLEFRLPENVANAGYTKPTPIQKYAMKSIQNGKDLMACSQTGSGKTETFLLPIMNSLRWVRRHYCRPLKQTQYLVLDEADRMLDMGFAEEVMGYLCTFNLISHVESCWFSC >PPA16316 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3232:42:626:-1 gene:PPA16316 transcript:PPA16316 gene_biotype:protein_coding transcript_biotype:protein_coding ASPRSCCDSSRDSSTNTRSPRSESPSSRKLSGTGPVRSATTRSRPCTAEAPRRPSSSTISPIRSQILLLGEAQRARAKRLA >PPA16317 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3234:92:579:-1 gene:PPA16317 transcript:PPA16317 gene_biotype:protein_coding transcript_biotype:protein_coding PFYRYYAKSCQKSVEDATEVEEEKGVTLMEFWQTFREGWVGFTNVFLVFFVTLTIFPVTMIKVEPDRENILFTMFGGQLYVPVMVFLLFNLLAFIGSMLGSYKQV >PPA16318 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3235:861:1294:-1 gene:PPA16318 transcript:PPA16318 gene_biotype:protein_coding transcript_biotype:protein_coding VTNSSARRIGWAFKTTNMKRLGVDPGSGVLDPKEAALIAVSCDAFAYGQEDTNNDRITIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA16319 pep:known supercontig:P_pacificus-5.0:Ppa_Contig324:934:6251:1 gene:PPA16319 transcript:PPA16319 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit alpha [Source:UniProtKB/TrEMBL;Acc:H3F2I6] MLSKRILSALASTAKVSQNGMATSARNMAASGAEVSKILEERILGQETNINLEETGKVLSIGDGIARVYGLKNIQAEEMVEFDSGIKGMALNLDADNVGVVVFGNDKVIREGDIVKRTGAIVDVPVGEGLLGRVVDALGNPIDGKGPIASKERSRVGVKAPGIIPRLSVREPMLTGVKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTIINQKRFNDSGEEKKKLYCIYVAVGQKRSTVAQIVKRLTDAGAMKYTIIVSATASDAAPLQYLAPYSGCAMGEFFRDNGKHALIIYDDLSKQAVAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKMNESLGGGSLTALPVIETQAGDVSAYIPTNVISITDGQIFLETELFYKGVRPAINVGLSVSRVGSAAQTKAMKQVAGSMKLELAQYREVAAFAQFGSDLDAATQQLLNRGVRLTELLKQGQYVPMAIEEQVTVIYAGVKGHLDKVDPSQITRFEREYLAHVRANAQDVLKTIREEGQITPATDAKLKDLVTSFLAGFKIKAAHPNTDIIPPLDVHWIWHCHMLSPVNYEKDCHAICGQVVDHKLLSSDEIQKRYESSLRAWDSFCAPEPYDFMTVQRQRNFNYQDAVKRYIQFLLLKQTYSNEFLTPAYDFDLIWHTHQVHPLNYGRDCIAIFGCLLKHDDSVNDRSNNSKLLKGEGLTHKLWNVHFPSMFWKSENIL >PPA16320 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig324:20804:21326:1 gene:PPA16320 transcript:PPA16320 gene_biotype:protein_coding transcript_biotype:protein_coding MSAENRAYASIVRALEVLNKSGKYRAALVTASVKRYPEIVLHGDVDVVRGLACSNMQETIGIISDDHLTVTLPLVDFSAVLEDGTGDDLVRAVTDGLEAESRPRRNLFQREKDNALPPPHWPSSVTFASLNSG >PPA16322 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3245:431:692:1 gene:PPA16322 transcript:PPA16322 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRFLFLVALLFTFSDASREEESEQSGVDEALFRTMLTKLRDNHPTFFNNDEEFEELSKETIEFFTNDDETD >PPA16324 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3249:57:502:-1 gene:PPA16324 transcript:PPA16324 gene_biotype:protein_coding transcript_biotype:protein_coding QKLLKHRNKKAHIMEVQLNGGSIADKVERAKERLEKQVLIDQVFAQDEMVDTIGVTRGKGFKGVTSRWHTKKLPRKTRKGLCK >PPA16325 pep:known supercontig:P_pacificus-5.0:Ppa_Contig325:21307:22236:1 gene:PPA16325 transcript:PPA16325 gene_biotype:protein_coding transcript_biotype:protein_coding MYDSTCAKLATACPSCSSHSLKISNLKKGPVRVCDQCFVKLSSVEYNDVKERNRREELEREGGEKEKSDSSAESDDELTNDDYG >PPA16328 pep:known supercontig:P_pacificus-5.0:Ppa_Contig326:9806:10172:-1 gene:PPA16328 transcript:PPA16328 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPILTEAPQEEKIVNALVDKYMTCLIEGEDMCEQISDMYRKAGILCSMLKGVAKFRPCNNICTGCKWIDR >PPA16327 pep:known supercontig:P_pacificus-5.0:Ppa_Contig326:4568:5360:1 gene:PPA16327 transcript:PPA16327 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTTKFSKEHEALLGSYSNNVSPRGSQAIFYLNALISTAAPVYLFLGINHMDVIFHLCMTASVVTKRAQAVTREIDKKYVDKKISTKEKEERHNEVAEEESGYLSVFITKSPFSSFLPSSSSTTSTPFASAY >PPA16332 pep:known supercontig:P_pacificus-5.0:Ppa_Contig326:22185:23109:1 gene:PPA16332 transcript:PPA16332 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDYRWNTEFENDGLFLRCVVPGCPSKRSDLLIGGNGRKKAECDLPYELTKLSEMIVNMNGIHNCAYLCLVLELITPFHVLDKWANWMYSKYEFIKSFEADFYVCTRHFPPSMADQFIPFPILAIGKTAHEIRSITNFYGVDRLLPPDLDAMDLNT >PPA16330 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig326:17239:17766:1 gene:PPA16330 transcript:PPA16330 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPPSRPSQLWRLELSLRCFNYKINGAAKLHPELEFDSIPSTTNRVLHICPKGPFYHHRPLNKIDDDGISCAEA >PPA16329 pep:known supercontig:P_pacificus-5.0:Ppa_Contig326:12690:15046:1 gene:PPA16329 transcript:PPA16329 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVAPPLGNNATAPVPKDTQSKAADDDAFNDQDLPEPAVIITVAPSSPRPALVEWQSNARDQALFRKTWSDDFEVLFSIGSSIYMSVLNRCSTLEFSFSHAFEGPHGTACKSLFPWVAKYEKAGRNYAEQNEFRIQALRLVQTIAKVLDTVDDLVKLEALLYKVGHRHVHYLPAGLDSVYWNVFKDSVQAGIKNRLNSLPDLSAQERSRAVVIWRDIIEYIFEYVKEGFYDGLSGINRFPS >PPA16331 pep:known supercontig:P_pacificus-5.0:Ppa_Contig326:17971:19844:-1 gene:PPA16331 transcript:PPA16331 gene_biotype:protein_coding transcript_biotype:protein_coding MGAINDAKMVHYRLRRQAIIANSSNSWSKTEPIPYFFESGVRAQRKFVHRSSQLSLSGRDPLVLTSRKTQRRPKELSLDRPRDGNGCFSTLGRWPYGTQELSLYNLGCNNMATALHEMEHALGAGIVKEYQKKPNTQTFNLPYEYGSVMHYTGGSAAKVSGQATMEAVDKDYQQSMGIEVKNPLSSTCKSSIFSINAQTQLPCKNYGYTHPKKCNVCICPEGWGGAYCDQNPAGAVVLTASTNFQTYNIDFGGAASNVAKSRAHVINAPVGKRIEVKFPTLKTTDMENSCGKNGIEWKGKTDLRTRGVRFCGWNVPTKSYVSQGNRAVIMAYYYSGSASATVQYRYG >PPA16336 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig327:18052:19221:1 gene:PPA16336 transcript:PPA16336 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRWASLPSSDQEIQHLSATTTPAPTTLDPLALLRTRVGSLIKEEAADYGRTICYYRHIDTDEGLPFMCEFGCCPGGCCVNWQTNTNYNPFSWALALLAILLLTVLIAVMALMAVYWVNRRQTREMRRQMMINSGATESSNVSQISGPGSYYYPDCNFYSYGTNKEQQY >PPA16334 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig327:10012:10718:1 gene:PPA16334 transcript:PPA16334 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRNLLTNINEKIHQLERKESRLLQELGTVSNRVDRKFPDTAFNDFVWASDRVKALINAEIEEIDDLIRQGVTNPAIRQKEAADYREKLIISIMDRLCGVQSDLLYLSSLRKSIV >PPA16337 pep:known supercontig:P_pacificus-5.0:Ppa_Contig327:19583:20543:-1 gene:PPA16337 transcript:PPA16337 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQNNKKDQKRHSIGGHAIGLDNPEDRAATKIQSEIRGFLARKQVEKMKKEDSQAATKIQAHIRGFLTRKHLEEQGLSPTRSRSRSSLQSNEEIEKH >PPA16338 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig327:25828:26050:-1 gene:PPA16338 transcript:PPA16338 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGAIDRERYRIPEGLRPLLEAIARESIRLQSRLLQREYVGGRSREEKDWKDR >PPA16335 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig327:13943:15954:1 gene:PPA16335 transcript:PPA16335 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVHYPRLLVDMSNQWDCHTAMLSHPHGILHVGGTLTFAGKVSGFNDLFPGLTQCILTLQGVNSCSPDSIEYLLNNPGPGRAVALVIGGLSESLMSRPGRYDIKIKNRKGFVRQALIHGADLVPCYHFGEVDIFDHEKGNDEKKVKNMQAHIRNVIGFLPPFLKGSSIFGCGLPGLMPFQRPITSVIGAPIAVTRKEHPSEEEVDALHEKYCAALCELFENHKHRHGIPEDAHLNIF >PPA16333 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig327:3423:3770:1 gene:PPA16333 transcript:PPA16333 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLMRFVSSTLALEIAYEALMDAATSEEHVDLACEVLDALQLPPPDHSDTRSLQRSIGAPKEVFEKVARAIDEIADEGASRQM >PPA16342 pep:known supercontig:P_pacificus-5.0:Ppa_Contig328:9365:10983:-1 gene:PPA16342 transcript:PPA16342 gene_biotype:protein_coding transcript_biotype:protein_coding MAWADTTEIGCGMTRCMGGKDVYVVCHYRNAGNVLTYNVYEPEVNIDVDPYKVFREITVYTGVFSGDLSQSSCHQAQIFCVYEMFDTDDLSTFYLAGSYEVFGNYTASALVMFGGYDDTILPRFAFVTLFITLTFGFAAIFILHRKLFACLRNLSSAADRSNHKMIYHSLTAQMMLPLAYNFGLGLWFVDALGIVHSRTLQRTVFTSTSIFPIVSPLINMYYIPPYRRSSR >PPA16343 pep:known supercontig:P_pacificus-5.0:Ppa_Contig328:12144:16074:-1 gene:PPA16343 transcript:PPA16343 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAVGTHDVRMFQNRYLDACRDIFYHSQPTSTSDHPKIIPNQNAFFKLTDMLKANVAQRKLERQNNEDESKSKDFIDIFLDAKVDVSEVKFGEESDTARKLSTDEITTSNSLAYVTHFLANHSEVQQKLIDEIDSFVADHESFEIEVLKNLKYTDAVIKESLRHYPLGSIAHTRECTRTCEIGGFRFEAGDMVQVDTWSMHMDKDVWGEDAEEFRPERWLEPSDRPRTAFQSFGEGPRICLGMRLAYIEEKVALLKLMSRFRIQKTTKTNPIKLVGSLTVSPAAVTVKLEQTCASCGYPAAKKCVYQWSIKAIRRSTTGTGRMHHLKKIQHRFKRTTGTGRMRHLKKIQHRFKNGFREGTVAVSQKKRTQSAASN >PPA16340 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig328:5729:6501:-1 gene:PPA16340 transcript:PPA16340 gene_biotype:protein_coding transcript_biotype:protein_coding MLINFAKLDIHCYDHEVLDPLQESAILGIDEDDEHYLDVNPTTFGVPHNVSKYKFRHHFGDKYLTSYSFAVVRVDSIDASKKE >PPA16341 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig328:8020:8658:-1 gene:PPA16341 transcript:PPA16341 gene_biotype:protein_coding transcript_biotype:protein_coding MYILYMQPLITDILFELIHFFYIAPCIIIQIRGNSATSSALLHSVSSSPHFRISAHTCAHNRCHDSIHPALLRNTARLFKLFI >PPA16344 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig328:16910:17211:-1 gene:PPA16344 transcript:PPA16344 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFAHVLMENLIEPLRGICDPDGRWYGTFFQEYTQDVICKVALGMHDIQMFQNPYLDVCRDVFYR >PPA16347 pep:known supercontig:P_pacificus-5.0:Ppa_Contig329:10193:13190:1 gene:PPA16347 transcript:PPA16347 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQGYLTSPIGILNIVSVLINAAAILCVSIRWTDEGNVLMQLIFQDRGWQTAVLIFLVASITVTATLLLIRTCASRAKIESMRKITIISLLIGTVFLCAFASAIEIWYVVRADNKDKDGNKSGLERVVVCMILSLLLFIVNFLLTMLLLCNFDHYTKMEQQTPVVAPAHSPLDPRDQRPIHHPPPPHPPIIVPLPRHDPPMTIEVSGAL >PPA16348 pep:known supercontig:P_pacificus-5.0:Ppa_Contig329:19014:23616:1 gene:PPA16348 transcript:PPA16348 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPHLGWGTQIGAMFDAHEIVFSVNRPGKTETVEVDGIKLCVDTDITTTEDNDRSIMMYDPCEHGNRATIKSRRPRNIIVVLTAALQDGVERQILPVTEHHRLLCVTSAPQTTKKIAIMQSVSQRIYSMFMIGFCSLTVKIHLYRQPLPLNSPIDPPENEDDDEVVFVVEKKRVPLRRALLSHCSKFLSAYSQSAMAESQSNVFPIKDCSLTQFEELLAVSRGDKMIDEDNLDTMLDLADRFIMPQILSKCELFLATVSDYGAAELLYLADRYRLHLLALFVLNQIHSEDELVGLMEFEGFEMMSASMRAVVSRPDRVPDVISDDVIEYAETS >PPA16346 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig329:7019:7628:-1 gene:PPA16346 transcript:PPA16346 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQSLSTLAEERREDTDGDGVVNAPFSLSDQRQKTSSRLSLASWFGFSGVNGNGKEDSCVLLAG >PPA16349 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3295:363:800:-1 gene:PPA16349 transcript:PPA16349 gene_biotype:protein_coding transcript_biotype:protein_coding ARSIAARAADCSCNSVLARCDTAADEDWRDEWNPILQKEDDLPFGRSQSKGAKASRTLLQSGERLTGVCN >PPA16351 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3297:22:489:-1 gene:PPA16351 transcript:PPA16351 gene_biotype:protein_coding transcript_biotype:protein_coding MMKDAMSGIKKKELMKVERRVKWWKLRDKEERDKFAVEVAIRGVLSADPLDASTNVDSLWNTMTGRMIECAREVLGETKGMKRKSDDRWFWSDEEVKKAVKEKRNAYWQWHRRKSKESWEAYKEKRRDCRRVVAIAKMKTFDDLYEKLNGPDGEKI >PPA16537 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:925812:927965:-1 gene:PPA16537 transcript:PPA16537 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFNPYRDKKKQKEEEEAGSVTHGFNSGESDEEMNQLSTEGAILAVPRNGDRNEDQSSPTSDFCISLLRFPST >PPA16411 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:290428:292614:-1 gene:PPA16411 transcript:PPA16411 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLFVLASIWIPDLIEADRCNVCDPTCRWVDCYRGPVHGPGKKREMVEEGGAVVEKLAPVKREVAIGDAVQKRDRCNVCDPTCRWVDCYRGPVHGPGKKREMVEEGGAVVEKLVPVKREVAIGDTVQKRDRCNVCDPTCRWVDCYRGPVHGPGKKREAAEDVVEKRGAQGETYPSCICRYSQCTMCSYLEDGRQYCRQVSSCYTGGGKREALLRGIEKRAADAAMPCKIDHSHFEGAAPLIPPPFLLGGEGYGSREIVALKDASQLPSVVCHRENDCECVQWEETRDEQGNCTGHL >PPA16497 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:745556:747161:-1 gene:PPA16497 transcript:PPA16497 gene_biotype:protein_coding transcript_biotype:protein_coding MRCALLGVDSGKAQICSSPNPVCYERTAACDAITTTTTAAAAAPTTTTTALTTTTATTTTTTPTTTTTTTTTSICTRQPYQAPVICGGCLSNKFNNSHTYCLPGYELYSFDNATLTTAKMGGPLTCVGGEFMDGGTVVPAGTNLYCKPLPTTSTSTTTASTTTTAAACPKLLHSQPPTCLFAGCVVGDLCGTQMCCPSGYVMWSWDGSVNSLMLKVAKAIRKRYFCRNMGGPLDCRSDGEWFNPSYGSVVPADTLVYCTPR >PPA16413 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:295365:296501:1 gene:PPA16413 transcript:PPA16413 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEHRIKAYRFVAYSAVSFSVVAVLSVCVTLPMVYNYVNGVKRTMGNEIQFCKGSAKDIWSEVHHLKTLPNANRTRLARQAYGEEAPVTGGQQAAGGCDACCLPGPPGSAGTPGKPGRPGKPGAPGLPGNPGRPPQQPCEPITPPPCKPCPQGPPGPPGQPGQPGDAGAPGKPGAPGNDAAPGEPGPKGPPGPPGAPGAPGAPGEPGVPAVSEPLVPGAPGPAGAPGPQGPPGAPGQPGQDGQPGQPGPKGPNGPDGQPGQDGNPGAPGPAGPAGGSGEPGICPKYCAIDGGVFFEDGTRR >PPA16468 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:599935:601074:1 gene:PPA16468 transcript:PPA16468 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKITSVKDVDQDEVVKRIAHFKQTGMVKVPEWSDLVKRGVTNDMAPVNPDWYYIRSPVGVNTFRNIHGSKLRRGVQPNGYAKASESVIRKALKRVD >PPA16461 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:561874:564622:-1 gene:PPA16461 transcript:PPA16461 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRIKDFRTRPSTTVSHIDLDVIEESDEETESDVELFKSLECLENRPKPRKAKKRVERNQKGKPLRATNWIPEVLSDSDHAEEVDESEQGMDKPNEDNRDEMQKEYDKMNKQFDSFDDFSLPVETECEAVPMKWDDKKKAMRPMTAIELAEREEKERLREERQRQRVIEEKENRFEKVKRRNDNEDEVEKVVARSTTPEMQQLRIPDLRTCLRRMSEMPRRPEGTPLLRRIRDSGALPRLTLATNNILDGLMRPHEMGTPGRRESVAVQQRRMTVAPSQAAALIEEEEEDMQEKSEISTRTVPSRRETDTHVKSSKRSTRTHPSDEGKRRMTRSGKKAYKGKR >PPA16474 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:633604:635240:-1 gene:PPA16474 transcript:PPA16474 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGELEKHHVDRLGYERSRTDWLREDISGVNWVLLIIGMLSRKLDMLEIHHWQREVISREDAERLVTKLPFLGKKVWFKIGVAFRTVGRSNELNSHITLETSGRPNTPDEDCALSIIHKSRQWACLQGGNEGIGIFETQKAKGRNLLIIYT >PPA16448 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:484798:486975:1 gene:PPA16448 transcript:PPA16448 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKTAASGLVCWTCLGEACVVAIVGLLTYYAVMWYRESSKNKAHYAALIKIAQHERDESLEWARGEAAQIGDDEKNKIAAMDFRTLRGERFSYVLCEIGESLQCGKVSAEMVMRVYYGLALQAHERTNCLTKMLKESLANARELDGKAKDRSYKKPRLFGIPLSVKEQIELAGHRNSWGMAKQLESIPKEDSYQVQKLRRMVPFCQTNVPITCMTYMCSNSIYGTSNCPQNSRRTCGGSSGGEGAIVGAGGSICGLGSDLGGSIRIPAHFCGCCGFKPTSERCSILQVPFAIPMRPMIMLTEGPLARDPHAIAEMMRLTLAFGFKC >PPA16443 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:459966:461572:-1 gene:PPA16443 transcript:PPA16443 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTLLLLALIGISLSAVVKQNARKSASLRAKLIKEGTFSDFLAQQHLARVNAIASNSAVASQPFIDYYDDFYLGDIGLGTPYQNFTIVLDTGSSNLWVIDAACTTTACKGDPRSGYKKHQFDTTKSSTFVKTSQPFVIFYGSGDCRGYIATDVLNLAGLVYPTQGLGVSTTIASVFGEQPMDGILGLGWPALAEDNVVPPIQNLLDQLDQPIFTVWLDRHVKPAEDKLGGLITYGGLDNVNCDAQVDYVTLSSKTYWQFPITGFSIGSYSSNTKAEVISDTGTSWIGAPAAAVQGIVKATGAKYDFRNQLYTVPCTGTYPDMIFTIGGKAYHIPSSEYVLDLELGGGNCALTLFEEQGGGFGPTWILGDTWIRTYCQIHDVGQGRIGFAKAHHSEI >PPA16374 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:95166:98282:1 gene:PPA16374 transcript:PPA16374 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNSRCEHDAHSALRVRIKQERLKTFIPGTLCHVCGDTASGIHYGVESCNGCKTFFRRVVMENRTYSCKESGRCEISKDRRCSCRHCRFKKCLRVGMDVSGSETTDDPLINLLMRKERSFYVLLTSLSTPLHASIDDALNLSSRLFDTVDMYANSTMGPSDQHNFSTWRAKILSSIAEWAKSFEIFCRLPLVDQRTLFTHSSMANLCLAEAWYTPAKYTDRIVFPDGLVGYRNVAMDAESLRDRSGLIPTVVAVINSILVPMRRMQMTYVEYLLLMAIVFFDPECVTLSETAHNLVGAKRKRLLLSLKCYLDQKLHDPAEAAFRFGAILLRLTNVQEE >PPA16525 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:863868:866717:1 gene:PPA16525 transcript:PPA16525 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRTIRHCTWCTVWWMCPRSRLSMRVSHRRRQRHDEIAPRANVKNESLNGNRMIAMTKKTQHKKVLLLTVYRPFPPSGTRLTLFIDRPLKEPTRWTWQEEEEEDEFEENIVEDIVMVESPSRIILGTVHRGDTERVLGRDYPVCIIAKELNHDEIGLFAQENCLRCTEHWGRISILLEDDDNLSTQITPNQSTSSSCAVCCRTMHKGAVNELDRPFSLSCGHTSCTGCWLRRISDGIKKGDCPTRCPDASCPLQLSITVAAALLDSMSMRSYSEAVAEALLRQQKIARCVRCRRLRWVARNESLPVVVESSGIRLSQHGLGYLVLLIHNPRSMELAFDARIAYTEAKTELDDRLQCLSIRARKEFSHVLAGLAHLAQMCYIHISVKSMRSQVLAQRIQFAMSFFFNTKDRNRRNLLHKTEFMKKILKDIEHELFL >PPA16548 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:991234:993715:1 gene:PPA16548 transcript:PPA16548 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKRKIVSTSASGAPPTTRPQYPPKPSILCSPPRRPLGARVHSDGTTVPNTPAKGLGTEVLILGNGNFDGPLEPGAVQLTLALRMAESFPSASVHFQDPQCSAVECAWLEEKGVRVRRQTDMQPPEMNDESGCRLVFFVHNPHGLMEKLLSSEWKSGGTARTVLVCNDYGGWTEEEFEGAIDGRMPASREFVGKAKVGCMLDVA >PPA16358 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:31820:33701:1 gene:PPA16358 transcript:PPA16358 gene_biotype:protein_coding transcript_biotype:protein_coding MENRSCLICTVPITVTIFGVEACRACTSFFKRTVIAGRTFTCRQGDRQCEIRKHEKYMCRCCRYDRCVNLGMYYALPPKKKPRKQRALTTTGEVAKAPPIVELPSLSTSSPSSTTPVNDSDAVDSEGCEISLLPEVSLIDRMEDEYKASYERRLILEKEYVASRNLPRFDHPTEEFYIANFTALYELFRIAINDSTGLLQNVALFKNFVTKFSMIEWVYYSTRIFPDSTSMFMASLITCADARKMDEWMEDGVKCVKNEAFRTTVQGYSCNYMDMFGAMAKMDTLLHLPDEIISSVQAIRAKVFRELQDYYRNELKLHDFSERLGNLMMLAHGAGVTTVHLKNRISYFRFQETGALMSEEMQMYAAMFDVYSDDKLFREIFSK >PPA16460 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:555915:561418:-1 gene:PPA16460 transcript:PPA16460 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDGASLVEKEDIPVMLFSDSEEEQEEEELVGIEEAHSARVEAISARRSMMIADPVEPVLHSTPVRISSQEPRRASGRLSRLMGVSPIRRRASVVTTFDDVIDDDEEEEKTERRNKRESDGADSLVATRIEEKDEKMFVESGNEEDEDDLAEAIERSMILDESRRPTVPAAANDTVATIQATLMGDTVVGGGGTLMFENTMGGAYGDGTRATLAEEYAGDTLMMGDDDSVLPYAAPAARGSLQPQPRRRTTMGNETIDVSMTSVASVATQRNASMMSRRNVSQITNESRFANELPFYLHDFVGETALEQLLHVVGQDKVQEWRQQLPKDTLTNLKKLGEGTYGEVFSTMMNGAPVAMKIIPFEDEIQYFDGVVNGETLKSTAEILPEILITRELSSLDNDKAAFSTSTFIPLVQCHVVKGNYPDELLREWDEYDRKKGSENNRPSDYACPDALFVAIGLAMGGIDVESYKVKNEKESLSALFQLAFALVVAENELEFEHRDLHIGNVLIAKVPVNEEIKYRLLGRNVYVKSHGVKLSIIDFTNSRLRKEGTTIFLDLEQDEELFQGQGDYQFDIYRLMRQHNKGNWRDFCPKSNIYWLHYMAKELILEERKKGLAKGFTKRRRKELFTVFDSLPDHDEIKAFLNSEAVYDIMQEFVRFEEAE >PPA16403 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:243492:244599:1 gene:PPA16403 transcript:PPA16403 gene_biotype:protein_coding transcript_biotype:protein_coding MVYEGPIQVKKASILARVSEEFKAMITRRRVREIEMIMTCENRIMVPVKEEIILKEYMVKFALVLSYYMTTKLFGDAQTKVMTSVCTCFDREMPLDFYYPGDDGGNKEFFKSSVRSHTTEHADLVLPQLTRSQLAEKEFHALAAIVLTEYGIQHLNSNEIRRLTFRSEEAEQNYWMKFGMIFSKTCSRITKTNCVLSTSLCALVI >PPA16390 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:179313:181389:1 gene:PPA16390 transcript:PPA16390 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRKSLLLILLFNLITCPKHLLPKELMLVKEKGIDAILEEGEVITPVRFTLKFDGLNDIEDTMRSVYEQTQNRKRKAILKRRMKTAKKDKKYVQTEECAPALRTPTWWKKSSQFNFLHSYSIYTPTFSNPLPPHPFIIYSDDNANYGVFVSIDDLDITIISSSPDAERLGYLAAFTSAGAREERVSVRVLPTQTTESQNEKLPLLLILLLAYKIDSSDLRTVKFNIPSHPTINLIVKASEKRIPCSPHNAIRKKTYTLQCDCARPSHKEEMGFMIPRLVKCQKCGWKYADCELGPIPESSKSKWTCTDCISFWNVPKWGGIETTPEGDKIIHNTCALDSFLATLISQHRLDPRLFEKIGTASLFEKYLRSMLMDGNIDQVKDELIKKIFSNKIDKKGRYDMWASKCEILYRLFEYSSKLLFNLKCRTCSDRNKLTRCHFETQKKGDSMKQVVYDSILGQSDCQSCQGSRQILNVTSTAWFIPVDISLQKESPSRCDEIPKEIKIGEYKFELGCITLFGGGHYVALIPRDNKWILYDGIKTVKMRSINPRTIQDRTISVAFYYAS >PPA16530 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:885707:886108:-1 gene:PPA16530 transcript:PPA16530 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCKCGINTIQYLARATVPAARAARAATTAAVARPSEAARNARTWTTQVISTSIPTATASMAAAQFAPRNDCGC >PPA16407 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:273393:279339:-1 gene:PPA16407 transcript:PPA16407 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGTSTISMSQPVRRRMSIQEQAAPLPTIPETPKKPPNKIKQTVCCALSRHREFGFRHVILALALAAYAVAGMFMFHAIEVPFERQNVIATRDALNNAFNILAHDFEIAATIPNVNRTLLLKKAYLTLIKIDGKYTGSTFYKLEERDYPLWTWTYGTAYFFAFTLYSTVGYGSIAPSTELGRLVVIPYTAIGFPFALVIVRYIGSSLLVYITRAYAKLLLQIRQARGYEPSSNEGIRLPCKVAFLMSFSWVFITALLVRAYDTWLGPDPTFSVFHSFYFCFLSYAAVGLGDIMPTNYGHAPLVVIFIMCCMPFMRVINRVLYVGIEHRMFGTVSIVEDALDRCAPEEQRGGNGGNSLSTLDEDYSEDEEDSEEREEHRIHDELRNNFTVHPSSTDTPHIQPQNENQTANQAKQHIES >PPA16416 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:316001:319470:-1 gene:PPA16416 transcript:PPA16416 gene_biotype:protein_coding transcript_biotype:protein_coding MWYHFAAVQPRYQCAHCSMTAGLVEDVAVHMKTVHKSVLVRPLDRINGLREALWSYQAHKCFPDNFKFLSRRVSDTSNFADFSSDHPLAHMWYHFAAVQPRYQCAHCSMTAGLVEDVAVHMKTVHKSVLVRPLDRINGLREALWSYQAHKCFPDNFKREPEPQNHACDLTDCICGAVVYKEDLLLFNHIVLFHENDGLNYDDPEGTKRRYFFDLDGSIRKRMRGMEKVNIESAKCESLRVRKKKSVPVAKEIQQEEPDEEGKFGLILHQEMDSQESEDEEEDEEELEEGEVEGEEKDESILRGKNHFLTFGRTGVNHNNGYSIVNLDNN >PPA16513 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:827971:829827:1 gene:PPA16513 transcript:PPA16513 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSCHLLKSIVDEYVSRTTDIPIVRRIQISDKQLGGANAWLCIPKRRTALFELRLTLLQPALKYSIHRSFNVLPEYPSKRDFSLWGRRYSCWASEQYCVEITSLPDDVMDDLRSCLGTTILATSLPHYSQELIESVLKLVDGIKLEQLELFIDLSVAGAGERLLENLRANRVDELFLTVREASLPHPAEFLLDLSSLVRTLSIDQIYIDGRDLNLRHFLGIDDFNWAPVFIGTALTGMFERRLDKLRIKNSYCGFLTLQSADDIRLRLPLLGKKIWFEMRCNAFDQYEDRLDYVTNDHSVKAVRWKDFQGGLGIKHCSRESEKLEI >PPA16485 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:680654:685425:1 gene:PPA16485 transcript:PPA16485 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPLTVLLVLTCIHSFKILVYIPKFAISHINFMGKIADTLVEAGNDVTALISEMDASLPDGTKKAKILRVSPADGANHMNAHHNSVSFCRQCRKLLTAPGLIEQLRNEKYDALITEDFDNCGVGLSHLISPRALIPVCSTMFFDPHEFGIYESLITESSALADGRFHSNLLSRMNSIYLRFATWAFYSTQEAPLDRLFNELFPGTPSISSLLSNAAVAFSNTDPLTDFARPIISKMIPIGGISVAQPKSLDKYWNNILSLRPQTVLVSFGSIAKSVFLTPTRKAALFKAFSSFPHTTFIWKYEDNTDEFARLNASTAPNVVLAEWMPQLDILGDQVHNAAALAHIGVARVFSKLDMESLLCSSYKDAALRIRDQLAARPMSPAERLVKNVEFAARFGPSKSLRPLNLELSTIEFYGIDIAVIVVGSMGGFVFVLRYIFSELQSFLSKSKLKKRLKSIILAKKIDYRISKRDNLLKFVSQLHWCMGADRFKGFVSYGFYKGGFTTTKPAPFESPKDYMFGSGSMAACDNCSSLSCTKCPRCEKPHCFDCAR >PPA16496 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:742381:743955:-1 gene:PPA16496 transcript:PPA16496 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSDYSFPVITAEPEIPAVKPPPCPPYPKASAAICTTDRTTGMSMVIRTAVSAQCVNMVWQDNRGSNLFLGPLPANGDISVVCDT >PPA16362 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:49245:51239:1 gene:PPA16362 transcript:PPA16362 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGKHFLPPLRRRPEALAPKVGPLDLSPKKVGDDIAKATGDWKGLKVTCKLTIQNRQAKIDVVPSAASLIIKELKEPPRDRKEVKNVKHNGNITFDALLKIARIMRSRSMAHKLEGTVLEILRIAQSIGCTVDDMHPHDLVDKIKGGELEIPVE >PPA16471 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:619504:620666:1 gene:PPA16471 transcript:PPA16471 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKATRPEAMRLALVLLACLVLVRSAPLPAVDANDVTSFLTQLALNDENRAKSGQVVVAFQNMASTKTFDHDNAPNPLFTSVDPNLLGEHTYKSFTDLIAKFTSQDANVADAETDDRKAAALGFIDDISLTTVFMQAWSYLNTAGE >PPA16527 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:869479:876995:1 gene:PPA16527 transcript:PPA16527 gene_biotype:protein_coding transcript_biotype:protein_coding MNIYSGTCRYDWAFVYETGYAPRPLTANEKSLLINYGIQYAEYVSQAHISRSQLLTSPANDASSCHLLFFIPLNIGGEMLPCLGPLFLLLPTTVFALSTTPTQDHFLQSVAPTKTLLDDITQNGKIVIKVGHIGAVNALRNDALLLEISRKSLHKEGILGDDLDIELVSQNGCGDSYEGVAVAADMYHLQKVKAFIGPYCNSRRLSCNSTIVFHTEIDAVARMAAFWNLPIIGYMAASSALADKRAYKTLARISTRSTNSIAEATCALLKHYRWNKIAIVTNVGAVAWDRTTAFEEVFHTRGVQVVKKVMFDEYADGAAMRASGLIQEVRNTARVIVFLFSNTREQSKEFMIAAQGEGMLASEYVFILPWLQDGAKEASPWIGADGSMLQNVKDQYANAIIIDDVNGFDNSIVAPFLERVKEEGLTEADVDIANIYAYIYLFDALKLYAMGARKVLNETNNPAAVLDGLRVWNSMRRMVFPGIVGASGVASGIITMDDRAERAPLYRGFFISPHQDQVMAMAHMEPTMIDPSRCDGTVNRSGCYDIVVTDMMTNFWPSFDNRMPLDEPLCGFRNERCDYTSIIIGSGLIFLLFLIIFTTYLVYRLLEKRALDKLPFRIYRDEVQLIDEEQVKSMLSIGSTRTKMSNTNYSSRNHAIIGTNTHSVYHRYIQRRPIIFNRADKTMFQQLEEEKSQMKAAVHDNINPFLGISFNEKEEMLLVWKFCNRGTLQDIIYNDQIDMDNKFHGAFIRDIVGGLEYLHASPVGYHGSLTPWSCLIDRNWMVKLTDYGVAEPIERWEKNQWITVDELKSDDDKSNAKQKTRVSTSSDFTVVRQSSVGATDEVGCALYDAPEMLKMRENNKVRRMDQDWQRQSSNRRQLGDVYAFGMIMYEIIFRALPFPDTQDVTELIDAVKDGSRVIKPSIQDHKLIHMDLAALVQDCWNTTPEMRPSLRRIKLNVETYLKVKGSLVDQMMRMMEQYANNLEKLVKERTGMLEEANIRADKLLSQLLPAYVARELKEGKPVPPKTFTSATVMFSDIVGFGDMCRDATAAEIVNLLNSVFNGFDEFIARREAYKVETIGDAYMFVSGVPEENGFRHLDSICSIAMDIHSFLHEFRAPHSSTLRVRCRLGVCSGPVAAAVVGLNAPRYCLFGDTVNMASRMESTGEAEKTQIAESTKLMLDKHYPDFITKERGQVQVKGKGLCTTYWLEGSRDASTAYTAPTRELSRGHQNFSSLLGGGGF >PPA16500 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:754716:755467:-1 gene:PPA16500 transcript:PPA16500 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYGYKYANVNGVDVIYSFTDEGVTASKAINTSYYIRLLRGNKRHFHRSHQSPVRKAFFHSGRGQRKEADSQEPEDHALCGTTLLLNMPEATDAVWRLYPYANGLATYTAPVVLVIFSSKVRCLFLPKNLFKSSSVESTTSDFAPQQAYRI >PPA16463 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:577716:582520:1 gene:PPA16463 transcript:PPA16463 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDAIKKITIEQEIGVFQRLNKATGFEEYDGHTLISFAVIMVGFGLSASSMELYGVEREEGTTTDALQGTSDSRYALGPFGNILIMLSGVFPSIDAIMIILFIPAYKNSVTAFFLKKMKPADMIQSYYRSQIEVAQKERDESIEWARKETALVNDVERRTIEAMDFKQLRESLQSGKVSAESVVRVYYGSALKAHEKTNCLTGIIKEALYVARELDTKAMDPSYKKPRMFGIPISVKESIELEGRRNTWALAKFVEAIPREDSYQVMVLRKDGAIPFCQTNIPTTCISYTCANSVYGTSTNPHSSSRTCGGSSGGEGALIASGGSLIGLGSDLGGSIRVPAAFSGCCGFKPSASRCSTLQLPEPIAMRPMLMVTEGPLARDPHAIVQVMRSMWSDQFMSSKDPFSAPVDFREDLFEEGRKYRIGYYTSDGYIDPLPGNQRVVREAVELLRAKGHLKRRPFIRRTLGKRGREKRMISLHRVSRHEVVPFSLGDIATECARGVWATVFVDNSMTAERIKNEPLPPEIAPLRIALALPIWFKRLVGRFFHLRGDTNTVEFFRYQTSSAAEMKAGIDRIYACRKRLAKKMNDGRIDLLLCPTTLAPAMPHALPTAVPYTALMPTIMWNAMDFPAGVVTTGSWTEQDERALESYPAKGVVEKGIKRGCKNSLGLPLSVQIVAPAFRDEMVLRAMVDLYEAVKKEDATIV >PPA16396 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:211448:213474:1 gene:PPA16396 transcript:PPA16396 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQIRFITEAFAAPEYNCSAHTPEEWTVLFGKPQLLLGIFSIVFSTACQILYLPALRVFYRERRMTCYKFMLVVALADMGGLACFGTLFGVTMLRGTVFCSDPVLAWIVGSGEGKTSAFCILFTILYGLAESLFTRPPLPNSTEQFCAFNPFIPGHTPDEYPNRPNMYHDVFLALCLPALFVVMFGIVTAKTGQLIQDASRSTSVQRLQAKIFTQASFVCLFFGASSSVWVFQEFLFVPPPSVLIAGMVMCQAVHGLPCVIYLMLNDAVRKEALRMIGRKPAVKISSKVVGAIITKIASRRHYRQGLCGDRRQETEISVNRNCTT >PPA16406 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:268592:270690:-1 gene:PPA16406 transcript:PPA16406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-srh-125 MEIYLPLETQERVFLYIRIIFCFSSILHTIALTCLLKQTPPNQATVRNYLIYIQVLLVLSDFHMGILFEPIPLFPVLAGYCIGVACQLGVPVQVETGVTVLLIANVGVAVLLCVIFRHQSLLQDNHSLKMRKMVGRALHWVVLVGFSVPPILFRSSRPGGVRSHPDLAWIRERGPWLVQMRSPALTTFFVAIVAVGIISKALNKFAIINLNGKKRCFGVKRFIESTKLYFIRKCKPSILCRHTTSINKIVLIGFTAGAVLFVHMFYILKVDAILEKERDQQCDDSEVADDVLVIPLILLVLPAGVIYVGLAAEDLITFETSFFMFLLIHLHPIGHNIILLSVTSTYRKFISRFVRKHLLRENVNLCEHSDSKADYAIVSTAPLASYNFCVVI >PPA16494 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:735276:735980:1 gene:PPA16494 transcript:PPA16494 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQMVQIFDRQLKGAITHLLTPIIALLSTSFVDTTVLFPYYFHAALRFIVTLISSLRSDKSLRSLQYQSIANSKESPKLERPCLTPLSTPLRTRENASK >PPA16453 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:495170:497625:-1 gene:PPA16453 transcript:PPA16453 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYLVWRRYSSNNENNNCPCAPSRLPRRRSGYVFTYECADVKAEVPVESELEGAASAKAPRAVAGATLTAGPAAGSANVRGKRAWGIPDIPSIPKYPGQKREAQAAKTAGGAHLESAADPKAKSPIESELEGTASAKLPRAAAGMNMNAGGMGASANVRGKRASAATDAKATPPPVGGIPVAVPNATAAIPKLPVVQKRAVPIEAEIEGAASAKFPRAAAGMNMNAGGMGADANVRGKRDKFGIKIKG >PPA16446 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:472186:477332:-1 gene:PPA16446 transcript:PPA16446 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGTKAEEINGKVEFTSSTLYDIFDFRGVGEPSNDGLDPYMENLMIYDPAIGRNLSIAELANQLDSKSSQKVPLDIASEGVYSILNLNAPEDEGSDVTVWIVERSKAHEFDYELYDARSIARATSRPTGIVTIMSAARFRVSASDMGQFDSYTARLVGFDNALDNNPDKCTHACQTAIGSAFVGFEFIVNAPLISIVFSEMRLLNLTADYSYYYVHPLATPGFFSSPGYNGCGRLGTDQVHRSTQYSDKAAFTLRGAPNNYQVVFDSDLDLSDGNEIQITDDTNDKVYPINGTSAHIETIIPRTQTVIVSYKNISAPQSFILRYSAAKLEASTRSSASSSTTSKHSTHTSTSTTQTTTTSSSGRITGLAGVFAIAIAYTC >PPA16521 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:854519:854846:1 gene:PPA16521 transcript:PPA16521 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDRRHRRYGIIPGRPGPSTIQREFSIEGTSFIYLQEDNFTDTNATFGRPDLFGIDDYFVPYSLNKRKRWTLLTR >PPA16484 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:679253:680223:1 gene:PPA16484 transcript:PPA16484 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSVAVSGTGVPMAIIVFVLRAKVEELVGTKVLAKLVEKSSAMSDKTMRLHRALTKVLTLQAGLPLLFVVGAGNYVLCQSDIVCCAAQEHMIMLIASLIPLISPAITLYYVRPYREFVEDKLLCRKMGSKTSVEPEPIAYQ >PPA16440 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:444204:444862:-1 gene:PPA16440 transcript:PPA16440 gene_biotype:protein_coding transcript_biotype:protein_coding MHGNRLPNNDAATNMCCVDAFILLPTLPTDPPTTTISATTPTTTTTTTTTTAAPAADDACFDLLNPVTRASDCAAKRTLCTDRNYHEVMSVQCAATCGFCESTPSAPTASSEYYDLSAEGLYGIG >PPA16451 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:489599:490439:-1 gene:PPA16451 transcript:PPA16451 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSYFVDYCVHNANGRFVSVGCALMSTIELSVLATGALTTRACRRKYEDMYGKASMTARYQISAIISLFVYFAYMDRHADMLGYLEAHYFLVNAINGSYSMVLLLHKHPQLRKYTVRKIRNRIGAEPPPQPRRKSVKEDTEAYFAQLAAYWN >PPA16523 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:861901:862210:-1 gene:PPA16523 transcript:PPA16523 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSTLPKDRLALRLTCRAFAKLVAETNAGYYDGICAVDAPTFDHKKQPCEVGKPTRMVVYLILRELPVRSSY >PPA16480 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:664457:667026:-1 gene:PPA16480 transcript:PPA16480 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTELSENFHNNVNLANHICGPAFFALCGPFADHSEAPVGAIFLSPVASSRHSRLLPSRPTPSSSPIAWPNQSRRLSLCVGRAAAVPSFPSGSSKVLPVYLLVLHNSKKSP >PPA16515 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:833384:833718:-1 gene:PPA16515 transcript:PPA16515 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVICVYLPCAGIINCPMLFRISVFPNLVSALVTFFPVVDAVLTLVCVKVFRDKIRSVCRRIRHLNFDRSSSVQVTGNA >PPA16533 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:895871:896880:-1 gene:PPA16533 transcript:PPA16533 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYVTEECSVFNPPIPNVNCLAYSYDFTSRQCALLGGPSPNMCSKPVTIYELGDVTANPKCGPTTIDEMPYLAREFSNSKQYFFGGKFTCFNGSSWILSSVYGLTDDPRAVVQNITCHPAYSHTNSVCDNTDNAPYLKDSLMVKDTFECFPGFEWDYGYTTETVKIRSVPLCTEHGWSERGVLLTALIRTIACVQIA >PPA16430 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:397132:397948:-1 gene:PPA16430 transcript:PPA16430 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSRRYLVCVNVLSGGENEPGTAREEDGQRMQAIASMIVFDCVMILSFTMAVTLATLTYKFIAKADKLSSQAVALQYKLLTTVCAQTFVPLVFVYIPYMAANHSAFLGLPAYSIDRACMQLTVCFPVWDAIIIIGLIKDYREGLISIIRPTAQIGQVETTWQTAEVSAIPLASI >PPA16490 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:709183:709567:1 gene:PPA16490 transcript:PPA16490 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSHDLIAILVHVIVDSIGIGEKRRSVYRHRLPITESAEVLGRATGELPAHGLYRCVELATYHVQVCSWPHLLLPSAGCENGQFAE >PPA16434 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:428455:429872:-1 gene:PPA16434 transcript:PPA16434 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFSILVVLPLLASGRHMGNDGDIGACIAGRCPSGFSCKNQLCVPATCANSIGECIDGACPSGFACQNGKCCEDAVNEGSIGSCIAGRCPSGFSCQNQLCVPATCANSIGECIDGACPSGFACQNGKCCEDAVNEGSIGSCIAGRCPSGFSCQNQLCVPATCANSIGECIDGACPSGFACQNGKCCEDAVNEGSIGSCIAGRCPSGFSCQNQFCVPATCANSIGECIDGACPSGFACQNGKCCEDAVNEGSIGSCIAGRCPSGFSCQNQLCVPATCANSIGECIDGACPSGFACQNGKCCEDAVNEGSIGSCIACRCPSGFSCKNQLCSPTN >PPA16546 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:984834:985533:1 gene:PPA16546 transcript:PPA16546 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDDPRSQDIFGESIAGFGEGKRRRNNIASHAYEKDGEQRVRVLFHIKGERGEGNAQCEMAQRDGVWETRFLFVETKTSPRITHVIIDNR >PPA16457 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:543324:548268:1 gene:PPA16457 transcript:PPA16457 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-glr-8 MHWRDKLLSVGVIFLIIAFQANDPKSGVTSQLFSALPSLAPTTEQPENATVLLDLDDILASVHKTDPTVGTSVPLVANGYGDRPFMHPINNNYRKEDLMGRTLRLVVPKIEPPYVNYVNFSDAEVLKRGYGPGAVIEILKELGRRLNLTYELIPYTEGQWGALDNGNWTGAFGMMYRKVGTEADILAGAAIMQYDRGLITDLTFPFQYAPTGMLIRSPDQYNDNTWLIVTTPFSWQVWMLTGISIVVSGAILYVFVRFLATCNEVQFTMVESFWMFYSIAVQQGVPKQPTTWSCRVMLSLWWMASITLMATFTGSLVAIFAVDKTIMPFTNMQQLVRLVQRGHWRIIMDGTTTTRTNMIRESESQTYKDLWYEMSVNRRVTYVQGTEAGVAYLLANANNVFLGPEDTLKYQAAIDCRLMKLNEGILPTYLSIPFAKDSDYSTYASTLIRDLVERGFIQKWINDYTSYMSTMIGNNRECNLTSKAEDKYLDMSKAQGAFWVLAGGFAIGTILFIAEMIYKGLRMLYKYYYVNGGNWDNKKEETKETEVNYSVSMRKEDKERPSSRRDMDSGKNSNTTSSMTSSLGSGETGGEPEIEKKEREIGQTRL >PPA16404 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:247067:253126:-1 gene:PPA16404 transcript:PPA16404 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEELERHLLAHFGKLECSFIEEWSSAGGDLPSLTAAQSFEAVVRLLWVIRPSLRSRLPSFLFPPSATARFRMATLVAEAVKEVGVREKIGFQDLLYGTGKELSALFISLIQLVPKEGEEEHEEEITFHSSLLRRARLAAADDVLWVPEFCRALKLKHDGRFWCPGENESELFPLAFGRPLESLLDGTSMDRREIAVALYREEQEESKPVAPSAVSPSTAAPRVKPALPPKPSLKPEAAPPPSVEDESARRERDEAERELREAETIYADCKAVEEKIRKKRARMVEERTRIEAELAAYDEKLMTILEDPTEARAKIEKFMDDREERAAKLEAKWETARAEKMDELRELREEAKRKSGPSSVQDRLRRARAEAERLQTAKELYERRAEKLSRRVALLNDDDAINRHAYVKKLMEVTGNLKKQREQLHKVWRETDMVLKDIKWSEQAAMRTFDLLEEAFYKNTNRSPKDERLYKNLVTMYNKNAYKNLVTMYNKCQLIVKEAENVGASKKRKEELVDQLDQAKSSPLLAQLTPMIEDLTSIEEENRRLEELLENAVSIQSC >PPA16398 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:220223:223384:-1 gene:PPA16398 transcript:PPA16398 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPALLSALLLTSSSALKFLCYSPRFASSHVNYLGKLSDTLVDAGHEVVLLSPVLSNEVKGAGSAKARVIEIPQSAIGRAFEASMSENVMDEFWKSSESWRAIQSWGATFDLWVAQCNYTITYPGLLETLRAEKFDGGFGESMDWCIAGLFHLVGIDKFALTESIAHKDGFHAITQVPNAPAYVPTIIGGSFTDEMTLLQRAENFFNFLVYQNFNFAPTDKYQKVFDENVPGFPNIVNLMAANSLFFVNSDPLVDFPKPSAARLIDLGGIAVSNAHGELDEKWSAIFDLRPRTILMSFGTFAQAWAMPDAYKEMIRATARAMPDVTFIWKYEKPEHNVTQGIANLIETTWVPQREILNDARLSAFMTHCGQGSTTEANYAGVPLIVVPIMLDQIRIAHQVKRNGVGLVMDKRDLGSQQSFENAVREVLDNPKYRDQAKKTAAMLHDKPFTAREIFVKNMEFLAKYGPLRQLDHYGRHLNFIQFYLIDVIGSVILSVGIIVALTLYAIARLARFFGRAFILKKKLA >PPA16352 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:3082:6173:-1 gene:PPA16352 transcript:PPA16352 gene_biotype:protein_coding transcript_biotype:protein_coding MADSCLQPILLAHWCASFCSISFNLLLTFIIYRHTPATFAKFGIMIKYHALADLLIATGGAATMQRVIATGWSVIFISYGPCVHFGSDFCYGMYTIALGGEAMQLYSLHASFAFRLLVIEGATPSKRTAFALIACVGMPVPIPYTLAFFVSRTDDAAVRLALNESLPEYLPYSHDVVTGISEVHHTAGIFTLLASVLLIWPAYLAIFVLRRNPWSRRYTK >PPA16466 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:590345:593545:1 gene:PPA16466 transcript:PPA16466 gene_biotype:protein_coding transcript_biotype:protein_coding MDATMTDAVAQLVRSKWTQITIVGLLALHLLKKMKARSAVNQIQSVFRSRIEVAVRDREASIEWARKAAALIGDEERRQIESMDFRQLKDALQSRAVTAESVVRAYYGLAVQAHEKTNCLTYIIKESLAEARKLDEKALDHSYKMPRLFGMPLSVKDVVEVEGHRSTWGLAKFVDNIAAEDSYQVMKLRAEGMIPFCQTNVPTNCLTINCSNSIYGTTSNPHSELRTCGGSSGGEAALIAAGGSICGLGSDMGGSIRVPAAFSGCCGFKPSATRFSTLQAPEPFPMRPVLMTTEGPMAREPHAIVEMMRSAWSDHFLSDKDAFSVPIDFREDLFQEGRKYRIGYYTTDGYIDALPGNQRVVSEAVELLRAKGSHDLFPFSLGDIAQETSRGVYATLYADGGAGLAQRLKDEPLSDLMAPLRYFVSVPMWAKTALGWMYKYLGDPNTSDFFLCQSTKAIDVQAGVDRVFASRKRLLKKMKDEQVDLILCPATYSPAMPHELPLSVPFPVLFATMLWNAMDFPAGVVPTDKWREEDEAAMDAYEEKGLVEKEIKKGCRNSLGLPLSVQIVAPAFRDEMVMRVMVDLYDSMKKD >PPA16414 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:297516:306549:-1 gene:PPA16414 transcript:PPA16414 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sta-1 MQCDEMRSSLVLVTKESMGLWEENKDLQGRFVNDLGELHRIQLAIGQLESDQRHDQLAQARQSLAEMQRRASSLYDCLQEKRSQLVKKLGDGLQHVALLQNNLISERLLEWKNRQKLFQIGIPFENREQSLDDLQCDFELLAEHNWQMRTFASWQIDLLRRGPQLTDNNAQAHINNLSTILDQLTKLLCMLVSQSFIVSVQPEPVLKTQHKFVTEHRALDRNVLDTSLTSLARSQARLLIGDKLGIRQHLVHTNVTVRIIAEEEARLLSIGNMGYPEVKTVGTISNEYEKINMDERGHMVCKFNNSKLTRIAHRKPPPKGSLDMKAMGVPSPGPNMLMTSQAATDQKYALLYNISSFQLGNLGKFDVWTLSLPLMVTVHGSQDCDAQGAILWQRAFANVNRCGAANELVLASWPDLAQVIRHKFSLFTGAKRPLSDTDLSYLYEKLYAPGSQEGKPITFHQFAKQDLRDDVGFTFWEWLFSCMQLIKQKLLKFWDEGWLIGFISKHDASAQLLQMPHSSFLLRFSDTQTGAVSICFALEDDNKKIPFHLAPFTIKDLDQLSLAQRIITCPQLKEIRFLYPSIDKEEMLKYFESEERQKAEQESTTGYIMSEIVMVAKTAKQSQRGASFAADSPSPLSNHSKLDWSPGEVLPGGANNNSMDVGDELVSMLNNSGLMENGDVEALLGPGFRPQLPSQPLQQIDLSFVDQFGQPVMGNMQQPQFYNGH >PPA16393 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:187812:190239:-1 gene:PPA16393 transcript:PPA16393 gene_biotype:protein_coding transcript_biotype:protein_coding MPADQTVDKEDASFNTFFAETRAGKHVPRAVFVDLEPTVVDEVRTGTYKNLFHPEELITGKEDAANCFARGHYTIGKEVVGVCCDRLRNEWIELFPPPSGLTERCSALQGFLIFRSGFAALVMEQLSMDYGKKAKLEFCVYPAPQRISLKLKTISAMVEPYNSILTTHSTLEHSDCLFLMDNEAVFDIVKSKLGVTSPSYTNLNRVLAQVVSSITASLRFDGALNVDLTEFQAFLTKETNLVPYPRIHFPLTTYSPIISAEKSYHEQHSVSEITNACFERGSQDINSAITMIKSKRAIQFVDWCPTGFKIWDRAGQERYHSLAPMYYRGAQAAIVVYDITNQVTKPSPGRSPKSSRQAARDVNGMGGQKA >PPA16487 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:690861:696657:1 gene:PPA16487 transcript:PPA16487 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLQLQLFTDYDNLLSPYSTKDANYTWNVVGGQASVQLVRSKLLSVHEPEQQFTAATCVLMIYFDGRVEMHTCFFATHNCEIKVDELQLSVGQGGAPDLFGTGEFDYAMFKPRPSFTMASTDENVPFSSAMFHFVISREPQFWVCLIIIPTFLIGMLVLIGIFFGEEGNSLNEAVELGLTGMMSLTVIVGILNDSIAKSKSLSALGRFVFFDIIIVVVAIVVILFVDKIRRNLKRLSEEKLKFQKTSAAWLWVKSYVTSNKICRYLLFAIFSVLHVVNLIMMLIRPADTGAFETLTTFNESLGNAYTEDMKVMLETPTDLNDYLFDDMDGDWPAEDQPQMDLPQPREKQQTPQFYQEQFQMQLCNVFILGMRQNMVEVKSEPVEEEFGDEKDTTPLPSTSRQMIASPIIRHRKVGFALMNDKGELPPSGDIWQFNFMFSLGEDMFSQESVDMLRHAGTDFDRLQPDGISTDDLENSSPPLSC >PPA16511 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:821521:822462:-1 gene:PPA16511 transcript:PPA16511 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVVILALFVAATHVEGNCNYDGDLVRETGYPDRSMTQAEKDEIVVYGKEWDQWGQQLNRYIRGQDTMPVTPVMPCFCHKCDW >PPA16508 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:808443:809954:1 gene:PPA16508 transcript:PPA16508 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTGANIVQEQINQWTTAIQNKFFSFDDDLLDVVDPDMRERELVAARLRKFNYPEFAEQVWMPNTGALIAWTFGVCLTVSCSSAEIESLFIADTAKHNPVCAVHRMNDTVDPVDAGFYVTITIMGVIFALCILSGVCDFYFSENLQDKPASKSFPWRLFMSFSLYANVASIFDTSGAKKV >PPA16408 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:279597:280066:-1 gene:PPA16408 transcript:PPA16408 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPTKKVQAPQSERRRTHEEDQRAEFGPRNERRCGTGSSHRAGPPISSKKELDLVDLELRESKRALDVLTKNNESRRAMLRRTG >PPA16368 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:76360:76837:1 gene:PPA16368 transcript:PPA16368 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVAGIRNPGSMPGEWPKRKKKEVPCGGGVAGMPGSGVFVGTQASAVAGLGQRLATSIGTMAQLSKMYHGTVRVKARNKK >PPA16380 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:120482:120771:-1 gene:PPA16380 transcript:PPA16380 gene_biotype:protein_coding transcript_biotype:protein_coding MLESIVCTLTLGLVGCGGGGLGSFMYLFSGGMDHEVGGDFISSILGLITGVTGGILSG >PPA16535 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:913186:914556:1 gene:PPA16535 transcript:PPA16535 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEGFRKLSIGQHKLGKERIRALNAIGAFGATIGKTNIVVHRYLVMRSRDFAETYPLFNGLAAYAAPICLVALSQTLSSL >PPA16464 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:582680:583171:-1 gene:PPA16464 transcript:PPA16464 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEERFDPNVVTSFNANNYVVRTFTCTVSPPPRTVVISGDIPNSDRSAAQISPAFQYYNNGPRIYLVDRAMATDTVAVTCNAANTAWVFASPTGPVELTWLRCDFWASG >PPA16422 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:344799:345373:-1 gene:PPA16422 transcript:PPA16422 gene_biotype:protein_coding transcript_biotype:protein_coding MFNAAPKTFYYWMGYSKSDDGTWKWEDNSTLPYSNWDVNEPSAATVSKCAYVDIAEQGLFWGAGNCNINFPSACEFAPCAAGNQQC >PPA16367 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:70188:72937:-1 gene:PPA16367 transcript:PPA16367 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTLDYRLDLDRDYFLAAADLARRIYWPISVFLLNPFVIFVVARKTFMSPDCKAAYILLIGFDVYNGLLYRMYTLAPMPIFVCTGVLCTEDVSPRLLLTIQSFWTIAMCVPYLFIMLRMHQKMMPSESPTQCAVLIVLYATLVTNVYGFAAWSTESSKKAEILNQDHVRWIKTVTDNCLVLGARPGDIGDFGNELVVLLFSVVINFTFYVFIIYQVVFKIGKQIALLTCIFFFSPLVMLFIMLRFGFTSSSDVWLPYVRFVFLMLYSLPSLYHSIVFIVKSPWCIQQLCRYSLKSITEQSTVLRLS >PPA16360 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:36043:42784:-1 gene:PPA16360 transcript:PPA16360 gene_biotype:protein_coding transcript_biotype:protein_coding MHRCNILSFNFAVICMAPDPNATEYQEDRFTFTEIEDTFITVAVAVAALLANIPIVFFINRVGIRSIFTFLGLLSGVATLLIPTAVRSGLPLLLVCRTLQGVAFASMFPAVGTFAARWTYYKQASTGLFVSVLVAYVQFAPVITSPVSGALCDSAGWPSVFYFHGSVTIVLFTAYMFFYRNTPRKHPFVGNAESSKIDRGKTVVEKKTLRRVPYLAIPLTPAIWAIWIGSLGNFATVELMFLYNPVYMKKVLKMSTGQIGLAAAVPPLIQFLVKLACDAASDRIKFASELMKFRCFNSFAYLGCAACFIALAAINLALLLVGAGILGGSTGGFYKAAPALSKQFSHFVTGCFSIVISAAMVAIPFIVDGLAPDGTQDEWHYVFGIVAGILIITNLIFCVVVRGEPCVWTTDEWIREKYGREREVVEIEEDRVEKMDVIEERRIVEKLDEKVEKEIGSDEEKNIGKMAEIREVVEKKKHVQEKDGVEEDTKEENIQETMVVIVEHTFGVFSRGFLQDRKLTAAYCTAFTIPFTLMNVHFLYRYWTRDGYQDPRFTFNLIFFDCVMIISFSLALTLGVLTYTGLRDAKKISANARLLQLKLLIAVTAQTFVPVIFVYIPYFVVLNFPFFGIPSGPMAGLCMLLTACFPAWDAVIIILLMTDYREAVLAMTVRTCLKTSSARIADVASGMTTTRMDVNGEGPSNNDHEAFYNRQ >PPA16392 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:182958:184593:-1 gene:PPA16392 transcript:PPA16392 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSFSIYVLLFFAISVRSQPNPLDLLVSRLFKDYNNFASPYANRRNSTSHVEVLISLSHAVIDNVNERDWTIGVLTLLTIRWVDPRLQWDPSLFDEIAEISVKESALWKPEIFLCKSAESTNVSPDFPSAMVPSLYLFDDFPFDVQQCQICYGLSGISGATVDLIDVPPARRDIVANSEWFFVGNISVEKRIAELNGVHKDRLNYNISLSRRSFFWVALIIVPTCLICIVALVGIFFAGEGKVIDNAVAIGLTTMTSLMLVVTILADSLAKADNLPGLGWFVLYDIGIVCVAAIVALVLDHSRSLAISSVKKKRQMNTWTTLLVSKRAYRAVRVILFIICIVGLALNVVLRWSANST >PPA16454 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:499335:500027:-1 gene:PPA16454 transcript:PPA16454 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNAGGMGAGANVRGKRAAATDAKGKAPFESEVAAPNVPAIPAIPHKRAAPAAADPNAKSPIESELEGAASAKFPRAAAGMNMNAGGMGADANVRGKRASAGMNMNTPMGGANANLRGKRDNFGVKVKG >PPA16357 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:27302:29983:1 gene:PPA16357 transcript:PPA16357 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQRTRQTLVKESSQLAKAMQPVYICSFTLKLILFAPVLVFCYETNEEYDCVSRWFYIPFAYMELAYFGTLTAASVFVIAYFIRIHPRLRRRAEKLYQMIRGIPVTDEPSEPFVMQERASEGDIYFEKLARTHAATVAGDSDSADVATEQLHVSVTSHPSSSGVNASTTGVTGHPPGVPSHPSTPHTAATGQFDSATVTGHPHTATATGHPQTAATRNLHTAAGSEHAHKATGAPSHSHGSHEHHKRKKHEKITSTE >PPA16438 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:440417:442268:1 gene:PPA16438 transcript:PPA16438 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPSPIPFHDLLFIIVHTTIDSTAILANLVLLLAIALRTPTSLRCFAVLLVNSVLMDVLAAATYYHDKVLTLQAILPVFFFVGVVNFFLCQLSIVDCSPIQEHLMMQTVSFTPLIAPMITLYYVQPYRE >PPA16379 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:114808:117156:1 gene:PPA16379 transcript:PPA16379 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPIFLPVAYETAILVYFECVFVCSLCLHEICLFLMVTRTPPAQMVVRNYLILIQIMVLLTDAHTDFCMRPIPLFPALAGYGNGMAVRMGLPINLAMAIMAILWTYVNVAIVRCIVHRHQTIVDDNSAFKFRKGSLIVTELLLAFLVPVPMLIWYANGNDRETNERNLIATIFLSSLLMVLAFVHMFYTLWKDSAKRSAMSIRLIKRSITNLFLQLIIPICLFAVPLLMISSTVIFGFSGLSEELFSFDQLEQSCDGH >PPA16541 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:946813:947713:-1 gene:PPA16541 transcript:PPA16541 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGGGASSHSIHTDTGYGQSPNQQIGYQYGSQTYNPPFGFQVPPSTYQPTHNGYYAPPPGYQPVEFCQPAYSQNTSTQPRQLQHAPPHYYGHFQQTHYEVTTSIVYDISGPRKSFTSRART >PPA16429 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:389895:392433:1 gene:PPA16429 transcript:PPA16429 gene_biotype:protein_coding transcript_biotype:protein_coding MKESTYDLLCAVMLGFGNFCLFLGYDTQNTIAEPVLRSVHDRSPDRIDMHAGYNGTAVCTVVFMLFSLAVPWTLGRLGSKGSIVFGSSLFTAYLCSFLYVHYIPYYVSAAANGVGYALFSSGVGAYTTEHSTARTIGRNSALSYSLATSGLVVGGLAIFNTSQRLMYGSFVALGIVSNIIFALMPPRAVEKSLAQVNDSTRRIRLSSTLKTVLLTMKESRMLLAAPVFLYLGFTTSFWMSIYAATLIYSKRLSNHDNLQAYYISAVGVGEILMGAIVSLASKRFRDFAKMTTQSIGTALFVLAMVLAFLSTPFDASHSPTDDETLLIQPSMEVALLIALLLGMADCALVSSRTVLCSLLMPEKIPQVFSISKFYQPLASSVILFLSSFISMPMHFALNLAFGTIALYAYHRSMSIASRREGA >PPA16506 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:793224:800353:1 gene:PPA16506 transcript:PPA16506 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLPPLIFPLAGGSQLSAILGDAAASQLLRHAAKITLAEPRYVEVLLKTAEEPTFGPLARIDLANRIGYRNLSLHFHRDEVHVMVDEFSLLTSANLTNLMWPLGLDDQAIELDVQLPRGEVRVLLDQSSFSLERCNLSSPSLSAGASNHWLADAGASFMGYLLSPALDSALCGILAEHLAQLNHTNVMKFPVESLLPPKARKFLAQEQTALFYRLQRIDVDTHQLRVLVQIEWNKRINSDESVEMMTDANSTVFDMELRGDDMITVWIEDGILNELISQVEWDFPWMEESIPLSSPVIPPDSRDFLSTLCSQCYFLVNVTAKGQPTIQATNSSFVLEKRDNVNLRVVNPDKNVTSVFVAFTLTINAEIRPSFDNGILRTLVQLQDTSIEMEKGAFPKNWALFMQDLMRGMILDMMWPEIKNAIEELSYGKGVRISPACGLDPSKLSLEILEGSFAVTTKLDLNRLELDRCVKEMKSSLPNPSKLLNGQKMKAVILTVVCAVASTASIGDMYGALNSTMTLEMAKHCKSIFMAFDDCFTPALSSVAKKITDADLQAMVDLHNKMISGEVATPTTRAEVLSCVKQHVPALYAGLVAANTDFEARFAKLSAADKEMINQLESGSFNVMKTRSQSGAVDFYLGVCAKYQALPTAAHDDFKAAFPESVKCLDDGLYKQTCSLAEALKANNYKMDTKIMALVSPILQNKRFSVQH >PPA16551 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:1006968:1010394:1 gene:PPA16551 transcript:PPA16551 gene_biotype:protein_coding transcript_biotype:protein_coding MSNITVIPLKKPRTLMADPQPSNSLEASLRQQFQNKKRLNGATDSKATRKRKKTRKNEAESAPLTQGQIGETAPVRYKRKGGYWWSKANVDDDEMDDDLIEAPSTSYEIKQEELEFDEDLEEKPSIDLDPAYAIPDASMNGVLKEEELDEEVNGYIEAAYTQIKEEEPDYNEYNEVIDPRAANEPTEQSRVEVKKEEADDEEMVEIKEEGEEDEYEATDDYAEEEPDREIARGKKSSKEDAEARLKKEKRWRIYAALGEMPPKGRPSFNETTILKAKVLKNCLHQLVCIYYG >PPA16418 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:322680:325882:-1 gene:PPA16418 transcript:PPA16418 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHESESRQDPVDSSTAGFATVIREIPRYLSSRSAGGHREHREWKEWGKKVGITDTTMPALQGHRFNIQFLIAARIFMQREFILKFIEYAPKTMEDLDRLLRDELVLVQLQILGLLDQKITGPLWRIAENLGVIEALMVLGSGRHWTDVQGRLEGDVRACSSVVSPRHRGRLPTELAPMGLLRLSIPSKEPTLEDENDRAEELMVTFGVEPFQEIEKSTKETRNARFCTVRKVEKIRFLKSTSIIFFGICLFTAYIVSSLC >PPA16427 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:377717:378856:-1 gene:PPA16427 transcript:PPA16427 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSGIVPYEVLLPSMGIWLSTFSDRARQIQVLHQSYPQLKWRERGLNWYLFDFVRSPVVLKYAIEGVPLLCRPLLLCYSSWRCLSNGYRPT >PPA16384 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:142129:143084:1 gene:PPA16384 transcript:PPA16384 gene_biotype:protein_coding transcript_biotype:protein_coding MHALLFFLLLAITSAHFSSQWSWPSGMHHYHHSIMLPDVSSMMNKHRQWMQGQMAQFDGACCTNQSDDLDFDFPSSKPSSHYTPSSHGSGETSAKSQILSDHNNYRARHGSPALRFDSNLNRNAQAHAERLARQDSGLSHSSHNENLFMGNGYYATSATHSWYSEESSYNYNYGGHSSSTGHFTALVWRSSTHLGVGIAQSSSGNTYVVAQYWPAGNVGGHYRQNVLPPQ >PPA16370 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:78118:79127:1 gene:PPA16370 transcript:PPA16370 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAVVSRHRNIQNRDKIAFATAIGFAIMGFIGFFVKLIHIPINNIIVGA >PPA16459 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:551771:554904:1 gene:PPA16459 transcript:PPA16459 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLKTPLPTAARGGTGVRYASQESVDLLSTKVDKMMSKLEDVLNMLSSLTPSITPPSISHVANPLASTTRHVYEACMKAIDEKAEYAEKEKRAVIIGSAEGSTPTETLKKDEKLVADLIKYTESDVVQKAFTDGKISHHRHPSDRAGKRRPIKIAFQSKELRDTFLNGIRLKKGYEVHGLENIPDEGPALLIYFHGCLPIDIYYLIAKCVLHKNRTLHCVGDEFIFKIPGWGLLTKVFSITPGTVEDCTANLKDGNLLSIAPGGVREALFSDPRLYDIMWSKRMGFAKVIKGSEVPVIPMFTENCRDAFRVPEWGRSFFRWVYEKTKAPLCPIYGGFPVKMITHLRPPITFDYANITPEEIRKQLKREIRSMIREHQQLPGSIFRGIAQRFVGKKQKSTPENINNIKEHRRNPDIVGYKYQNCPSYY >PPA16501 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:759997:761187:1 gene:PPA16501 transcript:PPA16501 gene_biotype:protein_coding transcript_biotype:protein_coding MIILTVHLALLGFGFFMSILLLLAIFRGTPQTLKNYSVLLFWGAFIDFIAIAADIMSMERLTILMPSFVFIAVGPCTLISDDFCNYCDSFFCGTIVQSTIVQCISFWYRRCQNNMKADL >PPA16531 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:886895:888253:-1 gene:PPA16531 transcript:PPA16531 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFNAFRDLEKPPYFEVKQDCAFQETEWYDMDGIRNHQKFAAFTRCNEKIPMYELPKRGKGVCYLKSKETETSTCWGDYCFWSDEDGRGCIDASGDDNFKLRLGESTYDGSYFVICRGNYCNSCPKGEFTLSSAKFTIIVYDVYESLMFETVALPEAVAGYCKAARFWQPLCR >PPA16395 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:207666:209983:1 gene:PPA16395 transcript:PPA16395 gene_biotype:protein_coding transcript_biotype:protein_coding MFCKAEENKKKRVYSSAHAPEEWSKLYGKPQYELGVWSIVFSTVCQILYIPALRVFYRERKLTSYKIMLFVALADVGALSCFGTLFGYTMIRGMVFCSDWKLAWFVGSGEGRPSTLYIAATIVYGLFESLFTRPPVPNSTHQFCAFNAFIPGHAAEEYPNRPNMYHDTFLATGMPALFIVMCGLFRTNSMIKTANATQALQAKIFTQASFVCFFFGISSAVWVFEEFFYVPPPFFLTAGMVMVQGVHGLPCLIYLALNRQVQKEAWRMIGKGPVAVAKKKEGEMTTPVSSINMTLSVAYSSTR >PPA16387 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:162079:164494:-1 gene:PPA16387 transcript:PPA16387 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRILLLLTSIGFFLIVFLQVDLVPSVLIIAIDDPEEALFSTCNLAEFGDGWTKEVLRLSRVNKNPLKHCDRGFKPMTRLDEKGRLTIRQDLKNVICKARSVEFDTEKIVKHGAWTNLTHLPSSRFTSDIIQTRCFSRNGTKVEDFIHIQVVRKNKPMVEGDFKPSPFPFSNPLEGVPQLDKELPPSVYIFVVDSVSNSQALRSLPKTITFLKKEHDAINLRHVNKVGENSHPNGMALFFGKLTSRVDRSLFGRPSVEPDWGKNEHCYGYLDNKGFVLSDFTKKGYVSLMAEDWARGVFNYPNCYGFDRPPTTHYMRPFQLQYDRHRQASRAFQGPHQCLEPHTFLYQYLDRFIAAYPKQPKIALTWASNVAHDDPNRLFHFDKQLFEFFREHAEEFDRSYVFLMGDHGLRFGAVRQTWIGNREVNNPMFFVSMPRRLRPKLNPILKENGDQLLTSFDIHATFVDILNGMDAKERPGGLRGNSLFRSLPVGERSCRTLPIPPRFCLCEWNRTEVEEWTERRAIGRAATELLNERLRSENITDHCQVFTHLKTKKVARIDGTRGIHSIAFKTEQCNAEFKTMIRVKK >PPA16482 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:674029:675626:1 gene:PPA16482 transcript:PPA16482 gene_biotype:protein_coding transcript_biotype:protein_coding MSACATICSVYASRMMVKNKKGLIVNSDGVTCVSLWPGLVRTQLIMKKLDNEDFAQSPLGKNLPNAESIEYSGKAVVALVADPEKWRVSGRILTTVDLGDKYGFTDVDGRRPLSLRSASFVLRNLVGYDRLAEWLPNWIKVPGWMLAAWYSTL >PPA16359 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:34047:35193:-1 gene:PPA16359 transcript:PPA16359 gene_biotype:protein_coding transcript_biotype:protein_coding MNIHFLYRYWTVRHPHLIHLFSRPAFIVFLATTTLLAGALWYLLTLYGPSGEGRSVDILRSVYEQEYGIHVAKGWCVMDFADEVNGTRFAIILFTFDAVMIVSFTNALTLGALTYASIRDAKTISTHHRTLQFKLLIAVTAQTVVPLVFVYIPYFFSVTLPYFNIPAGGIGSVCMLMTACFPAWDAVIIISLMTDYREAILKMTMMRWKNKTAPLDANTAMIVSSVASY >PPA16401 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:236974:237603:1 gene:PPA16401 transcript:PPA16401 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKIKVVNVCSKIVSPKTDWLAGIRKMFVEMDVLPSGDVERHVVSLDLATSRPRNNVYVLQMSDSLKKAVTTPSSDTRKKIADYCTKIGKGKGDVVRCFENNLF >PPA16449 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:487218:487537:1 gene:PPA16449 transcript:PPA16449 gene_biotype:protein_coding transcript_biotype:protein_coding MWSDQFISSNDPLAVPMDFREDLFTEKSYRIAKKSYYTTDNYIDPLPGNQRVVSEAVDLLKKKETNIENHERHHALHE >PPA16355 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:24046:24738:-1 gene:PPA16355 transcript:PPA16355 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYCLYQIVVTTFLGHQLTLLGPIFVFPIIGAYLYVDFINSPLVFHVVVCLWIICSGLDILAILDGFDFRMQVLLAIFYPQYADKRAWIVFVVLSKLCLFALVGCWLLPIGTQLNRAHIRQNLATRVE >PPA16517 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:846541:848383:1 gene:PPA16517 transcript:PPA16517 gene_biotype:protein_coding transcript_biotype:protein_coding MYAQIVATVAKMTENKKTAQEIRSNALKMADAALTKKNFIDTIKSNMAKFTFKCCQNFLGVVSAAAPYVQGCYIANWAVYNAPLHKFTPAKIPIGLCTHIFYAFAAVNVTTFEATSSDNNADVTLGNFDALNNLKKQQSGLKTILSFGGWGESQTGIYSVLASDPAKIATFVKSAWAMADEYGFDGIDVDWEYPEANDRFNYIHLLKMSRCCS >PPA16476 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:642058:642279:1 gene:PPA16476 transcript:PPA16476 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSFDNGAIQCFNELLLNKSIGIINNDIISLANSNYAQFQRELRQPGFDPATIMCHA >PPA16372 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:80119:84513:1 gene:PPA16372 transcript:PPA16372 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLHTFQSHSAEAIFQLVQSRIQDSANASAVEKRSLEAAEKSTSNDYSSTNSFIQDDPSPGGLLILKLKNFLILTFEIRSVSDCQALFRSIEKLSNRRGFHHESPFYYRVPFSMTVHFFIYCWAAFDLENEFKNLSVLEPDQFESRLRIFHYFCQSCPEKVIVPKGIGDDYLGHTAEFREGRSSPTITRPASLRPVADNAPWLTSGRSDESSMQRTPMSVLPRHYEPMTPPHGYSPQLNYASVEGADTVGELQSRSNSVGSRVESGLPLGRGARLKLSPAPLAAVEDDDESQISYSQIDMLRTQSLREFIELIFFSMLGVMRKKKCFLCGEEMIQARNFPPLSKPLQRKEWILRQDRDDEGTRALIEKHDAIKDPRWCVRHFADPSDSLPIDIIADSYHIPKSPTILGSKRDGTEYRYSQDSQLEEEDIEIEGEEMENESSTEMGKYAIVEDSSLKRLFKRCEECGASLDTSSITIRRCGSARIVSYHCINEECNAFVTWESQEKVGQGRGKVYSANHKIPVAAFVTGMPFPRFIDFGKLLDIDLPCDSSLRRNMREYGGVAIERVFEGWQEAAREIAVNASEVYK >PPA16528 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:882866:883809:1 gene:PPA16528 transcript:PPA16528 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRLALLALLSVAVYAQGGPGDAPPFLEKADAATRASFVAVLQANQNKPESQVNAAVNKWASAQSPAIKLAYTKFRDEVNKHEAAHRAAVAAFSPAARAADAKLNQHSLVYHTLLVLQM >PPA16389 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:173075:173436:-1 gene:PPA16389 transcript:PPA16389 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLMLVVTILADSLAKADNRPGLGWFVLIDIGIVCAAAVVIIVVDNLRSLAITHSRRTKEEKKKNSRIHFADFLVPKRNFRIARIFLPVLDRNTRPPGPPPISP >PPA16486 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:686137:687701:1 gene:PPA16486 transcript:PPA16486 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKGIVPATVKTKPKEVRVPGMEHRATVHNLVCLDEATIKFEIWDTAGQERYHSLAPMYYRGAQAAIVVYDITNQLLVTCFPSLFCACRLSLSNGYHSIRTRLGPDLHANGLGHKAFPWEKPKELKIKPELLAKRLAM >PPA16442 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:450565:452450:1 gene:PPA16442 transcript:PPA16442 gene_biotype:protein_coding transcript_biotype:protein_coding MVITIGIYTDFLMKPIPLFPAIAGICTGILCTIIPPPTVMGILILLYVWLAAAIGFCIFFRHQTLLSDNGKLSKWASRLLVFSALFIPLVPACAYASWPFDGTHVDELMINSRFDLGWAVERGPHFIIARTNLILAFITVVVTLMVPFVMVAFPMTFIFVSPLCECISNEVVLSVFGITAFHPIVHNLILLGITPTYRAIIVGALRKIRGRALGGVEIFQYD >PPA16478 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:657859:659840:-1 gene:PPA16478 transcript:PPA16478 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFEWTKGNHIAAAILFPLALFGFTCNLCVVVFLNSMPSLNNSFGSLTLSQAIVDSIHQLLLAFFLAPTIYFQNPDMYDISHQFGYATLLAYEICCFSHVCISVNRFTAVCVPLAYGKLFSKTNTRIIIASTWILAIGILTYMLQILDCDFYLPTGTWIYTFRDNVVCTRVKWYGDFSLNCLSVVIVAVLDVSSIARLHCINERHNLDALSAQKRSRQIVLVYQAALQGILFITELITYFLLSGFARNKWEAYALTTISWCLLTEPTDEFPNEDLTN >PPA16363 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:53770:54146:-1 gene:PPA16363 transcript:PPA16363 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLAIAVLFVQLFAVVAYIPKTEESRPAPLTYSTLRYRMLDGSFRRPYRVLSDGELLGKLRLASTAIAREEARRLPLPYYSGMGKGR >PPA16425 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:356857:361665:-1 gene:PPA16425 transcript:PPA16425 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLAHPKPLVWRNLDKTRAGESGQPFLDTVMVKNEIRRCQALPLLAIRLLCHCPRVRRRLLTAQSGQRIEETQLLAECNHWRDEGAEPNKADEWAIDGVNEAVDVAHAFELESDLPEVKLFGKWNLQEVKHLACSLMMRGRNDGKKLMTVRIVKHSFEIIHLHTEENPVQMLVNVVINSGPREDSTRIERAGTVRRRASLNQVMWPLCAGAREAVFRNIKTIAECLADELINAAKGSSNSYAIKKKDGIEFT >PPA16382 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:129951:130284:1 gene:PPA16382 transcript:PPA16382 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRMFRISPSSVRRFLLRKPRKKKDYSPRSIPRHLHEGVKALVDGLYEQCNTQTTQQIIESVEHKMGVKI >PPA16472 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:625587:628088:1 gene:PPA16472 transcript:PPA16472 gene_biotype:protein_coding transcript_biotype:protein_coding MQFGLELPVGEKQAFRSNLLLNTSPEFEFMAYAVCALTGNSACNLMISGNQVGLHVDTVTVDGNRVISVAYPSIGDISATTTKKPTTPKAPADLELQDLVNNMRAQDDDKPMSSQYLLTWGDKLGKNPKPSGKDLVSNVDESLFDTPVYAALKKVYDNGILVPDVCTTETDYNSGFKRSILQSLLDTWSTTKPFQLMHDFLVGRGKVPADLTQFKQFLTTFWFGTYTRCSDKKTIGSSGFEHVFSGEWKGTTIDGHHNWMKYYINQKAGEIKYYGYYSEQGQLTGTYEYDWAGLNKKTGGMLFGTSPVFDFSLFTACSLTHTGEAGCKFSIDDVPLAVTSYTQDCAGGGLCLSTSYPEDGF >PPA16504 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:779543:784422:-1 gene:PPA16504 transcript:PPA16504 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAPWAMLIVAAAAILLLLRGSQLLLVAPTSSPIEFGALQAEIAPEMIVPEFIPPASLQTPSKRTKKWIVVTSIQAPTEDVKRLASYPEWTLVVVGDSKTPANWSLPDVHYLSLDAQEALGFQSVKLLPTKSYTRKNAGYLYAIANGAQWIYDTDDDNKPFGKGLDQFEYSSGGTRGLRFTATENAPVQERLFNPYRHFGRAEMWPRGFPLEHIKKHNHDDGSYRLCRVQRPSAVQQGIVHKDPDVDAVYRLLHAEPTTGLDESFNQFAPPITHAPGTYAPWNSQNTLFSRAAFFGLFLPTTVAFRVTDIWRSYFTQTLLHAAGETVAFVPVNAIQNRNAHNYLVDFGEELDVYAKAGDIVRFIDGWRCTIELARQFAQLGFWGDADAQLVQHWVKDLQKIGRAHVSFANDLPANKSEPAEERAAVRIAAFSDLKVHEGFLMYYCLAKVEEMKIQNVKGYFMFSDDMIFNFWNPLNLNIVQGVKRGPGGWGPWWADRKHGFNAMKRTIHLIEGKYKNDPEVQRFAVELNRSIDENPRIDSKPYEYLMEANKLLAKPIPIQGDGWANGDWMYIPSSNISFVAMFAQLAHEGGLFHELFTSKLLNILPSEGEADYSRVVSLWGGNRTTWSRHYSETLYGVHPVKLSEFQDKSARSTFCDVD >PPA16489 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:703596:708451:1 gene:PPA16489 transcript:PPA16489 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTRCSIARRWSISSNGGVWEDVANECENFLQFNGYGAVQVSPPNEHIMIYTNDDVPWWVRYQPVSYRLNSRSGTEAQFQNMVDRCNKVGVRIIVDSVLNHMVGVGQKSDDPGHGSSGTASYDGRDGIESFPAVPYSSTDFHDPVCHGDIQDSDYQYNANNVRNCRLSGLLDLNHASQHVRNMFGGYITSAQTRAYYLQVRPPVYKHRIVVCFILDPRMRLNRRSLRPLASVRRAVNVLDLQAVAYLTSLINAGVAGFRLDASKHMFPDDLQAMLEKLPNLRADIFGTNQKPFVVHEVIDRGGEAIKVTEYIAIGRYTNFNFGAAVASAIWKQSDVAGLSTLGPGFGYGNLDDHDVLNFIDNHDNQRDDQPYLTYKNGDQYRMGNAYMLAWTYGYIRVMSSFAFDFHDQGPPNMGAPNYATGSPTFNPDNTCDARSGWVCEHRWPTIRQMVQFRAACQGRAATEIVTESNRIAFAREQNGFFAMNNADSDWNRQFDTTLPAGSYCDQYDGELKGSMCTGQTITIDNDGKASVRIPARACIAFSVISRIGGRPSPPNPPAGNFQKTAVFLQRVCCLVTFVTRDPLQNTQPGQNVFIRGGSAQVHQCSSGPFAQSTDPCAIPIVHNSTTPWMYATYRQWSQGDEYLDFEGEELRQGTYDGTHATGTPLAYSTNDAKSVDYQPLNKYGPDYWFVQVLMDCDKTENGWFELKGFMNGWENDIHQSSSCSGSIGGKNPLPNSNNHVAKCGAVSAFTWNSDSCIVNSF >PPA16543 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:970008:981330:1 gene:PPA16543 transcript:PPA16543 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGIGGGFAVLRGTGGPLDTHRNRLHLIRQNAVRRNRDTHARTEADFRRLLDLHARIGGGGADHQMLGGLHASWRPLESAYEGLFGGYGSGATYAGGNERGLAAEDHHARMTSRLLTESCPSTMDRYRESGRVLDDVHGHIMAALANSIVYYKLKKEEAEARAKAEEEVKAKREEAEKKAKEEEEKARAERVARGEVDDTVSDVTSVTARSDDAVTAREIGDDEEEMEQTEGAVAARGGEEAMDVMVVPPPPTEEPEVLTSPDEEEPSTRAAADTDGADADEEDNNMDTTSPPASHSLPPTIVSMVGGGEEMGDNSNGSSTGATIGDETSQSGGGEMAAAAAAAANQPPVLEQQQPIVDPNAAVHIVAAPDGTPLQAVPPEYVDILGTDFLPCGVDAAFLAALPDDMREEVLRDHDRQMRQQARAAVPPAAPAAAAAPAATTVADAAAPAAPVDGAASAAPATAAEAAPAPATTGPLASAPGVEPLDPDFLSALPAELQAEIIEQHERAVRAALATAAAAAAPAAPPPADDGAEVIRSLPPSLRAQVLADADDTVLAALPQDMQDEARRLRTERGVHVARMQMERDYHRQPRMVVRAPGGVRGTLHAGRAAAAAQAQSGVGQMAGSTLTASTKGIQMLDRDALSALIIMFFADARLSQPRLQKTLRDLCTHKGTCDYLIYALLAILKQISENKHTEEEGEKPSESKSWTDTITVSGVGHNERVIKIDKDGGVSIHPMHAPTVAKNVVDLLVTIARHYPGHFIPHALRKEGSSTKGVEPSPSAVPMPPFEHFWQTVYAYTTSKPGTGAKEALLEHRVDTLEVSPLSCCMALLQTPVMKTTAGLNEKLLRLVYTIVQTLPDDTLVRMSSNNKEASTAMLTATGEETPLCEQMKLICDLLTSGTLSTEALHDGRLLLVEAMRAMNFRTTATIYEMLVKRIESLGSQLKPQISLLMSELSAVPEGSGPSTSKAGSSKYDADLSREQRRRTEMERENMFQALHQIRNDQRPTGVMSSCAELQVPSVKALQEKGGVQAALLVALQTMCKTRDTLGLLMADRKRARTDVAQKKLDKAKKEYDERKKKAETEAAAAATAAALAAPADASAADAAPASTTEAPAATETPAAPSALAADAAQPAVGFTTFTQSAAAAPQPPPAADAAAAPAAPAAPAAPAAPAFVFAEAPPKLEDFLEPETADPIPELKLSAQLTSLEGLWSVLSDCLLRLGRASDPHAILVLQPAAEAFFLVHAVTAEKAREAALSREQAAAAAAPAAGGASPGPAPISASTSTDAASIAAGDEDTTKLLQFAEKHRSVLNQVLRQNQASLQDGPFAILAACPKLLDFDVKRTHFRKQLGRMDDRQRYRRDDVPLRIRRAHIFSDSFRELFRLRGNEWKSRFYIMFEGEEGQDAGGLLREWFSVITREIFNPNYALFNTAPGDRSTYMINKASYINPEHLDYFKFVGRIIAKAIHDNKLLDCYFTRAFYKHILNLPVKYQDLESEDPEFYKSFDYLLNNPVETMGIDLTFTLEVEEFGVVSTRPLKEGGADIIVTDENKEEYVRLVCQMKMTSSIRRQLDAFLDGFYDVIPKTLIAMFNEQELELLISGLPDVDIDDLAANTEYRSYTKNSKEIQWFWRALRSFEPEDRAKFLQFVTGTSKVPLNGFTALEGMNGAQKFSIHQDSRTGNRLPAAHTCFNQLDLPVYDSYEKLREALLLAIRECTEGFGFA >PPA16483 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:675683:676008:-1 gene:PPA16483 transcript:PPA16483 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSDGSGGETEAEFLVRSRFAVHIVTMGTSTKADDPARQKSYSLREESTRTTDPSHIQMQILLALNALM >PPA16436 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:435339:436137:-1 gene:PPA16436 transcript:PPA16436 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFGMNYIRVVNYPRNSLIHLILHLVCGAVVTCLNVATAVGMARMEHRQRKNELSLILVVAVDSLGTLLAAAQSLAMYYRLDDILYQKRFIIVDYQCFCPAWSLFVLWARMRREIMRCVSRLEHLRVQSTDQRQLEQ >PPA16391 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:181985:182349:-1 gene:PPA16391 transcript:PPA16391 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLMLVVTILSDDLDKSDNLPGLGWFVFVEIVVVCVSVVVVLVADGARSLALSYRRRNKESCVVCLRIFTSRKLFRALRVTLFLLSITALVLNAILCWT >PPA16405 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:253502:255265:-1 gene:PPA16405 transcript:PPA16405 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFFEPIPLFPVLAGYCIGVACQLGVPVQVQTGITVLLIANVGVSVLLCAPELAARQSPAENGNGTLIEISRIIHFMMLARAIHWSLLVGFSVPPIMYSVALQDPVESERQLEEHPELSWIRGRGPWLVQERSPELTVFFVAIVIIILIGFELLYAMYAHMFYILKTDYSKRSTISSAVIHKSLVMMCIQLVIPLFLLILPAVVIYIGLAVEDLITFETSFFMFLLIHLHPIGHNIILLSVTPTYRNFIARFIRIHVFDENNSVHTARIQTAPSSPLRHEFS >PPA16419 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:328269:329513:1 gene:PPA16419 transcript:PPA16419 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSKVSEKIIKDFKTERFDEIRRNIADEITNDGLFPDLQAEMKKKIEELVGDPSATKYGLRSRAKIELAEKNQIGPRNPKIGVR >PPA16412 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:293064:294194:-1 gene:PPA16412 transcript:PPA16412 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEHRIKAYRFVAYAAVSFSVVAVLSVCVTLPMVYNYVNGVKRTMGNEIQFCKGSAKDIWSEVHHLKTLPNANRTRLARQAYGEEAPVTGGQQAAGGCDACCLPGPPGSAGTPGKPGRPGKPGAPGLPGNPGRPPQQPCEPITPPPCKPCPQGPPGPPGQPGQPGDAGAPGQPGAPGKDAAPGEPGPKGPPGPPGAPGAPGAPGEPGVPAVSEPLVPGAPGPAGAPGPQGPPGAHGQPGQDGQPGQPGPKGPNGPDGQPGQDGNPGAPGPAGPAGGSGEPGICPKYCAIDGGVFFEDGTRR >PPA16542 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:948294:968701:1 gene:PPA16542 transcript:PPA16542 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-eel-1 MKIDLANLRPSTLEIPEQVASLLDRLKRAETLESLVDEMKNLKEWPTLHGKSELVRWAPVLNRLDEILEMGAKQADYRLAVDTDTNAKKMVEVALKFSAQVFENTTSRSAYASVDRLLALMESTDPHIIIEVLLVFQVMGKRSRFLSNRLSPDLQKRLVEKLAALTESWGGRLREVKLPECIKPQLLLPQPLFPLSFTNSSGQSVHIPKPDDSMPLLQVVEETLKKFDGDEADRASLVARLRLLRKFEDQTHRVDWLIARLLAMSTLLYSRCGSEELAAMVPQLPPPGFIEQTCSLLHVEEGVHDRVDIIKSEALRTLTAICSNETKPHRLDSASSTAIRRRLLTIMEQLGATSYHGFLATYVRKCVEDLKAGLIGKPGHPSIGLCTALFSLLYHMASLDHPRRAPGASQETLISSGITQTMLSVISYHDLPPEYTSFVTRCVRVIDVVSALDVQGFTSHKGLETCVNRLCYEVEQCRKEHPFLIDVSEDEEGKDVPPTYIRTPKQTSFCHHQRGALIKSLLNFIKRIINERDFVEQVRHLMEYGLPDALRHIFSNVEYYSSALFHYSMQLLQNFIYQVGIGFKPCLVIARSLGYSEQEPAQLTMLQNHHVPYTLLMAMLRKEVEPTRDVITTMSQVFAALCINDRGLQMFKKFNPFNEMLRIVLTAKYINTMKRRRGGDLMEDCSYNVGSTLEDLLRQHVQLRELCLDSFIETLKRLMALGTDPAPAGIKIVMTLQKAPRGFAMYNNDPYGMREAAARVMGDREDSEGVMSPQEMEGASDEEEIDPASMESGESMDASTSSPAAAESVVMSVEEKRSRDTLEMTTLDSTGQRVLPLGDYLCILTRIVEQLLQQGTPVHEMVEGLVTRGGVPLLLQSMALPALHQELAASAYPSVVATIVRMAVGGQPNVAARHPASGPAPVREEVMSQMVAWATEALTPIAQLAKREDGAVGGSLLAKTTTGDAAEDFKRHARMTATVLNVTAMLVGVTKCAAHLSSNRDNDLRSKVITMWTEEGAELHSMLHRIYCVFTWENSVMHALRMAHKKAKVGAPKDLVEESSVRIIRASSISMERSGAPATDADSMRALDGDAADPDTSDSSIESVDVEMMPSTSSSGDDGVLKADRELKAAAAASIGLTKEENDYYLGMFRSGDCSKPNGKTIRALADLLGLFSRVCIGNTTRARRGGAYDAALPYNLTKNAQKLVQVIFREIKTDLEFAPLVEKQGSPIIAMYMTDQLTTLHPLLMDEKKAQYHHLVAKFYSSGCYSSVMGMLTDFLVPALLEKKMSIGYEMLFHEWCRTVTALSTRSGLVGSRYKMVEAQAKLFPIDKYYLLLQTDIFNALHSLVPVLEEGTSSFVGKQSLTEVLFRLLSDVTKNLTELREEEIELNGVTASERALSTAEANAVKSLMEMGFDRAACLQALAETTSVSEAMEVLLAERDLAGREERGNRFAVLADAEEGGDAWMDVMLGMQGIAGLERDDGDEAAEALIARALGRAAGRRPVVPEGAGALLAAAVAQPQPPPAEENREAPANDAPDAAAAAPAAAVAAVAAGAIPPDAAFLPPLPAAAAADDPSSSGATPPNTPAPEELAAKEVKLEWDSVVERTTKEWIPLMLQLSIKKKKLPTENRIVYHVADLTNAVWKFASEEWKRDTLLKGTLREEIISLIAALRLNPTEDTSFAIGTRLHYACLIFESMAADYVKVLSEDGKGIVTTLLDLIEFASNNMDLNEYTVSTVINPTLLWLEMYDKYTHYVRRLEIYAKCESPLSFSYFSYEDRYNAARGKWQTYTAGACKKLTKAFLAGEQSIPIKNGRRDFVVDLVDLIQTQVVEHAREGSAERVNVMVMMAESDAAKMNVDEFIAMEKRPIYSEVEMARMVNVLVAFLSKCHIASYGAHSALELLVRITRDETVAAHFVNNKGLEAMMKVRGQSVRYSEALFTQLVRQCVEDEQLMTKVFDQMIRQACTVGIKPTVTGRWQSSPYTKDALQILSYVAHSATRSPLHYYNALLKFVKMDKNGQMVSDPPATAVVPSTSQQPVLKIAVVPVRKPAEEVPSTPTAKTPTGALPATPTTTDDEKGEKKESSLYNGPGLAIVEMLLKEAAHGDWSVAESATELTKKAGGVVFRLFSKSTLLYTLAELVRSYPFVATTLTELRYDGVGTSVLCQLMDKYSDPTIEKDTSNALHVLLATLSVTPNPKAHDNILNDMKTCLVGFATSSEAHTNVHLKINELALVLSSMMTSCPTQPAKKETTAAGASAATTAPGTNSIVKLAVKKRILNELIRCVTRLVLSKRESIETVNTVIRAIDEMTKACNMTNPLAVMVPGLAGGARGTEGSRFAHALNDMFGNYTLESGAGAPQATLRRVDVGPNGDMTEAVVQIDVNGLDAAGLESVMARVNAAVDMATREVERRAREGDPASGAVVQMQVSHLMADPILAPADGAAADAAAHDDAGPAEGELDEMRSASSEDEEEGGHRDADMMDHDDEGERREGAMDEDDDGDDEEEEMEDEHYEXXXXEEMEDEHYEDHHDHDVDIIGEDEDGDEDDDDDDDDDEDEEGDEEEDEEEAAREDERERAQHALASASAGGEEGEGERTGEDEGESEVEGDDDDDPFGGFEQIGMGLERDAAFPRNEAPDDDVGQ >PPA16491 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:711271:712030:1 gene:PPA16491 transcript:PPA16491 gene_biotype:protein_coding transcript_biotype:protein_coding MVYRILILAFFAGLVAAQNFRGNNFIPCGFVCTRNAAFSINIDGVNTRASCSDTNGDLSARCNSCCQSYAMWGGLLSSSAAGFPSSDGSNCVCCVGNNRCGGPGPFPPVPAIAAPPNGFNNGFN >PPA16415 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:313439:315376:-1 gene:PPA16415 transcript:PPA16415 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPATPVHPEWRAIVDRVLTVWSAYQLGVEQQAGGDETSEKHVWFGDVLADHIANSRGLNADGLEEWITALLYQDFDLVLEDDSIYPTSHLLLEAYGYWKAGNRARLGQLMDTLPSEERVREARRASRRGADQEEEEGEEGEAIEEEDEMRDFVASKGNC >PPA16545 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:983800:984417:-1 gene:PPA16545 transcript:PPA16545 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQSNIAVRSQPKINFTPHLVAIFLMQRLHVICVQLFLAILQMIGEQSEDSHSSESPSLPSDESRQMRRLAEIEAKMLRLVTSSLEKQQSNSSEHRRSRRSIPASCPPSPKLFAIDEESS >PPA16385 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:143493:144157:1 gene:PPA16385 transcript:PPA16385 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNVGSSMVVGALPALCTTVGVLASVVHTVSMPDAEYLPNFGISFGLGMVGHFIGLGFMAMISYVNDVL >PPA16410 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:288733:290099:1 gene:PPA16410 transcript:PPA16410 gene_biotype:protein_coding transcript_biotype:protein_coding MPYAKVISVVEHVNYVLGITFYSCLLYCLLFRAKQNLGTYLQIVMITIDASYTTVQMFIHERIVTDDGLFAMISTSKYDISPELLCAYLALYNLTFVMCDYSFLYRMWATVANPFLLSSGIHLAAMHDRLHREPSLVLLHLLPLPSHTHRESETSRTFSARVRCCVTTDHVMIMGDYYLEDGSLNIPQLIGMLILCCVMGVCFGLLIYAFFEIFHHLREARLISKASIRLQHQPFVRSS >PPA16356 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:25817:26471:1 gene:PPA16356 transcript:PPA16356 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLFLLAVVIALLAQTRAPPAAEIVAAAAPAVTLTSHVHNTTGAPSAHPHNTTGGHSAHSHNTIGTPAAHHHGHEEHHKKKSNEKED >PPA16509 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:811350:812851:-1 gene:PPA16509 transcript:PPA16509 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVLFYEVVPDTGLLALRATAIYTGQLIFECLFGVLVALSNLTIILVFFFGWKRLFRTDFYVILANLIVCTSLKGFVELAFVVPYYVLQSGVYTKYPRDEVYAARSVADFKRSS >PPA16467 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:593386:598941:-1 gene:PPA16467 transcript:PPA16467 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSLYGWLCALMLGIGQMCLYTGFDSSSFVVEPILHSVANREPGRIDTHAGYFGSAVCLLVYTFANLFAPWVLGMVGSKLTLVLASSCVTLYFLSFMYIHFIPYYLAAVLLGVGFALFYAGNGGYLTEHSSRSTIERNTTLSARSASNETTSQNDFREFSDSDIRLMYGSFAGVAFIANFIFAVLPRRAVANSLAEVNERKHRIGLGEQLVKMGRALADHRMVQMAPAFCLVGTTTIILFGRVAAKTHKNERTVIYPITGHPLMLGLGDARICKNMCVTDNELGCTIVVLSKRIRNFAQMPTLVIGAVLYMAGALLALLSIPAWSTNTPNDDATLWIEPSVGTVLLIAALFGMADNCINTSRSVLSALCIPDQTARNDLHGERQAKAVL >PPA16366 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:69068:69713:-1 gene:PPA16366 transcript:PPA16366 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKGSYKGKGRDETSITSLSIFHFDMLRSLIVLFLALFSATAAAVVFVPRPVYVPRPVIYYPPRPRIAVVRPVIYGK >PPA16456 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:539423:542299:-1 gene:PPA16456 transcript:PPA16456 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVIVHLLTKMKERSMRNEIQQMNQARIAAALSDRDDSIEWARIEASKISDCDRNCIKKMDFRQLRDALQCGEVTAELVIRAHYGLALRAHERTNCLTGFIKNSLNDARKLDEQAKDPSYKKPHLFGIPLSVKECIATFTLISVPNQIEGERCTWGLAKYINHYSDEDSYQVMKLRSEGMIPFCQTNIPTDCLTINCSNSIYGGSLCGWGSDSGGSIRVPAAFSGCCGFKPSATRLSTLQAPEPMPLRPILMTTEGPLARDPHAIVEIMRKMWSDIRLSDQDPFVVPVDFREDLFAEGRKYRIGLFLLHVDSVLLPILISLSGYYTTDGYIDQLPGNQRVVREAVELLKAKGLTNVSPMGDKYVHVGHDLVPFSLGKIARKTFRGVFATLIADGGAALADRLKDVQNLSQTSWLRYEASQLFPCLQRSHFLRIKLLGWFCKMRGDTNTADFFLSQSTRAADVQRGIDQVFACRKSLVKKMKDEGIDVILCPATLTAAMPHALPLSLPSPSMVATSLWNAMDFPAGVVPVGVWTEEDETAMDSYEEKGAVETRIKAGCRNNVGLPLAVQIVAPAFRDEMVLRVMVDLHESMKKGANDL >PPA16417 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:320013:321247:-1 gene:PPA16417 transcript:PPA16417 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPCPKRKKEDDGVAHDPFASFFLDRDGKPKAVKGEEDKKEISLAAEKELKVPPAAGFGIVDGVRIKLVEPKEKVEDEFDAAEGDTRNEATDQSSAGCEPKVYDIEDSDEEEEQEGDEEEGLIRNSKTFF >PPA16375 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:100013:101512:-1 gene:PPA16375 transcript:PPA16375 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIAHCQPISSTPVKRVVQQATHAATSEIYFKELGQSWERKYDLYRDIK >PPA16402 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:240709:241517:-1 gene:PPA16402 transcript:PPA16402 gene_biotype:protein_coding transcript_biotype:protein_coding MQPVQTASSTAPGPDLDPDDVLLDKDDDNDDRRDIYVTVELPGDERPLIEKIHRLLFTQCNDLRRTANGRYLMKKRRLNSVPDSLVTATNRNLQPVDLPAPATVSVPGPSASTTSGLRSKLNSAIKVVQNAVQSGNRFRRLVDVESTTSAISK >PPA16538 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:928509:930543:-1 gene:PPA16538 transcript:PPA16538 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRQRRADQRREEAAPSYIILGKHRVDISDSDTSTFAEPKPLTMSLVEASEELKKQYLESIPHATVWEGQILPGGVTTPDKMKAMQDMVFDENDVIIVSYPKSGTTWVSEVLSAIAYEGNTEKLKQVRMDERVPWIEMDHRCWDPNSPVQASAKGPVRDGKKQIWFSHLHLDYLPPTARAGKCKVVYVARNPKDNAVSYFHFHRLTTFMGLQKDMKWNDYFPLFCSGYICVGNWFKHTLDYWKFTRNNPNAKFVVYEDLKKDLMGEMQSLEEFVGIPLTPEQRLEVVKHCSFDSMKDNKMTNREGLAVFDHGETKFMRKGIVGDWKNYFTVAQNEAFDELYKSKFEGTGLSFEFDACPTTKLIHYTHESTFIHEL >PPA16383 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:137695:138178:1 gene:PPA16383 transcript:PPA16383 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVLQKDRSKRSQQSVVAIRRSLLNLSAQLLIPMSLFVVPATTIFFGLLFEELCSFGELSLTNQLFCKVIAY >PPA16475 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:636435:637697:-1 gene:PPA16475 transcript:PPA16475 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRMAVIYHRLARVELFLGLTATIQNYRILPREEDPIDVQPLSMIILQPKIQQFVKIEKYHYVK >PPA16519 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:851043:851994:1 gene:PPA16519 transcript:PPA16519 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLFHGEVASHSVKECIRANCNCRRCSLLRERRQIVNTLVRESKTQKIKLEIKESKYTCSKCRHHGIVSIKKVNKRQRDYISTTSDIFQFHTPCPYQAFHCRSCTLTEDKRRIENELAKANNRIIESSTTLSTNTAHDAVETTVPILVCNNNEFMSAEAKVNEQTGAKILLSFLVAN >PPA16377 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:106753:108786:-1 gene:PPA16377 transcript:PPA16377 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLAPIFIVYACGFLAAVESVKVNHPRKEADRHALVERMRIGKASFDAVRDLHNMWYVHSLKGLLVQMAKELVQKLNEGAERDLLLCLHRIPSKTDIVRASECLVAARSTITGRGVLRTEDTTRSAFIVEDFEKRTRMNKKRARRRIQKLTKMRKTTSEHMRFKRSEHRLVERPGDKKQRHSFVRRLDSSPDLMTAGLTPIQQFSRDIVTLVNGKEPKGGAWGKTYKSILKMKKGIDAQWKSAGARVYDLPMDRLVFDKNATNSAPSSLLPTRSVKMPDVVERAFSLADSFRAHLSRDSRDANVKR >PPA16549 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:996272:1000470:1 gene:PPA16549 transcript:PPA16549 gene_biotype:protein_coding transcript_biotype:protein_coding MHTIRRLPSFEPFPFAFHDTAMIYLEEDAQLTDTTKMLSALRREMASCTLRMGAVASSNVVVAKRGLPARGTKPLPRNLWDQRDLQEQTGGEYTHQPLRVKRLGGRDADTGRKVNQHIGGGVKFDYFMIDFHRRGPTEEGATYDERILEVRRDPNRSAHIALVAGEKGKRWLLATESMRAGQIITTSGHLTENPLIGIEGNAYPLGSLAPGTMVNCVERYPTADSEVFVVKAGTAATIVRHQGDFVVVKLPHKHEFSLHRECMATVGRLSHADIEGKIFGSAQMHRRFGYKMSSGLFHKKDGYFGRKVRALPPVRVLDTPPEPAPPKQQFTLTKAQLSGLPAAAKMHNLMHAGYTHRDLVYPFWTDLSLGVLGVECDLSLEDKEYPFTCCLHQL >PPA16462 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:569915:572931:-1 gene:PPA16462 transcript:PPA16462 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIDTSDENNELGLLFGEERKMDETMPTSVINSLRETAAEIRNGNQQNKIISADITILDGALVVLSGESKEKARLLLNYEDERRKVDDMTEAFVKAVDGTRFTDVLELRNNFDTLSERLKERDERDANILNRTDFESIEQMAKNVRTVRSESNDTRLREVVTVALSDLGLKDMIEVVEEVNELRDKNGQMADLIELIMKEVQMSIIERNELEKKFKELKIHFSTAMDMVDKLTIERNEVAAELNEIKKKDAKAADAEKRAKAAAKIFISSSYSGTDVANARKWRSEREITEPIRDMTMVVMGVINGIQGTATEAAVISIDLLRSVTDVERWAIRGTDVPMDKVIENQVNEVNTPQTIPPAAARRLTVGVETCGGVARRKALKQQTMEAGEDQGKMRGGMPQFFKKSRSVNGIIGGLQMSA >PPA16516 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:837548:840668:-1 gene:PPA16516 transcript:PPA16516 gene_biotype:protein_coding transcript_biotype:protein_coding MILAKYSYGNWPWMLVGMGVSLGTTAVNVAMPHYTSLVMNGITALREGVDINHSIEILAALTFASMILTGLNSGIFNYLSSVTVSKMRKDLFDSIINQEIAFFDKYKSGVIVSRITSDVNNVSYRISDMFTDSLKNFLTMFGKVMFMAGLSWRLTLINFIAFPIMIYISQLSGEFYEKMGSEENDVSANSHQVAEEIISTIRTVRSFGAEKRSSKRFSEAVDRASGVAKKEALASIVLHFSWDLYHNSLYVIVLMYGAKLVSEGSMDAAALVTFMMYQMEIGQGVVGLTYEIPSFMGTLGQSRKFCQFLTRKPEIETNGTVEQPVEGALSMDGVSFTYPNRPTNQVLNDLTLHIRAGETLALVGPSGGGKSTIVSLLERFYDPDEGEITLDGVPVREFDHEHYHKKIALVAQEPVLYDCSVRENIGFGCDATEEEIIEAAKTANAHDFVTGLEKGYETTCGEKGTQMSGGQKQRIAIARALVRNPSILMLDEATSALDNQSEQIIQEAMQSIIEYCCESRKTSSCCYAVISIRIDNPWVLDSLTCTLRAVDPSYFRCAGTRTVIVIAHRLTTIEKADRIAVIEKGRVVQLGSHSELLKDVGGLYHTLARAKDTIE >PPA16499 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:751041:753800:-1 gene:PPA16499 transcript:PPA16499 gene_biotype:protein_coding transcript_biotype:protein_coding MTHHFTHLTLPPGWGWIIKGVWIINHFGAIGSLLGKLIIIINRYSVLRSSDFKENVAFYEYTYGVKDDGVQVIDTWTDNGIVSSKAITTTYYAIYVVASVAFTVLTSRKFAKLSSIVGEGSTKQQIVKHQQAMFIIVAFCIASHLVKVVHQALWGVFTIAGRIDIADSIWPFYPYANGLATYTAPVVLMELVSIIVRGERNNDIGARAVSSISNIGSCRTWSMRFQLLFIVSTLIAGCVVVFLVHTTTKLHRLIRLRMISAIVVAMTFVVSRLLVMHHEYFGTMKYVETPVLIVGSIFKQVFIGYMTALTSILAQDRWISMRNWAW >PPA16361 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:45002:45929:1 gene:PPA16361 transcript:PPA16361 gene_biotype:protein_coding transcript_biotype:protein_coding MPSALAVFDYCQTVGVSIALVATIPPAGFVYFKVIFSRKFSARYIFKLIAINGIANFGIATPLEKHAVRRKGF >PPA16510 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:813401:815429:1 gene:PPA16510 transcript:PPA16510 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHGDLYDDSLLNVVISVYHHISIVLSLLVNFTLIALILKSRRKDFGAYRFLLLTFATVDIYYALIPESWGSAFAMTGHGYLTGKFAVCWFAGVHSHSFVVLVFHFLYRLLAVKRSQYIEWFGRLWFFGVQYASVMLVGLSWFSVLYYFFDDDEFAIDYVRTMLRDHALATALPVEDYSTAVFWTNGTFSGPRWSPIFGMLVMAQTMSAGYGFMIYSAAKINWYMVKDSGVVSKRTASLQKQLLKALMYQMLLPLLTAYSPPLISVMTPFLGITMRLMSYATPILCGMHPWLDGCVLIWTIKEYRMLLLRFFTRKKIADKDHTASTVKQGNSIAGNSSHF >PPA16524 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:862734:863654:1 gene:PPA16524 transcript:PPA16524 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDRTNHRYGVRPCRLGPSTITKEISPEGSCHRCIIQYGNYYGYESVNTAFRRPDPIGIDGFNVPYSLNKKKRWMLPATLVKDIEQLQKPSINLRVSVSMHEFDQAFHSMKEWSNELKYRLTRACYSVTRDGRVTQHVAPKEQQMMKALLHQ >PPA16534 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:909570:911474:-1 gene:PPA16534 transcript:PPA16534 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNWAIVRKALLISSLLLFSVVFILDFLPRQVEHVQRKYYAPIIQPRDQREDDVFVPKFKRYHDDRTEKERLKSVENLGRMDLIRKANSDPLPPRAFTGSALQMCSNKTGDSVCVPKFKQLETRIRTASNYNLSTCIIQKSMSTVMTAIMCYLHNERNFTEAGREFARECTAVRFCQNKNEFAQVAGVRKEFNIRTKEETKKWRFTMITRDPIDRFLSGYVDKCIRIPHGAGYCNGCHRNMTCFILSEYDRIQTQLARGHLFRSFEDRHFFPQNWRCDLFKEYNNYRFLRYSSDPSDTLLGDLIPILEEQRVPASSIQFIRDQLNAGRTVHSTVQSEARTFYEQRLKSSPFLLEYIIRIFYYDFVLFKYPFPDGF >PPA16452 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:491562:494300:1 gene:PPA16452 transcript:PPA16452 gene_biotype:protein_coding transcript_biotype:protein_coding MVAECARGVFAIAIADGGAKVAARLKDEPLSFMMLPLKYALTAPFWLMKLLGWGAKLRGDSATADFLLGPSGSAVDVQTGIDKVYDGRKRLIQKMKAEKIDLLLCPSFVCPAVPHWLPNQIPHTAMMYAFFWNAMDFPAGVVTTSKWTEKDEADLKSYPGMKGLVQAAIKRRCRNSVGLPLSVQVVAPSYRDEMVLRVMVDLYDAMKQGGESSV >PPA16458 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:550813:551362:1 gene:PPA16458 transcript:PPA16458 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIFTIKTTTTMSTDNYRYLLLCFAVGDMISSLTHSYISPIVHLTEHGFFFFPKHANELKSENAALGKFVCLFYIFTYYETFNILACHFIYRYKRKTRVLDDELAAGSLVVYRNRIHDYSFGIDGLGYGIQ >PPA16547 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:986019:986481:1 gene:PPA16547 transcript:PPA16547 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGFTRVWRSKKQRTAGGAAASTGPRKTAPCTMRVDGDTHDVDTTMRRARERMEHEREMV >PPA16353 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:6918:8711:-1 gene:PPA16353 transcript:PPA16353 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTSPDFDDYFESFITNFFYSIGTLLWLLTLVLLCFSFISLVFIRLQTLLQPWSKLKLTTIGRLGRVLLSELCTTFLSPINSILFLLGNRQYVSLVRDMMRRTSNVGRLRSISK >PPA16502 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:766975:767596:-1 gene:PPA16502 transcript:PPA16502 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTYTVLEKTFDEHNSDHGDCEICRDQEAWSNSVVACLAALHQAFKAASVAERRFDFKKIVIDIKMPMDPYFALCEKYITLPDREMNLNVIFGNVDIES >PPA16397 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:215319:215785:-1 gene:PPA16397 transcript:PPA16397 gene_biotype:protein_coding transcript_biotype:protein_coding MENTNEKFAVTVDVSHFKPEEIKVKLNGNELMIEGDYEEKTDKHGTIKRSFVRKCTLPEDTNVECLRSSLNDKGHLTIEAPKKTEDLTQPNAIPITRG >PPA16437 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:437923:439162:-1 gene:PPA16437 transcript:PPA16437 gene_biotype:protein_coding transcript_biotype:protein_coding MYNELCRAMDDANENEETMITVFTGAGDYYSTGNDFTLSEMVKHNMHAELDHEAVYSRWMRRLINHRKIMIGLVNGPAMGIACTTIGLLDYVVCSDSAYFLCPFANIGVSPEGNSTVLFARIMGTSNATEMLLFNERMTAQQALERGFVSKVFSKAEFAQKSAELVEKYCKLPKHSLLASKELMRGASWRREMNSVNNHEYDLVRKLFVDQRSIDLIMKRFDKSNL >PPA16526 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:867681:868814:-1 gene:PPA16526 transcript:PPA16526 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAQGINLIVKATDFAARKHHAQRRKNMEQTPYINHPIGVAQILSSEGGITDPIVLAAAYLHDTVEDTDTAMEDIEKEFGTEVRNVVAEVTDDKTLTKAERKAMQVKKAPGKSKEAKLVKLADKLYNLRDLERATPVGWDDERKREYFLWSREVVAGCSGTNGALEAALDDIIKRNL >PPA16399 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:223952:224275:-1 gene:PPA16399 transcript:PPA16399 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLAVFTIPLHPIVHNLALLTITPIYRKAIVKYSTSVRIGRKHSLMIHCLKIEQRN >PPA16420 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:335721:337223:-1 gene:PPA16420 transcript:PPA16420 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARHSHEQRRAIAAKCRPTVPEVEGRVERLVEQLRDNGDATDKLIALWRRMGEKMIPKEKDASLLEALDEISSRMTPISIAHPACDPEYRTPFASLFALDYAALHNLPVSC >PPA16536 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:917474:919011:1 gene:PPA16536 transcript:PPA16536 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSGEFAVGTMLSRTIGMVGMSLWVVGVRFHMLISANRLFTLAIPSSQWYITTRTTTLAVFAALFLSLAQCAPLVFVDDLWFCYDHKTMQWTFSKTEIGTYYEAFARVGISEIKHRFRNFNVLPITIEFGCVLMLDVITIIYLHAARSEAVQSDLTGNRAVEIRLFKQAFSQSVPLLILFAVFAFVTPTLTDHFARFLSTTFMWHLAHGIDGLIIDLFHTRQSVFVKVKDATSAFTVSYAQRTT >PPA16433 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:425059:425634:1 gene:PPA16433 transcript:PPA16433 gene_biotype:protein_coding transcript_biotype:protein_coding MMKHNGLGKVLDKFDLPYPDKIEAVIREVLTDKKYTERSRQVGAMLSKKPFKSKELLIKTVEFAAEFGPSSALRPQSYEMNAIEYHNLKWLEYIQDICALAFFIFVFLAYAGIQTAKWIVCRVFTTLSIDSKTKME >PPA16365 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:64513:66831:1 gene:PPA16365 transcript:PPA16365 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLANTIIRERGRHPRSGPEKKYNASLAADRRARVSGHWNAPSASRFSDSVENGSKMADIDALENAETDTEESNEFDATDAFLQLQAAATTAAAAAAAARKRQRATVAESEQKALGKHRRTTDFPDSPVGVEEMATASTSCSTTQSSAADSNADCSEGALGKSGEDESAVPEETTNDKYMNERAIEELADYLSIGAHRSPHVADVNLDESFIEDEEEVEDKSILNRDDFAGPNHRTPRPLLETIDDATQSNEEEEEDEAMREDILGRPAARQNVFCPPAFVVRCSMTTAIVIDEAGPSSIVEEKGIVAPVESFEKDDELDGMDDAIWHEVFGGDLDTLLDDLEVENEDLDVDEAARRRRDEECLEANLEAMAAEQAAAADVAEKAAAEARKAAAVADIKRTLQHITAPAVVEESHRKNRRKQTFAKEEVFPTQQRV >PPA16495 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:737472:740134:-1 gene:PPA16495 transcript:PPA16495 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSCSCHHKYFNRCNNGHKHVINANNLAGSWSRLATTTTSASTTTTTTDSASCMGLTYAVPSDSVGCVQPDFGDTQVSCAAGYDLHELGAGEVSTLWSRAMIEWTPRGPKRRRGRPYERWKDLFMRTVGPTFLRQARMYCKPQQPVTTTTTAATTTTTTTACSPQSYDVPAVCGGCMWPAFDSVQTSCQPGYDLFTFDAATQTSTKIGWPLECVNGDWRDLATVIPAGTNLYCMPAPATTTTTSSTTTTEATTTTMPICPSLAYAAPNPCSGCTVAYYNGVKTSCAVGPMYSYDGVLSTLIGGPLECVAGGQWYNPSYGTNVPANTRGEKQDYFAGAFSC >PPA16444 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:468435:470540:-1 gene:PPA16444 transcript:PPA16444 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDPNHPRYFHKLCCGAHKAVRGIALASLTCLIIQTVSVAMFDEWATSEDPDYETSIQIAHRAGTAVGIVINIYFLKVAFNFLFFLLNKTDEKTEGPYSIHCDVKSDDTKSNTTVNVDLNTISIAS >PPA16432 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:410833:411707:-1 gene:PPA16432 transcript:PPA16432 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKAWLIVSQTVLTVARGVSIDDVVRHQSHSTVRCGLPTLTPGGYTNGTQATSVSVVGTVIGATCFALALRNLNSMKKHLSIREYRHERQLTFIGLMLFFSLCTMTAYYITVSFAAISDPDLVNSVRPFYIVPVMLLTYVNPWMLAITNRSLRSRVSLSSSATESPARNAN >PPA16479 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:661374:663389:1 gene:PPA16479 transcript:PPA16479 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLQPHFYGAPGGYAGQWTTGYFGPQTGFGGYYGLPEGGYSGYSGVPNAVTERPGGETGGEGRSERRTTTSLGGSLLVLPWRGPSMASHDRLSHRVGHAAAVLPFPSGSSPRRALWPSQGRTPPRALMENQRH >PPA16518 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:848550:849996:1 gene:PPA16518 transcript:PPA16518 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVVALNIICPYHRIAVNIRYLDLFNIMTYDFYGDDDPEVQHHAAYSETIHSLQLWNLRGASKSKLLMGIPAYGVGWTADVCALGAPASGPADPYNDEAAYYDLVAMTGQVIDTPEGPLRQTTIKGKKKCYGFDNPECVSKKMAFITSQGYAGAFTWSVDMDDAAFDIHYAIKCVVILIT >PPA16450 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:487689:488803:-1 gene:PPA16450 transcript:PPA16450 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAYLVDQCVHNVNALLVFIGCVLLNVIEISVLVVNEAYEMSHAMMPGYFLSFLLKASTIIFLCVFLAVKQRHSYLLGYLEAYYFLIDACNGSFSMIFLLSKHPQFKLRTSWLEN >PPA16445 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:471333:471839:1 gene:PPA16445 transcript:PPA16445 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSALFCFILLFLTITPAFAKDDICKIVSKSGLKGLVHFVFDEAEFVEIEKHDQSHQQETTDP >PPA16481 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:667636:667979:1 gene:PPA16481 transcript:PPA16481 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLVLLAIIVAAMARPNFSGAPGGFTGQPPTGFSGPPAGCGGFSGRPEGGRGGFSGAPNGASGRPTGGEMGGDGRRERTTTPSV >PPA16386 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:147711:158006:1 gene:PPA16386 transcript:PPA16386 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNFLTPKTRKEIADILIQGLERMEYRGYDSAGIAIDAGEEPNKPHDSVFLFRKTGKVATLAQCLEKDSAHLDMTASYAIHCGIAHTRWATHGSPKDLNSHPQRSNETSDFLVVHNGIITNYREIKEYLLKKGHRFESETDTEVIAKLIQHIHDRYPDFSFRQLVEIVIQQLEGAFALAFKSRKFPGQLVATRRGSPLLVGIKTNNGRLTFDQFPVSFSKGHGWQWRDDSRIEKDGSLGKRSKNSSTSRRFTSTTATHLRDHDESFCGTPTVLDLSIGAAPSNGDCASPMSSIRPFGSSAWEVEYFVASDASAIVEHTKQVRGEKMSTTMMRRALDYLDLTSIDWLPTSHTRVLNTGRSLIILQTQSPPERRRPVLYLEDDDVAFVENGALSIHRIKRGLSEKDKTLPTREVQEINMELQQIMMGNFQTYMQKEIFEQTESVVNTMRGRVLPSGEVVLGGIKEFVPDIKRCRRLIMVACGTSYNSAIACRQIIEELTELPAYTSQMLSLLMLALVLSEDRKSAEARRADIIDALNKLSGHISEILKLDSEVKEIAKEIFKEKSLLVMGRGFNFATCLEGALKIKGIMSGELKHGPLAMVDEHLAIVMVICKDNVYKKSLNALQQVLARGGRPIIIADSSVPADDLAGMPHVLRVPMTVDCVQNILTVIPLQLLSYHIADLNGLNVDRPRNLAKISVRRL >PPA16378 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:112945:114051:-1 gene:PPA16378 transcript:PPA16378 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVGEWPYMQQIDPEATASFYGAAFSMSKAGHSIFAFAFAYWARKAGMKIPLLAGPAFSLVACVCYLFVEFFPSNRRWWMMFCYFLFGVGYSASVLLRSYIARVSSVENRASAYAVQNGATVLSVVVGPESDSVLNIFKTILLQNVMQAIFVIADDVSLIVAPIYTSQVFAAAGYSTVEIVNGAIYVVATILWIVAWKSLKMFN >PPA16540 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:938055:944832:-1 gene:PPA16540 transcript:PPA16540 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQYMPHGQPISDYCEDRRTPTRPDPRSNHGHPVYQQPPAMQYQMASYPETPYDCRVEEERLYDVPYKDECYWSYDESNEYNMNVTRIDQLNSTRRERSRDESRPPLRPISTIQEEGSSASPMYSRGGTREGSRERVSERRDMYPTRRAESTREEEIYTTPRRSRSGRRDQIQSDRCRSHSDIYRAERHSSRHAVDEKELLYRFALVDQSTRDRSVNRRDHSPPTSVISTMGEEQTRERERNEQNDDHRRNHRCHRDASVSPIRPSTRRVNRTMTDDEDSVLGTSSSSSPKTNVQNMETNQPPVNRRSEKIQIDKGEKKCGSLILSSHSFPVNEGEERKGDYENRAHDQRQPSVRGASEEKKRYESKAEKPVQKVGPNSTNNRSPVNGGMETRQYEDQISASKRSDNSSRESNVFRQYDNRFVIQARRDGPSAEYLRSAQRTKEMWIKKEQKRIEEHDVGGPNSSPPIPEPFIPDPEPEPSKRRTKKKELQADQQSKQLQIKDVGGPNSSPPIPEPFIPDPEPEPSKRRTKKKELQPKLEPKQELKQLKIKKAPRPPRPRNWLTKNERAETQVFLTQKANGVISSGSSTPTESMINFSDGEDYWYDANGVPNPMWSKSMINFDSSDDQCEEAVHSYSPSHSEGSFEYWEDRRYYFSPRTTPASSFTADYDEREEEMENEEKPLTPTPLRHTTRRQYDYTDETMVSRAHSPAPDYLGIIDEYDRESDEWLRFQIMTQIKSHWMKDPIKRNNQPILTIERLSKIMYTSDSCGNVTSGSYCDDVIGHLFGRYREITWLDFLREMRDDGLVRIHETRREGRMDYILQLFVCIHCNI >PPA16409 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:281900:283727:-1 gene:PPA16409 transcript:PPA16409 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLLINETDTRKYCFLPAASTVPRTVLTTTNALSLKGCYVPCALNANCQACSYNASTKKCTLLGPLAPANSCSEPYTIYEKRTTGCTDFPLADSNTSYTLSKCCNASDVIGPPALRRVTPVCGNATGFLRRVAYDTILHDGTRWVLENHRESFIDWDEKLGSWYYSNGVAVYYFYMATCVKVPAVQTCGCAALSTELPMAGYTTTGAAVEMEQAPPLCNGGQVQYVMSGAARSIYCAMGFTWMHMIDNGTWYNEWAVTAATCVAKIP >PPA16373 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:85894:87859:-1 gene:PPA16373 transcript:PPA16373 gene_biotype:protein_coding transcript_biotype:protein_coding MVMQLGSAKRQRFAEGCLPRVPRLFGTIRADELRLVVQDSDDETLGFYEEMAAKCDVDVLHLTIGHGCAANAMPRIQNLIRAHASKQLQLVFQTTDNVDLVVLRTIEHPAIVYFHQTMSIEIDLLLDLIAVGHTLEMVRCPAISMELVRQITEMLSVSTTDQLVSMDVPLAVFDAHFSQTHPLGDGGDWTFQGSVTDKLTVHRKWRRGNMRLIESRRRTENDEWYMPALMETRVVIANCDRESIAGVVYPHACPPEEPETIAGELKELGARLLFALKRYFGYR >PPA16477 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:651662:652680:1 gene:PPA16477 transcript:PPA16477 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGIASSGALCFVAISVLYMGSLVSDLQSLQSEVSENMDEFKVMAEDTWARLVKMHINPTGSSDAAPTFATLLGRNKRQANSQCNCGPSSRGCPAGPPGPPGQPGERGQDGKDGEPGRQGPNGIALAVTFDTPGGCIKCPPGPPGPDGEPGHQGPAGQPGRPGSAGPAGNPGRPGSDGQPGQPGQRGHDGKPGAPGQAGQPGVNYTPGTAGRPGAAGRPGPKGPAGQPGQDGAPGQDGHPGENGRDGQPGRDGQSGQPGEAGSDGLPGSDAAYCPCPARAGAVSEAVEAPTSESSYAPPAPQEYRRRAAKRA >PPA16539 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:935352:936773:1 gene:PPA16539 transcript:PPA16539 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRALVLLVDGIEEMEAIGPADFLRRAEVEVITAGLHGLDPINCTQKTRILPDAAFDDVAKERWDLIVVPGGLEGSKNFAKVEVRLFTACGIVRVTRVYSWIEEILEQKVGEILRAQLASDGHVGAICAAPMVLATHGIQKGATMTSYPLTKEMMKEHGYTWSDQRVVVDGKLITSQGPGTTFEFPIKLVEILKGSEEAKKLSDYLLL >PPA16439 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:443314:444135:-1 gene:PPA16439 transcript:PPA16439 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVDPATCSVDRIDCRGCRIRTSTTCTSTCTSMKSYCEMPAYKSIMAAQCPHTCGRCNAKPTSTQPTGCVDLLNPATGYSDCQQKSALCRESRYSLVMAVQCPRTCGLCPTLNANDLALKLRAFRSKFYPPFAHILG >PPA16394 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:206525:207195:1 gene:PPA16394 transcript:PPA16394 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTRFEESVRALKETLIQLDDESNAFLRMVATSAISERIVNMFKIMRASNAIHSIRTADASEEFERIVLTWNKVISAYSNLSIASKKELEKTFCLRTIVRGPFEKPLMKLQDVLDNRTIAKAKEGKIAELLKFDVIASYE >PPA16492 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:713215:713772:1 gene:PPA16492 transcript:PPA16492 gene_biotype:protein_coding transcript_biotype:protein_coding MNESLRYGFDFDRVLLMDVTVVAQIIIPVINILLIHPTVLFLLRKRETMQGDIRIGYFNTVVGMIIADAIMWGLRLHLLSPYSGLACSGPLCMLDSAIIREVAVRFVISLW >PPA16505 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:784925:792145:-1 gene:PPA16505 transcript:PPA16505 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQIASNLLATQLPKIVIPNVEHRLPSDQGVINISKIRMSRFKRASVHNISMKAPNRVLWEMRNVDIGLIGDLSGNTNIVVPLDLTGEAEILAEGLDLTIESEIERSPEGSARVSTLYCASSIRAVEVINHNGGVFGLAVTVFKAGIGENVRSMLERIICKEIKKYVDDDLNTKLAEVQTKSPLSNAVRLNSLKAKDLNSRDTKGISFTNFFDKDFSSDFYIDYRLKENPQCGDNVIDLGSSGEISYKGLGDTPFGPVSIPPPEADNNEMLALSLSDFVLNSLFYHAYKQRKLRIFLDSTTKGAESMLRTKCDGGFCLGDLIPQLGESYPNSTLAMLFSATRSPAAIFSERNGGVLSMRLGGLLAFYVEELGRKRQIALFNVDVIASAQMSIVKGNIRGTITLDRFDLVKKYGELRITKEELDDIAILSSQFIEGMLNELFATGFPLPVPQVLHLDNLHLKIRNRHAVLLTDFSVDELRLNRIASAALFPFIPKSPNAPSISSLLDVQPEQHQVDASARVTRLQLQPQQQQPQQSPSLQHPQQQSPPLQHPPQQLQPLHPVLQPIRPGPPIPRPTPLPTDIS >PPA16428 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:380712:381520:1 gene:PPA16428 transcript:PPA16428 gene_biotype:protein_coding transcript_biotype:protein_coding MFYDPFIGDIPTEVKSKSAGASRTKLAVNGPLADFAWSSTLCISNTKQNSEETLQGVITSKASKPSNKHAN >PPA16507 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:803809:805880:-1 gene:PPA16507 transcript:PPA16507 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVISPYSLATALSIVHDGSNGTTQQELANLLLNGLDQFFIFMISVFLNAHRRCTPAEVTEYYSSLARSLPTANDAGAAFKSANRLYVDHSVENVNLNKKVDAAQVMNQFVNGATDGMMKNVVNADGISDDAKLILINAIHFIGKWKYPFNPASTRVGDFREANGAKNNVEYMNLEGKSFRSSQNSNGTVLILPYKDEEYSFFFFMPNKTTHRRFEKFRSDITGEKLLSVLKEARASTVDISVPKLGMESKVDGVMLLKLMGVNSLFGNTADLSKISDAKLLVSKIVHDAVIKVYEAGTEAGASTQVEISLEMARPRIVIDRAFLFGILKGEHIVFLGQKG >PPA16522 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:857869:860437:1 gene:PPA16522 transcript:PPA16522 gene_biotype:protein_coding transcript_biotype:protein_coding MYTVLEKATKSSVYTNIPAKKSHCVYFLPDHRFFALFFRRLTCVAKHVKSKRKRRYEYEHFLSTTKRQNLIPIPEPTTWTWQEEEDEFEEEIIDDVVHRQETALVLGRSFTNCIIAKELAEEEIGLFVQEKYAVSYALTAGVGSVFCSRRAIRHCKVTEAWRRPHAEPAHERPFALSCGHVSCTGCWLRRVSDGMKRGEVSTTCPDSSCTQTLTITEAAALLVTTMPLKRRSFGNRRLRAAAGAVDCGALKDHLDHLELTLVSMSTDIFWSHVPSFIKKLAFDVRLSYIEERSGQPVGMLADAEEKGVLAALAHLAQMCALHSGKSRRCLALAQKIQFALHYFFDTKDRNRRNLLRKTDFIKMILKDFVHELFS >PPA16354 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:23510:24000:-1 gene:PPA16354 transcript:PPA16354 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGMCVVPIVHILGFAGGEDRILRLHTNQMSAVTRRMHAKFVVQLELEATVPGIVLFCPLICFLMLALFEFPFQTNLESSEL >PPA16470 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:606959:609836:-1 gene:PPA16470 transcript:PPA16470 gene_biotype:protein_coding transcript_biotype:protein_coding MRESLYGLLCALMLGIAQMCMYTGFDSSSFVVEPILHSVSNRAPGTIDTHAGYYGSAVCLVAYMFANLVAPWALGLLGSKLSLVLASSCLTLYFISFMYVHFIPYYLASALMGVGFALYYAGNGAYLTEHSSRDTIERNTTLVWTLGSFCMIIGGVVMLFTIKPPTGPPEISENKTASINETIQQWDFRQFSDSEIRLMYGAFAGAALLSNFLFAFLPKSAVANSLAKVNRRKHRIGLGEQLVKMGRTLADHRMVQIAPAFCLVGTTTTFWICVYPTTMTFTKKLSEHVYLPAYYSIVLGSAEIIMGCIIMVLSKRIRNFAQMPTLVIGAILYMTGALLALLSTPAWSTNTPNDDRTLWFEPSVEIVLLIALLFGLADNCFNTSRGVIAALVIPDQSAQVFSIAKFYQTVIACILTFLASWLSVPAYFVLITINIVIGLILFGRVAAKTHKNEQKITEATNGEAEAIPEKKIIHDMDH >PPA16369 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:77295:77845:-1 gene:PPA16369 transcript:PPA16369 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGMVHYLRRKSSIHNLYERGHFYNNHIPDHNNYTYPHSCSIGFKLGRIIH >PPA16421 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:341206:343115:1 gene:PPA16421 transcript:PPA16421 gene_biotype:protein_coding transcript_biotype:protein_coding MTELQKRLKSIHFGALIAPVIALGKKLGLFDALSALGSEEAPTDARAVAQQADCKERYVKEWLSALACARLIEITPDERFWMSEEAKHEFDGLNNFEVARMLFVPASVKVFDDLVDAFKVDGRLGEAPSAHPSYNCSLKSIAHVQMSSVLNPLAGLDYSQFADFYNEQDVQTRAKHEKHLVKDYFPLLKKE >PPA16465 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:585294:586405:-1 gene:PPA16465 transcript:PPA16465 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLAKNVTNQFGIVNELELVLSRGAGSSLMQFLNSLVLISPANGRLYTDLSGFTRVLKIEEEGGFLASSESAKADEASIQKGDNPDRFWTAYLTKKDSNEEEIDDVDEIDDHGHEMSADTKEAKGLFTCQECSSFFKNYGNIIRHMEIGRHRIRPEKIHIYDYALGLFTRNLEDVQAHNNMSHGADLSSKAGWALRLKRKRGVYSEKAKRFAEKLFKRRDVSGRKMDPAEVERLKKKEESIKPYERMNAHQIRSYFGTLSKEKKAAKEPKAPKRRITQEKNEEDEDEDEEMMLNEDDEEDYGDLSDEDEENIDDFGRERDDVIHDIIRESFNELFNENDDPIIDDDV >PPA16447 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:480564:480877:-1 gene:PPA16447 transcript:PPA16447 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAAYGGIPSPFDPGENNEAKRSGSLEQCS >PPA16488 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:698033:701371:-1 gene:PPA16488 transcript:PPA16488 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGPSGHHHHHHFVLASVSVGIAVALVLSLAALYSIVDDVSNMRTETMTEMDDFRGIADATWNSLTQDYSMFILGVRATRQSGYAQLSSGGYAGGAAAAGAGGGGYSSGAAAAAGGGGGGCNCAAKAAGCPAGPPGPPGEHASGIPGAPGSSGMSGMAAAYPMMMECIKCPPGPPGPVGPNGVPGPAGPPGGPGDDGAGGGYPMPGPPGPAGDPGPDGAAGGPGCPGNPGAGGVMMMSSPGPAGPPGPPGAPGPAGAPAYASGPGPAGPPGPPGPPGHPGQAGAPGSAGAAGAPGEPGSDGAYCPCPPRAGAAGAGYSSGASAGGGGYSGGAAAAAPAHASAGGGYSGGGAVAAAGGEGGYSSAGAAAAGGGGTDTHREVVQRLPQEDTLAVQQPLLQQAEDTHPEVQQQQLLQEEEEEDTHREEEQRHPQATPHPEQQEEDIRPEVQQQLHQEEDTHQEEELLLFLSHLQAIPHPEPLAKEEEILSIMDLGLA >PPA16364 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:56724:57691:1 gene:PPA16364 transcript:PPA16364 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQSNTTAAQVFHGQSFDVIQTSLGIIVAVSGVLGSLFAIWLSQSWKHGHHIFARIRINLAFPMVAAIGSLIAAPTMVVMPYSMTIDVWLVYFINGFNQFLPAGNNVIAAEIAMEVIHPTRRATASSILYVLAYLLGDCPGPYIVGAISDALRGNSDDAQNRFYSLTHALFVTSSLFLPCAIVFAAAAYFLKRERELEIM >PPA16376 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:101934:104047:-1 gene:PPA16376 transcript:PPA16376 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mltn-10 MLSPRFAPVMPDKHDGRGSLSPSILAFYKVLSIFCYLTISPVFSLSKCTSGMPNEDVSSTERGPITQDDAEDQIVPLPSLLKATGMKRKDRDSLLEMIMEVSGARTTVDAAFETLNEINLFGVEGPFLEVTKKLEEAFHDLEKSFTRQQKREMSKRKFAFLSKNQLQRLYVQQGITDEHHADFDLDAYDALTPHQREEALWRRVEIFAMNKTTQKRGGRVKRQSIPGQVVRPNELYVLAPTVLEPYMFKPIYGLSVLGPTVLSPSLFSPLILNPAVGSPYVLSPAIGMPFILSPYLLSPYVLSPMVFDPFILSPYVLSPNVVNPYVLSPLILSPLVLCPDVLSPMVLGGNILSPSLASPSIFTKNTLTVSVLSPSFLS >PPA16423 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:346117:350690:-1 gene:PPA16423 transcript:PPA16423 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPFYIGTLNTRTLAPKDKLIEIENALNEIRWDVIAVQESRIAQSYSIVEALLCTTVSHSLCNPISQRKLSSAVYRTDLQPFQLPDVKLFIVNGYTPTSSYDDSIYDDFIDKAEAALKSAPKGYMPILLGDFNCRVAREQGNERYVDKYASTAPNTRGRTFTEACMRNELRIWNTVPKKRHGRIWTWRSNNGITYNQIDFITAPISAKIISCEVVGRFNFNSDHRLVRMVLSLPNKTQQKRNKKRMDFDPAAYKANSNLLGSLPLARPTSAIDAYNKIDAFTKAAATDCWIVRHFKSLATDACAERPGTGSWRIVSDLANPMSCSDGAALIFTSTRPTINVVSASYPIETLTTVLANGDEQLIGNEDHGMNLRVKECTGAGNITFYTGAGVGEEEQRFLLKSWRCADLSPLIISFDTVITIAVDSDVRLTLEYRPNDLTYGPGALAVTPVDRITVMASGRSDNLLNLYGYNYANFKTGNELTVSASCDPCSFDPQFDGFVQLLEFDADYNIVSQEKFREPGTYAFNFERQLQIEYKSNTVSPKDIWDRQDTFVVELSFSEPAKPTAPQEIVEEDPFCACKLDEKNGVPSGWSSNEIWLDVVVVLDTSEAMSQQSLADASTLIESFVATDVGLATDPHAKFYTRVGVIAMADEPLELYNLNMTTSDTILDKVQIKKGVSQIDILYAYIQAKTMLNKGVSRSPDRENTRQVVYYMSASTWNPKDDFQHNIEYFKEDGIIIVNDFKVPGEVQTSSLFALASDGYYYTDTSSMHSMQLLCRANCFCRADKTAYRNPSSDPAGQASGEVHLD >PPA16381 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:121289:121819:-1 gene:PPA16381 transcript:PPA16381 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSNCVIAGGLFIRTIHHLRKENTVSPTYKAFQFKILRALFAQSAIPIIFVYVPFGFPNAGCDCHNFADERLSGWTRLNILEEARKNAAFGHSNNTNFP >PPA16469 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:603434:606316:-1 gene:PPA16469 transcript:PPA16469 gene_biotype:protein_coding transcript_biotype:protein_coding MKWSSNAPNIAWTGGGGVGTSVKDVDQNEVVKRIAHFKQTGMVKVPERSDLVKRGVTNVNPDWYYIRSPVGVNTFRNIHGSKLRRGVQPNGYAKASESVIRKALKRTIRAWRRSQIESRLEIVQAGAED >PPA16371 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:79374:79775:1 gene:PPA16371 transcript:PPA16371 gene_biotype:protein_coding transcript_biotype:protein_coding MASWGYQSGASSRDETTQVSSFRVYIHRRNKFIHCEHVIDSVVACLSICDHAGEVVDGRHHLAISGLYARFMKHY >PPA16514 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:830580:832495:1 gene:PPA16514 transcript:PPA16514 gene_biotype:protein_coding transcript_biotype:protein_coding MATESAHEPSPAPPSRDISSIEQLPRELIALIVGHAPEAVFEMRLEMKNTWLSIPKSRTALFELRLVLHQPALKLRMQRHLNGPENPSQYCIETTSLPEDAIDGLRECVGTRIREASFPDYDEPLCKLIDGIQIEKLKAFIDLRQEAAGERLLKNLRSHKVNELYLTVREVKLANPADFLLDISTIVRTLFISQLYVDDKDQYQRHFLGIDDFNWAPVIIGMFERRLDTMHVRTAYPSYFTLQSADDIRLKLPLLGRKIWFETRCNAYDRHEVRLDYVTNDHSVRALRWTPVTNALSIKHCSRESERHHNEFF >PPA16553 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:1016403:1018140:-1 gene:PPA16553 transcript:PPA16553 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATVSFIRGSHARSPNNAKEEENAPTMIVNGQCMAITQPGSFCQTSQQCANNGQCINGVCQVGNNGSNGQCKAYQVSVSGQCLDTVSIGQQCTVQQQCINNANCVSNRCQCNAGLTFNGQACLSAGIFPTCSGLTSSLNNNCPSGQVMSPNGGCLNMVGFGGSCQISQQCPSGATCSQGICTTGSSPGWLKCNDPNKEVVMENGQPMRCQSHPGQCAGNSQCDY >PPA16552 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:1012144:1014642:-1 gene:PPA16552 transcript:PPA16552 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGQCVTYTTVGNQCVGDGQCVGDAICVITIRVVNGRPESVKVCKCPSNLYQMNGYCIPNPNPEPQCYFSQVSVNGKCYPLVQPGNPCEVSQQCMGGQCNNVNFSEKRCSDNCPSGQKSVNGRCMDIVQPGGACQVLKVYCLQNTVRRQTTMHCWSNGQCLQLVPIRQFCITTAQCMGFSVCLSSVCACPYAYTEVNGSSLNNKCPPGQEEFYGGCVLTTYQDKYVCCRQRSSSRDWTDSCAPGHSAEVLPDGNLKNCPQQSCSVGRSCVHSPIVNALVCC >PPA16455 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:528643:530491:-1 gene:PPA16455 transcript:PPA16455 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLDSAILLVSLPLIGSQKVRMRSSLVCMNGERSGGHCVCNEDYVGVHCEKKKMCDSYSRSSKGTCFGCMPGYEGEYCENIVCGHGKHYKMGPLGVLLIIPMCAIYYGCEWMARKKEVKRVALMLEDQTHLTVRKDTVKRLLDIDV >PPA16441 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:448299:449700:1 gene:PPA16441 transcript:PPA16441 gene_biotype:protein_coding transcript_biotype:protein_coding MVILVGIYTDFLMKPIPLFPALAGICPGILWNTNLALCLDGCRFCIFFRHQTLLSDNGKLSKSSYEIEWAIERGPHFMIPRTNLILFFISIVVTLIPAATVLFFSVFFHMYYVLHRDNTHSKSAIEKIKKSLRILLIQLLVPFLMVTFPMTFIFISPLCECISNAVVLSVFGVTAFHPIVHNLILLGITPTYRAIIVTLLRKIRAGLWSWGRR >PPA16498 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:748302:749873:1 gene:PPA16498 transcript:PPA16498 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRVVEEAGSGHLVKMVHNGIEYGDMQLIAEAHHLLKDAVGLNHDQMADVMDEWNKGELDSFLIEIAANILRFKDEKGETLLPKIHDAAGQKGKGKWICFASLEYETPITLIGRFLGDIKKAFDSNPNLANLLLDNFFKDAVAKAHVRFILCSMLNRDDCYI >PPA16424 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:351957:352687:-1 gene:PPA16424 transcript:PPA16424 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIFTVADRVGIIPRETLGSSSLPPGTRSRGPSWHHPSRKARLLEPSARDSQSRTELASSLAKGSAPRAFRQGLAVADRVGIIPRERLGSSSLPPGTRSRGPSWHHPSRKARGASGDSRSGPITFFFRIALGPTSLPPGTRKARVEC >PPA16512 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:824237:826018:1 gene:PPA16512 transcript:PPA16512 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSKPVGIPQKPSSLQSAVLDLSPFEQLPRQLIDQIIGHVPEAVFEMRLTCRLLKSLVDEHGKRPCDIPIVQRIAFTVKNAWLSIPKRRTALFELRLTLHQPALKFMIQRYFNGLEHPSQYGIEITSLPDDVLDDLRCCLGTRIVETSLPHYHDGMVPSIFDLIKDIKLEKLEMFADLNSAKVGNGLLKQLRENRVDELFLTVREVKLANPSAFLLDLSTIVRSLLITQLCFSDKDLNQRHFLGIDDFNWTPVILGMFERRLDKMLVRTDYCAYLTLQSADDIRRRLPLLGKGIWFETRCNAFDQYEDRLDYVTNDHSVKALRWTPFHNALAIKHCSRESEKLEF >PPA16426 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:374109:376036:-1 gene:PPA16426 transcript:PPA16426 gene_biotype:protein_coding transcript_biotype:protein_coding MECLRSHQARASRSEFVPSVRCLLQTRKQPVDFADSLDSTKFYNKQLEHPKVFFYKSNPPDSRTQVLDRLRKGYSLMCVIRNAGELATRMNEGRGAEVRVLVPACYSTILDHMKIWRQSIKAFANHTFDEFRALDEESKEFIVDSAKGAMNTLDISYRSSHYFPNDDNVNLYAQRENAEMPVPCHLQLGIRLTNHASLMMQDFQLYQLMNLFKQHFERSCNLEK >PPA16532 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:889381:890073:1 gene:PPA16532 transcript:PPA16532 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPTTGETDGKVARNADDLKPVTIIALANRQPKIGVGSCRIDAAASVTEFFGCRPGTVFAPALRNGFEVTVPQKH >PPA16388 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:169877:172683:-1 gene:PPA16388 transcript:PPA16388 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSISLTQAVISGMNERDWTTRMLTTVNYRWVDPRFRWEPSYYDGITELAAKSSAVWQPDVYPCESQTVETILPEANSARIRYTGEVMLDIFQIVDFNCPMNFDAFPFDVQHCVICFALEDFSDSSSYSLVHVSPAKVDLLVRFIFSLSRRLFFWVALIIVPTCLICIVALVGIFFAGEERNIEIAASIGLTTMTSLMLVVTILADSLAKADNLPGLGWFVLIDIGIVCVAVIAALILDHLRSLAVSISRKKGKRAHCAGFLASKRSYQGRWAPPRSFCGPIGCERTCPLAGKDYNYVYLIYHATL >PPA16435 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:432562:435212:1 gene:PPA16435 transcript:PPA16435 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVLIVLLAASIAVVSGRVSFGSTEVIDDVDLKGRNTASFTCDMGCRVYSTTRNDQIVIVDNMGKELTSLDKLAHMNVKNGEVFELEAKIAIYQLKNKGPANPEFVFYIVENQGRCTAIRLRNWHRRRDDQIMQTDLHTEILRFAAEHVLHRVWADRDDRLWKDGHEKDGARVGRPIPNLVTVVNHRRQCRAHVDRYGYVGCDTTGTALYSRISPLITSIDSAFLVRDPDGLTVSVNGDYSITNGGDALTLTVGNDSISTVYTGTSLNVGVSWTKKEGSADRFALQIDTTKKGQDAVKASSSSKNEDVGTSTKGIGSMNAVGAGLFVVLRVAAN >PPA16493 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:717317:718472:1 gene:PPA16493 transcript:PPA16493 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRILTLAVVVGFVVAQNFGGNFLPCGFVCTRNAAFTVVMDGVNSRASCSDTNGDLSVRCNSCCQSFAMWGGLPSSAAAGFPSSDESSCICCVNNNRCGGGGGGQFPPAAAAVPSSFNNGFN >PPA16400 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:233503:235530:-1 gene:PPA16400 transcript:PPA16400 gene_biotype:protein_coding transcript_biotype:protein_coding MCNCFKGGQFTERISAKGKVAVVTGANTGIGLETARELNLRGAKDGCDGSRLVYIHCDLASKDSVRRCAAELSKLESRIDIFVNNAGVAKNKFEQTVDGHEMTWAINHLGPFLLTELLLPLIEKADEGRIVNVSSFGHVFSPAIDLATIDDHFDARSDPKSKLANIMHARELTRRLRARGNTTVTANSLHPVYLCEEFCMFSSIFMKSWKDGAQTTLYCALSTELKGISGQYFSAESKLALDDLACKQLYDYSLRAVGLE >PPA16529 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:884784:885107:-1 gene:PPA16529 transcript:PPA16529 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSFPSFVFLLSSLTIVSTRVSIDRSEVLDDVDLREVDTAEFRCRTGCRVYSPTEYDNIFIVGSDGAKYGR >PPA16431 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:403336:404616:-1 gene:PPA16431 transcript:PPA16431 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSHPTLRHRCLTEFGKDTENMKHDEDLLKVASQMRTDIMRELHIYYAKKGTPDYANRLGSLFCLIMNCEDCATLFAEDFQLFRLLRLWESSFRLK >PPA16550 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig33:1003243:1003542:-1 gene:PPA16550 transcript:PPA16550 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPFGQVEEPTHPEPITTTLDLYRAKLETKYTNEAVYAALKAVNTLPTPTRAIK >PPA16503 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:768910:771525:-1 gene:PPA16503 transcript:PPA16503 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDCSPMRVTIHRVGQPVRFLDVPSEAFSRLYLFCHLADLTAVAFEFVDQGETVFVHDDVQFERFCALLKARGTMDIYEVEDDEVMEEGDDVFEPDLPNGSLKEERLSAHHFTMIRMLGQGASGTCHLAVNNMTGENFAIKAIEWGDEEFAKKQFQRELNALRKCENNPNIVRLFGDFREGSLKFLVFEFMDGGSLESYGILPPAVLSVVAYSILDALTFMKQIKIMHRDIKRANVLVSFSGDVKLADMGLARILPNNSSVANTYLGDNMYMPPERMLGLAYRFTSEVWGWAVMLCECALGRHPFLTESEFLPRDYGRMIERVKKGQAFTQAIPKEHGNDLVHLLTANVQSDPSVRWDIITLHRSPYITRARTVKREEAGDWFLDHVQ >PPA16520 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:852398:854169:-1 gene:PPA16520 transcript:PPA16520 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFALPDVFQREMMQFVTMKDRLRLRLTSHAFSKLVAKTNAGYSKDRCAMEEPMFDHKKLPCEDTVSVYIGGAKFHCVPRTEEGFERILCLRSLLFRGIALESFTFEQLDDSIPFAFIRKLINHVKIRNIHLSVNTGLQLEHALQLIADYKQSTSLWSLDSLFKLLAAQPNLNLVEPVQDITLPNLVKVLQVLSADDRPRRVRFRARNETVRTSLGEHGIDSLTEKGNSYGEARRLPRVSLSTMLRRAEARRLDGRSGERRT >PPA16473 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:630123:632229:-1 gene:PPA16473 transcript:PPA16473 gene_biotype:protein_coding transcript_biotype:protein_coding MISEARVDFNHSRIYDEFDFKGQSSVIIDGLCKDSCHIFASITDESQKLASNILIQTAKGFVSVADVANRYDTTTHQKFYLEVKKAPSLTIINSNAQLAAGPLVLYVVNQAGDFFSSSEIYEASGFQRPVSTLVEAITVLSALPFTLTQAPHEGDLSSATQEVTAKMSGFDAVRETSERCPHLYYLRNGPFPGFTMDVNGPIVSVNYNINHFKVPPGFLKATIGISEESPNIRDLSRPGWMGSPGFHGKLNTYMDVVTNSDADHPVVLWNREDDFNPFYIYNTGDFPHNFGWMANNWTIDWMPDGTDKTHFMVQWTND >PPA16544 pep:known supercontig:P_pacificus-5.0:Ppa_Contig33:982193:982987:1 gene:PPA16544 transcript:PPA16544 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSTAVALARQVARRQPRKLLVRAMATPAKQTAPKQEESQLSRSVLEEVLLSEKPKPTTFTGKVAEKAENTFMYVAAAASIAALGAFVYVLGDTFFANDSPNKIYTRNGAECEVLNV >PPA16554 pep:known supercontig:P_pacificus-5.0:Ppa_Contig330:1395:4108:-1 gene:PPA16554 transcript:PPA16554 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDWPSHSTHVQEMASVDWSSGDWNAPSFQAKKQIEYSDCWDLVEVPGGSDWHNSSFEEYSDLAPSGQSTASIPLFYAVTTHCYSPLDFCVQPKYLQRNRLSLERELQESYEFETPLFKPDELFEGVPCAACINDGRDGSVWVRAKVLQLTNPTTTFVRLVDKGTDVILPQAALRPLFRRLGKLPPLAMKCKLKGVFSNDLEMHKINLFQQMLSSYGNCVRVELASTCEPFHVNVYHPTVVGENVGSPFYRPQESIGHEIAKQRRFEEHLRRMDNEESDFDDDDFSDYDEEEKEIPKEKFAKEVERADRIYRIFDNQFVAGHVESSRMISLHTKTQLTNRDECEKKLQERLPTLPKLPLSWLVPGTSCVVTVLLMDYGSTVIVHRECLYALPEELSEKPHMLVVGLYNSTCFLHPHPTFTQVLKEILATPGRISFELERGK >PPA16558 pep:known supercontig:P_pacificus-5.0:Ppa_Contig330:25610:32603:-1 gene:PPA16558 transcript:PPA16558 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nol-9 MNAELMVSLSEILDTGDLWIELADMMPGIERIDVEGCRKRSEHGSPSAFLLRIWASKGYCVVDLYNLFAMCRLVRCMQLIRNEVDPRFHFLEDYCTANTPRRADVHNRPQSSILATGGTSHAPSSARGHIHTPTSRVGGGSTITASTNPSTAHGKATRDDANMFAGMMNTPSVTYAELKSATDDFDSKNVIGRGGYGIVYRGEWKQNQVAVKRLSVSSKRGDSQKEADTKERLKQSLQELNTLAMFRHDNILPVYGYSLDGPEPLLVYQFMANGSLDDRLQCKKGANPLSWKQKAVIAKGSAMALHFLHTISGRPLIHGDVKTANILLDKHLEPKLGDFGLSREGAVETDMDDKAVFIASHIKGTLAYLPPEFISSKFLTTKLDVYAFGVVLLEMASGLRAYLDSRRPAPLVDYAVDIEHKMRSQMGGDNDGIEIRIKKKIWNEVKDKRTPGDNIDAIASKEEERYCRCEIDGGLVKEITKGENTWHNVCAPFKNGRPLSPSTEEILDYVSRAGDKDYAILQVSKMPTVEARIAHSLHERFLAPVQMGTRYSSHFTASFFNLLLPDTFVPQSYSEESIRRIDTMIDDLLSYNGPDRLSVVLTGSKGVGKSTFSRKLVNYVWSDTGRSIYYMDLDIGQSEFTPPGIISCTKIKKPILDLPFNHQEISFENAFFVGNITVKEDDEKRYLSAVDALYDRYLEISPPNSTLIINTMGWIESFGRDVLNHVCHLSPVSSQFRSPLSAANLRELATISYFAKCLPESRPSLSAMVEAIPFQVDFNSLCIILPPGHRSLPDKAVFAALNLSVVALCSIGDCKLNKATRRRMLGSDQLPWLVLSTPSTPPTRVLGYGIIRGADMENRRLHVISPLSIEEMNEVDSLATLQGVPLPLPIIQAQSHAHKPYLLGNGEDNEPKKGVIADLYVPFKYKEFKTGYTTLHYDGNYTR >PPA16556 pep:known supercontig:P_pacificus-5.0:Ppa_Contig330:17762:21316:1 gene:PPA16556 transcript:PPA16556 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pct-1 MEEGNERGKGTPSEIGFGKLETYEKLDKLGEGTYATVFRGRSLLTMKYVALKEIRLEQEEGAPCTAIREVSLLRNLRHANVVTLHDIIHTDRILTLVFEYVDRDLKQYMDGIRGHLSLYNVRLFLVQLLRGLTYCHQRRVLHRDLKPQNLLITSRGELKLADFGLARSKSVPTKTYSNEVVTLWYRPPDVLLGSTDYSTHIDMWGVGCILYEMSAGRALFPGSTPEEQLGLIFRTLGSPDPIRHRTICAHKTFESYAGRNYRPDSIAAQLPRMDTQGIDLLLKFLQYEGTDRISCRNALDHPFLRSALPPLVFTLPDDKSVLDVPGVTLVVDQPRVPPQNGRKIKRIGIIDPLFASFYFIFYGIVRPNSVSFPSLVYYRFVPLSVS >PPA16559 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig330:32976:34666:1 gene:PPA16559 transcript:PPA16559 gene_biotype:protein_coding transcript_biotype:protein_coding MVASEGDGNGLPSSSDSLVKMGIATTNGRQRTAFTDHATVVRNSLRELSEEDKLAVMEDCIDGTVRWQEAFGERFRLWNNWHSGSRKAGQDYWSTLFMGTQKLCLHLVKNRLASGSNDFTIKIWDMERSDGWSSMGCRRTMIGHNNHMGEQNMISGSYDSSLKLWSLETGELVMLMPFYASNIEKKRT >PPA16557 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig330:23607:25359:1 gene:PPA16557 transcript:PPA16557 gene_biotype:protein_coding transcript_biotype:protein_coding MYCTANDSTSNRVPSSSYQLPYYSIHPAGQRFDIVTSTANFFQETDGGQYMHMERSLDGSHAPFSMMGDDVTSSGSGRSTISPTVHQDLDQLIQSSTKESSVMMMDGGSPPTRYGGSALRVKRRVRTTFSPTQLRILEDTFGQTPYPDINQRELVASQCCITEARVQVWFQNRRARSRKDGRSSLSRHTSATTSLSSSPPQTFHPSTLYPSTPPNIPHYYTPHPHNPYPLQQHPQSVIDTAIPYSVMSIPINNRLPSPSSDSPGSSQLDVKDIHRFDSSNPSPSN >PPA16555 pep:known supercontig:P_pacificus-5.0:Ppa_Contig330:7919:9209:1 gene:PPA16555 transcript:PPA16555 gene_biotype:protein_coding transcript_biotype:protein_coding MKWKKRRGRLAADGVIMEEDGRMCSSLLRHQYPMGGGGGGTGVEMRGRDYHGEGGHHAYRQHMKSRTISLYNPRVRPPSYYGSHACESFPS >PPA16560 pep:known supercontig:P_pacificus-5.0:Ppa_Contig330:35184:37897:1 gene:PPA16560 transcript:PPA16560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ribosome biogenesis protein <locus_tag> [Source:UniProtKB/TrEMBL;Acc:H3F371] MMRELYPEMKRKEKNAQAAAEKAERKERRKKEREEREKEEEEEEDEDEQEEEVGDAAGSGWSAAPSDVEAEEEETPFEFPCRLAMYDFNQCDPKRCSGRRLARFGMLSEVKLGARFPGLVLSPTGVATLSPRDTPFVEANGLGVVDCSWKEVERTPLHKVRAPEHRLLPYLVAANSVNYGRPCHLNCAEALAAGLYILGWPEAAEKLMSRFTWGPHFIELNRELLDAYAKCGSADEVIATQKEYLAKMEEDAEKERNHTQTMDVDMNDETAEEKYEEGFGFEMDRKPMSQGAEARIFKSMYLGKPSIIKQRFAKKYRHSTLDERLNKHRVRAEVKGIMKAKQ >PPA16561 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3307:51:731:1 gene:PPA16561 transcript:PPA16561 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFPSLDDAVGAQEQMRIREEREQKVFAPGVDEEEAADCKCECCPPRSTQEQTDYCCRSLFSLPLLKKGQLLRDGLIGCMKEFEGHSCITKHPHFTEYILTESHAKLID >PPA16563 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig331:1130:2009:1 gene:PPA16563 transcript:PPA16563 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTRAIGFCGTFCENRVPLLSEYEASSCNASRAALFANNRCNKECNTVECFFDGLDCHELPEYEIWMDLGSATQVARDEEGQDSYLISREAR >PPA16565 pep:known supercontig:P_pacificus-5.0:Ppa_Contig331:15697:22266:1 gene:PPA16565 transcript:PPA16565 gene_biotype:protein_coding transcript_biotype:protein_coding MYNDRVRTLRRLINESPIDNSDLTPTILQNQLHRLLGLSHGRRAIHASLTIDPDELLSRPVVVGAKATLCLICYKEKSKLEKHVMQQELKHIISDPSTDLSTVHSSHVEVRGYLHLCVLHSCPPLPTRPVSIDFSFLLPPLIPQEYIAFRTTFAVLEKEGIVLRGIPQAYLDPFMGRCQSPLRLPSPPPLHALPPPPSLPRVPMSTTEFAGDVNASAYLSSLPPPRELPSIFQGPGNLMLLFDVTEDGTNIEYANQGSRREWSDRARVELGWNRRLRLSDHSLLMEYCRYVDTEAEEGEMTRKSQQHLQYLLRVMLMVMRSRGRLGDAVTVLDIACATSALECILTKMETVVGLSTIMNVVKAVISMCKFISTLVYRHQDVQSLIFDLSGVWSALGLRALLSDNRLILSERMKRLKMKEMNANAGEKRDLRAGGMEEYAVYECIISSQIISEAVSSISSNLDLLTKQRYEFVLNVFISYLLTFNAARNEIIWKAKNRSFHILHPKTGERKLFFPFSQFQYLSAGRIDNPKASSMRASDAARAGWFILDGYGRFLLNAYAAVREWRMKELEKMGYDDPTAPYFYNYDGMGIDESQARTLTNRMKTLSKDLGLKIRLNSDMIRHAAAQKDFDDDWLQSSRAEDQSDRLLSLMNHSRFIHSQFYVDRKAALAVVGFLHLRKMTKKSKREDTLVKDRVRELREQIVIKPLQFNRFSDNEDEGEGEDDDEVNNEEEMENEDEEEEEYDDEHGDERGEGETMDETMDYGGERRKERSEDEDEYRSIGYDGEIRETVDWDREEKGGNGMDEEENGKSGEESWREASPPPKRRKSDRLKSTRKCRRR >PPA16564 pep:known supercontig:P_pacificus-5.0:Ppa_Contig331:4456:7591:1 gene:PPA16564 transcript:PPA16564 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVEEKPDPAVLGGEEQERWGRYVVPSLRRDARGDQMDNGRRKLMKLIPIDYIVQIINVIDTDVDRVGALNILYVTTGDYRWNLLKMNSAEDIDNILTARLPLDETIARNFIELKALVVESYDGGASDRSYAHALVIGIDRHPRKATKSMGKKKQTERNKLKPFIKNPILQMRDDLPFGRSQSKGAKASRTILQSGER >PPA16562 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig331:459:728:-1 gene:PPA16562 transcript:PPA16562 gene_biotype:protein_coding transcript_biotype:protein_coding MIACPQGLKGPQYDQKSEMNCNTGLCYWRNETEVCVCEETKWTGANCEEALPCAIAKCNNGRCEPYNHTIIP >PPA16566 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3310:482:884:1 gene:PPA16566 transcript:PPA16566 gene_biotype:protein_coding transcript_biotype:protein_coding VLYPSQVGGACGFYLCNDLLDRGTTGAPLKPIKIANRGECLNMCVNLGKPRQEVPASKCARSSFHLKCPSDPLLLAPFPEAELY >PPA16567 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3311:9:767:-1 gene:PPA16567 transcript:PPA16567 gene_biotype:protein_coding transcript_biotype:protein_coding CDKMLEFYRSKDLEDGRRGASQSFKRMQNRFRAHMKTEYDLTLLRKYEKTGVIPSERYSAMRQLASDVRAKLGEKMKKGVPIHDTDIRKIALDLNKLNAASGNFKIDELRIKFLAVVRKNPEHRHH >PPA16568 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3313:26:180:1 gene:PPA16568 transcript:PPA16568 gene_biotype:protein_coding transcript_biotype:protein_coding DSSAQSATPSSHLPSSQSIGGSAVIGSTRPLNGFGLSTEQKRLQGGGTAQV >PPA16569 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3314:52:537:-1 gene:PPA16569 transcript:PPA16569 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDDPLCRNNEGWLKNLDDAVEASNNVIPLLTNFSIRPQRPGQMHREIRLAFAFKKNIIPVYDHTFNINSLNTDNTLPRDMCKLADYDALPWNTQLRDAT >PPA16570 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3315:32:756:-1 gene:PPA16570 transcript:PPA16570 gene_biotype:protein_coding transcript_biotype:protein_coding CDKMLEFYRSKDLEDGRRGASQSFKRMQNRFRAHMKTEYDLTLLRKYEKTGVIPSERYSAMRQLASDVRAKLGEKMKKGVPIHDTDIRKIALDLNKLNAASGNFKIDELRIKFLAV >PPA16572 pep:known supercontig:P_pacificus-5.0:Ppa_Contig332:15053:16419:-1 gene:PPA16572 transcript:PPA16572 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKRTAVVNMRRFLDGSAPGPVKPIETQKKKKTKRRRDDGSSPREGRLREPAEFIYRQTPESPGRRLP >PPA16571 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig332:1905:2749:1 gene:PPA16571 transcript:PPA16571 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKFCRTIKSNEVIYTFIVALTLMQCLVLVSGDLLEDPSKTIVKHVIEIMLFAIVLPFFALTCIAMMVMGCAGIVYTCKDLCENESDIEQNHEQTEKKQKKSHGERMTQ >PPA16573 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig332:17233:17576:-1 gene:PPA16573 transcript:PPA16573 gene_biotype:protein_coding transcript_biotype:protein_coding MNHCALSRHCYNRPKSSKIRVCNTGRRLFISHTLSAGRRLLDSTAPAKPIETQKKKKKTKRRIHCT >PPA16575 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3320:299:1342:1 gene:PPA16575 transcript:PPA16575 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVITRSLANELNLKPHSSLPMIISGVGGESTSGDVHDVVTVNIMTTKGLHSINALIMDTVITRSMNLQPLSSEDYEIVQAQCGDVPHLTQSTILSPDLLIGITDTQRILADSKTTTLPSGYMLTQSILGPIITGQPNSNRSISHHSTLDQSILTSLITDVPFDKRVEQYLSVDEAGREYSITEAEARLESNHKVENHFHETVEKIDGHYQVQYFIKPESVNLPTNYELACSRLRSTIHSLSKNVTHLEFYNSIINDQLTAGMIEEAYHPSDQQCHYLAHQAILRLDKPTTPLRIVYDASAKLKGKSCLNDVISQGPCTLEHISSLLIRVRSRKTLIVADVEKAFLQ >PPA16576 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3328:165:446:-1 gene:PPA16576 transcript:PPA16576 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPKSHSALFQLRLRLRHSNNIERPKLKREDHFEHPTHAHSYKFTTNPLDMDKEYLAWLGASMGRRIGKVALLLGC >PPA16577 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3333:150:818:-1 gene:PPA16577 transcript:PPA16577 gene_biotype:protein_coding transcript_biotype:protein_coding MNTARDYRSVLLHYFLLGRTATESHRKLVQTHGENAPSLHTCFNWFTRFKRGDYNLEHQPHPGRPSSRVRGRVLRELKANPKSSVRDIEKTIHIPKTTVARILHDAGKTPKLPQVIPHDLTTAQLKKRVDVCQGLLHRRSNFNWVSHIVAMDEKWITYDNPERKLQWVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVA >PPA16578 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3335:325:789:1 gene:PPA16578 transcript:PPA16578 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKADVIGLCEVRRKEEGAIDLTSSSGTLYHTGRFGNRSAGCGFFVSRRMKPKVVRFLTISPRIALLDCRLPNNVLLRLVQCYAPCSNHSDDQYDAFLSELESVFRQVVPGQRKFRKVYRVIMGDLNARVGKALPGDTAIGKFGYGDRNDRGEK >PPA16579 pep:known supercontig:P_pacificus-5.0:Ppa_Contig334:6822:8722:1 gene:PPA16579 transcript:PPA16579 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLALSLVLVTGLAQDVEESMTTSEVISNWGYPVETYDIITTDGYILNMLRIPHGQASTNNSSCHRPPLLMLHGLMGDASEFVINPPESSPGEREFLFSTDDIVKYDLPAAIDKSLELNGAKALYIVGHSQGTLISFMLLADLPEYNRKVRAMFELAPVGNIRYIRAFGRFLYRIVDSVKGILDFYKVNLGAHEFGLHSPRLLGEAAQLLKIAAPPYNYSNIDTDVYLFWSRNDWTTTPYEIEKWIIPHMRPVVIKNTFEIPEYNHLDFALATDCREKVFSKIIAIVREKELDACLL >PPA16580 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3341:15:922:1 gene:PPA16580 transcript:PPA16580 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGDTRKQEEVKRLQLTIVYERSAEYRELLKMLKENKQYSEMKSHLQVSEYMGRLEGYQKHDKGGHGGDQRYPRNGNGGGGRGNLPRSANNTVQCFGCKEFGHKKFDCRKGAGDQRNVSQQPRTTVNVPQNSQPASNENSRNGGNRYGGGNNGNGSSNRYNAATRMLAVDCEETCDGVVREKALKQHIMKTDDDNVAEEWCGNGPQFFRKSRTVNGTIGGLQVSACLDSGAEVSLIDKRRVDQMQEGLQINPEVRYKIRDAQGNAVKVVGSIVVDVKMDIGNPCKVGFLVAESDIDEILLG >PPA16582 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3343:128:423:1 gene:PPA16582 transcript:PPA16582 gene_biotype:protein_coding transcript_biotype:protein_coding GRLIDRMGPNDLLRSFKALNESVSAPTRVKIKLYSHVEEGKKVNEEEKKHALAVHFRDRAYLLPRRKFNIVFHNQ >PPA16589 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig335:46090:47169:1 gene:PPA16589 transcript:PPA16589 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQACPIEIGSLGYFTKDIRYVWKNVELDEKMGNMLSQYQLLDVYRTQMNISDYRFPDQQLSVLKVYFKLQRQQGFYILQIYTPCTLLVVMSWVSFWINKEASPARVALGIMTVLSMSTLGFGLRTDLPKVSHSTALDIYIISCFGFVFAAMVEYAVINYAHIFYIKKKMHELKGLESNKAIDSMRMFTASIMGARRETIQTEDLKLNLKPKKPWI >PPA16592 pep:known supercontig:P_pacificus-5.0:Ppa_Contig335:51712:53737:1 gene:PPA16592 transcript:PPA16592 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSGPQTGNVVRTVQHARHYRPPQRFTTPNEINDLSSLVKVVGRKRVIVKQEDEEEPSILSKHKYESDARSRRPPMLIQKARDTAGGSNTNAYVKTNTNYSTERGYEYGLEDDEDYEEYYDQLPPRLNNTPKHHSTIRHKKHPISIVNMGKSVVTGRKESLNQDIDMQQLIEKYVNSESPKETEAWLINIGLCEKLNSVNREMERMRGEMSEMWEFIQHQLKIKDGSKDRLTMQTPWPGENGGGSTVMPTPFGASPAPTPTTDNDFVVPTIYGKTAVDCRDVYNDIQRKGKKRPGVVFLTHFLRNLCAQAIESPHRGMLTVRSKNRHDNLINIAVEFMKPVENFFLAACNVTSGLTYALGDMLREALAHELYVEREEDEEYVNFVVNHERKK >PPA16593 pep:known supercontig:P_pacificus-5.0:Ppa_Contig335:54270:57284:1 gene:PPA16593 transcript:PPA16593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cux-7 MASGVGKGPPCADDLPEVLDTEAGLFLRPFSQLNIIVKLPQLKTPGQSISNWDLMEKLKKAIVPIQLESIRVRESSLESVSFDAELNSRLNMHRVINGLDGLSLKVAGFVDTLKVRAAEAKCSFPTRLDWDDHYYKEGKRTGTMGRPDTIHLAMIPLNWFSSDNSLPSRSTLTRAMGRFGEVRTVDIPSCDPLRSQMSPSISGLAQKAYSFGQELLFEAYVQYAEYSGFLKAMEKLKAKKWMRRTKDGRVHYANVKVDFDRTFHLSEEKVRARELERRKIEFEKKRKEDKEKAEKEAEDEKLRQEQAEKEKRKEERELKRKIEYEQKKEEAPAAIYSLLTASIVEDTDFSYRLFLSRNGESAIVIREKNQTLSEGTTGLSLWQAACDLSAFLTFNFIAPPTKAIELGAGCGLSGIAAASLFPEAEVILTDCDPNVLKQLELNLKCNRMSDRAKMQTLDWRKFEKTKDMDGTNLILAADAFFQSRSSY >PPA16591 pep:known supercontig:P_pacificus-5.0:Ppa_Contig335:48214:51334:-1 gene:PPA16591 transcript:PPA16591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dnj-18 MSSQDCSTAQSPPIDGMSTNSTMSSISSESCTTARPHSPDLERPTSKKSRYDESSSEDDDYYSNFDKMLARSKKAAKNPEPKYEPEEKKAPTPKLISSSDSESDVEEIKEENTLELLKKKGSKFNAERQKVIAAEMDKIKQMALVREAEEGARPDMEYRDPNAREAESRLRRLKEDRKALNRRSLEYVDCVVIDNYGPRTESDYDTHGSHINARKDPEVTFLLQDLHMNDSKIEKLVYDAPMRSLVDRITKRWKCNEIEVVLTLEGGLPVTDLDQSPMQLNVPLEGIYTVSAVFVPSKKVFREEPTPRDPNMISIKFQSGQGKPTILEMNKNSPFSEILSKVAEGLKMESVGKMVFDNEKVDFSSTPIDLDMDDEDCMDILGVKPTATLKEIKTAYYSLSKKHHPDTNPDRKDEAAKMFTQVAESYEILSSEDKRKAYDLTRRPMGTRRASSAHPVRGFTDLDIDYKNFEAFQKNARRRQQRRDQFVYEWPDEFSQGDRPFRRPREFRSVFDEATERVSAHKDSRTVQREMEELRREMEREQERIARRYPMPTFEEMKNAKRRKDMEEQRK >PPA16594 pep:known supercontig:P_pacificus-5.0:Ppa_Contig335:60003:61821:1 gene:PPA16594 transcript:PPA16594 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-clk-2 MEELSIKEKESKEKKLDSDDEIEEENKEENTNVEEISSNYENAKVHSPPSYLREALEWIATEKEKYEKFEAGLGAIENTVLRCMVAIVVGQPSQLAPYLAEAIFDRNIAVKQRYLITTVLVNAAKELAGVDQSAEKTMVNPYKEYDVMKPETNRFILPLLKSKVGEHLELTGRDISLLIRILYTACELLTLAENSPSIIRITLSLTSSVESLRYHDDSSVREVVVAAYFAAATVLPEQCFIKANH >PPA16586 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig335:8770:10887:-1 gene:PPA16586 transcript:PPA16586 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNQADDDDQKGYTWEAGYADGLNIREVLEEDEGGSVEKAIGRLLANARRKQRATEKPARVRLGIMRHVYVVVDCSRFMASNSIPPSKLRMAIDIFLDKFFEQNPIAQVGVILCKDRKAERYVSLTGNIRQLKEAMGVVQEQQCAGDFSLQNALQLALTNLQDLPGHVSREVIVIAAAFSTVDHGSIFATAESLKAGKVRVSVIGVGAEVYVYKRIAKMTSGRYDVAVDPEHARLLLSAHTTPPPTNNHPDSGPISSRGFLCVQCGSRHCSLPVECKVCKLTLVSAPQLARAFRHLLPLHPFDAHEDEVKSDKDGDEDKEH >PPA16590 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig335:47641:48117:1 gene:PPA16590 transcript:PPA16590 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKAAKAREKLKLRDPAIVVNRIDNVSKFLQPGISKRDSYNLVLQYYTTMKIKS >PPA16587 pep:known supercontig:P_pacificus-5.0:Ppa_Contig335:13676:15174:1 gene:PPA16587 transcript:PPA16587 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDERSVYITNFSAEVTEDLLKELFIQVGPVETVFVKKNDTGGRDFALVAFVHPESVLFAVETLDEIRLFNTPLNVKPKMGTEQEKAYRRKQEEQRNSRLNSTPTGHANGNVHHSPLTSIPYGPGGVHPSVMAGYNIPPGFNGPPEGEVMREERVIDGITVEVEGEEEEEVESEEEEEVVVEEEAQIIETIETMGKAIETIQLPIDTETITMVNV >PPA16585 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig335:4541:7080:-1 gene:PPA16585 transcript:PPA16585 gene_biotype:protein_coding transcript_biotype:protein_coding MENRVYVQMDKDTQTSLDKQFAFVCQLAAGQAEIAANGTHDEYNGTTPLAAKSIDAYANLMKDPIVPINSDSIRRVSVISRDNHFGNWPIPGARPFNTQMKPIASWPHLPPSPTAVTPPSRDEYVRPGGITPWYSRSQPQFAHSIEPIVSSFPSLQRITPPLGPPLTPSTPSPRAPPMPRPFNDQRDPSIHRPSMPIQNSHPFVFSPLVGVRTESISMGKDSSAEAHPWNDGRVLSSSDQYAVGPTQNGGDITDETVNKDFTTPPVSHNVDLDEDNRIDNDKTMDRMVASQDASAVNTAVFLEIQQGLGPHESTITRPVKIGDNITLVVRSKSALRGEKEFDMFVHSCFATDGPGNTRIELIDKNGCVARPTVVGPMSRERSPDGQQLYFFRISAFKFPGPDDVYFSCSVDMTPGHIVPVSEKTKIKERVEIIQEICRPSTSRKRRELLNRAWIEGSANSLFLHQNQKFLPLFVSILQ >PPA16588 pep:known supercontig:P_pacificus-5.0:Ppa_Contig335:17639:18215:-1 gene:PPA16588 transcript:PPA16588 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVFPGAGGGLAGYSGLPSSPGGSSTGAPRANTAAYDAAALFGFGATADQFAMYSQLNQSAAAAQLPHVYHKVR >PPA16598 pep:known supercontig:P_pacificus-5.0:Ppa_Contig336:47054:48164:1 gene:PPA16598 transcript:PPA16598 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRSKSVPPKKTLRKRERENAINIYRNAKNRSPPPEEHHDTHSELGEEVEVLPAADHIDKKKKKISRKLSSKKRAGSVSRNHSRVSSIANRPEWNNDTHIDGYDDLDENGKVKRQGVMHALNGEKSKKQQEEVNKMWTESLRG >PPA16597 pep:known supercontig:P_pacificus-5.0:Ppa_Contig336:40544:42666:1 gene:PPA16597 transcript:PPA16597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-irx-1 MLQAIANAAAANGAPGGGPMLPIMDFTGFHPYGMDGVRRKNATRETTAPLKGWLSDHRKNPYPTKAEKVMLAFVTKMTLTQVSTWFANARRRLKKENKMTWSPRNRPGEDDDDLADLERPGSSKSDLSDDHNESNNNENNQSPNGVEDSPRKPKSLWSIADTVGVKADDEGTSGSSKAASTSPAAAEAGAGGQAAVLAAMAAAFQQQFAARQMMQLQMLQATAGGAAAAANPYLLMQAMQARLAAAPGAIGSLAVSQ >PPA16596 pep:known supercontig:P_pacificus-5.0:Ppa_Contig336:113:5824:1 gene:PPA16596 transcript:PPA16596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-imb-1 MMNGLLQIMDRSAGRANNVIEEALVAVSTLVEALKSDFLRYVDALRPHLLRGLATADDTAVAIAAVGVVTDLCRALEGGVTPILDDIMGAFINAVQNPRVNPEVKVSMLGAFGDVALAIGQQFVRYQGHVVDLLNNAANAAIVQDADNVDQVDYVDKLREQCINAYTGMLQGLRDDSDSPTAAQNTRQSVMPFVRQMCDLINKCCDSTPVLASDSLLTVCAGLIGDLVNIFGTEIIQAFDMAKVSSLLARGRRSRASRIKTLANWATKELLLIGDSGVGKTCVLFRFSDDSFNNSFISTIVYDITNEKSFENIKNWIRNIEEHASQDVERMIIGNKCDMEDKRQVSREKGQQLAIEYGTKFMETSAKANRNVEDAFLTLAKDIKMKMERGQAQQPTNSGKLPLNSGSSKKSRDFV >PPA16599 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig336:48495:50611:-1 gene:PPA16599 transcript:PPA16599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of rudimentary homolog [Source:UniProtKB/TrEMBL;Acc:H3F3A5] MHTILLIQPTNKPDSRTWSDYETTTECLEGICKIFEEFLKKQNPTLQSITYDVSHLFEFVDKLNDLSLLVFNKDTANYTPHNKEWIKDRIFQLLRSQAEKHQ >PPA16600 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3369:48:221:1 gene:PPA16600 transcript:PPA16600 gene_biotype:protein_coding transcript_biotype:protein_coding PKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKA >PPA16601 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3371:34:862:1 gene:PPA16601 transcript:PPA16601 gene_biotype:protein_coding transcript_biotype:protein_coding STQPSTTQPTQPSTTTLQSTQPSTTTLQSTQPSTTTLQPTQPSTTTLQPTQPSTTTLQSTQPSTTTLQPTQPSTTTLQPTQPSTTTLQSTQPSTTTLQPTQTSTTTLQSTQTPRPPLFSRRRRLPPLFSRRRRRPPLFCRRRRRPPLFSRRRRRPPLFSRRRRRPPLFSRRRRRPPLFSRRRRRRPLLRRRRRLLPLLSRRRRRRRLLRRRRRRRRRRRRRRRRLFSRRRRRRRLFRRRRRRRRLLSRRRRRRRLLRRRRRRRRRLSRRRRRPRLL >PPA16604 pep:known supercontig:P_pacificus-5.0:Ppa_Contig338:15470:21401:1 gene:PPA16604 transcript:PPA16604 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAEQLVEMGFDAEKAEEAAKARSFENERERSAAKYVLEGCVFGIGTPEITEALPFSPEHELLKDGSLCSLPREIQAPILTPAPRGVCIKRSKNRTEKKCSTLETAMDWLVSRQENEGMQTDSSSLPPQSHPTSAPVVPASYKCNDCGKVIRDENGMMFHASKTGHENFEESSDNIKPLTEEEKKVQAGLLKEKIKESMKKKAELEEKEKFEREKRRVQEGKLMLERNEKRKEMEQLAAIAQRKRDKEEEEAAKRRVLEQIKADRESRKAASSGIAPPPVPSPLPTTVKTASIDKKDYKEATIQIRLPSGELIRNKFGSNEELGEDWRPTFTYYSFALK >PPA16603 pep:known supercontig:P_pacificus-5.0:Ppa_Contig338:11200:12556:1 gene:PPA16603 transcript:PPA16603 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSAARVVALLADQVGFDNDPLAATREERKLADTLAHILREATLDSLVFETREEIGTADPKEEDEESDPTWNADDLDLVDDDPPPDRKRFLFSNGSATLETIIAAANFYRETTDKPNRSLSCMKTKYRFISNDYDLRKLEMFARENENSSRRLSRIKGLQCLSDDLLKEVYQAFEDGYSLHDVDLAVMALKINREHNYIADFTASPSYISRFKAKHRICSRQTTKFVSTANHNHSATIKKEADDFVATIRNEMKNRPLNHFCNADQSGFLKEMHSKRSLAPMGVKTVVRCVQSKASLTHSYTVMPLVYADGSICDLLFVVLQEPGGTFPKKKPIFTAPNLCVTAGSSHIMTKGHMKEWISKCIH >PPA16605 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3384:203:1026:1 gene:PPA16605 transcript:PPA16605 gene_biotype:protein_coding transcript_biotype:protein_coding DLETVEHRMIEEKGTNWRTWKTVEHRMIEERDRLEDLETVEHRMIEERDQLGDLETVEHRMIEERDQLEDLETVEHRMIEERDQLEDLETVEHRMIEERDQLEDLETVEHRMIEERDQLEDLETVEHRMIEERDRLEDLETVEHRMIEERDQLEDLETVEHRMIEERDQLEDLETVEHRMIEERDQLEDLETVEHRMIEERDRLEDLETVEHRMIEERDQLGDMETVEHRMIEERDRLEDLETVEHRMIEERDQLEDLETVEHRMIEERDQLED >PPA16611 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig339:21504:22346:-1 gene:PPA16611 transcript:PPA16611 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSKGLSSNHDPPSHIENSADEDDSCFEPIERYFHALLDKKERRNQLLRKCYNTGQVESKKKEKRTNNENNTSGSIGKRHRGSCRQKFGELEQFLRKKKNGNGNGGTKHPAAQPMGAESCHASAKRLKERCQKIESCCPRVKR >PPA16607 pep:known supercontig:P_pacificus-5.0:Ppa_Contig339:2345:9434:1 gene:PPA16607 transcript:PPA16607 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nhx-4 description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:H3F3B1] MMESQMSPSESHADGFSVSLLPVDVNNSAPAEHGHLVVKGFVDFSHTGDPMALALTIFVVGMFKTVVARVTFVPNSVLLIIAGVFTGLLLNYMYPGEIYLKPGWFFYYLLPVIVFEAGFCMKNKDFFNNLGTILLFAVAGTILNVAMLVGGLWLFHDAYESTLNLAEIIQFSVIICAVDPVAVLTVFEDIKVNELLYICVFGESLLNDAVTIVLYQSMADVKPGMDVGITDLLILIYQFFFVSLGGIAFGIFFGYFQAGLCRLFVNEQQVQPILLLFIPYILYLLADSFKVSGLLALIICGMISKNYAEPNISEDLMRFSEVLLKFLCSYAESFIFVFLGISLWSTHIIDIVFITFTLIGIFAARFIGTFLLCAISNSFREKLEHIGFRDQFIMAYGGLRGAVCYGLVMSLPDEMKIKKMLVTTTIFVVAFTTVIQGSTIRACVMRLQVRLSKKCDKEAAHDNPYKVFGLVNKEVQKRVVTFIEDLTHARRQNWIYHKFMEIDEKYIKPFFIAGYERHSYLGDQHKLIEIEEYTEGIRCGSFAGLPHVGSQAKMAYSYSNSDVNGAKANGTDVEKGSLLLKVPDRPIPRNESISGLVQKTFNDVEWDILRHREPRRSIYSRHQLELPPSPAPYLDEDSFDERSYIFTYPGPKPLDREFEEFIKNFVYHSRNI >PPA16609 pep:known supercontig:P_pacificus-5.0:Ppa_Contig339:12953:14623:1 gene:PPA16609 transcript:PPA16609 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPKIFGQDGQYYPLNPFQFYQEGPGAGGIAARVMRFQHRMGNGQPQDEFRDQWMHSFLAEYARMQRLAQEAMAKLHVTLLDHKETAKMAIHNHKSNIPIAETKLVYIDEDIEENQQAFRQTLTFGAADETVLVHSDSESCGSSGRSTPMEMDN >PPA16608 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig339:6755:7618:-1 gene:PPA16608 transcript:PPA16608 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSDSLPSLSDTESVYQEDTSSHEDETINLSIVTQLYDTRCDQEAGRFTETPNETSGYLYDIAMLHADILNMMSEEISRIDPGWSQVAINIGCFVRYAELLLPWYIDKEKNREKIAWATETLEKKLDEMMSKKDKEKRNRKI >PPA16612 pep:known supercontig:P_pacificus-5.0:Ppa_Contig339:23880:25068:1 gene:PPA16612 transcript:PPA16612 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSNDDETSVSSHLVDQQDLHFTRNRVKLAILGEKETGKSSLIRRFKWDVFPNDAPDQESQQVFTKRILGKTNKIELIECDAESLLVDDLAEEEDKLQLRNIRDWVDLHGCLIIYDTTEEGSFDKAVDYYKILSRALPPSCEIALVGTKCDLKESRKTSFRHADSIAESLGLSLFEVR >PPA16610 pep:known supercontig:P_pacificus-5.0:Ppa_Contig339:15325:20803:1 gene:PPA16610 transcript:PPA16610 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIGVLLLSLLALSSAQQQQSGCSFQSTRSPYTLSWVVDPSSQNVFFNLTYRNFPQGSFTGVGFGDNMASGLDVILVTLPTGSSTPQVIDASVKGYTQPTADSNSEVQTRSTSWIGGTLNAQFIRPLNSPNSVDKSLSGCVTWNFISSPGPAFANGTYSKHSAPPEQQQQCNLSSRCSGTIPAQFINTPNNGAWSNQGSNNQAQYSPYPTLNQNQQFNNQQQQMNQMQQQQWGQMNGTMNQQYQQQPYQNQPFNQPSYQNQQYPQQSFNQQPYSNQQYNQQPFQQFPSNQVGSGVNPQQQQQPYYSPYGNQNTQQYGRKKRQANTNIYGYNTDSNAASNPQLVQNPNYQFLPNYLADEYARTFSQASAQYDARNNGGVNGAQRDPSFNQATQPPILTNQQQNQQNFQQIQRDTASGAYSTIAPATNIYGYNYNTPSSSGLTSAQRSPSSSSSASSRQFDSPSNPSTNSVSQRDQSLQRFYNPGVTSVGNVYTGSLYNGGAGPNTGPNSNTAFFNPSSTSGTTNGRFFDPTTGQQINNNNGVFYPSANRGPQPGQIVTYDTVNVGRKKRSISSRTKRQVRFYDPGNNQTAMYYPYRTETDFNLNAGQQGFSQVGGSPPYSAPPLNNGVNGGNQPGTQRYYDTSRGVYVDTVPQFDSRGGNQYLKTAPVNPQSPNFVQTLQNNARALNDYVDSSCSRQDPYWCQSYVDQIMQAQNSFSSYSVSAFHCDCDKERRPIHWPETPQMTILKEERPSDTPS >PPA16613 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3393:404:1365:1 gene:PPA16613 transcript:PPA16613 gene_biotype:protein_coding transcript_biotype:protein_coding GLNTMVGEHGSTLSGGQKQRVAIARALVTNPRILILDEATSALDATSEYLVRVALNRLLENSQQTVLIIAHRLSTIKHADQIVVIDQGT >PPA16619 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:55434:66679:-1 gene:PPA16619 transcript:PPA16619 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFNGALRNLTAASSDDKLSIMLSHAPIIRSSVAARQAADRHSDLILSAHDHTAWSYSRERAAAAGSSSSTFERTPIDRGTVVEATVGRTQRVLELQSPTCSYRTNHRMGVPDMGYGLLTLRRIDDEADGSKLQLQARYTVLWLPGRYPQLFSYIVVAVWLLILVAHRVLYAGLRSIVLTRNKNVKQNIQLTFQTMSRVIVKGLPPRCSEQQLRDLFKSYGTISDCALKTTKEGRSRRFAFVGFEGAESGSAAISGTNETFLGSYRLTVEVCAGFGEKSKPRAWSKYAKDSSAYKRAHPDEVQAAATQKKPKKANEEEDEDESASAQHKKARREEEFKNFLDARGVGREEEKKAPEVRKEECEREADLVAMLLDGVSGDTHLSVIVTGLPSSIKPTHVKEWLAPIRVKALKTVKDGNVAAAFVSFEKTGDVKRALLKNNLYLGGYRVSDGS >PPA16788 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:1008469:1012957:1 gene:PPA16788 transcript:PPA16788 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSRNNSRVTKRGSTQDTKWHYALEAKLDNISFERQYDIVKAKYFEEQHQDRLEALKELLQEESRNDWILESKLDTITLGKAVMSSTCFVTVGSTRFDSLTNEILSDAALTALKKLGVTKVSIQLGSGEFEAKRFEEIFGSLCDHDSGSAVFAGLKIDFYRYKPSIAEDLADAKFVIGHAGAGTVIECLRLKKPLIVVVNELLMDNHQAELAAKLADLNHVLYCVPSDLTQTMLDSRLFTLQPYALPEMAKVARHIDRLMGMGALREVRVGGPLMASQFAAWGGMFSTIDCCLVAIRKKEDPINSIVSGGATGALLAIRSGPKVMAGSAVLGAGVGLVASRWMGAMLDPTAPPPEALDDPRALGSSNKPSGNVFRTQPYKRPVYSHLWSFRPFPCGEKGGVLCPPRIDRPSEGGGGGSGGPCTRTSGHSDPFPAGRRVGCSAPRGSTVPLKGEEEEVEG >PPA16677 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:454548:462508:-1 gene:PPA16677 transcript:PPA16677 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEPAIEALLQFNTDKLLVHGKEVADVEVDHELVQQYGLLSYPMEVSFPFLKFSALLVMAANATEVTINMRCYKIDATDLHFLHKNLVKGGCKLTSFSVKTDPSVRIEFLKLCFGVVVLENRRRRNNKRYSVEYQILNDAVPIYSSNRFPTNEIVFVGNFKTETSLLDLEAGVFGKILEYLDVPSRLKLRVSRQTERKLLDHPIQLESISLTISPSGYALTTIAHRLLATKMTPYSALNYWGIWHMVQGLRRWSENTYVRKIEIDVQTQPNNNIGEAISALFEFSADHLIVHTKPPSRSANYQPPRPLIVVTIPQINFANLLGMTQNITEICIGHECNSIEALDLCNLRRTMISEECSLKSLSIIVGNEVCKQFLKTCFEVTVDDDICRKTIRGALYSKHPTTLHELVHINSNLNLSKSDVMTTVERNRIIINRVSLVDLPNEILHEVIKYSKIGAGFKLRLNRELEQRIHSFIETITVKTHARCFVVTVNSNKAAEVKFEDASDVVRSINRWCLSMNNVIKIKITIAIDLNYSQKLGTIIHALCQFNTDELIVQNNQGFKAHSELPQLDFATLLVISGNIRDTFPHYCFLSMNSYK >PPA16801 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:1080306:1082158:1 gene:PPA16801 transcript:PPA16801 gene_biotype:protein_coding transcript_biotype:protein_coding MHGISNCAAVARPRQRGRCDRRSRSTEFRDACGDLNAEFAKTTDLHRNLSKTIRHYEHALPLVALEWELENGPATDDERVVALKGSISRQVTLLARAQAALDLRTEDYFCSSLDAASQSAAGPSSAATAAGSAPSQPAQQHHHQ >PPA16755 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:852703:853980:-1 gene:PPA16755 transcript:PPA16755 gene_biotype:protein_coding transcript_biotype:protein_coding MNTREGSKEDPGRFYPATLPKWELILSYAVWIFHKYAMDQWATGFSTGFETAITFLAGKSMRRTPSGSSIETLFGILLGTSLFLAQPFLADLSCILGLAAFAAVTIAASAYFRRENIAWVLCIGFILQATEFVPFTKGAFSYYREFNMYLYGAIKEFTEQINTRSSSDDRPSAFDLK >PPA16659 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:325705:325962:1 gene:PPA16659 transcript:PPA16659 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPQGEKKSDSPDGERRGRGKKKEWKRVVSDDSDRSLSSQRDGKSPVKS >PPA16781 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:961543:968358:1 gene:PPA16781 transcript:PPA16781 gene_biotype:protein_coding transcript_biotype:protein_coding MRESLIASSILKYICAILVILHSTHARIDDADQILENELPSIFGMRVELPPDNPFGYNPYGICAVAPDEEFKIVIYGNHLDKVDKLIFTVSDNCSDPAYVVDPVNSFLTHFQHKIGVIVLLLCLSALFSGLTLGLMSLTPMELELVIKSGSPKEQEYAKAILPIRKKGNLLLCALLLGNVIVNAAISILFGELTSGLLALAISSLGIVIFGEIIPQSICVKKGLAVGAHTIMITQFFIALTYVLSWPVSKLLDCLLGEEYEGYDRKRLMELMKMSMKENGLLSNELKIAVGAMELADKVVEQVMTKIDDVFMLPDETILNAKTIAEIVQIGYTRIPVYSDGDRNNVTDLALLDPDDNFSVRTVCGYHQHLVKYVFNDTPLAIVLESFKKGDGHLAMVKRVHVSEESVEDPSYNLVGLVTLEDIVEEILQAEIVDEFDVVTDNKGRSKTARGKKNDLTRYFEKEAPHTQVSSHIQMVTLQFLVSNEVAFSDKYVHKGILERLISTSTRRVDMSYIKSAGGTESGVPKLAKLYTKDELSDRYVLILEGRAKVTIGQSGMMFEAGPFHAFGQELLVKLLAAANTLNRSNSVIDPTEVGCRRPDLMFKPDYSVTITEDCTYLEVTISSFINAYRSTLMLRDKEAYVDGRDGRHLFFACLTFFIGAIIARRYCTYLRSIYRKHTQWHTTSNSAC >PPA16685 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:513513:516897:1 gene:PPA16685 transcript:PPA16685 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLLLLALFAGVAFSFDEAALTRRIKRQSCGCFEQSNCGCSSSNNCQSSCMQACPPICRANVQCNQQCGNSCRQMCPQPMLLAVPMQQQSCGQCRQQCQQSCGPQPICVQQCNNQCARACPQPAPIIMTQPQQQCNQCQQRCASSCSTPVCIQQCMPRCGGQCQMNNQCSSLCSNSCSACPTPILRSACVQSCPSACPQQQQPPVIILRSPSSSSCGNSCGQQCQRSCGGQPICASSCMQQCQPACQASCNNNGCQQFVIAIPQMSSGGCQSCGNQCMQTCQRKQKFKFVLNSI >PPA16641 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:207373:208171:1 gene:PPA16641 transcript:PPA16641 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPNEMILADLPPDIVRAIVPLVEDPFETGMRLISHRWNSLASEYLNQRYRPIENMEISWTGYDIKLEVTLRKSAVGHFNLDQWQQSKLELEYGKLRQK >PPA16660 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:326059:327506:1 gene:PPA16660 transcript:PPA16660 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDASEEELRVMPSEANRKKKQKNAMEQLQIKRREKEGQSTSPSRSPSAIPNKEDLAAKRSRATFLLNLNTTSARLGTMSSHSDFSDDDRKKKGKMSISVMSSHAIAVIGLAVMGQNLILNMNDHGFVVCAYNRTTSKVDEFLANEAKRTKIVGAYSIEEMCNYDYKYSHPNLFPDSDF >PPA16795 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:1052395:1052973:-1 gene:PPA16795 transcript:PPA16795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpl-22 MAAAKRPQVKSSKAGKSLKKKKQSVKFNIECKSPVEDKILNIEDFESFLSQKIKVGGKTGQLAVSGVKVEVNKTRVVLSSDVPFSKRYLKYLTKKYLKRNTLRDWLRVVASSKDTYELRYFHINQDEDEGSDNEN >PPA16708 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:626039:627828:1 gene:PPA16708 transcript:PPA16708 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKIVDSLAVVSAAAAAAVAAARSCNHKSGAGRILKWYGTQAQWEREMERREMKEQRGKGRNLQSINVIQRVVISQGTQVDQYQSNLGKLTCEKNDATIGSSGQNPADISAAAHVDDPDQARHGLFRLLGCTFISPKASATMRDQQYYAVPIYPR >PPA16730 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:746102:750946:1 gene:PPA16730 transcript:PPA16730 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIQIGKVQTLEGGLESALKERERDYGRRSEDTMASARENEQQSFLFVISGGAPMRLTRNVPERANCSMNVPENDGNDESSNCPDCIDEVSTVNTVSLSWIGHSARTIQRIIDELRKRAPRATVSVVTLLGGIETQLQSVPIQSEEQIEISLSSIETMGPNGPSFTNNLFEYLAKMNQASIIRKSNPRQDGCHFDQMYVFMCEEDLSSRMDIKSTVRLAPIVRWFMIGPYPLRSSIDWLKRRYAPANGDFNILESEDTVADDLINRLLPTPEEKPVLALGTKSAKFVIDPSSGVKSVEVLGFLPLGSVANSNLAQFAYQEEFIYEIGIRFPGQDPDYDETETCKWKFDIDSDYFGSFREPEQQLLPLLLNGIMRERLAAFCQVSLKNNSDYLLIKEALAELQESSSAHNAISDDDVIIIDDDSDKKEGKEKVKRVEKKETKSHSPVYGVIFAMTKNGYCYEEPDEVQEVESDEGPSDDEDIDDIIDEAEGKTIRLKLALISPEMSRPGWPDMQLWTTEFKPYNPLEKHQFWETDKYITKNNPWFDESMFNREIIGSITKAALKKDGELLMKGYVVAKCPHVGKNEVLGTAGEEIIDLEKEVRGRCMSLEVISHVLNAVIDGLTDQEAISLVRANKKSLLT >PPA16785 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:987737:991937:-1 gene:PPA16785 transcript:PPA16785 gene_biotype:protein_coding transcript_biotype:protein_coding MRWMACGHSFCLTCWTTHIETRLASGVSTRIECMHPECTLVCTSEFALGTLNKASFRAKYERFVFRDYVTSHPDLRFCPGRDCTVTCHDGTWIDWQHLHEAASLLAKCRYATLVIQI >PPA16747 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:828430:829502:1 gene:PPA16747 transcript:PPA16747 gene_biotype:protein_coding transcript_biotype:protein_coding MRRERTLMPIPDNLRRSISWSVESPSAVRASSPRPARATSARLNPASPRPVAKPNPPMTLDEALMGSLKRELARRKRANKLSSFENDDDSEWEWMNRRRLQSVTPKRRATGTVVEGVESKDETPVDLGQRDGGGRKSIQQSGQNEVNCVLSNS >PPA16736 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:767496:768234:-1 gene:PPA16736 transcript:PPA16736 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPHFTPVQKQLKDDWDNREYAQVISDNIKSIANFLSCFELSCRTKIAALSDRVTILEKKVEFIEGRAASIVARPVKSKNPEEARIAVLQAYKELSIMREKQLVYLS >PPA16792 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:1033288:1040994:1 gene:PPA16792 transcript:PPA16792 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQDDILSNEIIPLMQEVVEEEDVSGGTDEEEARESMSGGDDNSLEGDKLSKRELKRRAKMPKKRAEEKQKKKDRRAAIRAAGQGETLKRPKPKKMAESECKMRLVVDMDFDDLMVEKDRRRCIAQLTFCYSVNRKAEQPLQYHIVGFRGPSRAIHDANDTYANWDVNLHREPLDEVFPKEDVVYLTADSENVLTELDESKAYVIGGLVDHNAHKGVCMRKAEEKGWAHARLPIDEFVKMQSRRVLTVNHVFEIMVLFWQTKNWEEAFFTVIPQRKGACKKEDVLVNSEVNTLIVDDGNTVTEKEVETKDDEVLYKSLRSTVRSMIRWLLKCSNVSPPPTRASDLVKVLEQTNLTDVVVHPADHTPFYVSTIIFVLVSFVFFYVLMSTYSWASSVWRSLMRTDKGSDDPSHLPVVQPDQMGFEERPPKFKVKMTEPVHVHLVESTKKRSEAAAAKPRGGGSVSRVGKRTDYDSFDGSWITLNRSSGYRLRNVGKEERVGGKSRDNICNAEKLGNSSLKKYMCQMLEHDPMTLSSTQNSQSLNSDTSGNTKDTPASTTTSVTSKDDMKSAQQVEKREAAGRGNCTTAHSASSTSATYLGEVEEYLSRSPTEAERKALSAIFGDSEDESVKTSTARHIVHGPVDRNYRIPKCKIRMIRLSLGARYGAGAESATPSLSAAVPPSSKKYTPCSKMSSTVAIDTVVASPESIHHPAIGLLPMSTDCAVRAGCRECQLQPLHVLALRWSDANSKTVFVTGSFVNWNSKIPLRRDRVGWVVELRLPRGHHEYRFIVDGKWELDRGRLGTIRAAQKGIINHIVHYTQYQGSNT >PPA16692 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:552770:554911:-1 gene:PPA16692 transcript:PPA16692 gene_biotype:protein_coding transcript_biotype:protein_coding MEERCFRICIAVNASQGAFNCILLIAGLWTHALSDGYFLAIVYGPVKYAPMWLRDIVTSLAVFMPYFWIFVPYSAIVEQLRTIARRVQLLTDDDTYVVYGIGMREDPANGNRTAFGLAFYGIAPSYSLTYAVFGFTVLKIVRLLGRVNTELSIKTIELQRGFVKMQVIQGFIPLVILTIPFATFITFIFTGANLDNWTLMLTFSQWSLPAVQAIVYLKYLVRARRSEEERNHRILSAIPNSSN >PPA16804 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:1111797:1115677:1 gene:PPA16804 transcript:PPA16804 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTGNRKRLTKKVDVIDLSDDDSDGKQPLAKRQSLLAPSPPAASPKEVELQEKVAKLEDMYKKAVIAAGHEKAARYAAEGLLDSKRGILENEKNEVEKEMGSRLAELSKQLDDARRRAEESYSREISISRENDSLKLERARELLMKDLLTKKVLELNGELDDTRRKMEEQICDKEVLSRENTALKEELDEANGISNRRQETIDKLKGELAAIKKQFEAATAQRELPTKGKLAQSNGQQPEQQNSSAKTNKTQDDSIRSTDQKDNSSIDLFEQGLLLSNQTISFYEARMKIMHKFKTTVIVSWPRIGSKLMKKSGGAVSLAAVDYWMKMIDEAARGCRRTQKKHRKQMKEKNRKRNTGH >PPA16666 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:375402:379731:1 gene:PPA16666 transcript:PPA16666 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFFSVKEVATFDLSTTDGRLIEWLGALPARSILVVVSFGDIAERLSPDARRALRRFGAREAESWRGGSAYAVLGQRALEDGAAREILIPLGSAKSASINDCFEFPLGKLNATIEEKKDVNRVDETRLAELLRAQAGGAGAGEGGGAAPRGVLLGEEWPACGHTQGCAADLLPMHFYSGANKDDHPRMCVGGRMVFAADN >PPA16732 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:752618:753234:-1 gene:PPA16732 transcript:PPA16732 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKGWFIAMVSTTVETTSPETEILPGLQLLGPITEKFVTVSDVFEPVDLGTESQVFISKSYDPTSHFETTCKDVLEVYERGTTTPFDFTKITHLSLEEQE >PPA16745 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:821171:822710:1 gene:PPA16745 transcript:PPA16745 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKKRHFAGTKAIDLDKVAPYFLHLGIVFAVTAYVLVGAYAIREIERHVPPGKNESDTSAIGPATSRLRREVESTEDLNRLRKQSHVKDAMSRTRRCVIDALHRVKKQTNCSREGIEKVSISSLDECYKKDITLILYQEQNSHQGKSI >PPA16697 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:566071:567159:1 gene:PPA16697 transcript:PPA16697 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSASKVKAYKVVGYGAVTFSAVAVISIAVTLPMLYSSAAQLRRSTIHELHACKAISVRPNYRTPSHAKGVWSDVFAMKALPSHNRTARQAYWEDAQCNGCCLPGPAGPAGTPGRAGTPGHPGAPGQPGNPGRPPSTPCEPMTPPPCQPCPAGRPGAPGAPGPAGNDGRPGSPGPKGSDGHPGENGARGNNGNAGRPGNDGRPGAPGKSSESGRPIPGPDGQPGAPGPQGPQGPAGAPGNDGAPGNDGAPGQPGQSGAPGNDGQPGEAGQDGNSGGSGEKGICPKYCALDGGIFFEDGTRR >PPA16751 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:837363:838255:-1 gene:PPA16751 transcript:PPA16751 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRIGRTNKFYSRQYLQKATPLFVHVFMIVSVGLYAVMGAWCMQKIEGGTVEQVKSSNVVRRHATLPESFIDDGNFTRISSSPPDLPSAAPKHRRRRRGEDKVAAYVFFIVAYELGK >PPA16743 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:810002:814647:-1 gene:PPA16743 transcript:PPA16743 gene_biotype:protein_coding transcript_biotype:protein_coding MMMALRISLLALAVYATPECIPRTFTDGIVCVCNSTHCDDIEPLGSIPFGNAVVYRTDDKGARMDRVIVKQKPQPDGLVIELDPSTVYQEIIGFGAAFTDSTGINIRSLPKDAQEKVMQQYFGPTGTEYTIGRVPIASTDFSLGTYSYDDMEDDLDLKFFALTHEDFDYKIPFIQQAIELQNNTTLRLFASPWSSPGWMKTNGKMQGGGELRGNADGPYYVAWATYFVKFFEAYLEQGISFWGVTPQNEPTTGSDPNYSWQTLFFDAQTESNFVKNHLGPALRSSNASKDIVIIGLDDQRFMLPGWADVMFEDAEVSSYVGGIGVHWYEDEIYPISALSHTHERHPDKFILATEASNGWLKVQGKGVRLGYFFRAERYANSIITDLNNWVAGWVDWNMALDMEGGFTWAENYVDAPIVVDGEEFYKQPMYYGLAHFSKFLKPGSHRVKADLPELPSKVFVLGAVMVNGERYVTMLNENDSEDVTISVREKGIEGVKMTNGGFISWEFDMTDVPNTVLTYKSPEIEINGLKWYIGAQHESSPRTGNFYQNVSSGKLPWANFYGAWTFLTDEDNGFISNGKIIVEAKIRVVNTCGVRQLHFFYLLAVHSPVFEAMLFGNFEETNKEELEIKEVKHKEFVDFLNVIYTSIYEINDTNVESILDLADRFQFVLDAVERYLMHSSNLTTTKKLALSDTFKLNLLKFLSDQIKAMLHERTLELD >PPA16757 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:859887:860751:1 gene:PPA16757 transcript:PPA16757 gene_biotype:protein_coding transcript_biotype:protein_coding MARDTIFVGWDDFEEKKPHMWTNIEECELARESCYRMRDCKEDWACLGELKYEPTSIEQSLDIEEDNEDHSHDAHPCVQLVHYCYGINKSLLKRVINQRIYWQYSFEHNLNQA >PPA16703 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:588652:590615:-1 gene:PPA16703 transcript:PPA16703 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGNSASPSLAPPIPLAPAGYYKSGGQCRERQEPILLSISYMDIDTKIRAYRFVGYAAVTFSAVAVLSVCITLPMVYNYVHHVRRQMHAELNTCKGTARDVWNNVYALKDMPANRTARQVGYGDYPPAPSEATYGSSSSPSGNYGSNPAYPGSGSSRPAGGNGGRGHNNGGHGGSRPAGPNNGGYTSGPNAGYNGGHSASNPSGNTRFGPSGSQGSSSNHGASSSNFAAPAHHGGSVDETCSGCCLPGPAGPVGAPGKSGKPGKPGAPGAPGNPGRPPQEPCNPITPPPCQPCAQGPPGPPGPAGQPGDNGPQGPQGKKGNDAAPGEPGPKGPPGPPGAPGNPGQPGAPGEDAKSEPVVPGPPGPAGPTGPQGQAGAPGQNGSDGKPGEPGPKGLPGDSGAPGEDGKPGPDGTPGQPGHPGERGICPKYCAIDGGVFFEDGTRLLLGALERRLLPIVALLVHYSGTARPHHL >PPA16753 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:845557:848710:1 gene:PPA16753 transcript:PPA16753 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAPLFWLQLLAAATAAADATATAPTVAAAITPIPAPALITPAAVSPHPLATLFFPHAGQQMRSMDGNNGRHDVGQAVPWLASLARAFPGSMRAVDRQPAQSREAVSAIEEFTGPLVRFATGGQPVAADVEQLIDSIPHLARSANALKEADKVNLSSLDISSLPSNVVSHVLGGGEIPGIDRTELDRVVREHLKRMSDTADRVMKGEHVDNLEKILLPLEKVPMEMVMTSLQGKTLPGLSDAETAKIREYYTKQLPSHAPKDADTSSPDKSTLSALGAMVQLLPTNYDIGRIPPEFIKSVMEGRVPDLTLLPADLQTYLNAGKDQAMSLIKSLNSSVTEIVQQLAASVHKPEVNRAAVYDISLVTHEVIDIEASKAKQDRNVLYTIAWVAVALMVATLVTLWLFYKKYASPSRKAPLSATTPPVRDPDAPRALLAEVSAVADATVSPRRRHNAASPDNQAK >PPA16648 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:248285:249664:1 gene:PPA16648 transcript:PPA16648 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDVKAARLAREEVQRGLADLNRMSASLAARTDKEGIQMKAMGETSSLKDNQLTRDQRSGTVESGLY >PPA16719 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:680860:684020:-1 gene:PPA16719 transcript:PPA16719 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ark-1 MMNLEFLEVVGAVEGLRHREANEIGANGEFDVDLLRRWFEVGEKCSSTAGDTVPLLGERGGRDPMRHNGLGSIAWNGEKTAVRLMTDDEMDRLKEKQRKEHRKVDKELSDRQAKSCVLPSAPPLQQYSSIRKTNSKSRLEDSWTPEAQEAYRLFVECGVNLREASTSPQPSPARSNGGSVSPRPPSLPPRFTAVDAATVAPPARPPKTRKVSESGPPHDPRENYDNLNGYGAGASAAAAVAANGAAAAKKPPPVPPKPKCDRALQPGYGTQRRGYRIRGRLSV >PPA16806 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:1121324:1122372:1 gene:PPA16806 transcript:PPA16806 gene_biotype:protein_coding transcript_biotype:protein_coding MKINGYLNRHKSIVDRLLSWGFYGLIASNLAANAVFTLASVSNYPGGDALSWLQHSQRYDRTRPRSVHIGVYAAQTGVSRFYEGYEAWE >PPA16642 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:208392:210977:-1 gene:PPA16642 transcript:PPA16642 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILRKRTTNRVGNKTSLCVRKKRKEKKRSKKKTSGEKIEEEEAKQPSKFKKKKKEVELSSIHIDKEPPARPLVERAPIKTEAGGHRTVEREPLYNIIPNYANIPETTLMRQLKPPVDPNRNRKLPCREWALVAATDLRTIAVQQLATTSLRPNCNCSVAAFTPSNFIADERTVTITEPSQGEKKTTTEQEKKEQQQISTAQELSMVTEKESNMTKFKRRVQNPSGWLWLVIGALVVLCIILIVLFADLYRRNVALAEEVEQLKNKSGKSPSE >PPA16715 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:663193:666214:-1 gene:PPA16715 transcript:PPA16715 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGTRAEPEFVEKEQLPAIRLKAPSSRKKSLLARVENTSVQVRLLDGQIVEVACRSDAVTSDIFSLIVTHTKLSEHVFFGLCTLRDGEQFFLDEEQKLEKMAPPGWKSAVRGVPSVQYILHLRFRFYPTYVDFIKTPGTLHALYLQLRRDLLDSRVEPRRDIAFDLAALALQAEFGDRPPPAVIDYFSPGHYLAPRFVAAEDPARLRTLLSELHGHYSGTKEKEAQHKFIEMCQRLPDYGCHSYRVFRSKAAATSSPSPFDSDSGAALWIGIMPKGIVISESQMGQRVQFAEHPWQRTHTLQFDKKRFVIVDLAVDADGDRKQSTFFVDHHSK >PPA16701 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:577988:583041:1 gene:PPA16701 transcript:PPA16701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hhat-2 MVEETAKLDPGRFHPAPLPRWERKLSFAVWIVHSLGAFYLAYLACTGPLRLWFNDDLHRSPYIRGWLVDPTDSEWHNFMLNLRWAMWLSRSLSYRFLSADQARLVQIAVGFVLHAWIAWILCVGFVIKVFDIAPLSADYYVNYREFNMFCYGALKIITVCTAFCRNPERQVSMDWGLSIAHYMTYLPYATVIIILYEEFVAQIAQRTCGQRETPFGWRSNLLFSLRLLLSTTLYTILLHMIPAGAMFASQAATSSRLNGYQLFSLAYVIGQYFHVKYVVLFGVSSLFARIDGMTPPPPPICISRVSRYSRMWRHFDAGLYSFLKNQVYIPLLTNPYLKSGMARPLALVSAFLIVVAWHGSHRNCVVWVCLSAFELVIERSIGLGAGIREPEPSSSLHFQEFRARTGEVWLRRMLACCMNLTVLYNGLLGIAKMDFTIVNGVPSAGLVLAHLLTLGYFFNQTCLELEFANRKVAEKNNNNKDNNNDNNNVKEKLS >PPA16759 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:864064:864749:-1 gene:PPA16759 transcript:PPA16759 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSTLTRGEGRKILIPKRADPSVQLAELSTTKDVNNWLIDKGFSPRTLEILSDMDGAMLFSLSRNKLIEVCGREEGSRVYSQMLVQKKRSAYATKTGQELKAILNHRKINVDAKNEMPSEEPATLVVRKANGLEERRTAV >PPA16772 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:925844:928551:-1 gene:PPA16772 transcript:PPA16772 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVNRRISLGSPKDLKEVKFFDEEPIPDVPVKGARVKVCYAGVCLTDREVTNTKQARITNGIKDTSLFPGYEVSGVVESFGDESKPEEYGLKIGDKVIVWPTDEMASHGYSDFVAVPTLHFLVKIPDTLSMHVASILPAGATWALSAILQARPIVEAFSQSKGFCNILIVGAGGLGLWLLKLAKHFLQGHNDRKIKLMVADGKEERLCLAERNGADFVVHWDDSEFEEYLIMRTKDVARTGVQVVFDFVTSPRTVTRSLKCLVEGGVLFVGGLSGLDVQLPIKMVAKNRLAIMGVTRGSIDQLKNLVNLIAGGQIEAPDYRVYPVDQASMVLKQLSMSEVEGRAILQVCDPNTALDKKVEAKTE >PPA16777 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:946074:951383:1 gene:PPA16777 transcript:PPA16777 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKLDSNIPLTFLLGFFVTFVVGRWQSILSNIGWIDDAAILFSTYIRGGEERVRILRRNLVRYLTLSQALVLRDISMQEVNKFRHGLASLLKYDWVPVPLVYPQVIFLAVRCYFIICLIGRQFLVRQGARDGSASGNPDIYRGFDIWLPITTMVQFIVYMGWMKVAEALLNPLGEDDDDLECNYIIDKNLITGIEIVEGPWAPQSTGYALVEEGLAKIPTQKKDEFWGSHKIAPLYSLDSAKRSVHPLIGSAAKINLVKNKEEIIMTPHKNKLSEMTEQEQKSHLRRVRVGDHNSHHEKMRSHEKEHSPDSALNRIRDRAKQEFVQYYHNGKYTQNVHTNGQDWARGESAPWGIRAPMTNNYVIGNDNGCVPRDYPDGMVCVCNSTFCDEIEKLEDFIAPGTAAVYRTSLQGARMDKSIIKQTPNPSGSLTAELDTSTTYQEILGFGGAFTDSTGINLNSLRKETQNLLMRQYFGPTGSEYTFGRVPIASTDFSLREYSYDEIDGDFDLTHFALQNDDFQFRTSSKRSIFKSIMEACVFLLPPGQPLLG >PPA16688 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:531799:536550:-1 gene:PPA16688 transcript:PPA16688 gene_biotype:protein_coding transcript_biotype:protein_coding MLDREDDSIDFNASTTSFKSIKSTTTNVSTTSTYTVDDAIECVGFGRFQLIMSAIAGFAWMADSMEIMLLSLLTPALVCEWAITPAEQALSTTCVFVGWMACSPLWGWFCDRYGRRTGLFLSALLCSTFGIATAAAPSFPMFVVLRGCVGLSLGGITQIATIYTEFLPTTKRAGCILMLEFFWAIGAAVEAVIALLIMPTLGWRGLVVCSSVPLLIFAFCCIWLPESARYYVAHDKNDKAKEVLDRVARYNGKELPEGDLVAEKSEPTEKDIKKPSGILALLEKPLLATTLLIWLVWAMNAFSYYGMTLYTTKLFQSTDVCHGGSEENAKTNHTSLCVPLKQEDYLDIIATSFSEVPGLILTFFLIERLGRKMTMSVQLLFFGIANYLLYFCMGRTVIVSILFVARAFISGAFQTAYVYTPEVYPTPMRAFGIGTASAFGRMGAILTPYVAQVIAEYNLVYATLIYGTSGMIGSLAAFLLPIETAGRQMMDTH >PPA16646 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:231160:234309:-1 gene:PPA16646 transcript:PPA16646 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDSPARGGGGRGGFRGGRGGSPGGFGGRGGGGGGFRGGRGGSPGGRGGGGFRGGRGGGDGGGFRGRGGGEMSFRGRGGGFGGGDGKFTPRGRGGSSPFRGGSGGFRGGRGGSEDRRGGRGGGFRGGRGGFSEDRRGGRGGGFRGGRGAGKFDGQKRKFDEDEDDEEENNENEPIKKTTAAPADKKRKIQEESDDDEVDAGSDEEMDEEESDEEIAAPKKGAGKKAAAQESDDEDDDEDDEEGDDDEDDESLEDEDVDEDEEDDEEEVPAPAPAKGKAAAVTPKSIVKKEDAKSNKKVDISKPSTPAAAVKKTPSTPHPKSKAAEAKVSQLKFDDDDDDEDEEEDEDEEEDEEEEEVAPAKPTASKKAAPVAAAAAESDDDDDEEDEEEDEDEEEDEDEEEDEEEEEAPPAKAAPAKKAEAKKPEPKKPEPKKVEPKAVVKKEEPAAAPKRKIDQAEILSDQERRREEEHKKTLFVKGFDRSFTEDKIKALHGLIVGVRRRSDKSNFCWVIFASEANANKAYPELAKTKGLTVDYCGSKSKTHMSLIEKKSTERSIDPTLLCVRGIVGNVTPAELKTLFKTASDVKIPPAPKKSKGKSDRIALIRFESEAEAKEVFDSNKSLKINGAPVTVLYALAKPKPADKAAAPAKKTEVEKKKVAAPAKKPVVPEESDDDEDDDEEIPSSDEGLEEEDEEEEEPPKKKAALTKVVTKPPPAKKGKMPEPESDDEEEDDDEDDDDEEEDDDDEEDDE >PPA16705 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:595069:599059:1 gene:PPA16705 transcript:PPA16705 gene_biotype:protein_coding transcript_biotype:protein_coding MWGEPIMIESPTGENLAVVLLDTQGAFDNQSTYQQCTTIFALSTIVSSLQIYNVVDAIQEDALQNLSLFVEYGKLAMTHARSFGTPFQSLCFCVRDFKAPEEYAYGEEGGREYMEHTPPGQAAELRATRDQLGSCFNELICYLLPHPGHRVAERNSFRGHVKDLRPVFREEIRKMVISLLSPEALQPKIVNGKPATCKRMIQYFKEYAATFDSSSMPLPMNILQANARLLNYEATQDAKIIYCRMMDRATSGHSMLPEKKMLEDHIRCGINAISSYDRHPKIIAEAGDQKDNHEKLQELINAEFERYKRVNSSKKVPGCVHTLTACGDSVLLGLGLGTAAGGAVAGTVFALAAGIGSAGIVAIPISLVTLTGIWLYVYTKPLFTRCFAARNREDRLLESDRKDTDRT >PPA16768 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:910479:911733:1 gene:PPA16768 transcript:PPA16768 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDQGQLYRPGLNFPGQQNSNEVMYEFEKTTLILVVCSMCLGLMSICFALCTLFSEICALAHSALVLASMICAASGFGVYTYHNEQPDLLGENDKGFMLLYHYGWAYYYLGIAALLLLAAFLISVFAASVFFYHKRQQHLASSHNVKRTTIKL >PPA16722 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:689025:699338:-1 gene:PPA16722 transcript:PPA16722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ark-1 MPEAPTIIHASIALPSSAATVNGIPSLKTLLDAADLLSFEHPLTCVLHLRHAGDIEYVDENDLLGIGMSRPEQKRLRKEYARLFPKSSVFGKLKKAFSREENRRAEERSGADQHQHVIPLDKITLAKELGRGEFGTVHMAYWNEGGGATTGERGGKEGELKVAVKCISSEKLLNNPTSFLSVCNSSDTVLVDTVLPFPEAAIMHRMHHDDVVRLYGVVLDTKQIMMVSELASSGSLHSCLLNPSLRDSFPVQVLCAFAIQIQAYLISDPFRSLISDLSSVQIARGMAYLEAQRLIHRDLAARNVLVFSATKVKISDFGLSRSLGVGEDYYRGEFSPTLRLPIAWCAPECINFLKFTHSSDVWAYGVTLWEMFAYGRMPWEGKNGAEILEAIDKQRRHLARPRLCPEDMYALMGECWAHDAGARPTFAALLAQLPDRLPLHVRAVVTMSSAPSADHLVYNRDDLIYVIDKNPEECPDGSYWLGSLKNGRTGLFLPKDTVAHLGAEPPATNRGETEEKKKEEKEKKEKKSKEKENTREKMKALIGEPQAVRHTAHLGVDGAYFGLLQICVEIEI >PPA16725 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:717146:718247:1 gene:PPA16725 transcript:PPA16725 gene_biotype:protein_coding transcript_biotype:protein_coding MKESKHTYRLIYFDAEGRGEPVRLLFKYFRVPFDDARLTKEEWADRKTEAPFETAPLLEIDGGKKVLGDSLAISRFLAKTLGPEGFIGKTKSDAAKADAFVYASVDMFIPFYMVKMAEDDEKRAGAMAYLDNSVARYLRSVEKHLAAHESPYVLAHGITWADIYVLFVVHTLENMKPEFVDKEEYPHVVKHYARMRGLPQLKEYIEEKWPSKIL >PPA16687 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:528179:529253:1 gene:PPA16687 transcript:PPA16687 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCFLSFPNVEDIDKNTLRVLILRFPRVLFGLLYYGITIYTTKLFQSGDECHGGVRPANVTSAGSGFAENSTEPGITNPGCRHLTPDDYLDIVITSFSELPGLFFTMILMETIGRRATFALNFGVYSACTVVLILCMSRTWIVALLFVGRAVMAGAFQTGYIYTAEVYPTTLRALGLGAAGGWARVGSMATPIVAQSKPGGNCPSWSQ >PPA16628 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:135131:137524:-1 gene:PPA16628 transcript:PPA16628 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLIGQSAERGAHDCPQQDILQSVPLLVFWVNVPFFFKTGGESRLPKFWDLLPHELQEQTETSQVLVDHVPPSTGSFLARIYYPITASLTYVAMSFLLLADYRRGRGRNSLVSMVVALSSCIELSVFVVGV >PPA16724 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:714792:715942:1 gene:PPA16724 transcript:PPA16724 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPRSFKLIYFDVQWRCEPIRILFHYFGQEFEDMRITNDEWQQMKPDAPFEQLPLLELDGGKKTLSESLSIMRYLSKTLGQDGFIGKTKTDSAKVDMFAYACNDLYIPIYFYQQAKSGNEGMINIDGAKVQFELSATRFLRSIEKQLKSHKQKYLIQYITWADIVVMYMVHMIEQEDDALISIPEVRTPSRSSTTNAFES >PPA16617 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:15346:16051:1 gene:PPA16617 transcript:PPA16617 gene_biotype:protein_coding transcript_biotype:protein_coding MQELMGPDGGKRALKAWNDLYLTEPDRSIPTTTGWPRLRPLIEVAQWSRANGQYWYIEQINDNEVALKSLTGKYVTHWNLSASSLKFEEAHPSDEAKEWEMLTPVKNEDGSWSFKSRWDHYLSAHHESKRVNFQPHNDRCEHWRLEAWY >PPA16679 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:468636:473907:1 gene:PPA16679 transcript:PPA16679 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYEDDMFGMDDDAAYRPNCDDITNDWDTNEGTNEETNNEDYSLESLDNLDMKAFGDQLSNDVQPYFNSMLNTLPSQDQPGEPWYGDAPVPEDDDYSAYDYQPNHLESHFAAAFGEQQQAQAQAVQQHPPPGPTRQVEQVPKQYMPTPSPANTQPIHAQENLEDSGFSETTSPQHFQPSSQQYQAHPRPVQPNILKRPIILKNVKKPLITQYRSITSNTVYPAPAKQQYAPAQVRIAPAGYRQEGAHPTQRLQTANNTQHRIISCSQGYPMRQAQPAQPRIQGNPYRSAQSLPRRQSVRQSDPERQRLHAEAKMQASEVLRYVEMRQNRELRWSFPNMVGFALLNSETGELPVADIYSFIVKHFPSYQTAPQGWKNSVRHALSKSGVFHKNETIVDGRMERRRCLWSIQQEKTNKMLAEINKQMKKGEANGQYRNQFESSYTPMTHREHPYPYKRKHAPAHSSNGGITNVAMKRPAILSRGQHITTERPISSGFGRSISINKSGRIPTQPRERSPVIDPMDMPLFTSEDDRQEEEERYDGPLRPTFDPANISLFEDSERERYSQPAADLDIPDDCAFTNFFVQSPPPAAAATAVRDDDDYKLSPSDEELLANPLGPVKREFPGNDDEDEEEPFIKKRKQEPARSIETELRDEGEKQPSTRRENTERKYFEIRNGNEELRKNDLCTRNSVIQNFLDSRHR >PPA16694 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:559388:561644:-1 gene:PPA16694 transcript:PPA16694 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLILFLLSTPIIGSPLGDYEKELNKEGLNVENIHKLLKEESKEVEEEFGNEETKAEIEKLRSLYASSCPVNGTLRPVKTELEINGELAKDLFEGDIVLTPEQWKVALDKDPENPMQRRQALSQSIQLWQPMGAPVIPYTFEPGFPVDKKQIILDSQRFWEQRTCVRFRPATSADRAVVSYNHNSAGCSSSVGMSGTKQTINLAPGCFSVTVVAHELSHAFGTLHVQSRSDRDNYIIVDTANIQKGTEHNFMRDPPYYGTLSTYGIPYEIGSMQHYYEKAFSIDTNRPTIYTKPAYSQYQYSMEAPRATFYDTLLINKMYKCTDKCQNRLACQNNGVQDGADCNKCFCPRGWSGQLCDRRPADAQIVNLSGSQNLRVEIGDGVDRGFREKLYIIQAPAGKRIEATVTRVGDYQWSMCRSIGMEIVASKDTRVAGFRFCANSRIKPIVTDSNTMLVWVYVDNAYKFNADISLRIG >PPA16783 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:977717:982031:1 gene:PPA16783 transcript:PPA16783 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHKHEIDLGYHSIRKIRYDARMKINSANIGGMQCAKEFSEHKSRVNSLNYSTDGMHMISSSDDESIVLYNCDSGIKTRTLNSKKYGVDLIHFSHNVTDAIHCSTKVDDTIRYLSLHDNKYIRYFSGHSKKVITLAMSPIDDTFISSSLDRSIRLWDLRSPNCQGLMQLQHKAIAAFDPEGLIFAAGVNSEHIKLYDLRSYDKGPFATFQLEQEARCEWTSMKFSPNGKQILMCTNGEHMKLVDSFSGNITYELKGHQNNKRFALEATFSPDSNFVFCGSSDNKIYSWSTDTGNVAATLPTKHNSTCFQQVAFNPRYYVLASACSNVFFWVPSEDE >PPA16750 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:836261:837215:1 gene:PPA16750 transcript:PPA16750 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSCLLTLLALPLLFLSCAGGKKANGAKTPAGAAKGSARNATPDGKQKSSRSTRLPSVMQPPPMVEQPLCDPELEQKTQSIETEDKKKDAKKEEEAKKYDEKKEENKDEEKKEEEKKDGEKNEEAKNSKKEDSKKEESQMKDQEEKKSEKV >PPA16717 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:667681:670794:-1 gene:PPA16717 transcript:PPA16717 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDVSYTSSEDMPVLQPGTSAMKQAVEYVSDDDVIMETSEEEEEERLEEERMRALKDSNMNDKRAKDAALAGAALLITPPHEERKEDPQQVANPIHGASHLVGPSPIPSRAEVLERLRLSNEEEKEKKPTTLASSFPPPPPSVFARASFDDEDIVHAAHEEDSFSLPPIRPFKDDLVDELKRQEAEEERQRKKVETPVISHSPPPSSPSSRASSTMSPPSDGHSSLPYRSLEQDTVPAWKYGSREQEEERQASPPPPKPSRSMVGSPQSSRFKPQVQEVPQEVPQEVPQEVPQEVTKSRSSSNPFEDDEEEEDQSARFKSFEKTFENARTPSNPFNDHEEEEKPMKHVDAVVIHHRTPSSVRGMDTDDSSDEEIAEVEEMDRAAPVPPPQVARIEPPTIARKGAAEKEESDVDDAFREAMAHRKASTPALVVPAAVAAAATSSPSGTLPRESKRMEE >PPA16762 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:878996:881114:1 gene:PPA16762 transcript:PPA16762 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSSMVMECFQCGQVNQTGIGIVVVPCDAMHTCHGDYCVTKRGENPHSYCGTSWDGIPTVSCTKMPNQPEVCVCSESFCNPVLDPVQEPTTTIGTAAPPTSTTAAPPAATIIMPYGGKVYIGGLPPDATSEEIEDAFSRYGRIFKVWVARRPPGFAFVEFEDERDAEDAVKGMDGTRICGVHARVELSHGRNRGGGGGGGGGGRGYGGGGGGRGGYDGGRDRERRRSSDELTTSFLILSSVLR >PPA16683 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:492888:495653:-1 gene:PPA16683 transcript:PPA16683 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRWGFPVEIHDVITADGYILDLFRIPYGRHSIANSTCHRPVVLFVHGLGTASPEFLLNPPESGPAYILADAGFDVFLINHRGSTYSKRHVILKPWDNKFWQFTVDEMSKYDLPAAIDKVLEITGQKQIYQIGNSQGTLVGFMTMSDHPEYNSKVKAIFQVGPVSTSHYSKGLFQVLFFLYDSLKPVVDFYRIALGSHEVSQGIPLLYRELIKLCLYIPYVGTQICLGTIHLAFGPPAKTINISRVPTYLSHIPSGTSTWNALHLAQIASRIKVEHMDHNPLENMQRYGQATPPPYDYSKITAPIYLIWGRDDWATTGEDIDKYLMKELRKDVASIEVPGYNHLDFVIATDCAERMIDSLLDVDNC >PPA16657 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:309150:313824:-1 gene:PPA16657 transcript:PPA16657 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVTPEIIARYTSETIWQSNRKAGGQSAIVHWPIGDVACGNDNATTFHAWSDHGDVSHWQDEVELVIDAIQGGKNLVAWYVSEPDHTLHTHGFYHDGHYRRIMADLDVTFGYFINRLKQLDLFNSTDIIFTADHGHAEVKSLELVLCMDEHIKGTIGVDYQVSDHTILAYTKEHALEIFESLTAVVEERKLPVKVMWTKDVPESWHFSSDTRLGQVMVVPEIGADARKDPAAFHSSTHGHAPDNMEMRAVLAMRGPSFAERRTIDSVPQNIDLFPLMGKILGIPLPPHNGTIDSMEFALRPEIASDSSEVVNLPMAELGLNFALLEPQIGHGPPWTVPPNQATKALIIPELCRQ >PPA16720 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:684218:685182:1 gene:PPA16720 transcript:PPA16720 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPVHVKINVGSHFIRYTATPTFDKFWELEGKLRDHQMKTPVYYLDRDGHFCEIKERKDFEDALAHVGNSITIYPTPVHVAPYCPPAYCPQTSNPNIDKYFSKSHFGIQLHSTPVMSPVPVQCKELSFKLAVGRTFVRFEVVNFKEYVYGQLRAKLDLYKISFPVYFRDRDGDWCGITKEDELIHALSYNGIDACLKLYPTPTTSSDC >PPA16707 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:607486:608026:-1 gene:PPA16707 transcript:PPA16707 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTPRRLAAGAAALLAASGALAAEVASATVAPLAPAERTCPAPAGADSALHRRVKKCPAFKDDPNKNFCCPSKIEPNGFYCCTEAEMHKHDAEESSRAWREFFSS >PPA16690 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:545149:547700:-1 gene:PPA16690 transcript:PPA16690 gene_biotype:protein_coding transcript_biotype:protein_coding MQNAKADELHLSMSEVLTREPVDILLGFSSRFQSLTIKQLPVPGMALVEEYFFGLHDFEWAPHLPTLGKQASKKAKASVDEFAMLRSTIPMVKEISVFRECRQPDLTTKARSCLRTQLKVLPHTTKLLRLRLLLRNPSVIADPTKSQVTGNYL >PPA16793 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:1041946:1046562:1 gene:PPA16793 transcript:PPA16793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-eri-1 METHEVGETPLAKMNPREEHLLSDYYDKLMKISDDVERETAVGILANDDDVRRLHKPPENHLDTVLAGDSDKGIDPKKVERLFKRINEMTAAEMRIELKEIHKSPHGSKKELRFRLRHFYRKEFSLIQEKKDYTRARSNNRKRFKYLVAIDIEATCEAETNDINYPHETIELPAVLVECNNFTIIDRFRTYVRPEINPKISEFCSQLTHISQSDVDAAPLFPEAWARLVEWMSRWGMMGDDKDASFAIVTDGWYNMNIPHEFRHFINVKKIFELRVERLSKGDGKTSIAKMCEKLNLEMEGTAHQGIMDAVNVAKIACALVLSKKLTLCVRSQFN >PPA16655 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:281029:281214:1 gene:PPA16655 transcript:PPA16655 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLSHTLEDLTPLQLQRIIDHVIALASVLDMIFGLNFSKVAQRKSAIVK >PPA16668 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:400843:405969:1 gene:PPA16668 transcript:PPA16668 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPNSPASPLLDEDRSQRSVAFSPEAPIVREVTPRKTQSQPTLSDDLKKWWENPPSEVWVVLGIAVILLLIAIIVIIVKSANYNTLLQDSKNGYRLADFFDGNRLTVECRIIVDKVTGIRSVLDFLTHVDGMNNIALNIGNCKLYASKDILAAHSPEFASMLFDKSEDTKLEELELHDVEYRDFVLLLNVIYPTSFEITEVMNATNGFIAGDSLTIECRVNEVKVVGIRKKVEFNYFVPLEGKNNVAPKIGNIKFNVSRDILGAHSHVLEKMFFGKYQEETENEIDLMDVDAEALKNLLDMMYPTTFELTNSTVVPILHLADRFSAEPIIDRIIIYLMSTKKFSTPEKLKLAGEFRLHRLMATHEYNFFTVYVRAALLDRMLEF >PPA16770 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:916325:921327:1 gene:PPA16770 transcript:PPA16770 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTAILCLLAGSLALLAFIPSSYVLAEDEVEDTKPAKGTDTEAIQREEDAIKIDGLSGAEVKKLRESAEKHEFQAEVNRMMKLIINSLYRNKEIFLRELISNASDALDKIRLLSLTNPEVLKATDDMSIKIKCDKEARTLSITDTGVGMTKKDLMNNLGTIARSGTSEFLSKLLDSSTNSETQQDLIGQFGVGFYSTFLVADRVVVTTKNNDDDQYIWESDSASYTIVKDPRGATLKRGTEITLYLKEEALDFLETKTLENLVHKYSQFINFNIFQWASKTEEVEEEVEEEEKSEKEDGAVEEEAEKKTKKVQKTTWDWDRVNNVKPIWMRKSAEVEDAEYEDFYKSVTKDSEKPLAHVHFTAEGEVSFKSILYVPKKSPNDMFQNYGKIMENIKLYVRRVFITDDFNDMMPKYLSFIRGIVDSDDLPLNVSRENLQQHKLLKVIKKKLVRKVLDMLKKLDGEKFDEFWKEFSTNIKLGVMEDPSNRMRLAKLLRFFSSADAEKQTTLSAYIERMKDKQEAIFYVAGTSRKEVEQSPFVERLLAKGYEVLFLTEPVDEYCIQAMPEFDGKKFQNVAKEGLNIDDGEKAKEAQKELEEAFKPLTTWLKENGLKDKIEKAVMSQRLVKTPSALVASSYGWSANMERIMKSQAYAKAKDPTQDFYMSQKKTLELNPRHPVIKELLKRVESDAEDAKAKFTAQLLFDTATLRSGFSIQDQVEFAERIESILKQSIDVDASAQVEEEQHIEEDAEEEKKEESEETTVEEEHTELDVSGKPRKASPPTWEPTSFPIPTPNIR >PPA16634 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:165969:166959:-1 gene:PPA16634 transcript:PPA16634 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGCREQTCASCGYPAAKKCVYQWSIKAIRRSTTGTGRMRHLKKIQHRFKRTTGTGRMRHLKKIQHRFKNGFREGTVAVSQKKRTQSAASN >PPA16748 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:829556:830612:-1 gene:PPA16748 transcript:PPA16748 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSASKVKAYKVVGYGAVTFSSHAKGVWSDVFAMKALPSHNRTARQAYWEDAQCNGCCLPGPAGPAGTPGRAGTPGHPGAPGQPGNPGRPPSTPCEPMTPPPCQPCPAGRPGAPGAPGPAGNDGRPGSPGPKGSDGHPGENGARGNNGSAGRPGNDGRPGAPGKSSESGRPIPGPDGQPGAPGPQGPQGPAGAPGNDGAPGNDGAPGQPGQSGAPGNDGQPGEAGQDGNSGGSGEKGICPKYCALDGGIFFEDGTRR >PPA16714 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:661101:661776:1 gene:PPA16714 transcript:PPA16714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3F3L5] MSLRPIKTPPLGLFLKTRVFAMAQSVPPGDIATQPGTKIVFNAPYDDKHTYHIKVTNSSARRIGWAFKTTNMKRLGVDPGSGVLDPKEAALIAVSCDAFAYGQEDTNNDRITIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA16663 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:345291:346705:1 gene:PPA16663 transcript:PPA16663 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLYIEARGHIMVCDRKSEMGGLRVFLLESTDDEDDKKPATAAAAAAPGFAAAARLQQQGGRRF >PPA16649 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:251682:257469:1 gene:PPA16649 transcript:PPA16649 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAGVKGGGIFAMSLDQLTVGFRRRSTQEKIMKQNGAVVFPFTIAGFGMVLAGVVLDYVQHWELFEEVPEVFILVPALLGLKGNLEMTLASRLSTLANLGELETPQQRRAVISANMALIQVQATVVAVLASAFAMLLAWIPAGEFDLSHGALLCASALATACTASFLLSLLMSAVVIGSRAAGINPDNVATPIAASLGDLTTLGVLSLFGSLFLHAHQNEGWLNIGIIGFFVVLAPVWLRIAMRDPGAEQVLRHGWSPVIFSMLISSGGGFILKRAVEQYAKIASFQPVINGIVRLPIHEHGQQTDR >PPA16695 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:562166:563866:1 gene:PPA16695 transcript:PPA16695 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKHSTKKVVPVENEAGNEELEDAVEMKELPSIPIEFFDLPDEILTNIFETANLGPLGLPLRLDKKTELRIQSLLKTIRLEARRIFDLIARWISTTIQIHPVAYYLTLNSWTIRFNKFALEDNIAAAMLFLCQFNADHLIFNNEIPVPAYFSELDFTTLLVMTANIREVTINFACSSLEAMDLCIFWKSLIGGECKLESFSILVKDGVERKFLKAAFKMYTRSSGPDEKKRVFETMKSIAMK >PPA16671 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:424589:431538:1 gene:PPA16671 transcript:PPA16671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nas-8 MIGTLNSREERPTTVIIARCLTLTYLVLVILAGSVEARLSEPHETSRENETFLSNDDFERPLDDDQVFLNATDFAAGERISKEIPAQSHFLSQQLYHRGDIRGKASWRLKTTRVGARRNGVISGIKKWPGGRIPYALSAKYNDRERAVLARSFSEYQKRTCVKFVPRTALDNDYLYIGKIDGCYSDVGRAGGRQELSLDDGCLQYDTAIHELMHSVGFYHEHERWDRDEHITILWHNIDRDAYDQFGKVDLSESSYYGQVYDYHSVMHYDSLAFSKNGFETMIAKRPEMTKVDILKINLMYSCTEHLAASGVVTPTNMASLIPQPAIIPQPGIPQPLTALGGGETGKNVGDSHTENPELASHRSQMRKEREKRETGIVGVPRGDITVDGSGPTKPSEGTEECADRTNLCWRWLDRCRSFFFEKIMREFCAASCGFCTPGLPSIASAPKVARSETPSSVPVYRQITATGARRATMVERWLADLVVVSTGGTRRLTVQPLLDVAEDGVAGGYELGRVLVARRVHIRAVGGA >PPA16797 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:1056147:1058481:1 gene:PPA16797 transcript:PPA16797 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNPNLIFRLTLMMPEVEEQIVLAAEDGHNRLAPDEEEIKKLLSRKLHKDDSQHNTIAFSNPSAKSVMGKKTETVREQQERQKAGLKDKIAEMKKNAAAANEQSKGPILSASDHEDDTLMSDHEADNSSAVISAPLEFDLDMPDFGDLDVNKSDGVCVTGIMDKSPSPAKMIKHPKVVELSQDRATMGVLCDEISSKRDQRRQESLTALGMDMSDSDDSEDDNDKRTELTDQSEAVSTHAIPSSKETETISSISENDKRTELKGSIYTCHSVIKGNGNDIKYIRE >PPA16661 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:327857:330617:1 gene:PPA16661 transcript:PPA16661 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGNPAAWPHIKEIFQAVSAKSDGQPCCGRGSLRPLVKVVHNGIEYGDITPELPQSDVDPSTLIKDKKEFIKAIQQDVYSSKIASYAQGFLLLAEAPKQFGWYLNYGAIALLWRGGSRFLGAIKKAFDSNPNLANLLLDNFFKDDIAKAHNFRGYVSDYDDN >PPA16681 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:485973:488610:-1 gene:PPA16681 transcript:PPA16681 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSYRTPPYSDHADLGLSFAGCGFLALYHFGVIKCLMRNGKTLMSRVRRVSGASAGSLVAAILVLAPEELDASMEALYDMGDRIHSLPFGALTPGFYLGKELAEVTVLCDYGRLIGVFFDMELAEVVERFIPGDISHGNHSLFISLTGHKTRVNRLVSEYPSRAYLIRCLEGSCFIPVYSAGIKAPVPEIDGQVSPLGCDCDITPPAGKVVFDWKMTIANQHMKVKTFTVNLRNIKRGAQTLFPPSRATLKHFYNQGYRDAFKFLLANDMLERDSGSEV >PPA16769 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:912643:915680:-1 gene:PPA16769 transcript:PPA16769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-imp-2 MAQAVPTTPAPTTTTETPIVYTFEEQVTASFVLYGLSFLCIVIGAVRSARLVRKTIEKGRNKMEGSITMKEARWFPISASVVLFGLYCFFNPDKMTWSNVEAHFPTQAMPYLTPLREKYVSYTTGEKKPNFVVEMATKYSTQALEALPPNVRVVGEEFIEHGVMPLWEYVPSITKVHCAKALLLLLCFQGCVALAALLKPFFSFFIRFLPFGFGERTPYIIAIKKGKKEMEEGDIEEVKRKEVEYLFKAEWDWHDAIAIAFCSIVGISHLYKRHWITNNLLGVAFSIFGIEHLHLSSFKAGTFLLIALFFYDIFWVFATDVMTTVAKGIDAPILLQFPQDIYRNGWVDANKYSMLGLGDIVIPGLFIALLRRFDQRVGDMQFIEKALGAAPKDKQKGPATQPKKTFRIYFYITVFAYMAGLFITMAVMHHFKRAQPALLYLVPVCLFVPLMFSVCRGEFSALWNYSEDHLVEKDDESSKKKKV >PPA16637 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:183389:195533:1 gene:PPA16637 transcript:PPA16637 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIEYRSCFFSTKGSSECGQCPLSQYSGPKSERCFDRPACKQTDFYPVTEPCVNGKTKRTYKKVLPAVCNENAPGAAKQPADEPEHACPACNPGMAVNAAGVCEFCQKGQWSDGNGCKKCADNSQPIYGYQFMQWNSLPPHVDTRCEFVADDGLAACDIGQAWIPNGDAIVSAPSLQRGIAFEMTLGIEQGFHNPQLPTDMVASQQNPIGTITVVFDTRCADESCSFYFIEDIGTDPATSFYRFLGSFNGTQPKRVLTHAITRKNPTRFMFVFVRSGASSLDDNIYDQARIFSINVTNVGKDRTGKQGGGAAQCTPCALGAGGCSACDNGHYLDETSRKCTACPPRSYSNISSSRVGESSCVMCGPGLDSDDTQCLTRGNISIALSNNGTREYDLSSLANKTFLMQGMRVFAREGTSYFHHLNISLVGSQKVTCNEVYYEKLDKMSLPESVEGAACRITAVPAQLSNGTQKLAFVTPVMIASKISAITDAREFDGWKITESSLGYGPADKGDRPLDLHVFFTGAPSSSRCLKGTALAVTLRCDPNEKKVEMKFPPSCPDGTCDGCLFQAILESSIACPLCGKSDYKIIKGECIDSKQTIHSIPQKHCVVAGAEASEHIVHCSVISENLRMLLIMGALTLITLLIVLCVVFRRNQRLEYKYMKLAESKGGYELAGAESCALEEDEDDDEEFQDRVIFSKGRSSSSGQSAQKGGFFSRLAGGGSAQPGASSSSDRAHFANAIEDDED >PPA16624 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:97754:99234:1 gene:PPA16624 transcript:PPA16624 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAGSTDFCSENKGRPEGYGETGNLTCGNDREDNCAQKLVYEQTLDSEKVICGVGRGRMYPGYPGYGCKGDLCYVSRWLEGTESKDDYIQYAKGCITNNETLYPGLYQVGYMDACDDSAFPLDSPVGRPPSNTVHRSINNNNNHTGSLDNVIEFELFAAMDNNDHNTGPDDDIDDTYD >PPA16734 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:761870:764110:-1 gene:PPA16734 transcript:PPA16734 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-coq-3 MVARSVARLHSAATTAAASASASNELARFARLAHEWSDEKGAFRALHSMNELRVPWIVEETTKGVPLPAEAARAPQVLDVGCGGGILSMALARRGLRVRGVDACVESIDVAKKMAEQAVIPPAVRARLQFDVVEETALGEGKECGAYDAVVASEVVEHVPSVPAFVRSLVAAAKPGAPIFLTTINRTTLSRVAAIWLAEEVLRVVPSGMHDWRQFVKPEELTAELEANGCKVHQVLGMDYNPLLDRWKWTECTQINYAVLARRIL >PPA16728 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:738687:741984:1 gene:PPA16728 transcript:PPA16728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-col-113 MVFSSASSAGFLAVGCSLTAVLLTMAYVPALVMKINNISYQRDRSTPSKPRPPWCSAIECTLQIDADEFRALADSTWSELVRARQSSRGKRQAVYQVYGGSAVPRPTTYPLHNAYAKEDQFVEAAPTCACNARNSCPAGPPGAPGKPGMDGTPGLPGSQGAPGLAGIAPPVTIDPNQGCRICPLGPRGLPGAPGEPGAAGVEGPIGTIGRAGEQGRKGYPGQQGIPGEPGKAGKPGEQGIPGRDGVRGQKGPQGKRGDTGPQGPKGPPGYPGQDGSRGSDGDAGPVGAPGLQGLQGDVGRAGLPGIPGNPGADGQYCKCPERTAGINRPAPSYAPAPSYETPSEAVVVVSQPPAYEPRAYDNAAVHQTPPASYGEPRSPYRKWKWASGCTRWKGSLCGPCRLPGTTEILGDSIFSVGPLDRHTVVVNILKSTN >PPA16644 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:215741:225443:-1 gene:PPA16644 transcript:PPA16644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vha-5 MQIGGRVDDGDYEAMPTAELNQLETTLNDLERDVKNMNESDALLKKNFADLKEWDAVLNKTDEFFAGGVDSEAQEELEEQEELGGGRQAEKAPIQYVVGVIRRERIPAFERVLWRACHHTAYVRASDIEEQLEDPETGDKVNKSVFIVFYKGDRLRSIINKVADGFKAKLFANCPRTSKERQSSVHEVRARIADLQTVIGQTREHRFRVLQAAANNHMAWLKQVNLQKSVYHTLNLFNFDGIGRFFVGECWIPLRDIDNVREALERATEASGSAVRPVLNVLETSDEPPTYNKTNKFTAVFQSIVDSYGVASYLEVNPAPYTIITFPFLFSCMFGDLGHGILMLLAGIYLVVREKNLIARNIKDEIFGMFFGGRYIILLMGLFSIHAGFIYNDMFAKAFNIFGSRWHNPYPNANVSHWIHEEEVAKRDILFELDPGVAYDTAAGPYPFGVDPVWNVAENRLNFLNSMKMKLSVILGIGQMTFGVILSLQNHRFFKSKIDIFTVFIPQMLFLGCIFMYLCLQIILKWIFFWVKEEVIFGQLYPGSHCAPSLLIGLINMFMLKPREAGFVMNETRVGPNNLLIEKEGCYLTQWYPGQSIIEMILVLIAVICVPIMLFGKPIAFILEQKKHKKAHAENITVHANVLTEETEIIANGNGKAEGGHSSGGGGGAHHGENFGDVMVHQAIHTIEYVLGCVSHTASYLRLWALSLAHAQLSEVLWHMVLSNSFNASHNLAIGGIAIYIVFFIFAVLTFSILVLMEGLSAFLHALRLHWVEFQSKFYLGLGYPFVPFSFKEQLSKVELQAAA >PPA16647 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:234901:241716:1 gene:PPA16647 transcript:PPA16647 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLFRYYFFHALSWPQLSYVAEDDKGNVVGYVLAKMEEESEDDPHGHITSLAVKRSYRRLGLAQKLMDQTARAMIECFNAKFVSLHVRVSNR >PPA16774 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:933335:936497:1 gene:PPA16774 transcript:PPA16774 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLVGLSLLRLLSPQELIEAFLKHRERAVDFARLLSAFYLDFPLLLPSEECDRLPTLFAWSDLSALDASTYSSIDRSEFAARLPTCYSPKLPAVVLARAGFVLEAILYTDHFADRRSTVMLRMYGDINYGLTLTKQYCSELISDTLSRSINAIVGAPSHYEMMIERIEENVVQSLLELDIVTNEAYIVRLETQIMNKMEFLFAQLNVLVREEHLLPRAPLYCKRMFTANDTLSEEEVIHLKLHAYLRLFVHSLTKTNRLEEELNSSLSVLAQYDYVFQTTTPGLQSTKHSSEVLQLYQALLTDDENESELKPFERFFCLARETDAAHVRLFVEWLHSRVNKNSTLPPYGRINREIWHETVIGCLAIQHEDAPISTPRGDTSDCAWLLNKIGEDVKVDSNRFRQMRFVSDCWTAYQSSAEGGLITLRLYLTPNDLCSPRGEALGTKLQYLAAKKWSGRSRTRFNKCDSN >PPA16622 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:81765:83619:1 gene:PPA16622 transcript:PPA16622 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cogc-8 MWDVLGLPAKMDLYIRTGYYDSAYALTNYGMTLAQNDVVKNPLVKLISDRMVEARSVLLEELFNKFAGPLDLASSIQVVNNVRKIPFLTGTQLRVSVLHHRDLYLDKQIMDVSSHPDFALKAIDVYKEVGDVFNYYFIY >PPA16676 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:446760:449065:1 gene:PPA16676 transcript:PPA16676 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLILFLLSTPIIGSPLGDYEKELNKEGLNVENIHKLLKEESKEVEEEFGNEDSKAEIEKLRSLYASTCPANGTLRPVKTELEINGELAKDLFEGDIVLTPEQWKVALDKDPENPMQRRQALSQSIQLWQPMGAPVIPFTFEPGFPADKKQIIIDSQRFWEQRTCVRFRPATSADRAVVSYNHNSAGCSSSVGMSGTKQTINLAPGCFSVTVVAHELSHAFGTLHVQSRSDRDNYIIVDTANIQKGTEHNFMRDPPYYGTLSTYGIPYEIGSIFSIDTNRPTIYTKPAYSQYQYSMEAPRATFYDTLLINKMYKCTDKCQNRLACQNNGVQDGADCNKCFCPRGWSGQLCDRRPADAQIVNLSGSQNLRVEIGDGVDRGFREKLYIIQAPAGKRIEATVTRVGDYQWSMCRSIGMEIVASKDTRVAGFRFCANSRIKPIVTDSNTMLVWVYVDNAYKFNADLSLRIG >PPA16673 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:439763:441965:-1 gene:PPA16673 transcript:PPA16673 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFFQTLNANSGSPDTQKWIIVGGSYAGALSAWARQLHPELIVGSIASSAPILAQFDFYGYLETVDKNVKAIPACYNQLKTGIDKIVGMLESKNGRDSLDKKFPHSPKFSAYADLQDNDVSSFFSYVTDVFEGASQYGDPKSVQGICDEFTNHAVGYDPVDALADLVKKYMVDPKTNTFDFNYTQGIVDLSDTSYSDDTDYTGRLWTWQTCTEFGYFQSTDRGDNVFQSIVPVNLYSDMCADLFDMDVATVKKAIDDVNAFYGQRDYYTRHDTKKRRDYQPLPRDHVSHA >PPA16789 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:1011607:1012861:-1 gene:PPA16789 transcript:PPA16789 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDPSALGSSRASGGGAVGSSIAPIHRDATKPTPCIGRQFGKHTQTTDNSTSIIARMTAPSTADPAMTFGPERIAKSAPVAPPETIELIGSS >PPA16766 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:901931:903051:1 gene:PPA16766 transcript:PPA16766 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEMPTTCTGRVQNMVQLVTNQNFINQISKSNVVPVIVAGDFNVPSDEDWTEANRAEHGGWAFEWPATKILRDSSGMKDSFRELHPDPLADPGITWSTVNKFEKEWDYTIPEPEDRIDFIFYRGPLRPTSSFPYAGTGPLTPIPHQWGNEWPSDHYAFITEFQDLPVSDDPVDPVKSVDRKYINVN >PPA16802 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:1083404:1084012:-1 gene:PPA16802 transcript:PPA16802 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTDLHRNLSKTIRHYEHALPLVALEWELENGPSTDDERVVALKGSISRQVTLLARAQAALRLRLRLLIAF >PPA16704 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:591837:593468:1 gene:PPA16704 transcript:PPA16704 gene_biotype:protein_coding transcript_biotype:protein_coding MLMWGRPDESMNVVIISVAGAFRKGKSFLLNFFLEYLYALQKSQQNDTPLDWLGEEVQVHGFHWRSGAKRDTCVLFSLRDYYFIVLSL >PPA16651 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:264489:268105:-1 gene:PPA16651 transcript:PPA16651 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRVLRHGWLPVICSMLISSGGGFILKRAIELYPTIASFQPVINGVGGNLVAVQASRLSTAYHRPGPRAPRLDYAGSQSTGHVPVISNILEAAEPVPVISNILEAAEPVPVISNILEAAEPVPIISNILEATGPVPVISNILEAAKPVPVISNILEAAKPVPVISNILEAAEPVPMGRLGELPNGWKVRHFVSFTRTFFSKDWDSRSARVLLSLVVPGHMFFNWLIRYSGKIETARLLFHFVSLH >PPA16712 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:644205:652097:1 gene:PPA16712 transcript:PPA16712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lag-1 MKKAYLTSVKPERIRWSEKFFCPPPCVYLGGDGWKHKRMQVDSYRTHRLPRRLYKDARLAMLKSGSAMESTPDTEKIHEAQAGELCAFIGIGAPSDQERQSLDFSNHKVIALPSQDYCAAKSLYISDSDKRKYFELSVQFFYACAIDVGLFSSARIKVISKPSKKKQSMKNTDCKFLCIASGTKVALFNRLRSQTVSTRYLHVDNGHFHASSTKWGAFTIHLCQPDDPHMMTTPADTTSFAVRDGFVHYGSAIKLVDSVSGIALPKMRIRKVDKTHVLLDQTSSEEPVSQLHKCAFQMIDNEFIYLCLSHDKIIQHQATPVHGDPLRHQISDGAAWTIISTDKAEYRFYEANGPVRLPISPAPTLNSVEVVGPAERQRLELTGHGFTQNLKVWLYDVELDTTIRSEEMAVAALPSADAMLKDPDHGPNIVDMPLTISFVRDDAVIYRTLSTFTYKMVSVIPTSYYK >PPA16737 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:768863:769757:1 gene:PPA16737 transcript:PPA16737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-arl-8 MLSMINRVLDWIRSLFWKEEMELTLVGLQNSGKTTFVNVIASGQFTEDMIPTVGFNMRKITKGNVTIKASDFSLRLWDIGGQPRFRSMWERYCRGVNAIVFMVDAADEDKLEASRNELMQLLDKPNLEAIPVLVLGNKRDLPGSLDERQLIERM >PPA16779 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:954820:958767:1 gene:PPA16779 transcript:PPA16779 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTIASILLVSYIVFGTPNCVTREFPAGTVCVCNATHCDDIEQLDNFIAPGMSILYQTDIKGARMDKTVIKQTPNPVHLTIEIDPSTIFQNIIGFGGAITDAVGVNLAALSKETQNNLIKQYYGPSGSEYTLTRVPMAASDFSLRIYTYDDNQDGDDFNMTYFALANDDYQYKANHLAPALRSSEASKNLKIMGLEDQRVYLPEWMDEFANDKDALTLLDGISVHWYADHSKPASVLSQVHDKYPDKFILYTEACNGSPTSGTAGPSLGNYTSGESYAHSIIEDLNNWVGGWVDWNIALNTQGGYSWFMNFVDSPIIVETNLNEFFKQPIKFLKPGAQVVKLKLPQLPENVEAIGAVMKSSANALHADITFNFDPLTEHQEILGFGGSFTDASGINLLNLSENTRDKLMQSYFGPSGAEYTLGRVPIASTDFSPRPYSYAEVKDDFDMEHFSLVEEDFKYKGGGKLRGFEGGPYYVAWAKYFVNIFVGRRRLLVGRSAE >PPA16742 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:804024:807121:1 gene:PPA16742 transcript:PPA16742 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPSLYQPASLKAADEVKQKAPQSPRSRIGSTKEQRTHTISTDQTISKGSTGTGVASTQQSNTVTKDGVMNELTLIKPNGTVNDIEDEPYYYGYMSRDESEKVLERPGDFLVRKTELRGAEAFVLSYCVEQGKKSHYRIYTTKAGRNYWMYRYCSATVSQLIDHHMKSKAPINAKGYTLRAGVVRPAWDLYHEQVQLGEKLGNGEFGEVLKGVFTQSVFKKPEEVAVKTLKNQQVCTDDRLAFLREANVMRTLKHPNIIRLYGVCAQKNPIMIVMEIATGGSLESRIKQPTLTLALMRKYSLDVLSGMKYLEKMQIMHRDLAARNVLINNKDECKISDFGLSLMGKLHKEKKMARMPVRWMAPETLKDGTYTSKSDVWSYGCVMHEVFGRGQLPYHQITEAKAVRKAVKNGTAKLTPPPETPAIDRVIMQSCWIYKVDDRPSFKELLIQYKREGGPSLINKFRRVFGSLSAGRGSEKGKNTRSEAEPSASQNSTEKEGGSADRVEKS >PPA16639 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:204067:204749:1 gene:PPA16639 transcript:PPA16639 gene_biotype:protein_coding transcript_biotype:protein_coding MKILEHDIDYFNVGNCYIEALYDTYKGQNLVEVMTRWHIVTDSKAKCAEMAEMARKHGASNVVVNGSEIEID >PPA16653 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:274205:275151:1 gene:PPA16653 transcript:PPA16653 gene_biotype:protein_coding transcript_biotype:protein_coding MECFEWMWEDYFTRLPDTCLLEIFKHLTRKDLDYLKGVNKKIHSVSNDKSLDKIKWEQGGLYICQSERGYSFEVRIRKDAKKKRFTSYQKESFDI >PPA16726 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:718760:719836:1 gene:PPA16726 transcript:PPA16726 gene_biotype:protein_coding transcript_biotype:protein_coding MPEILKLNGGQKHRYKLYYFDIKGRGEPIRLIFEFFRVKFEDVRVKFEDWPTLKKKAPMQFLPYLEVDGGKLVISQAPAIMRYLAKSFRPGFAGNSKSDAALVDMYGEGAFDMFDVFRTIGMAPDDRREEALAEATPRLECFCQCYTNHLKKNGNRYIDGDLVTWADLVIVFVLSATDDLANSILLKYPDLCRYYLRMRDIDELRDYISANWKVTTIKMKDP >PPA16764 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:892807:898532:1 gene:PPA16764 transcript:PPA16764 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAEECLRTIDIAVFKVIAELMKVKEGFADMNEFMALEKWAWLCWMYSKAKNLNRAGNPIVWRYANSMDFMGDEPVNTQPFVDLLTTDSFTTLCKVYNANDNDMEVIDACNLISENYTRRKSRRSLDSVVSAIRNERNDGAVAQEGAVAQWLCARNAIRKTPPALRSTQNQMEPPTKVQIIEASTSDAPHTRMTTRAQARTSLAAPGMSEIKTEIKEEVKDKDEKFERAEMDEVEVDFTGSSANGPTWTKEMIDQIVVEVKKRQSYWDTAHPDYRSITKRRDAMHSIAHVINTTHGTSMSEKDISNKWNHMRGAFGKMVDHFNNTTQHPAGHFYEQMSFLLFNNHTGAGSGFNTPSPASSEERNTTPTVTITEGPSQPLNLQEIFKGISHETPTKQTLKQMMDAGLRLHSQSATVGGGGIAAMNGHHSLVVTSNGNGTRQNGNSNSSYTMKESRRMDNEISLLARTPTDSWGVFGRLVEISGREMNRVSPSLAIRMQRALHQPNMADEWAVDEVNEAVDVAPAFELKSYVAPLHWSSRGRLP >PPA16627 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:112113:127178:-1 gene:PPA16627 transcript:PPA16627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mrp-8 MAVETALLGASEKEKESSEESPEERASFLSNLFFCWLISPIYKGSKNPLTEEDVFPIRSKWRSDHLKQRWAEEWERSLDDFAKRKASRKLRPGEKPTTKKKEKDELPSIIRPLLRMFRLLIDFVSDRHAPIAYGVAIAIGMFAFSEVRSLLFNHFFTVMITTSVQVQTVLTNAVYAKALRLSAAARNNRTVGAIVNLMAIDIEKICQVMPITQHYWSSPLQLTIAMCLLWWTIGPSAIAGIAVMLLMVPVNYFSSVRIKNWQVEQMKVKDERTKICNEVLNGIKLIKLYAWEEAFEEKINALREQEVQFLRRIGLLGRIVDAGNAAAPFVVAVASFSLFVLSDDAHVLTPQIAFVCLTIFNQIRQPMRIVAMLINMTVQAIVSNKRLKEFMSAEERVGIDYETPVANNALEMRNASWAWKSGQTDLKTLSFSASTGSLTAIVGAVGAGKSSLLSAIIGEMELQQGSIALNGRIAYVPQQAWLLNATVRENVLFGREYQEHLYNQITTACELLADFAILPDGDATPVGENGVSLSGGQKARICLARALYQECDIYLLDDPLSAVDAHVGEAIYEQVLGREGLLRHRTRLLVTHGLQYTKQAENVLVLREGAIIQQGSYDELEQAPGVFKDLLTEKARDEAQPATSSSSDESSDGKGDKEVLFREINKFGIGGRQKNLQIANNVDVIAAEREQPEERQGEESNGHRGTMIKFQQAKLPSLPDKNLEAVEVGKVKLDVWCSLIRAATYRYCIPVLEGADDDGDTHFSYRRSVGSRRRDV >PPA16700 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:570837:575306:-1 gene:PPA16700 transcript:PPA16700 gene_biotype:protein_coding transcript_biotype:protein_coding MECYHGNILEDEVKTVLNKHGDYILRMAVDKDGKGIMVTIIWNEETVNIPGGKEYLYTLDSKTRCPTIKDLIRKHTMMSIPVMRNKMEIWLLNPIAKQSWELRPENVELIKKLGEGAFGEVYSGKLRLPLKKFCNVAVKVIKRSANTEAATMELQKEAAIMRKLKHVNIVRFFGMVVKKDTIMVVMELINGGGLDKYLKKFPETSPEERTGFAVHIAAGLAYLHGQGVMHRDVACRNCLLDMYRKVAKVSDFGLARTGAQHKLRPEERIPIRWTAPEVVKTYIYTQKADIYAYAILVYEIFSNAALPFDGYTNAQIKDQIHTNTFRPKFPENTPQKVIESIIAGLPYPQVISMCWHGDPAQRPPLIDVCRVLSGLAPRCEDEPERANKKEEGNKGTSREKLSKAKVKRSNRSKERNPRGGGGKSREMLKSVKSGSPLRIV >PPA16638 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:197849:199592:-1 gene:PPA16638 transcript:PPA16638 gene_biotype:protein_coding transcript_biotype:protein_coding MRLALTLVIGAILAASLANSRTPNNDEFEAEKGKKHARAEAADASTTPGPCDYLTPYDNKTLVIAMETSFETSTPMFYLFYVLVDSLDVLTQKYPCWFDTYIIVPFDSTSNADKWYKPFITDNYRDLEEYLEFIPVGYCPGDDPDHIPPIICPETKCPRPIGAVLSGILDRPEA >PPA16672 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:431222:438312:-1 gene:PPA16672 transcript:PPA16672 gene_biotype:protein_coding transcript_biotype:protein_coding MHRANTRGPPIEAERPLRPPITSPPALPPGLSRSARPLDPPSCQPLSPSAPLIAAPTLSNSLDRSGARIGTKVFLAHGSVDPWSYLTKQQGTQQHWSVVIEEVAGGTHCSDLNPACDSKGANCNAELKRVQQLTQENIDQWLNGPFTAPDSVKLTDSVGKRPNWYDGYVPSPIDIRANGAGKVAGQANRAKRSTTKRNAKKFRRNVFTGKTTHHLLPPPVEAMRPEWPVNIEEGRVDQPWDHFNPNDERQFQQRFYVNEMYVKTDANGAKSKTAPNFLMIGGEGPESDAWVTNEGLAWMKYAKEVGANVYILEHRYYGASKLKTTDLQFLTSSQMLYDVARFISIVKRDRSQTGPWITFGGSYPGALAAWSREWFPELILGAVGSSGPVLAKNDFWEYLQVVENVLRAKSDKCASRTQEAFDQLRQLTHDAAGRNKISNKFNLNPAWPNNVNNVFGNLYGLFQDIVQYSDDNNSKGRDYTVTELCSLMEDEGRFPDVLDAVRYVQMWVYDDYGMPETGSDPQRDFDDLKDMWKYIDGHADNDPNRPWSDDDLASVLWTWQTCNEFGYYQTTDYGYGLFGNPVPLNFFITMCEQVFGVSMDHVEKGVARSNYQYGGRDRYSATNVVLPNGNHDPWHALGITKQGTLDPSVVPILIDGTAHCADMYAARDEDSAQLVAARNTILSNIKKWLNGGTNPDTPTQGPQTVPPVDTTTRSASHLSTIVALLAPVAVILAL >PPA16625 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:100163:101971:1 gene:PPA16625 transcript:PPA16625 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLLFIIASLITTSLSLKCFQQYGDGSNGTCSGDLCVIRYRGSTVVAQGCTFSGYYDSMRGFCARQGDVDECYCSSNDMCNVISVSNSSKIATLQRIQCFNGTSSQCVGSTCSMKFGTSEKFYCENTTESVVWRANSDSYISGDVALYDPSSGGTLPVVVNGTAKSATGSCYTENCWSAAYTAKVKYMMTKNKACLGDYCYITRVKTNNVTER >PPA16796 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:1053502:1055417:1 gene:PPA16796 transcript:PPA16796 gene_biotype:protein_coding transcript_biotype:protein_coding MDESFEEEENVFEQTTSAALHHVEDVNETAAADNNVGVPDEVDEHDDEGPGSSHYSEVSDVVSQQSPSREIPDDEIHCANCSNYRDNSVRAFDAATNVSKLQAQYNKLNNKYEELCKNNASASILIEETCTKLRETEIHRDSLQGELNTASSEIQRLQDLNKQYLEAARLTDKHRQAADSWRNKYIQSQAILLDKSQKYDALEEKYRNSVDIVKSTLGISNENAKKIEAFNMPVVQKDFHSIQ >PPA16800 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:1075221:1076597:1 gene:PPA16800 transcript:PPA16800 gene_biotype:protein_coding transcript_biotype:protein_coding MASPADEIHKDSPGMPQLKHLMMMDDEPTSAASGVEDLNPDDPESFFNEDVPLESSALGGAVDALLLSWSQIMSNTGTKYPSVSRRQLISDQWMGLLSRLE >PPA16807 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:1180222:1181815:1 gene:PPA16807 transcript:PPA16807 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIFMPPGRIVLVRGKYAGRKALVVESYDGGASDRSYAHALIDTDVDRVGALNILYVTTGDYRWNLLKMNSAEDIDNILTRRVRKERKLVDMVGLSREDFPVASVEYNVDESRMHLIGSDY >PPA16632 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:149883:152557:1 gene:PPA16632 transcript:PPA16632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ttr-17 MLFRSFAVLCMLALALEAKMQNITVKGTTICHKRRVAGVLVQLWERDTFDPNDLLKEVRSDSNGDFTVSGGEDEIGDIEPFIRITHECDAKANCERIAEYDVPKAKIGSTYDMTYIPMNIAVAGEKSKCKKN >PPA16765 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:901089:901920:-1 gene:PPA16765 transcript:PPA16765 gene_biotype:protein_coding transcript_biotype:protein_coding MFCHLPPLRRRPEALDPKVGPLGLSPKKVGDDIAKASGDWKGLKVTCKLTIQNRQAKIDVVPSAASLIIKEPPRDRKEVKNGIAIGCTVDDMHPHDLVDKIKDGELEIPAE >PPA16664 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:366180:369530:1 gene:PPA16664 transcript:PPA16664 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKNASTVHKVLRLGTEMRGSFAVGRRRPLLIVGTIVGVALLFSFALSRQVTQGGAGKIRYDPDVSAGGWVRDGERRGKGFSEPASHGEEVLPPVKGEIKEEKGEKKVKDEKEGRRAASQKCAETFDTSCRSPQIHVRIGAETPSLPPYACFNDIAT >PPA16775 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:936793:938939:-1 gene:PPA16775 transcript:PPA16775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pmt-2 MSATERQILRLANAAAPAGFKQVAILPRTSSLKFSGLLSSSTHSEVTSLDSVSNADLIVVDKVLVSDGLVTKDGLQGGPLDSFLLSALTALNTGGVLVVREDLSQHANPRVTLPSLTDYVDVFRRDISGKYVGFDFYCAEQLDSSIHLTGNYLDFVWILRKKEFTPPAADAATVTFRDFLDKTQYTNTGIGAYEWMFGKDFISPGGYDENIRVLEQFGGFEYGAKMLDIGSGIGGGVRQAAAVYGLQVTGVDLSANMLSEAIVRIHDEKDSRVNYSICDAVTYDFGENVYDYIFSRDCIQHIPDQDALFKNIYKSLKPGGVVLITMYGKGKGAWTEAFKKYNDQRFYHLQTLDEVKERALKHGFEAVHVENMTERFREILTNERAHLEKEKADFFKNYTQAEFDSLYNGWSAKLKYIEADNHNWNLFRARKPAH >PPA16754 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:851223:852319:-1 gene:PPA16754 transcript:PPA16754 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPPPICISRVSRYSRMWRHFDAGLYSFLKNQVYVPLLTHPKLSSGFGRPLALISAFLVVVAWHGTQRHFVCWVTLSALELVIERIGVLVWDTASFQVIPGIFGVFFFLGNEGVGETIFIKVLLNGIVGLLKGDVAVVDGVPSAGMVFLNLIVLGYFFNHCCLEFEYRNRKAPQPHRKIE >PPA16678 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:462719:467831:-1 gene:PPA16678 transcript:PPA16678 gene_biotype:protein_coding transcript_biotype:protein_coding MFDTEIEPTGAFSMFMDDILTSIEDLPVDILISIFKYHKHKTVLLMRLNKKMTQRVQSIFDCEQLWLKINDVDYQLSGTYWAYQYKKRDAYCIFNLQRKLRSWPERTRIGDTLDQLFQLSTDRLVVDSKIHVALRQLNFANILQMAGNIREVSIEAICSLLTGMDLCIIWKVTFQISLEEDFRNHSFKFTCAADDPPTMDLESLPDVILLEMYKYFNIGKLRLNRRMKERIEAFKFNKIRLTVHKNEYYLTVSNTWIAEKKFDNENVDRLSEGLRAWTASYYTRQLFVGPAIEALMEFNCDKLLVHGMEFIEEESEFSPGHSWKKEVLFPHPSFTTLLVMSANASDVQMTNLRCCEIEAISLAALHRNLVKGGCKLSSFAAKINPRAAQEFLKLCFGVVLLEDRHPNCHSKEYQFLHEPISMCLESFPDDVLLEMYNYFNIGKLRLNKRMKERIETFSFKKIHLKVQKREYYLNVSKTWLIEKKFENEGMWSANRLADGLRA >PPA16731 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:751310:751954:1 gene:PPA16731 transcript:PPA16731 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALLAITLVAIVSAQFFQPPTVWPASHQLAYLGIPWNQGGKVKITVINSDGSPATNGNVPFNPNPLILHKSTPTAEKYVIINRNLAGSIVEHNGQIVFGQEIKDQVAKAIEEPKVVVEEVKNA >PPA16640 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:205385:206421:1 gene:PPA16640 transcript:PPA16640 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNAVWTQRWEIEKLARNHKLAMSDHDIEVNDINLAILPIDNILAIGEGSTRIGDETRISHAPGILYRNNI >PPA16760 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:866618:869924:-1 gene:PPA16760 transcript:PPA16760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-eps-8 MYADNASVHSEGSEQFEIDVNTLNRCFDDIERFVARIQAAGIAQRTLEEQARKYRTANRKGSKGSASVPIPDPHGIHAMRSRLPNEAEFVEIFRKFKLCFNLLAKLKNHIHEPNAPELLHFLFSPLSVILEACHRGHGRPIAPQVVSPLISLEARDLMDNCLSSKEMEIWMSMGDTWRTPPEDWTAPLPQAYRPLFADGFAPYGSPEPIAPTNVHPQFSTSTLHNHNQPAPLHRGESAPSAHYRGRDDLHGVGHHRGRSIDVGGVQSVPGTPMSSRRAAEGRGWSPSPSQRGVPPPHSSTHQRPPRHRSVDNIRDLDLDRINLERERVEFEKMMLEKEKRIYEEEKNIRTERMRLDAEKKLLHEEAERRSTLPSPRPSNGHGPPPAAAPATAPIPHGDYMNGPASVSSPRERAFIEDLIMRNAKVVQVSYDRTNMNAKELSVRRYEFLEVLNDSKNWWECKNMLNRVGYVPHTILTVMSREFLESYVNGGDPYGQRGSDNSVYRAPSSPSGGLQGTNLLPDDAPSYVKERQGKRGEFRYF >PPA16689 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:539383:543419:-1 gene:PPA16689 transcript:PPA16689 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLLALLASALSLIFANSVQKVLLISFDGFRHDCLNDTFVPNIHRWARNSSWFKNGVESQRITMTAPNHLSIVTGLRESEHGIVGNFFYDEEKEQVFDPYNGTGRAGVVEDSETAKWFRGDPIWCVNQRQGGQSAVFYWPVGDFETARAVGVEARPWREMGNLEKWKRDVDDILDLMDNGTNFVAWYIAEPDSTLHKRGFYYDGSFAKVMSVLDEVFGYLQDQLDVRERRNDTNVILTADHGHIQIEKGQIMCVNHVVPGVQGVDYQRTTPHFQDIPSSWNYVFPNRVGRIYIEPRAGAHVVMKCKYYSVNDSASSAHGHDATLPDMHALLVMDGPAFQKGVEIEEVPQNIDLYPLMGSILGLEMPPTAGKLDPISQALTNDAEL >PPA16805 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:1116833:1119101:1 gene:PPA16805 transcript:PPA16805 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAKKIKESTTMSMVVDKEGQSNQEGMATLEKKSKAKGYDGRKIQLLCDKSSEVDLWECTVTAKALFNSNSKPSLASQSSFFDNLFFGNFKEKNMTEITIEDVDYEIIYRLDGIDLTDDNVCKVLQQIVEGACTYYLLSTSSDLSIREKLQISEENNLPLLKEEIIGRYNESHLRDLAHSPVWEVLSKETTKALMERSCAIIIHLTTRPETIYADVALAIHPSHPRVNELRGRRARNPLDGRELPIVVDEAVLADKGTDEWIIY >PPA16761 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:870421:871230:-1 gene:PPA16761 transcript:PPA16761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-eps-8 MELVEQPTAHIMSDPREQYNNILLFVVVEDSRNRRSANPTEMHIFQCTDVSATEVAEDLRSYIRGQFQRVRPGRRAGSRQPQPHLYQHHGLYYLFCPNV >PPA16749 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:831819:836010:-1 gene:PPA16749 transcript:PPA16749 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-egl-23 MNWGVPSSSSRHRRAAVELRRSRDCVVDVLKRLTSSESCGSLELDEKTAAMLDACYRISVDKGTHTKDVIFMNSREEVESVGEEDEEVVPWSFVDALLFTFTVITTIGYGNVAPRTFEGRLFVIFYGLVGIPFTLLAIADLGKFLSEIIKLRALLASRSRYFRNSTFSFARISAREKSSDAANGSFMTKPLGVDDIVSIEEGSGSDPPDAPAVVIQGEDHVEEEDFIDDGQALSLFILFVVYIALGALILSQYEPDLDFFKAVYFNFVTLTSIGLGDIVPRSETYMFFTIAYIAVGLALTTIAIEIAADTLKRLHYFGRKIENVAAVPIWFGGKKLTMKALVKNLGDQFNLPTTVVKDLNLDTFVENAIKVEAGEMETLRPPPFDPDPEELEVQFVEEDAEPAWIADPTPSPSPEPSPVWVPTPKVPTPVPSPPPPREPTPEPVREPTPKPRTPTPEPTPVPSPEPVREPTPPPPPRTPTPPPPPREPTPELVREPTPPPPPREPTPPPPRESTPEPVVVKEEEPLIPETKPRKLTAKELEEQKRRAYSEEAWRRYQEYQKQWKKFRATQKPGGAATTTGTAGAAGGEAGPSGSASRGSTGTRGSPGAGGREEIKQLKKTVPGWAGVSSRPTNTVIIDGDSQSIPIAYSNHEHVEGTVQNDNVPSHILSYLESWKSASVNCSEQKSPSFLSLALPLRA >PPA16645 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:225929:226867:-1 gene:PPA16645 transcript:PPA16645 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLYRSEEMRFCQLIVEKDAAFSVVAELGKQACVQFKDLNPDVNSFQRIFVRDIRRFDEMERKLRT >PPA16786 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:994449:997468:-1 gene:PPA16786 transcript:PPA16786 gene_biotype:protein_coding transcript_biotype:protein_coding MILTLLNPRLISSMMLVTVEKMSVSGLKVHMLDCYNDLEDDIAEDETEKKSVDDAERAEAECLNTLQVEQILNEAVASVVDSIHVTPTLARALLNGHSWNVEKVRLLMSSPTSSDDAMVACGMQLRMNDAAKKPKLFV >PPA16752 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:839256:839691:-1 gene:PPA16752 transcript:PPA16752 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRSGLQLQLPPLARATSAEPSPVAPLEATPMDTDWRRAEITAIMQALERLAVARAPARRSRLNRAIDRWIEWVERVKETL >PPA16630 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:144691:145416:1 gene:PPA16630 transcript:PPA16630 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVDRDLGALNPRSLSPDSLPNPIDQITWICAVARPALYNRLFNGRSKKVMLALTCVISLERIVVTKHNEPS >PPA16623 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:83908:91224:1 gene:PPA16623 transcript:PPA16623 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSEQSLGDIMPKNNNNNHVMYETVVLYTAVFPDNELSKKDASIDPRWEAWPACAPSVILSDWASRNVRRLLELIERAEMKAAFDLSSVWSALMSFGSSFGRMGLDFRPTMAQHLQRLVVERFRAGVRDATTRLQSVRTLNVHMAAFTGTSDNYGGESQPGQAPAPSPELSAWDDLAVYGNGLLEAINGMKWAASPALKTKLQKDRQFEELMKLSALLSSLRDSLAASMSWLAMTFGGADGTPASPHFARAARLLGIDLIRFLNACVLYYFPAETVTRLYGSGVSKAQYLAYTDLNVRELMAGCDGASVLEEVLNPILNKPKLSAMDIDAVLTKKKVEQEEVTVANGSTTDSAAPPATVPEPAPVEPEPEPSVIVWTGEPTVPTQLQDTVVQPTAMESTVVPAKPSFSLEDVTQEEEEEGNGGWNGDKDTVGEEAPIDNPTLAPDTVVEGTVASKEEEWGWGEQAGPEGTVAAPGPATKKDGKAD >PPA16756 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:855698:857001:-1 gene:PPA16756 transcript:PPA16756 gene_biotype:protein_coding transcript_biotype:protein_coding MANVDKCFDKCDQHLEKFHSETSMPLTECFSNNMNNAVDADECLFKGMNDFCRSDNEKKYIIPANFSEAVGFDLEMSLAEDDIPTVAWIKRALEQFQVFQDFFGCTKKCVHREMTNCFANKKCAVAIPPQETLKPIYEKCHAHTPQMSVALFNTCQCLAFRKKK >PPA16771 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:921770:925823:-1 gene:PPA16771 transcript:PPA16771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tgt-1 description:Queuine tRNA-ribosyltransferase [Source:UniProtKB/TrEMBL;Acc:H3F3S2] MSPQLLATSRRARRGRLTTPHSVVETPVFMPVGTQGTMKGILPEQLITMDCRILLCNTYHLGHRPGHEIVGEAGGIHKMMNWPRSILTDSGGFQMVSLSKLMTLDEEGVRFESPHTKEITNLPPEECIRVQQNLGADIMMQLDHVVHTLTTGPIVEEAMERSIRWLDRCEDAHTKDEQTIFPIVQGGLNMELRKKCAEEMAKRAKVGIAIGGLSGGEDKSSFWRVVAACCDWLPPHLPRYVMGVGFAVDLVVCSLLGADMFDCVFPTRTARFGTALVRRGGQLHLKQARFANDFSPIDDRCECHSCKTYTRAYIYSNIAKETVACHIVSVHNLHHQLQLMKDGGSVPGWVHDAAQHMGYTIKQSRGEMEERKHKAKHEDVVELKKEKKEVTVA >PPA16702 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:587462:588033:1 gene:PPA16702 transcript:PPA16702 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEFDLTAPFQPEFTTKDQITNRHKIRPILVPSANGDRFRLNENGLRSVLGHSSIQNRKK >PPA16758 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:860884:862314:-1 gene:PPA16758 transcript:PPA16758 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFRHLVLGATISSGVAIIGSLLVAFSLVSEINKFRDDVLSDLSHFKSMADDAWEKMVINGPVGSSRRDDTISRFARQAYNTGGAAVAGGGGSQCNCGASAGNCPAGPPGPPGHPGDRGQDGQAGAPGQAGMTGMAMMAMQMTGGACIKCPPGPPGPAGPPGPAGGPGNDGAPGAPASGGGQGAPGPAGAPGDAGQDGQPGGPGAPGPAGALGTRMMSQPGPAGPPGPAGGPGPAGNPGSGSGPGPAGPAGPPGPAGNPGGPGQPGSAGSNGNDGEPGGDGAYCPCPPRTGSTGAVAAGGGAAAPAPGAPPVEAYAGGRK >PPA16693 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:558204:559278:1 gene:PPA16693 transcript:PPA16693 gene_biotype:protein_coding transcript_biotype:protein_coding MIAYMFTVFSMALSAPYFWLFVPYPAIEDRLREIARRVQKLDDDEGEYVVYGIGMQEDPANGNRTAVGLAFYGIAPSYFLTYIAFGFTVFKWVRGFIRMQLIQKKSDLDVYSHRTYKARNSQHLEIPY >PPA16698 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:568362:569390:1 gene:PPA16698 transcript:PPA16698 gene_biotype:protein_coding transcript_biotype:protein_coding MCTFWAVLNRLRMPEIVDPGEYPNFPYSTADYRSDANLPQLDFSTLLMMSAIIKEVSIRQHICSEIDALDLSILRKTIAEGECKLESFTALFEDGVAQAFITACFHVNVLRDHSLGTIEYRFRPHAQNPIKFYSYNSTFPVTMINFDGDLRTEVDRNVISFEKIEAEEKEELLNSLHEIKLNHDYHK >PPA16733 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:759885:761603:-1 gene:PPA16733 transcript:PPA16733 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gdi-1 MDEEYDAIILGTGLKECIISGMLSVSGKKVLHMDRNNYYGGDSASLTPLEQLFEKFHGPNAKPAPEMGRGRDWNVDLIPKFLMANGSMVKLLIHTGVTRYLEFKSIEGSYVLKGGKIYKVPADEMEALATSLMGMFEKRRFKKFLVWCQQFDVNNTETWNGLDPHNSTMQQVYEKFGLDENTADFTGHALALYRNDEYKNQPFMPTVEKVRLYSDSLARYGKSPYLYPLYGLGELPQVRNGTNQTAFRGQ >PPA16746 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:825588:827967:1 gene:PPA16746 transcript:PPA16746 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-twk-43 MDRDNGNGRMLSYSASLLSPLLVSRIHGYGNVAPKTFEGRLFVIFYGLIGVPFTMLAIANLGKFLAALLKSWTRPFIRCFSRLHRRCCRRSYSLKERQRLMDGGKKASKLESNLDDEDEEEDEEEAEEDSGESSSDALILFVSFVLYVIVGSFVISAYEKEMNFFEAIYFNFVTLTTIGLGDLVPKSETFLLATLIYCAVGLALTTIAIEIAADYLKKLHYFGRKIENVGHVKVWFGGQQISMRQLVKNLGDQFNIPVDQLQDLNLEKFVDDAMRVEAGELATLRTEPQPFETSNYWRAIEGSMLHFVDDDDVIAAQAARNYYSAISEHPPLIELNGPPPDDEFD >PPA16669 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:408568:410163:1 gene:PPA16669 transcript:PPA16669 gene_biotype:protein_coding transcript_biotype:protein_coding MKETSARTGNQAHLNIFLECNWESEAKDWRVDYEADFAVLCKGEEKRCKTGLIDGDTLTVECRVKVNKVSGIRKKIEFDFSKPMPGLNNTVLKIGDRTINVSKDLLALHSPELVFEEFMDLLNMIYPSSFELTASNLHHIVNLADRFQVEVYVLDRIINYLHTTKKFTTAEKLKIADHYRLQRLSNLIILSFKDVAEVKTIKNTPEYKFFSDALKGAICDRILEF >PPA16682 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:490248:491708:-1 gene:PPA16682 transcript:PPA16682 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIFLLLLTVLLSEAASELNLRKGKAQTATEVPLLDLVVLSTTFYKHFGMRTEWMRCDELYPLLAVGDLIELVGANPVLKEFKFQHWAIYSGQINGTHFIVNYHLDPVHLDLGTVIDEPLNGEFDNRLCRINNREDWMYVDRIGTILHDRSHIGKFPGRYNLHDNNCEHLVRWSRNGIRKSLQVQLFTRPKATISIIVKRGLEQKIKVNKGQPVKRFILQGAIDKAGTVFHHFANVLANDWIYKHYTDECENLDADIEKFRPLLDEETVNEMMKSQETRLSPFPKDEKHPDDFREVYEITDEYFCPRKMKP >PPA16709 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:628965:629613:1 gene:PPA16709 transcript:PPA16709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3F3L0] MAQSVPPGDIATQPGTKIVFNAPYDDKHTYHIKVTNSSARRIGWAFKTTNMKRLGVDPGSGVLDPKEAALIAVSCDAFAYGQEDTNNDRITIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA16735 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:764592:766017:-1 gene:PPA16735 transcript:PPA16735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nuo-3 MSLIDEEELRRDHLGQGQRLTPKFWWDFGMHDMPLGVFRAVLKQQFTANAAIKDIRVIDRKVGETYQHMESIRMAYYNPDHVRNYLFKENIEAPPKDFLGKFLAGK >PPA16658 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:314348:315144:-1 gene:PPA16658 transcript:PPA16658 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFYFSIEPFIEAPNITAWAELGVIFTKGSQSQFQTLASLLILAFQAVTFTAPNHYSIVTGLYEDAHGIVGNVFFDKEKKKL >PPA16740 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:798514:802330:1 gene:PPA16740 transcript:PPA16740 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDVRHSMENEGTGNTSETMEDSEEIDDFTDEPQLEDQTIDRYDYEDTDRPIDYENQDAIRTLFEVMYQELNEDVRRLAQESERINTKQARLRCLMNQYLGGPAANGVVDSMRIPSRRILPSPFPSATGTWRPKRPRHSFSDDEGDDWREQTGQRGSTMRKNSYAVQPNYPPLPSYQFVTREEELECISECNGSASEYARRLARILFKDSLNLYFKDQDAKRRQWIHQAVDMRFPSRDRHAQASKWKNCSGAINKNRISEDSPGPASTREIDYIYVTKEEEEEAFNGCHQDATKYAELLGLKLFEDTIDRMFRDQDAVKKEWLRDCVDIRFPIGDKTKRDGRWKVCASAVNRNRNKFMDISTGNTIAYPYITKEVEEKCFQVAKDNVALYAELLGRLLFKSNRDLMFKDQDPKKRQWVHDIVDSRYPTPDKQRHAAKWKTCSSAINKARFTAEYPMPWDHKHGVRSSLPSTSTTMPCSTRAASVTAPVVPNSRTVVAKRTASNDRTKKRTGLPYPFITEDEEFECYTASKSNVQTYARMLAKVLFKDSLELYFKDQDPKRREWFKIVIEHRYPTTDRAESVQKWKNISGAVNKNRDLARRK >PPA16799 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:1071854:1074467:1 gene:PPA16799 transcript:PPA16799 gene_biotype:protein_coding transcript_biotype:protein_coding MHENGNSMMSALFDIFFEYDFCNLKRKRWKKDEGCSSKFSKSSRPKLSAKVFLLWQKDTASLVSDILRTELSDDSNGGRLISMHMNAKKRPTLNVEDLWSWGKDKSKIEAEILYWSIEQFANNSCKNLSTAAFKTPATLEMVQRWWAADMGAVEAIKDKSDSICYTSTGALKVDRFYKELMMRMAVLISPQLKVNGLDRVKLLEQLDTPALDAVDRVTANRIEDTGRVSLFTDTSAVSRAFLEAGEARVTVVKMHIAMAALTSFYTDQMYEADQREALRLHLALSIERNYLSMGVRALMKWRDVVQRLTLNDLKCTPVNISTDSTPITKRPRCEDNDTSEQPSV >PPA16778 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:951628:954591:1 gene:PPA16778 transcript:PPA16778 gene_biotype:protein_coding transcript_biotype:protein_coding MTGASSDWKWQTMFFDAPMERNFVKNNLSPALKSSPATKELIIMGLDDQRWYLPGWADVLLEDKEASDLIAGIAVHWYEDPVFPVSALSHTHEKHPDKFILSTEGGYNWAMNFVDSPIIVETNKGEFLKQPIKFLKPGSHVVKLSIPNVPAHVEAVGVLMVDGRRYISVLNRNEEEDVTISFHEKGVDNVYTTVNVSSRSIATIIWSKY >PPA16706 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:603106:603780:-1 gene:PPA16706 transcript:PPA16706 gene_biotype:protein_coding transcript_biotype:protein_coding MWCTTFCRLPKNRDLRQICTAYIGVIIACVAALVCVSICVAYVICRRYCLKPRECGWYNREGENYNPDRVFSNRAKRRRTTIREAELAAQNERTAPAYRPIEGNHKIYEGNKAGYF >PPA16723 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:707492:713563:-1 gene:PPA16723 transcript:PPA16723 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKGTPNKRQKSADDVITPSKKIKKEPKEQVTKAEKKVKVEVEAEPVQTPKKEKKKKQEKVEDSPVAVKSEQKRDKKKNKNKPTVAVKPEDAYVPGALSALFGGGEKSDAPKEDIFAKYEGADNGFVDVPTVIAEPPKKPDIAEEKETRKKQRENRKKVVAHFFVYVKLCFKYELSRDVKLLFNAYSNLHAPEMVDERKRTVFVGNLPREFTRRKLEALFADCGKIDSARLRGTVGSKETLSKKTAFLSGKVNENIKSIVGFVKFVSVDSVPVAIKKNGTVIDGHHIRVDGCVDIKNYSRKSTIFVGNLPFEISDDELIEWAEACVGSVEFVRVVRDKNTGYGRGVGFVTFKDDASVAAALALTTPVICGNEVRLQKVMKKNEKKEMRKGDGKRAEKRKQKKLGKKKGGTAAAAGGKRPHPASSMPVNPLLGPTAAAAAGSKPSKRVQKEIKKRRKMSGPKICYQNLPF >PPA16674 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:442032:444356:-1 gene:PPA16674 transcript:PPA16674 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRTLLLAAALVAVVASFPRFFGGRNKGGFVQLKHELEGHVLDSRLADSDVTGIARIDQKIDHFNDSDARTFRQRYFFNNEHNQDKRGNVNFLYLSGEQTASLNMISGTTKPIMQYADKFAANLYALEHRYYGDSRPFLDTSTENLKFLTSRQAIEDIAYFIKARIRI >PPA16716 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:666737:667276:1 gene:PPA16716 transcript:PPA16716 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLSVLLAFCIACASCQFLSSPYSSLLGSSLYGPSLTAQFGREESSVGYMFPYATGSMRGPLVIHKSTPEAEKYVIISNPDRFAEISRAGKLLN >PPA16773 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:929404:931110:-1 gene:PPA16773 transcript:PPA16773 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSRNSMRYYSNRNRYWANRGICGPEIELFFGDLRSVWSYDNPRPLVLKEWTKQFGKVYGYLSGQRLFWILPPSSGVFIDFPVITLSREIKKTIQERKKQRESGAPPTNDIIDMFLDAEADVSEVDFGANGQASTMKTTSITLARAVHFLANDNKIQERLREEINDVIGTDVRDFDLEDIGNLSYTEAVVKETLRHHPLGSGFTTRECTASCEIGGYKFEKGDMIMPDVWSLQMDKEVWGEDTEVFRPERWLNDTTRDRASYLAFGEGPRICLGMKMEIIEATDSYI >PPA16662 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:333266:340470:1 gene:PPA16662 transcript:PPA16662 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGFGAAAPKPQPKAEDQPVSWHELFEPPDTAKYLEEAAAKKRNGQREQSFAKVMKGRACASESSCDNDNDFSAPSGSKSDSRPTPSPRTSLNGVATTSTGFTGFLPKKQPASAVSTDSGAHSSSSGDGFSGFGGKSAPDPFEAQAAEAASWPAEPAAPEKPAPAPATAPSGFGFGAGGGRATFAGVSSSPQRPTGIDDFGGKSAPDPFEEQAAERPAEKPAPGSFGSGGHGVGRMLRAAMTDSVRTRNDSISSPKATIAPTRTTGGFTGFGAGKKSTETGFTGFGAGATVAPAANTASASTGFTGFGAGKKSTDSGFTGFGAGAPAVKSTFAGFDTKKEAPPTSGFSGFGAKAATAASTTTSGFTGFGVKRNVEIPSSRFGASAKPASASFTGFGTMKKATEAPTTTTSSSATGFTGFGTMKKATETPATTPAPSTAGFTGFGTQQKTAVSPATTTASSGFTGFGTVQKTAETPAPSSSSGFTGFGTTSSPAKPTVPAATTGFTGFGTVQKTAEAPAPSSSSGFTGFGTTSAPAKPTVPAATTGFTGFGTVQKASVAPTTTAAPSTTGFTGFGTQQKTAVAPAPSSSNGFTGFGTTSAPAKPTVPAATTGFTGFGTVQKTSEAPATTAAPSTTGFTGFGTQQKTAVAPAPSSSNGFTVFGTTGTTPTAVDQVQMDFGTLHVSGPPHLMKAVAESQRRMGGEKAIEEEVVEPAAVAEQQLQQERPQPAPRRRVRFEDDAQAPVKVEAVDVKREPEVEKELSEGEVEDETDDDAPPEPRFPWGKRPNFRELLAGGKKLALANPSKPVSDYFVGHVHRSYGCTYDHPRNMFYATSPGDRARANGQASPTCCFTRSPTSKPNHQPDDVVALHGNCKGDPILVATGLVEPAAIAVYEPGLSVCVVTNKGIIVLTEQKDAAGQPAGTFMSRQISHKAYHRGICATAGGGIISWSNGRIRMFDGNVANGDERVLAEANYDMAVNNALFATLPTRRNEKSNCCFMDVVGDRLVMSDLQKHVISLWKVVDARGDSPSEITFVRAIDVALNKEGGGRTTHVRAPQIPLGKCAFAAGIRLDANGWVMCADAEGRTLQIYDDQLQFVSRVETAPNQLPYVSGVLSKMLKVDRLVPNV >PPA16654 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:277095:294571:-1 gene:PPA16654 transcript:PPA16654 gene_biotype:protein_coding transcript_biotype:protein_coding MILDFLNFSDEAQDKIISLLDNDSCHRMVGEQPDEIRFRLSLKTRPLNRDVSISLKDAEKLIQFVHQLNMNVSLSTYSDCQPSITQIGTADPSVTTTTTTVKPTDTLQPAKPIANNDPRYPAYKGIMDLLSSWMNTTIDPCDDFYAYTCGAGKSGQAMSFDLSDNQITDSMVKVLRQPADSFNNDPLPVRQLKWFYDVCLQDRYEKDFLARSVRIFNDLKAANPGVGFPALYPDQITPATAEALSQFLGYQVGINGITTLVDVGVDTDWKDPHNAKGGYAFLVDQPQPVFVPTFYTKMYDIFQEDVVEMFLDIINSGAKLLNIPNVDQKQALKDAQDMAQFDYDLATKYSTDETTRRQYARSYNPYSVDGLQKLAPFLNWKTYFNKALVPVKKTVDGSFRSVVMEVDNLAALSADVISGTLKSRTINNYLYTIALDRNYLPDPDAIRKKSAHLNEYRRPKQAINRKLRRSPKVDPMALAIEGDFTARENSCQGLTSSFLMWANTRVYVDANYPTQKDKDDVREQTNSIIRSILVAFRAQIDLLDWMSAASKRGAYQKIDNLVVNIAFPDWVLDDARLTSYYTNLNTKQSDNYLTQVDQLLSFGLYEDFAPLINGSPADRTDFSGPAAITNAWYQPEVNSITFPGGILHAPFYDYNYPAAINYGGLGVIAGHELTHGFDDEGVQWEGTGILNSWMDDNSTKSFTKMAQCVVDEYSSFCPLGKGLPCVDGAQTQGENIADNGGIQAAYKAFKAYEALNGPDPLLPGDASLYNADQLFFIGFAQVWCQFPPTASSLLTQILVDPHSPSLYRVLGTVQNIPAFQKAFNCPLTSTYAPVDHCNVWTSEPTSGAPLNDKGEPIVKDNEVNVAPVDRISPQDMDKYSAYQNSLATLKASANLSVDPCDDFYHYSCGAFPGAKTTFNDLDQENYKVINNKINDPDYQTTLAASTALTKMKTLYDACKAEAKKSTIKDVDYFKPKMDKFRTLLTQPIPLIGGAGVPKVTPKNYGDTLGWLSFTLGVDTLISPGVDSNWIDPQSTTPGATNGYQLFVDEPSPYKTHTFYEDGNWRSQKASYKNTVKHVVEAYVKTDATAKLPNNYDDMIDDALELERTIAIDYSRNDQERRVFQPQWNPMKLNQFPATVDWNALWAQSPKEGQDWITANKDIVLNEPQKTPALFDFLKAKNDDTMVVNYLFIRLLLAYSGLIPCSNGKCVAVMKELSIKQLPDHLGKDRLPRRGHLLPAFAPLNEEDSEGVGVMPEAQGRVYVDAKYPTDNDRQTIKKVTMGVMQNIVDAMKGMIEQLDWMGNESKKSAIEKMNYLQVNVAFPDWIIDNPQLDLQFDELVFTNDDSYYSMLDKITVYSIAQDFHKLTKLKADRTQFSGQIAVVNAFYSDERNCITFPAGILQQPFFDINYPAGLNYGGLGVVAGHELTHGFDDKGVQWDFDGRLNSWMDEDSQAGFNKMAQCVIDEYSQFCPLPADRSPNCTNGVQTQGENIADNGGIHSAWRAYQSHIELDGSDPLFMDRVYSQYTENQMYFLNFAQVWCMQKTYLTESYVSGRLMTDAHALGPYRVLGTLQNTLAFQANWNCARGSTYAPDSHCSVWVPTVMA >PPA16718 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:671117:672745:-1 gene:PPA16718 transcript:PPA16718 gene_biotype:protein_coding transcript_biotype:protein_coding MNGESTSSGPPPLLVSIAEIVEVRATVLSTPELLSLAVLSSSVLPPCTRGKAFGPSQVFLSAEGEVHITPVSHDLVETEFHPPEWRTSTETGDAAAAAVFCMGAVLRWSGGAETKDADLFSLVNVLTVAMVGTRPTANRMGQMAKNQLKTINPSEMLQEMYQEIMGDGSDLALGEFG >PPA16744 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:820176:820437:1 gene:PPA16744 transcript:PPA16744 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRDTFVLKFPRRAMTSAAKKRRSTVIVKLPEKRESLREKLRRHFKEQLHFFCNSPSVS >PPA16794 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:1047530:1048121:1 gene:PPA16794 transcript:PPA16794 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEQLSQRRGGHQKPNSSNAAANRLPFALRNVLLEEFNTGAYWDCDTCDERDS >PPA16656 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:299183:306343:-1 gene:PPA16656 transcript:PPA16656 gene_biotype:protein_coding transcript_biotype:protein_coding MVADVEAARLVREEVQRDLADLNRITASVAASDKERIQMKAMGETSSLKDNQLTRDQRSGTIESGCSDDPCDASSPHPVESRFALFFQVVIPFTIAGFGMVLAGVVLDYVQHWELFEQVPEVFIIVPALLGLKGNLEMTLASRLSTLANLGELETSQQRCTAISANMALVQVQATVVAVLASAFAMLLDWIPTGKFDLSHGALLCASALATACTASFLLSLLMSAVVIGSREAGINPDNVATPIAASLGDLTTLAVLSLFGSLFLHAHQNAWLLVCIIGLFVVLVPVWWRIALWHPRAEQYKKASERSTG >PPA16675 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:445430:445967:1 gene:PPA16675 transcript:PPA16675 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNALELRSIPQTAVPPAAPSFAFARAVRDAPPDSLSLRSPPALSPSLTDERARGLERVRAAATATHARTPLFPDRPTLSRGGPGRRFYAL >PPA16738 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:771486:772755:-1 gene:PPA16738 transcript:PPA16738 gene_biotype:protein_coding transcript_biotype:protein_coding MATTIGFEEKSTRKPEKHAQLFDGDAKPTMKERAPRYEINDLATAKQIIKRELNNWPQMKFQFACAYALQNLIRDDFMFDRHYRATFKTRLAYHPVYDFWLTLLDTRNEENLFIMENQAPNQRVMLCFRFAVTHGFIELTRYFWDKISVGQREYMGMLQWRALCFRTRSRETLRFLSLELFKLNPIYLARLTWTVFYDSLYKCLTGTDSEKVIEERKIRFLLESISRNLKIQLLKMERYKYARI >PPA16699 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:570261:570639:1 gene:PPA16699 transcript:PPA16699 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKRELYDSQSIFENRVRNTESARTATYEIAGLDKRLRRWAQNTAVRRFELDVKDASMNVGSDYIL >PPA16621 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:73673:74364:1 gene:PPA16621 transcript:PPA16621 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAQAEAESALRNSSIHELRAQHASIERELSSLNEQIGDLAFNNYSPEQSLVAPPKHMIN >PPA16691 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:547748:548548:-1 gene:PPA16691 transcript:PPA16691 gene_biotype:protein_coding transcript_biotype:protein_coding MPDNVPSNTQEELILSPLERLPRDLLWKILRITPESILELRLACKGLKSCVDELALMRGIMQLEGPLIIGSQYRQPLRSTQINVNVQIDDQRMIDCLRDGLGRRLEEVHVRCSAKPSLDAVKNLLEVVNVGQLRAMISTVSDDVM >PPA16741 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:802832:803398:-1 gene:PPA16741 transcript:PPA16741 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLNLKVAECGLEGVYFLCPYYDEVFEITTERDLAFVIRLFKDRPVQLTAAPGTKVYNPGNYDDVREMMAEIEKKKKAEMETNEEVNEEIKKVINNDPYQELKVEIEDETY >PPA16665 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:372776:374335:-1 gene:PPA16665 transcript:PPA16665 gene_biotype:protein_coding transcript_biotype:protein_coding MSISCCCLDRSRSERAVNGLVYSFLAALTLLALSQFVILIALLLLSIAAIVMVSLQHHFTVQRIRGYHLPSSSSSSGRGTGAVPSIGPGGTASPPTNGVYEQMQNS >PPA16635 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:170196:173621:1 gene:PPA16635 transcript:PPA16635 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLPLVFLSVLPALVVARECDAGDFIYEYTSCGEHGERWRVAVPKSASLECTGGVPAPQKGLNCSFSCGAGSYLDIATQTCRECTAGSYSLGGGIRFDEFTRLPAGFTVENIDATKDILMDTSAQDSRVVVCPSE >PPA16780 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:959308:960048:1 gene:PPA16780 transcript:PPA16780 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGDYGRAEKYAHSVIEDLNNFVGGWIDWNLALDLTGGPTWVNNYLDSTILVNTVDDEFFKQPSYYALAHFSKFLKPGSRRVQMEIVNGSQKNIEALSAVSVDGKRVIVAMNTGTTEAIRLSVVDKSREGTININLDPRSIVTILWN >PPA16636 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:174188:176155:1 gene:PPA16636 transcript:PPA16636 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDTSSSTQDSRVIVCPTESGWIVRNTELLYIPSPCLSKLSFTANLVRPGYIEYSYRMPTNTRGVSLSAVVKNEQCQSYRDEVRNLLSGLATETSSSRKDEQSGGGNGEWQKKRLELRTGPNVISWSVSTSRDSAGAGAIVMARIDVVGEQ >PPA16626 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:103229:110983:-1 gene:PPA16626 transcript:PPA16626 gene_biotype:protein_coding transcript_biotype:protein_coding MCQLLFIAFYVAHFGFQVARNLWLSNWSDASANKTSVSHDDDIGKRLGVYTALGLMEVTAICGAFHFLVLACQQASLNLHGPMLRAILRSPMHFFDTTPIGRILNRLSRELDVIDVMIPINVRQLVNNMLQVVAIVIVISYSTPLFIAAIIPLTALYVFLLKLYMPTARQLRRLESTARSPILSIFAESIHGVTSIRAYDKIDSSCRSFEVHVDKFAKTRYFLMVVNRFLGIYLELFGNFVVLFAALFAVISSRFLSASPGLIGLSVSYALTITEMMNMAIRMMSEMETNAVSVERVQEYTKSDGEAPWKSPLPPPEEWPVSPTIDCHELSLRYRTGLPLVLRVVDARIRAGEKVAIVGRTGSGKSSLTLALFRMVEPAEGSVVIDGIDTSRIGLHELRRKLAIIPQEPVLFSGSLRFNLDPFEQCTDEQLWRTLELCQLKDFVSSCPEQLSYPIAEGGKNISVGQKQLLCLARVLLRRAKFLFLTLGLARVLLRRAKVLVLDEATASVDILTDSLVQTVVREQFAGATVIAIAHRLNTVAGYDRIMVLEKGRIVEFDTPSRLLADRNSRYSRMLEKSKRAENRRK >PPA16763 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:886971:889895:1 gene:PPA16763 transcript:PPA16763 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDIEESQNDDLTTPLSTPAAGSVNKAFDFDEESEENTPEESKSEKIIETEVPRLSHSMMEPVTTPSLMHSEEQSQPGSRKSSIGSRAGLVLLSRRGSVSGTDEIRPCDLYKELGQIDMMTYLVNALSILPRDKTPGTAMKKSVRLSDILADVIAVHVPVWACVAALHVVLLEVIATKFGGWLSTDILALASVYVVVSRVQLVSYQTGVILSVGLIIFDLFWIYVVDLLSTVTKESRAPLMILVPRDNEGGKQSLATLDVMAPGVFLNLLLKFNAMYDPKTYAACWYAGIGGLGVTLVAAVWRRKITPALVVPLISIMLTSLFIVDRPL >PPA16798 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:1065999:1067336:1 gene:PPA16798 transcript:PPA16798 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDATEPTELSPVCRRTRSKSIVRDVHEASNSEKTRQNNASSATRRPPSSTKAEAVPRKQPQGAQQIGAVKQTAARLRDEHARTRAALSSSSFSTEATKRVMKVAAAPDDVTPFSRNSRRTAVAAPRARAPAVSTSDREGNDDTCDDNSHPDDASPLEEDSMPEPVRNDEVRN >PPA16776 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:939828:942105:1 gene:PPA16776 transcript:PPA16776 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGIVVSTYNKLFINRRPSTRAPPTSRPVYFKNTMRERLREVSEFQRSLEAQLDAEKGFLESLSTDNETVLRHRKPGSKSNNNIVDSVMPDNPTVVPASGRKSARRCWENEPVPSSKTEAKLALERTIQEDLTRLNEKLDRIQNLLHEDQESYSESEVDLEAEQPIHAIVDAFKEPSLPPQIEKLDFRALTPPPDAGRRRFDRIPPWVKLVPLKAPSKSPIKSVPPVLPQEKPASIQLTVR >PPA16767 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:906011:908481:1 gene:PPA16767 transcript:PPA16767 gene_biotype:protein_coding transcript_biotype:protein_coding MIRWLLCAIVLLGLTSALRVMTFNVWNSGRHVKDGLSKIASQIRSINPDVVALQEIREMEHIGEITTLLGAGWSGSIHCKLDTAIITRHTIVPGSYAQVDRGMHVRIQIAGGNRQVSVWSMHLAYKSYGPYAAQNKQVTSEAQILAGEMPTTTPSRVQNIVQLLLNQEFISQIARSEVVPVIVAGDFNVPSDEDWIEENRPTSSFTYSGSEQLRPMPEHTTNSWPSDHYSVVTDFEDIPFYEAPSQPMKRVDPHFISKHCDIIFEKCTSSIIRAYDEGNKVDRRKRHRSHAKGRA >PPA16782 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:972882:976288:-1 gene:PPA16782 transcript:PPA16782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:H3F3T3] MLSRLSRSGVSHLSTSSRAFSAATLDAHSQVVDSEKPMEEQLNPSFFKMVDYFFDKGSKVLAPKLVEELKSNTMSAAEKKNLVHGILASIKPVNKVLYVTFPIRRDNGEFEIIEAWRSQHSEHRTPTKGGIRYSMDVCEDEVKALSALMTYKCAVVDVPFGGAKGGVKIDPKKYSEYEIEKITRRIAIEFAKKGFLGPGIDVPAPDMGTGEREMAWIADTYAQTIGHQEKDASACITGKPIVAGGIHGRTSATGRGVWKGLEVFVNDAEYMKSVGLTPGLAGKTFIVQGFGNVGLHTMRYLHRAGAKCVGVQEWDCGIHNPDGIHPKELENWKDEKGTIKGFPGAKNFEDFTELMFEKCDILIPAACEKSIHKGNAARVQAKVVAEAANGPITPAADKILLSRGNCLIIPDMYVNSGGVTVSFFEWLKNLNHVSYGRLTFKYERDTNEHLLKSVEDSISKSLNQKVNITPNEAFANRIHGASEKDIVHSGLEYTMTRSGEAIIRTARKYNLGLDIRTAAYVNSIEKVYHTYRTAGFTFT >PPA16667 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:391523:398280:1 gene:PPA16667 transcript:PPA16667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3F3G8] MAGDLALLFHFKPLPINATSKYNDLLLSSLSAAFSIFPKATSLIVVESEVGVAASPDLLLYFSQLLPVMERDESIQIVHAFNYNGFSRLSSSPSTVYRVDDAQPPAYIYLMRRGAYERSAAVNASACCSTLHRWSLQQQPPAAALVPDVSRVVVQRPDEITLADTGEERVFREGRRFVKSADERLSGVDTLSGKEYEREMERMDKSSLSLGGGPWAACDGGYGSLMKKEQSMRQGKPLLVRYKDAAQLDAIVKCVGLYSTPQFVAGFYRGRIRFHLDGVPMMLAPSVPPGDIATQPGTKIVFNAPYDDKHTYHIKVQYPQDLTYRILTMSHDQHRTDVLYHRLVTNSSARRIGWAFKTTNMKRLGVDPAAGVLDPKENALIAVSCDAFAYGQEDTNNDRVTIEFTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA16729 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:742391:743251:1 gene:PPA16729 transcript:PPA16729 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRIITIIFFIVCALSLGFLEKAESSGSYYQYILREDNTAKATIIMGFKCIAVTVVIKDAGDSMESEEMAGGFIGCRTCDN >PPA16803 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:1103541:1109574:-1 gene:PPA16803 transcript:PPA16803 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAAVQCLPCGKKLVIRDMSSHIHEHIQYFPQSCKDCDFRCVNKSALDLHTFETDHTGSACFDPYKQWLVDTINDDCRFAAKFGVDELLKRKNITGHSPTQPSLIVTPAQQHQRRTSTVVPPLPLRYVALSSSDSDDEPQQVAARPKVPAVVVKRNSGVQSRRPEPPAKEKSEADDSEPSKDSDDEEIVKLRQELNDTVKCGLCTVFVRVSLDDRQIHLRDNHMGYEGNMAKSEARKRTFQIEVEQKTLEAFPKLVWTSTQCPKCPREIKSARGRISHVYNAHHTYLQPLGCPKAPCPFTSFDPHEFKKHFVESHGSGTNWKLSLGNTRLERDFPAAFAEHRRKIEAISRLLFPLDLPLRILDKAKKKGKSRGGDYDDDNLLMEISASAAAAPSKRTDARTAQKRRCSPVTVSSSSDSSDDDKPSGSKKCAAAVQKPRASVKQEIREEDQEEDSSDSSRATVAGGTVNSKKNGEVVVKKEEDVKQERTATTTTTTTVPQRSVVPPTVLRRTFPKKTSSMSPITKTSSMSPSTTGGQARHIQLMYNGRGGGWRGDRGRGGFTGGFDRGRGGGGGWTETIPLPSM >PPA16631 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:147114:148714:1 gene:PPA16631 transcript:PPA16631 gene_biotype:protein_coding transcript_biotype:protein_coding MCRAELDKCQLRNESQRLRRNDSKTNILVAFTGTSIVMVAAPSVVMIGIRWSVFIVDDTFVAISYSFTALVSIVNTLINFLFREEFRYSAMRALDKIGFIKMDDRSKMLRTTATTSHFGGGATPTVF >PPA16710 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:636010:638318:1 gene:PPA16710 transcript:PPA16710 gene_biotype:protein_coding transcript_biotype:protein_coding MWPATQQLAAVIAATTTALDSATAAAAAMMNNIMQPDMVAVYNNFLMQTARGLSDNNINKAVQQPSTSSPPRALVGSNNGSSPGRKRTHNEALMPSPIVSGIENSLERARRGSAFEQRGSPGNENHIGCLKHKASGIL >PPA16791 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:1022563:1029386:1 gene:PPA16791 transcript:PPA16791 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEDAPAEVRIALVGEDGVGKSSLLMSLLEDEFVDRVPPRVDKVLIPADVTPENVTTSITDYSSKVQDETSLKYEIKTASVICVVYDVNRDETIEKVTSRWLPLIREVHGDDHEHPVILVGNKSDGSTNHTDKVGVLPIMERWPEVETCVECSARTMKNVSEIFYYAQKAVVCPTRPLYNADEKRLTEKCKKALIRVFKICDRDNDGYLNDLELNEFQKLCFGIPLTSAAIEDVKRVVSDGAPDGIVHDSISLTGFLYLHLLFIERGRHETTWTVLRKFGYNENVKLGEEYLYPRITVPIGCSTELSPEGIQFVSALFEKYDEDREILAAVETNERGWVTYVGYMAYWHMTTLVNVTQTLEQLAYLGFSVGRGTAATQQRIAGASPSRRGATSTKDAIRVTRERKVDLTERGTDRKVFQCLVVGAKDAGKTVFMQSLAGRGMAEVAAMGRRHTPYVINRITVKEDIKYLLLREVDVLSPSDVLSSAETAADVVCFLYDISNPESFAFCATVFLKYFYRTKTPCLMVATKVEREEVEQRWETQPGEFCCHHELPKPVRLARSMTSRPVVIISP >PPA16713 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:657200:662574:-1 gene:PPA16713 transcript:PPA16713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-frm-5 MRQWKNQLKNEKRVAAMPDVAAEGRSIDSPLENADKLFDKIPSPPTTMDRRRQSVDAAAAATAARAAASAARSNGHHSQPAPAAFDTLPSSAMDDSANNSIDQMDDEVEGEILDLEIVKDPNAGLGLTLVDGQLNGLTGVYVKSVNEGGAGQKSGLAVGDRILSVNGESLLGKDRHRAVQLVKESASLVSIQVSRIHGIQHVTQYKTGTLETSKKQISAVDLKQGSLAALAPPKDRLVASKGGSRTPPAPRKATQKRTLSDFGAVTDALPELNSEDILADMKNLANQHLHDSDEETAGEYRLPQNTSLYGEADELDSPMDRTPGSSNKSAIRPNAYATFPRRSNLDWADELEEVGEESEGEDDDVVEVTLTRNEQGSLGVQVASSEGHCRIQAATAPPARGHPGIREGDFLVSVNGTPTEGMAHKEIVGLLRGGGATVTLGLKKVSSDQKIRIVLDKTGGGAIGLSLGKKMGFDGVLVRAIGADSIAEKEGRLRVGDRIIAVDSDKVLDETPARIVERLKAAIDRVEITVIRQAAFNSMPRTPSTGSLHKMGR >PPA16739 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:773980:786959:1 gene:PPA16739 transcript:PPA16739 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFGHIEPELLEALDEEQKLMLFIQMRQEQVRKWEQAEEELEKNPPVRPPKKKGVRWLLGPDQQVWTWVMGDHENDQSIDEILENEARKKAHTQAILEVDGNDSGDGSDVEAALKAQLESLSVGGMGITDSMYDDADSFFSSPLLLFQPKGILSRNAKDPSFVTSTLSYGGDPMGGRGVIASSGPVTTTKVSSFAIPFPQTAVVQNRETNGSSATAPPTRLNAADVSAEVLAANGVKMRKIKSKSPEEKSEEVLKRESEIFMKLQEEKDRLRREAEAEAEKQRIEWEEQEARSREADAAIRSIAQRAREQHRALQLRTSTSILPALKDPKATSLREAIKSLPRPPRPKNRQAILDWFRREELQRGTGLDPKTKAPALWFHGIISRDEAEDLLSDKPTGAFLVRVSERIWGYTVSYVVGPQKWKHFLVERIMDGYQFLGTNQVVHAHLFDLVNYHETAPITLKGNEILKWAVGQARRPADYSDLIPTEMTNNSASSAGRKKLDPAQCRQYLREIATAMEAGLSIEDGGSSACAVFVISALQMVMDDRKRDGTLPSLLTECCTLLNHSFAHLERISEEARAIKWSIVQSEMTVIATNLMGSSKEGKIAPLDSMAVTAALQSLQHLAIYSRGIVPNLSSSSALSTYGVVLAAAIDVYGSEKWTAEIRLSALSLMKKLCEEETAESLACMIPGVITALHSLLTTSTATENVRLLQGSLELYARSLTTVYTLRDVKMDKDDNSDQPSRPSKSALTVQRDDEWWATLEQRVVLSISQISAALASHRSADIRLKLLTTVATVWGLIDNSQSILDPTAVVDRSSQDRLQAVVIDLLLVLSQDQDERISGNCAGLLSTIPCSSLIDSCHGKVLAEFASSLPVSVRNGDGDKQLFAKVSSVVRTLDNEIVFLAETEDELLERFARSLIDCVRIDAARLAIVRGEEDDSGETSGIKAFLLSLPLQFGLRLEWIDGVMRELARAGEQMLRLLLHLLPASSSSDDPPLLLVTTLLIVQESASPPSTDDLSALVQWASERLQRVQVTSVEREEAVEDAAHLGSAPTLSALSLLTAIGVATARMERGRERNKSLITVLSLLLEWSACPVVIVSEAAGLAIREIATSSSLSVSALLIRNGTSISNRVALASRRYYSNRRCPLILSSLLDRLDSDDLFTHLSLIVEDLIGALDRHNVNWCQLILRVLYAYTRAINRWYPDQAPPEKPQVFIEEKETGEEGDEETEEKLVDEPPKPTPQKSVTMAEMILKRTKHLHSSLHLPVCILSLSIAVRKEMELRKLEPYWSECRWKILLKAGECLYHNWASLRARFDDANYECRLAALRVLSRMAEVSKDFIHRKIKDDLWPSIESFLRAETTAKGGYELSRKLKYTVALLESLPVIFDLCNLSQHLGPSLIDICTALSINTAQSATVVEAARRAVVVLGPTQSQA >PPA16650 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:262573:264432:-1 gene:PPA16650 transcript:PPA16650 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFTAVVPPSGLLFTSFYLFAALAQVIVLLYVCQWLVSLVWAKGIDPDNAAIPYLTALGDLLGTAFLFITFHIVDAIEPTLDLYTNLIRHQAGVRL >PPA16787 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:1004794:1007155:-1 gene:PPA16787 transcript:PPA16787 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEGEREGTAALAQRGMKTASFDTHTTDSAASAVALMCGRKVEQNTLGRLPGYGNKCDFGEKAKIRDGIADLAVERGIDVGFVTTASITDATPGAMYAKFESVDEYKNWLVKYLLSGKGSVESASD >PPA16684 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:504149:509085:1 gene:PPA16684 transcript:PPA16684 gene_biotype:protein_coding transcript_biotype:protein_coding MNESDPDLYSPAVQIPLAVPPHSLDPFPPFLPDEARHAMSLLKCGHSPGSDGILPEMLYHSRDHLAHSIAHLLNRLVAGDTVPCELSEAVVSLLFKKGDPTNIANFRPISLLTVTLKVTTRCILKRFEAVLEETESATQTGFRRGFSTLDNLHAINLHAIKQVAERTSERHLTASNGVHAGTPSGSMEPIPLSSTCFLAEVAPMIASMRAANGKPVEVIKYFDLTVGGIINSILLGRRFHKAPFFGEENMDEFRKLRSLLEEQSKLIYHHSFLMLMIAPSLRHFPYLKGAWQTMKTCSDNLFAFFRTQINLHESEIDFDTEQEPSDLAEAYLRAREMILPSLHSAPSLARSLDERESEMKRLRHKQLENVLYDLWIAGLETTTGSLAWLMAYILHQPEIQNKNPDGHQIVRKATMIKTKIYHDSGTILRMRAEIKSVLRPGEEVVTTEHRTQLPYCAAVIMETQRLANLIPLNFQRVTNKDTTINGFFIPAGTAIMPQISSVMYNEKIFPDPYAFDPDRFIDEDGKLKNIDQWMPFSIGARRCPGESLAKMELYLILTNLILNFEILPDDSHRIPTMERTGTTVQKPEDHKLLLRALN >PPA16727 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:720824:726902:-1 gene:PPA16727 transcript:PPA16727 gene_biotype:protein_coding transcript_biotype:protein_coding MMNESYDIRVRPPATDEKGNTGPVDVDVNIFIRSLSNIDFVSMNYDLQITFREKWKDPRLSYDYIQGDVPAFIVMTDKDAIWRPDTFFQNEKRAHRHEIDKPNVLIRIEKDGSILFSERLTLTLSCPMHLAMFPLDVQHCHLDMASYAYSTEDLIYKWAKIAPIQFKVGVHDSLPSFTLDQHETSVCTSKTNTGEYSCLRVTFRLARQFSYYVVQVYIPSTLLVIVSWVSFWLDRAAVPARVTLGVTTLLTMTTQCYDPFLTSWLEDEAAAINNKLPPVSYIKAIDVWIGACLIFIFAAVLEYAYVTYVANQCDQRAKMMSHSCSGEKSSSPLSPMEDSGPKREEDNLLSHSHSITNIDCFLCSMFHDIDEGKMIDTKSNITLAEK >PPA16686 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:518942:525702:1 gene:PPA16686 transcript:PPA16686 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKEKQRKPSVSFSLSSSQDASSGIVLTPPSLCSSNTGVDEDVISVTPAVTYTVHDAIEAAGFGKFQVILSIIGGFAWMADSMEVMLLSLLSPSLACEWGVTPFQQAISTTFVFAGWMISSPFWGRFCDVYGRKKGLISASLLGFIFGIVTAFTHSFLAFLLARFFVGLAVGGVPQSVTMTAEFLPVATRAKCLTILKVLLTDHHSPKIPTSQFFWAIGAAVEAGIALAVMPTLGWRWLVGLSSVPLALFALSCWDLSYPCEPKVGGA >PPA16670 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:410635:412316:1 gene:PPA16670 transcript:PPA16670 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDFTIRLDIEDINNLPGDGRESDIANCFFKNGSTSLGLNKFEAFDNLMDAQKEQGIKSFNKYEQIKAVKDSPAFKSLSDSLVRKIIERIIDL >PPA16784 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:983913:984363:-1 gene:PPA16784 transcript:PPA16784 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAEHKRATLLHDFFLADDDANSFAALPVQLRPDEDAPSTSMMPKDSTPKTTSKAVSKEKATGSKSKIYH >PPA16790 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:1014700:1021808:1 gene:PPA16790 transcript:PPA16790 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hoe-1 MADAQRKKASVASAANANTLASMPAHILSNGTTHMAPCVALRTPLKTYLFNAPEGTSRFLPALRLKPTNINDIFVTRGVWENIAGISSILLAKESNSLPTRLHGAVNVKHFLECIRPFQDSDFGSVKYPSSKPDPDDPIEYPSTKMEQCELQVEECTLATHDSYEDPGLKIQYIPLLSDCIKDTSRKNTTDVAYYIEMKDPPRRIDPTLLIKMAVPKGPLIGMLKNGETITLPNGNLVKPDDVMMDDDRAGSEKRRLLIVDAADEGYARSLYESSIIRSLASAAASNKLDFVVHLTRENVLRTKEYEQWAESLGTQCTHIVVNGAGPIVPHIDSMYKHARLLHELQPALFDELRPRGWRGIVTQEQDLAVKASLWLRAAPLQRWQMRKLKGEEPIILDLKEAERKEAGQYNLGEEWRDLAKEEIMIAKNAAEALGAYCTSDSSFPRVTFLGTSSATPSKYRNVSGYLFETSSSSAFLVDVGEATYGQLRVLLDDATCDELMLNLHAIFITHAHQDHMNGLYTVIEKRRDAFKMRGLPYRRLVLVCNKNVMKPLRTYTHCFEDLERYVEVVDLATSSGGPSHQPPERKNSPPSSPKKVKFDHNHAPSPTTVELLPKIPSEYSDGQTWGLEGVKAVQVHHTRMANGFVFRTLCGKKVVFSGDTKPCDLLVEHGMDADLLIHEATFEDGHEQDAFRKKHSTMGQAVEIAEKMRAKHCILTHFSARYPKVPPLPSYLDDKKIGLAMDGLRVGFDRMCIVTNCSSASLRSVRRT >PPA16680 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:474651:480171:1 gene:PPA16680 transcript:PPA16680 gene_biotype:protein_coding transcript_biotype:protein_coding MSKASTNTGKQRWNKLVKQTEYSKENENTMQFFAGKIKSDLAAQAERNKIDDDLQRHHIENPSKYHVEQKIQKPLTKFKAVKLQDAEALIFARSDNLGNLLKTSLELMNSVIMSGSHSKTLPLKVQALRMECASVQDDDPNSDDESRMLHYGVTSTVCAMIISLTGNNETEPGMSANQLRVEEIEQWRIKALHFSRSDMLGQIIKGSLELMQRVIATGLRSQIKAMSMECESAMADDPNREDSSRSLHYGVIFAICTLLEAMTSKENEKAPEIKMAPIRGVRRVRFEIEEDVSPSSTRDGSISMDDTNRGTDIQERRLLETTSPEEVEQCMSETTASTTNIINDIPNESDEEKPSLTAKYPYYRSKPEAVEKSVGPCLSKHFRSIASKHTMWRMYYRQKRNNVQGQHSVEATDDEESSRKKGRMAHHSFNEIDAHASMNTEHDWMNAVDMTNFGENISPIIDQQVRNANLSANISPFVDHSSTSMHSQADEEPQAHAVESPSAFVDFEPSGIDNDSAKLEKQKADNFELENIVEKPSKSYRKMITEILQSRPAEYLSTAQIAKSIR >PPA16711 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:640212:642471:1 gene:PPA16711 transcript:PPA16711 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHPLSQSGPPGGQSGGSSAAAAAAAAAAAAGRDAADAAAATLLGLSNAAAGGWSMAGYAMDPYGGYYGATGATVAGGASGAAAAADPLWGSFSQAAAAAAWPTSMQRQLQLYPGAISASMTGQFDPFYQMSAQNSFLDTNVSLSNGGSGGGGGMGLGLGGGGLGGSGGMGGGGVVQFQMMPQANALLAHMPLQCAPSLLTREKMRAYLQSPASYECVITIFHAKVAQKSYGNEKSCCLNWRSV >PPA16620 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:69578:72013:-1 gene:PPA16620 transcript:PPA16620 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTSPLRVLTKKSFVAYLAVLLLFWNEMIYPFIHSSLWDTLTEEDGLQAARILIVADPQLIGYRNEPALIGPIARIDSDRYLSRGFFYALRHGRPDVILFLGDLFDEGVEGSDEEFELTLQRFDSIFASYKSVQISIADTSVDC >PPA16721 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:687339:687686:1 gene:PPA16721 transcript:PPA16721 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRVDMTGSIIAETEITLGFHSTAYHNPVVSTLLKFENHNAPMDWLLPDECDECSEEGDISPRSDRLAPPEGEMEEDSRSIL >PPA16629 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:142726:143960:1 gene:PPA16629 transcript:PPA16629 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEGTFGSTMFMCTYYLSIGTIAIVANALNIGIYGQNKDMRKRYLYFIAFEVGELLNSISFLLTGAGRMTTLLNGTMTMPITAHECFFRGDQ >PPA16652 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:273414:273838:1 gene:PPA16652 transcript:PPA16652 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKRGQPKRRSLLCGFKNSPKQEPKVPYTIHTIPASIVVFDDLLRKVTDNSVCINHSFYQSGKQFRWRDECIDADKKCLMLESNQRPSVY >PPA16618 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:24774:33131:1 gene:PPA16618 transcript:PPA16618 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFQEAQEDGGHQANGKDPPRSVIFSLQSSSPNSSRRTSDEHLSTRWAKKGRETTCVQVPGMGSLGDLDTVQSWSRETRQFVSITAMHGVKRIWESRGRSKVFWGVSVIVLASLMFWQVGLLLSTYLGKPTVSQVSLVMSEQGMDFPKITLCNYNPIKQSYLQSINSTGDFSPRLVQYLLLANSDAYDTITSNKTELVEDEAELQAYQEAHPDFTVDQFYEKGGFACPELLKHCSFAGRVFDCCSVSRPVLTAHGLCHYINLRGAGIEGMSAQKESSETAGLQLILDARRDEKITEESASASLANPIDAGFRFYVDEPETSTYSTSQGISVSPGDVVYTAVSLVKYNLLDESSWGNCTSTWPAGYTGNNTKLKYQSKDCLTKCKARYFNENCGCSPFIYNIDGEYTSCSALQYYECLDSLVVKNGSLTEWPTCGECKSECNRWIYGTSNSYAHGFSDAAIASLRALYPEDNEEYIRANYLSVSIFFRDLSFTEYSQVAASNLTSLLSNMGGTLGLFMGMSVLTVFESIIYAAKVLWTFVSCQRRRYTAEKEREVKMTRNETERTIHCIKRLSVKNLGDLARTMKMDDDTDSFSYTRQNSWNTLTEKDSPGPFITIPMPPAP >PPA16633 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:153659:163152:-1 gene:PPA16633 transcript:PPA16633 gene_biotype:protein_coding transcript_biotype:protein_coding MRWSPSSLRVVLLLVVAATAAAAADSKRVLLLSFDGFRYDLLNATTYVTYTAPNHLSIITGLREDGHGIVSNYFYDPETKEHYDLFNMTKRAGVVNDSLTEHWYGGEPIWITNQKAGGRSVVMYWPAGNAMWKGDPGTASWSKEWSVYGDLTSWMADVDEVVEKLAADEKNTLATLYVAEPDHTLHTYGFFFEGRLLKKIEELDKLFRYIVKRLADRGLPSQATDDIILTADHEYWSAVRVMVVVFPVPPNYRFRILPAPSQFPVLPGLLESTDIILTADHGHSEIRGADHVMCVPEYVIGEVGKDFEVGDHMLYPLTEDFEAAAYANLTKAVKEKNLGVNVYLKKDLPPRFHYSNTSRVGRIVFEPKLGWTTSLNCTNKKLKEQYGEDFKANPFHSSTHGMDPVESEMRALLVLGGPSFGKAKKYSEVPENIDLYVLMAKLLRAKAAPNQGTMEIVGKALESETSMINPATRGVYQVPVVVDSLGFLFFVVPSVIIVGLFFVYAWRRTVIMEDPTWAMATDNRYKPLHEQQMEDAAEFSPPERRREGRGPQQQGGRGNAGLLDSDSEDEF >PPA16643 pep:known supercontig:P_pacificus-5.0:Ppa_Contig34:212647:213850:-1 gene:PPA16643 transcript:PPA16643 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLAEPQKTSKHRGKKAAGQAESSSNERGAEGAVPGDNHAAEFGNAGAWPVDPKHEDSAGPPVRKAPEPVHAEEKKEGKKRRKKSKEKETKDQEGKQVKKLLQPSSSSGHSERAAGKRPKIKDHKVESKDNKPPEKSEDCTTKRNLHMIKTERVGGHTEDEEEAVIAKEEKKM >PPA16696 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig34:564179:565456:1 gene:PPA16696 transcript:PPA16696 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKNSSNKPKVLASKVDKEPNNVAPEKIHPTYYSLILNSRTTMFNKNDLVEIDYKSQALARWSDAVNVRDIEIIEYATDEEVAVLEKFRPTNVPKMAPETDSVEI >PPA16808 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig340:4541:4939:-1 gene:PPA16808 transcript:PPA16808 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGLVKGEDRVNRCADYCSYSGVQARRDNRNFHGYPPQSGVGPAYATARIVCAPRKSDLARSGKPQIALCE >PPA16811 pep:known supercontig:P_pacificus-5.0:Ppa_Contig340:16361:18780:1 gene:PPA16811 transcript:PPA16811 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDYYSRVNRKLASRGLPGPSPELLFGNLREVWSYDKPRSLVLRDWSKKYGKIYGFYEGQRPFIVVSDFAMINEILVKQHENFGARARFVMQERKDGPDTKITEARGHHWKRLRTFDSMAFTPKALRNTFATVEDSATRVVDEMERKQGEVDMLEYFQEYTLDVICKIALGMRDVQMFNNEYLDVCRDILSRPIRHPIFALSALFPALGDAIRAGLMALVGNSATVERTIVVSASRIPNKFFKLMSMMQQTIEKRKLERQKGDNERDFIDIFLDAEIDDSEVEERHNTTSNSLAYLTHFLSNHPDVQQKLIDEVDAFLAENETIEVDKLADLKYMDAVIKESLRLYPLGSVVVARECTNACEIGGFRFELGDMVATDTWSMHLDSDVWGEYAAEFRPERWLEPTDRPRAAFQSFGEGPRICLGMRLAYLEEKVVLLKLLSRFRIEKTTSTNPIKLVGSITVSPERVMVKLMKR >PPA16809 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig340:8574:8912:-1 gene:PPA16809 transcript:PPA16809 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPSSVLNRLNRSPTGSQALSESATDVSLNLVWDPITLLPELCVRSGKPRYCQFLTVPICIT >PPA16810 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig340:11655:11946:-1 gene:PPA16810 transcript:PPA16810 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSVLFLTFVFLLNTVNIVVPFNVPPKGGLCFAAILGDCRRCECPRGRSCRKGKCV >PPA16813 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3409:441:1077:1 gene:PPA16813 transcript:PPA16813 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHTRTAAPAGGWGGEGYHKGQLVVDLQGGFADRASGRLWTNQTRTVVFSTTNAVGALCVTML >PPA16814 pep:known supercontig:P_pacificus-5.0:Ppa_Contig341:5974:7771:-1 gene:PPA16814 transcript:PPA16814 gene_biotype:protein_coding transcript_biotype:protein_coding MSTREKGTPSPPEEHRQRRHSSVISNALGSSSSRVCRAALHSLPIDAPPLLLSANQASINERIK >PPA16815 pep:known supercontig:P_pacificus-5.0:Ppa_Contig341:9194:11017:1 gene:PPA16815 transcript:PPA16815 gene_biotype:protein_coding transcript_biotype:protein_coding MRWITSSGKHNLAPIVGAKYINLNVLPSLLSLLIFFILPSFAQRWIVDLGGLPSLCIKIDKANPTTSNQRKAPSVSRNNNLHLNQYGRPQSHTGSSYSNSSVSSSTSMTGNNNWPLSDTTSVGSSLYYYNNRDLVAFPTDPSMPHFYDRENLRPAPADAMPGDVPPPIDNGWNGYPPQNAPMVDVAPPMAPLPTYAPPHSPRPVLPVDYQAQPLPLHPQLDQVQCQQQEGTRGGACTGGSQCCRPIDHNLNQPPPPPPSAATAVPCTGQSQCRPIDYHYHQPPPPPPSAAAAVVVSPATQTAIATVDRSDRIGRDDSSGISRRTSVSIVGRGQKPAKIKVALKLKSIRAIVNELQDEDDDVVIVMTVPKSALENHVSDQPNLTLYRTLLTVSYPHSIS >PPA16816 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig341:11725:12160:1 gene:PPA16816 transcript:PPA16816 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYLTQNERGVAYPLHCHKHDKVEEIWKEIRVCTAINDRSIVGKRLVNQDGLELNSHDRLIDVGVTHFHVIRLLKE >PPA16817 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3416:178:1410:-1 gene:PPA16817 transcript:PPA16817 gene_biotype:protein_coding transcript_biotype:protein_coding IVYVGLNNQRNGVAIFIAPKYTGIIVEVIRPEVQHALYDRIIGLILDVDGKSFGIVSAYAPQAGLKRDEKERFYEELDMIVRIMAKCDILVVGGDFNGHVGRASDGFEGVHGGRGVGARNADGIKLLEFGDRHDLSILNTHFKKRKSQLLTYHSGKHHSQLDYLLVRSKDRRLVKDTKVFPSECVASQHKPVICDVWMMKDDMSGIKKKELMKVERRVKWWKLRDKEERDKFAVGVAIRGVLSADPLDASTNVDSLWNTMTGRMIECAREVIGETKGMKRKSDDRWFWSDEEVKKAVKEKRNAYWQWHRRKSKESWEAYKEKRRDCRRVVAIAKMKTFDDLYEKLNGPDGEKIVYRITKARDKESKDIQEVKSVKDEMGNILREEKEVKCRWEEYFRELLNVEKGQTRLK >PPA16824 pep:known supercontig:P_pacificus-5.0:Ppa_Contig342:138866:140885:1 gene:PPA16824 transcript:PPA16824 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPFLICLLSLPLVYSQLDCDILDPSCRARYNSRSRSDGLRSRLNSRCNYQDIFLIPGRADASCTRPGTKKLIDIDPRHVHIKPEVIRFPGCFTLEIRNLRIADDQNTIGNSFFAKAEYQWWNVKDFSNLNYYCDVCESLTEIDRTGRTEDSLAAQLKGINCPQRAGLYTFRKEFCFNDWSSFDSDGDCEMDFLQGDKTDYKGAFASLQQIGYGSVIAKIRLAHNATGLVARKKELKEEQIEQSVGKRTRSAKINMGCEQWTRRLSAMAPL >PPA16821 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig342:128044:128691:1 gene:PPA16821 transcript:PPA16821 gene_biotype:protein_coding transcript_biotype:protein_coding MMWAAGVLAALSSISYPSISAHVSLLTDKDKQGTVQGVVTGIRGLCSGFGPALFGFIFYLCDVDLSVDGDGTGHMGVGPAFPAPRIHPVPDSNKILTPRRNETETTWMDKPAFEWMLIAHC >PPA16819 pep:known supercontig:P_pacificus-5.0:Ppa_Contig342:121781:125145:-1 gene:PPA16819 transcript:PPA16819 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHALSEEEYEEMKKETVEELKEISETLDKMSGGAVSTLDSNSKEKQMIREAIAAAFQSIHNNQSPNKKTIALLRQKLSQVGSDYSIKKIDNETFLIRKAAMPPAHCEDSKKDRTRSNNVKIKKEDGGLKKKKIKKEYEMEREIKKELKEKRKRIKKEVKTEDGTYLKSVKKEVNQDNEGELMKIIPISDPDIPDPWRAHLSRKRMRIYYFNRETDDATVVIPYKVVQELDGLKKSERNNVVHAAIKANEPLEGFPVECADDMILKCALVEFVNGLVKRWNEKKERKMKEIKESPSVKNEKEVKRKEKNQGNANFIDKIPPIESKRKIGIAQNLLKDILSHPKMGTPSTSKDEVIESVKKNGETEVVEIEEEEDDIQIIDPSSPMKKDEDEWDDPYDGIIEIDIPRPPIPDEIWDEYRKPAEVLQMVLAETPSAIYSEFNNRFNNPVGMELE >PPA16820 pep:known supercontig:P_pacificus-5.0:Ppa_Contig342:126173:127710:1 gene:PPA16820 transcript:PPA16820 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIILGIKGLLSFLSAPIVGALSDIWGRKIFLILTVFCTCMPIPCLKISPWWYFSLFSISGLFSVTFSVVLSYVADITEKHDRSSAYGLVSATFAASLVTSPALGAYLGERYSDGLVVAVATLVALADVIFIVIWVPESLSRRLSLDTLSWQHADPFSSLRMVAHDSTILKLSLIVFLSYLPESGQFSCFFVYLKLVVGFPPEAVAAYIAMVGVLSVIAQTWILLILTKKIGTKATITAGLSFQLAQLTWYGLGTQ >PPA16823 pep:known supercontig:P_pacificus-5.0:Ppa_Contig342:135315:138249:1 gene:PPA16823 transcript:PPA16823 gene_biotype:protein_coding transcript_biotype:protein_coding MESELEGLAASLGGALKVDENSLGEHPRFSHQYKNAAKASEQQARRRNEALDRIRSAREDKFDRYRQIAEMEDEGESVSSEEARRLYDGALMTSEWLIDIPEELTQSWISVMIPTGKRALVIANRGVTKVYNKGGRLLRSLDSRLPGGQKGGQGKAKGHSCMLDCIVNLEKLQFFVLDVIQWNDMTFYESPFDLRRFYLNSRMEENMQLGHTHPKSRATFHLLPSCVATTEAIANMMSTSVDFPMDGVLFYHPEVLYTPGQSPLVGWLKPWMLPEILNVPIPEKFTCGAPSSALKFIEQFNEENNFSSQVKKNAMIE >PPA16825 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig342:141452:142115:-1 gene:PPA16825 transcript:PPA16825 gene_biotype:protein_coding transcript_biotype:protein_coding VRSQAREAAQCVLAEVRAQKEVKTAELTARIQCEMRNELMKSTMELKRDGIQRKDEGQKEKENVKKRSHTIDTSKHVERGMEALKKLKEERRMKQIEKMRTVKRMEKAKKEAIKISAPSK >PPA16822 pep:known supercontig:P_pacificus-5.0:Ppa_Contig342:129551:135104:1 gene:PPA16822 transcript:PPA16822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nol-6 MDTGPETSGSAFVLQCEQLLEETRVDKEKRKKVIGLANQIVKALNSAKPKGHKGNYKERIWLKKLGVSFPLLLNDEQIEKQLTTVEEYSWMKPEKIDIIDDSTIRVEDVNEVFVRLVVTMDKLLSSLLPVLKLLDTRDFLNGIYHTKRALVLCSTAAIIKSTVKGELSFVCERGDSLLPILRVSNGDLSVDISITSGPEWIKSVRFTPAIGNIRPSWAHGEKAQSKDSSPSPHLNQLLALSIHESSLLLSIQSRLIHKPKLQDAVFLLQKWAKTRRLRGLTPLFIRGWMIYLLSVGKVNEKKNMMTSVTSFLQSIANVVSGTVVSVDGEKEGLSGSTICPITLWDESGNVNMAGDITERELERIHVESTRTLACLGDLSTASFLFFDRISFIRIFDHYIQLSVSSSVLSSIDLVTDTVSQRDKLNSFIRILSSQIEKGMGERIEYWDVAVIDDFDENEMECMVEEWSVSSERPKEKKEYRILLGFNPSQQWSSPLTMGPMANSPEVASYSSFWKGKAHPDDCVFAETRGGVLKGSSLIPLDNRLPVYKPSVYVQMKLEHSGKWGEDEKAIEALTAAFYIKLVEGLKDEVVFRVEIMNGKMHAMLRKRVETLKNAGASRGNIQPAQHTLELFETKFVREQQLCSSLGGLATAYPAYSECVLMMKRWIGTNHLSPFINDFTMELLVASQFTEKTKKSIPKSGWNAFTRVLNLITSHNWALKPLIVDLMSEWNDEKRARIESSFLSLRPVLPPMVIVCNEDEKGCVWTREKPDPVILRRLISLASQTLSVLMENVHSEKPIDVTRILTRESWRAYDVVLDLVTPLVSRLCKAGGRPWKGSMAVIDWDPVEGFVDEMNAHFGHVAYFFFNRYRPASIGVKFKPHEDGLAVSLTRCGGHKRDSNGRLIVNREEVMEGIKIMAGPLLAKTFIPGLKEKLEKIED >PPA16827 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3421:76:895:1 gene:PPA16827 transcript:PPA16827 gene_biotype:protein_coding transcript_biotype:protein_coding PTQPSTTTLQPTQPSTTTLQSTQPSTTTLLPTQPSTTTLQSTQPSTTTLQPTQPSTTTLQSTQPSTTLSRRSRRTTSADAAVYHHSSVDAAVDHHSSVDAAVDHHSSADAAVDHHSSADAAVDHHSSVDAAVDHHSSADAAVDHHSSVDAAVDHHSSADADVDHHSSVDAAVDHPADADVDHPADAAVYHHSSVDAAVDHHSSVDAAVDHPAESAVDHHFSVDAVRRPPTLQSTQPSYHTSSADAAVDHHSSVDAAVNHHSFQPNAAVGPPLF >PPA16828 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3422:1010:1522:-1 gene:PPA16828 transcript:PPA16828 gene_biotype:protein_coding transcript_biotype:protein_coding CGGRRLRRLKSGGRRLRRLKSGGRRLRRLKSGGRRLRRLKSGGRRLRRLKSGGRRLRRLKSGGRRLRRQKSGGRRLRRLKSGGRRLKSGGRRLRRLKSGGRRLRRLKSGGRAAASTEVWWSTAASTEEWWSTAASTEEWWSKAASTEEWWSTAASTEEWWSTAASTEEWW >PPA16830 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3426:31:565:1 gene:PPA16830 transcript:PPA16830 gene_biotype:protein_coding transcript_biotype:protein_coding EDEETVVPVAAVFIDTIGGALEETSWSLRKGGRARQESIVRLMGQLKRLLGTAIVCTNRIVTGADGSIKAALGAYWNQTISQRIILYKAPSGSFEARHFPNERIANDQVVRYT >PPA16831 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig343:557:1024:1 gene:PPA16831 transcript:PPA16831 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLVLSALFALYRLTYYSNGKKSEKFAFTKKGQRLTFHQKADECGLKPWNIQTFENVKQLSNVVVTLDGIDRVSYEVGDDLKAIPKDRHGAICKGDASVYPRLA >PPA16833 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig343:5276:6867:-1 gene:PPA16833 transcript:PPA16833 gene_biotype:protein_coding transcript_biotype:protein_coding MMAYFMYMYSDFCHNRTAHIYILLVLLLSSFAIPCFAWTLPCFVLIGLYAALPTEYTFTRQIFIALYDIWIGVSSATAISVFPFIDHRYRKAAARVPVVRLLLPPGYRKVAPVKIKSEREIEKDKVDTTDVYFNLLSIDLATLKRSVPAGKDLIGE >PPA16832 pep:known supercontig:P_pacificus-5.0:Ppa_Contig343:1446:4286:1 gene:PPA16832 transcript:PPA16832 gene_biotype:protein_coding transcript_biotype:protein_coding MRWFLLILSLVATANSLKFLVYATQYAKSHSNFLARLSDILIDEGHEVVILSPIMNSLIGGAMTKKARVIEIPQCKEAALMEDIMNNQVAQNVWTMKHSLQMFWERKDAFTMWGHVCNSVIGYPGLIEQLKAEKFDAAITETFDFCGPVVFHILGIDKWAVTESVALKDAMMSGASDAMSFFGRLSNVFSVTIYDMFMSLVTPSIEAIIKERLPDLPPYDELAASNSLVFFNSEPLVDFPKLTSARIIDIGGISVSTVHKPLNKTWSDILDLRPKTIFISFGTMAKAFAMPEEYKQTIRETIRKFPEVTFIWKYEKPEHNISADDPRLTAFITHCGQGSTTESIDAGIPLIVIPVMGDQTRNAYQVERNGIGLRLDKTDLADEKKLEEAIREILGNERYRTNALKVKSLVADRPFPMKDIFVKNMEFLAKHGPLRQLDHYGRHLTFIQYYLIDNAIEYARLFNSSHEHITIRHYLSNFSVLRLLEVAL >PPA16834 pep:known supercontig:P_pacificus-5.0:Ppa_Contig343:11069:13415:-1 gene:PPA16834 transcript:PPA16834 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTPPAVVPENSVSTEISRELETLSALERLPQEIVLRIFGFVPEALLDLRQTSRMFRSRADEYALQADKLLLVEDLNIDMEGAEVELWAYVPSELSPLFERRIKLLQFPISLLKREGGEESLEMKYVIKYDIDDHDSSSEFGMCMGKQIGKATLQEDNIDPNLLTPIFRVLDGIKIRRLEIKFITLCEDAAKFLLSACKSHPVEQLSLAVWNVSATNRVDLLLELSSLVKSLHIDQNDGDGRYDDRFLFGEYDRDWEPIILEMLSRRCDKLHIDNRFYQRNLGKREVDALRELIPKFNKPVWFEATCVDYPYELEYEQNSYSTFVGPTYPYAVRLSVKHCSRVDEDFE >PPA16836 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3432:358:1507:-1 gene:PPA16836 transcript:PPA16836 gene_biotype:protein_coding transcript_biotype:protein_coding MSESNVAQKLKPRAEFASLFVDCPPHDGAKEGQWYVKMISDVVRVGEHWVVLEENAEGADEASHSFRRTVKPKACGY >PPA16837 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3434:377:795:-1 gene:PPA16837 transcript:PPA16837 gene_biotype:protein_coding transcript_biotype:protein_coding VLPTPQTPRVSAEQKMTIRDSVASATGVASPHQPSPSPISSSLHRSALHSDYAQLSDCLGGENNNSS >PPA16842 pep:known supercontig:P_pacificus-5.0:Ppa_Contig344:16644:20349:-1 gene:PPA16842 transcript:PPA16842 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKVEDIPAQPSDPPVIRIPKNVKDTPTNTLKCSFEVTKKSKAMDGKYRLYDQNYTTVPTDLINCVGDQFKFGAEEAVDAGETIMIRCAIEIKFLCDYDVKKHFDKPRPNKESPDIAGGKATSLKCPPEIPHLMVVDNGKDRPILNPVFKCEYFGGEPVWTVNSTDQLTKDGPPVYCTNKLPCNELNPISESNIDMGFVSQADFLPKCHAEGTMWVDSSQVIGDLECDGMTGEYYYNATGQGTVKVNANTKFVCKYREEVVVEDVNAERKQEMFVGITAAAGGIGGVVALVALFVTVFCSFKVRKERRKKEAENHVAFMKYCATVDERKKAKVGKEILESPDPEQAWTPATTAARYECMLPKNIELSPRIGY >PPA16840 pep:known supercontig:P_pacificus-5.0:Ppa_Contig344:7894:10546:-1 gene:PPA16840 transcript:PPA16840 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVSKKKQDVKSADAKVLCIRGGTEISLFNRTRSGCHSIRLLAGGANDHVHGSPRLPALRSWTNQKTIARISNYNSSGDVIKVVDTRTNRSLPKMRSRKVVPASPPSQNHIQLDPSTHDEPVAQLHKCAFQVIDAEDELTYLWMSHDTIMLTHATRLPNSPLLLEVSDGAVWTVISVNTEEYRFFEAAGPARYPITPAPRVTDVETVGPTDNESITITRRPHTMDTHVLIGGVYMSVSTLGLTP >PPA16841 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig344:11352:12175:-1 gene:PPA16841 transcript:PPA16841 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTNFATPSPTSTPAPPVLRITTVLLCAYIGIGGEQEPHLLDIQDKNFASARQLYIPYSDKRK >PPA16839 pep:known supercontig:P_pacificus-5.0:Ppa_Contig344:4367:5922:1 gene:PPA16839 transcript:PPA16839 gene_biotype:protein_coding transcript_biotype:protein_coding MKITLLLLVIIGLSLPAVIKQRAHKSVSLRARLIKEGTFTDFLAQQNLARAQSISSNSAVASQPFIDYYDDFYLGDIGLGTPYQNFTLVLDTGSSSSTFVKTSQPFVIFYGSGECRGYIATDVLNLAGLVYPTQGLGVATSVDSVLGQQPIDGVLGLGWPALAVSEITPPIQNLLDQLDQPIFTVWLDRHVQPSEAKLGGLITYGGLDTENCDSQIDYVTLSSKTYWQFPINAFSIGSFSLNVKAEAISDTGTSWIGAPPAAVKGIVKATGALYDFHNDLFVVPCVGTYPDMVFTIGGKAYNIPSSEYVIDLELGKGNCALTLLEGAGGGFGPSWTLGDTWIRTYCQIHDVGQGRIGFARAFHSEIN >PPA16843 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3442:369:1462:-1 gene:PPA16843 transcript:PPA16843 gene_biotype:protein_coding transcript_biotype:protein_coding VESWLSAKEEFLHKGELGEKPDEVTSLISKHETFEHTLHAQSDKLNALVADGEKLANLPGGEYTTAIEDRLEAVKSRHARLLDACERRKALLKDSL >PPA16844 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3445:557:1257:-1 gene:PPA16844 transcript:PPA16844 gene_biotype:protein_coding transcript_biotype:protein_coding YNKGNYFVVQNPHSHLAGWLAGWLAGWLAGWLAGWLAGWLAGWLAGWLAGWLAGWLAGWLAGWLAGWLAGWLAGWLAGWLAGWLAGWLAGWLAGWLAGWLAQSKL >PPA16849 pep:known supercontig:P_pacificus-5.0:Ppa_Contig345:18263:19420:-1 gene:PPA16849 transcript:PPA16849 gene_biotype:protein_coding transcript_biotype:protein_coding MFIACSLLLSCLASLVRAQCSGGIPASEVNGFLTSHNRLRQSISSGNYVAKGRRMPAAKTPIPNLAWDCGLERSAQSVARTCRFDHSDYSKNLGESLFMSGGSIYGQGKAASDAWESEFQVKGWPGVRFTQAINDLGVGHATQMAWARTTRIGCGMAACESGRVIIPQKYDLRSSIGDMSTITNQSTFCLENRRKNPTDDDGGSGMTVGELFMNFLLTLQKTRKSK >PPA16847 pep:known supercontig:P_pacificus-5.0:Ppa_Contig345:5005:15417:1 gene:PPA16847 transcript:PPA16847 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSQIGIWTPRLQARRSGRGEKEGGFMSAVRSVSDIGKSFPHGKNIAKATDDDDAAKSGTNLELPTVESTPRDMTKEGSCSDVSKGNTHFLKKLPAGVEASNVLIGEVDFMQHHICAFIRLKTAAVLGDLIEAAFVLSKHHLTKQARKCAEIKGRLPRISNATRRHIDSSFQQVPVPTRFIFVLLGPKGHAEHYREIGRAIATLMSDEIFHDVAFTARKREDLVDGIDEFLDQVTVLPPGKWDPAIRIEPPSQLPSQDKRKQVGKEYMLEEGPTAPHSSSSKVDHVHEEEGHGNDPALKRTGKLFGGLMMDIKRKAPHYLSDFTDALNMQCVATICFMYFALLAPIVTFGGLLEEATHQRMAAMENLIGGPSSVALPFAGSLCGIIYHLFAGQPLTIIGSTGPVLVFETIVFELCTKMGIDYLTFRFWVHIWTGSIVMLLCITDASALVSFITRFTEESFATLIAVIFIYEAIMKLVKINGQLDVIEYSKLDAHAVCRCIPAELTMTDTHREKVSNLIVAKSYNITMLASGPDYSMVKLYQCREMYGKFEGDGCYPLCDKLLMSIMLALGTFTLSMVLKLESSDPFPQLGIKQAYVPAASSTNIAYKVPFCNPVLTAFGHDPRRFETIPQVGIKHFPQVAIKLDSNLWELLDSKLIPDTRGERKCRNSCYFPSRVRQTFSDFAVMIAICVMTTVDILVGINTPKLNVPSSFRPTYDGRGWIIPLFHESNPLWTCALAFIPACLACILIFMDQQITTVIVNRKENKLKKGCGYHLDLFVLSILIVIVGWLGLPIYVAATVLAINHINSLKVESESKAPGEVAQFVGVREQRLTGTVTFILIGLSVTMTGALSKIPMPVLYGVFLYMGIAALGGIQLFDRILLLFMPMKYQPDTIYIRHVPIKKIHLFTAFQCACLAMLWTVKSIKSTSLLFPVMLVVMVGVRKAIEVFFSKNDLKYLDDLMPDFSLRKKEDQKRKRNDDEPIALDLDENHATIHAVTTEAHLHIPTNSGDVIKIPLASLKEPSHQINISKEVNNSGIWQHIQQTDSKNALNKMAPKTSERNEHDDIARGTEEEDEDAIMIKVIRPSPRGSTHNIAQDEHEPLLE >PPA16848 pep:known supercontig:P_pacificus-5.0:Ppa_Contig345:16380:17379:-1 gene:PPA16848 transcript:PPA16848 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRAGEDCTISGERLPIRALRSIEDSWRKLVHGNRILRREGKDGVGRLGRQSSNQFVWPGVVLTRAIYDSGVGHATQMAWAPKDNKDGLRNGLMLGAHDSLSRATNGMRNGNYIGQNVYEPAGAPTIEEPSPDETTPEEEEEEDTTENADEEDTTDNADNEDTTDNNDNADNTDNEDAKHTHRHHHHYDDVKVPLGELVKNFFIALHKTRTSGTS >PPA16850 pep:known supercontig:P_pacificus-5.0:Ppa_Contig345:21730:22561:-1 gene:PPA16850 transcript:PPA16850 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAKTPIPNLTWDCGLERSAQSVANTCRFDHSEYSKVFGENLFMTAGGSFTGQGKAASDDWESEFQVYGWPGVRLTKKDYESEIGHATQMAWAKTTKIGCGMAMCQGKRRVMVVCHYLDAGNYIGQNVYEPVGEIPREIIVDVGDDTGTMVSDLFKNWFDLE >PPA16853 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3466:26:646:1 gene:PPA16853 transcript:PPA16853 gene_biotype:protein_coding transcript_biotype:protein_coding STQPSTTTLQSTQPSTTQPTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTQPTQPSTTTLQSTQPSTTTLQSTQPSTTTLQPTQPSTTTLQSTQPSTTTLQSTLPSTTTLQSTQPSTTQPTQPSTTTLQSTQPSTTTLQSTQPWDHSRVDLQACKLSTLQSTQPSTTTPTQTSTTTLQSDAD >PPA16854 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3469:658:1067:-1 gene:PPA16854 transcript:PPA16854 gene_biotype:protein_coding transcript_biotype:protein_coding FLWLDYVFGGPLVILDVIVGKDWLLPPQHCSPGEPCKRNVASPKRCQSQKTTITSKGMLYSWLLFFRDDKYQE >PPA16855 pep:known supercontig:P_pacificus-5.0:Ppa_Contig347:700:1987:-1 gene:PPA16855 transcript:PPA16855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small subunit ribosomal protein 27 [Source:UniProtKB/TrEMBL;Acc:A6YNH6] MPLARDLLHPDSEKERQKCKLKRLVQHPNSFFMDVKCPGCYKITTVFSHAQTVVVCVGCNTVLCQPTGGKAHLTEGCSFRKKQH >PPA16857 pep:known supercontig:P_pacificus-5.0:Ppa_Contig347:21220:22731:-1 gene:PPA16857 transcript:PPA16857 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVLSIFCSRDNVVCLPKQLAQSFGNMSQIAFYVMDVEKVEDLHRGVGHGFVSKKHELADVWLVRSDQSGGNRKLAPGIPSDIHR >PPA16856 pep:known supercontig:P_pacificus-5.0:Ppa_Contig347:2724:5781:1 gene:PPA16856 transcript:PPA16856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-atp-3 MASNVLRRSFSTSNVSLASQLIKTPVQVHGIEGRYAAALYSAAHKSNNLETVDKDLKTVREILKENPKFRDFVLDPTLKATKKKATVTAIAQKLSLSKESANFLGLLADNGRLNKLDAVISSFESVMRAHRGELFVQVTSAEALSKSHEGALNDALSKLVKKGQKVTITYNVKPSIVGGLIVNIGDKYVDLSIASRIKKYKEVLNSAV >PPA16859 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3476:54:827:-1 gene:PPA16859 transcript:PPA16859 gene_biotype:protein_coding transcript_biotype:protein_coding PTTGGTVITEAPTTGGATLEATTEQPTTGGTVITEAPTTGGATSETTTEQPTTGGTVITEAPTTGGATSEATTEQPTTGGTVITEAPTTGGATSETTTEQPTTGGTVITEAPTTGGATSEATTEQPTTGGTVITEAPTTGGATSETTTEQPTTGGTVITEAPTTGGATSEATTEQPTTGGTVITEAPTTGGATSEATTEQPTTGGTVITEAPTTGGATSEATTEQPTTGGTVITEAPTTGGANFGSHDRAAYPWEEL >PPA16860 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3477:493:1545:-1 gene:PPA16860 transcript:PPA16860 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSEGAGAPPKKTTPGTEGAGAPPKKTTQGIEGAGAPPKKTTPRNREIGNFLRGRLVSLTLSTGRVARALSFSDWFDTGLTIVDSIDTAIIMGLKDEVDEATVWIRDSLSFEK >PPA16864 pep:known supercontig:P_pacificus-5.0:Ppa_Contig348:5973:11243:1 gene:PPA16864 transcript:PPA16864 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTQEKITQRLQGLDEKPESIQTCSAWLLHHAKPQNLDIIFDTWLREIYREKSSKRIINLIWVANDVSQNSRKKGSEVTTAFSSRIETAFKHIRKSPQDDGVDKCVSRCVEVWDLRRIFSREQLHRFESALGLKRNSAGPSTSLQPESPEKIVKAPEFDVEKMKQLERATREMAEVLRRLEKAPSSDEKIRTELAQFPESTGNTSYLQHVRNEKEARSILEKTKEAGPLCKEYCDSLANEVLDRRNLQNHLNEVLETVKLITTRNNTILEDLKKREKIMKNHLNQVEKSYDSLPDFIFIMGVIEKIKDIEAEMARTQKNKATEYHLGLLKAKLAKLRTQLLEPTGKGGGKGEGFDVMKSGDARVAMVGFPSVGKSTLLSAMTTTESVAASYEFTTLTCIPGVIHHEGANIQLLDLPGIIEGASQGKGRGRQVIAVAKTADLILMMLDAGKGEIQKALLEKELDSVGIRLNKKPPNIYVKIKKVGGVKFTNTVPLTHCSEKLIMHIFHEYKIFNADVIFRDDCTIDEFIDVVEGNRVYLPCLYVYNKIDQISIEEIDRLARKPHHIVISCEMNLNMDYLLECIWDYLALVRIYTKKPGNAPDLGPEDGIILRRGATIKYACHALHRTLAAQFKYAIVWGTSTKFSPQRMISLLIMCWLIGHSLAAYAVSDLPKFGESEVVYFSQREDFDSRMDHPLMQEINLTAMSEPGIALDPLGPMVPCSHIPAEFIRCDNIPKHTVAVNESGCPYFGGTKASEIAWTNVNCRYTGHYFLSTLLYSLFLGILAVDRFCLGYSAIGVGKLMTLGGLGVWWLIDIFLLATGNLMPADNSNWEPYY >PPA16867 pep:known supercontig:P_pacificus-5.0:Ppa_Contig348:16258:20401:-1 gene:PPA16867 transcript:PPA16867 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAIVVVAALALYIYIYYEKSRHYWSNKKIPGPPSQFLTGNLKELWYTETPRVLVMRDWTKKYGKVYGMHEGQRKVLVVSDLDMLNEILVKKFDSFHARMPFPLQRPEEGSKTHIIEAKGARWKRLRTLGTFGFTNKALKQMRDTVEESSLLVVRNLEMKMENEINMLEYFQEYTMDIICKIALGQKDVEMFNNKYLQICKDVFMSPINNILNVIPSAFPFIQTPLFNFIEFAGKHLKIPFVELMLDLEEAVAERKKQREAGQESSSDDFIDIFLDAEIDGGENDDVGSRRLVFDEIVSQCMVMLLAGFETTSNSLSYLTHFLANHPDVQEKLRDEIDRECNGESVEYDSLVNLKYTEAVIRESLRHYPLASFVVNRECVKATQVCGHQLEKGDMIMTDTWSLHMDKEIWGDDAEDFRPERWLEESSRPRVAFQSFGEGPRMCIGMRLAYMEEKIILAHLMKNFTIRKSENTNPLQLVGPLTVSPERVMNSLPASSFSPSSLQSSPPLPTTRLSCHHLPYQGAVSVSFLLFLLLLLMLSFGACLHQLLRSSSSFYDRLLLLSTVQNKNRVPHEFMDKNSDPDEEPINEKFVARLKDIDGEVKNSRDKGLDTLGLDNKVNVGFIGFSGSGRTTLIRSILGCPFSLNEKGETIVDKRSTFKKSRSVHFSET >PPA16870 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig348:26380:26650:-1 gene:PPA16870 transcript:PPA16870 gene_biotype:protein_coding transcript_biotype:protein_coding QIRGVNKDNLLKSVDRIDRSPTTSNSGGGIMESLQKEIEKRRTVMNESSDDEGTVARPYYHQLIVFSFRIDRVG >PPA16866 pep:known supercontig:P_pacificus-5.0:Ppa_Contig348:13062:14621:1 gene:PPA16866 transcript:PPA16866 gene_biotype:protein_coding transcript_biotype:protein_coding MPECKLGINDKLSIRDTSRIAVSSGTLDDSKPARVSVAIDDLQFDQCVRLGKFETEHAISFIPPDGTCELMKYRTTSDIKLPFRVIPLVREVNKSKMEIKVVLKADFKPNLIGQKIEVRIPVPPHTSGVSVICMKGKAKYKSGDNAIVWKMKRLAGGKEVQCSAEVDLLTFGNVANRKWNRPPVSINFEVPFAPSGFKVRYLKVFESKLNYSDHDVIKWVRYMGRSGIYETRC >PPA16862 pep:known supercontig:P_pacificus-5.0:Ppa_Contig348:1342:3640:-1 gene:PPA16862 transcript:PPA16862 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAHYVSDWHRYNLKRHTVGMPPISLEEYDTKVAVFKTVPEPESSSHFCTPCSKSFSSQNTYDNHCKSKKHVEELNRTKKKGPHQPRKKKTAGSVVGETKEEEKEEKMEVEDNEEGKEETPKEEEEEVDSDSESWHTDYGSDEEGDEDINLNETEALPISSCLFCHKESSSLESSLDHMKTRHDFVIPDRQFCIDKEGLMEYLCLKVGAGRMCVFCPERRAGFPTIDAVQQHMVAKQHCRIDRSAEAMIELADYYDYEALYEDIESKTSGNSDDDGWTLVLPSGAKIGHRSLLRFYRQHLKGVDYDGKKGRLAIEKAKGVYSALAWTGCTGVAAHRVAKDLHFVARVRRRFELRVGLTHAIFDGANERVQNEETIWDTMCRLYDPFFSLSLSFSLMLFPMTRI >PPA16863 pep:known supercontig:P_pacificus-5.0:Ppa_Contig348:3973:5607:-1 gene:PPA16863 transcript:PPA16863 gene_biotype:protein_coding transcript_biotype:protein_coding MQADDVVWQVINQTHCSYKVKTKNHNFCKNEYNLTGVCYLYMKVIERSHYPRRLWEKVKLSKNMQTALDQISENLLHWSEFVRHKCKARLIRIHQYLIRMRRMKLKARQQKIITLPRKTERREKRREEKALIAAKLDTAIEKELLNRLKQGTYGDIYNFRQEAFEQLLDEQEKELEREVEMEGEEGGERQFVEDFAESDEEDGDIEDEGGHWTPPDTDDEDEDDEDEEEDDEDEDGEMEVDENEEEDGEDEEESDEEPAPPPKKAKGGKKDAKTKKSKPLGAPKKRHIEIEYEEETAPRRKVKN >PPA16868 pep:known supercontig:P_pacificus-5.0:Ppa_Contig348:21245:23422:-1 gene:PPA16868 transcript:PPA16868 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLLVLAYLLFLLYRHWDDKKNYWSRRGIKGPKAEIGFGNINSLRDFNRPRSLVIRDWTKEYGKVYGFHDGYRKILVISDLEMMNEMLRFPMQGEEDTPKTNLVESRGIHWKRLRALASYAFTNKALRHIEKTVEDSSLMMIEELKKREGEVNMLEFDSGSPSSGDFIDIFLDSEVESSQIESVGESKVSRKLVFDEIVSQCIVMLLAGFESIEYEELAELKYTEAAIKESLRFYPLASFVVSRECQKEIALGGIQLQKGDNILTDTWSMHMDKEIWGEDAEEFRPERWLEESSRPRVAFQSFGEGPRMCIGMRLAFMEEKTAIAHILKNFIIKKTINTNPIKLVGSMTVAPERIDIVLEKRK >PPA16865 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig348:11812:13026:1 gene:PPA16865 transcript:PPA16865 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGLFVYNHKGEVLICRLFRDDLTRDAVNAFRVNVIHARQQVRSPVNLIGRVSFVHRKTDGVWLVAASRKDANAAAMVEVLRRIAITMHSYFGKLTEEAIRSNFVLIYELLDELIDFGYPQLTDPSVLKTYIMQSGVKAVTQEEQKQITSQVTGQISWRREGIKYRRNELFIDVVEHVNLLMSAQGKENDMISFFIEIPKPRVNVKFCLHT >PPA16869 pep:known supercontig:P_pacificus-5.0:Ppa_Contig348:24445:26004:1 gene:PPA16869 transcript:PPA16869 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mlc-4 MASRKTVNRRARPQRATSNVFAMFDQAQIQEFKEAFNMIDQNRDGFIDQNDLQEMFASLGKEVKEDFLDAMVNQAPGAINFTMFLTLFGEKLTGTDPEDVIKNAFQCFDENNSGVISEDRLRELLTTMGDRYTHEQVDDLFRDAPIKNGMFDYVEFTRMLKHGTKEKDEQ >PPA16871 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3485:179:1279:-1 gene:PPA16871 transcript:PPA16871 gene_biotype:protein_coding transcript_biotype:protein_coding VHANRERTSQQRVIKPEPLDDGYESQLQQQQPARRAGPGRPPGSRTMNALLAAQHHQPQQQLLQPRRDEQQMQQQQQPERREEQPQEDNVNGGGMSDADDDDLLKFLQGEMPGGIPPQDIHVAM >PPA16872 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3489:46:577:-1 gene:PPA16872 transcript:PPA16872 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVEASDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVEASDTIENVKAKIQDKEGNPP >PPA16878 pep:known supercontig:P_pacificus-5.0:Ppa_Contig349:11824:13238:1 gene:PPA16878 transcript:PPA16878 gene_biotype:protein_coding transcript_biotype:protein_coding MGIILIVLALFGFNISYHDLIYYIGLFTVLSKAWPLLPVVRKKLLGEKPNKLSEENPEKDVVYLFQKRSSFTARGSNNQLPFIELNGEAISDSQLIIKRLTEKLQLETYPDAQTAAIGHAIDRMIDNHTFNLNVHAKLDKLGAMIWSMPSKVPSFLTPVVAYVGGKIMKGKLENRVKTSIGSFTDEQYKDLLRNDYLQLQTILGTKKYLLADTPTAVDCTVLGQLGVAYYAVPSARVYRTYVHELLDSDELKDLNEYLTRTRDTIYGDEFFDPEARWFTTVKDTLPKITESSV >PPA16875 pep:known supercontig:P_pacificus-5.0:Ppa_Contig349:6758:7131:1 gene:PPA16875 transcript:PPA16875 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMLISKLPLIDEEIATLSAAAKVPAMKFRELLISPEQDLAKLEAQTNAIITSLPDDVMKELDEHREKVIRKLGLTMPHP >PPA16882 pep:known supercontig:P_pacificus-5.0:Ppa_Contig349:21070:22554:1 gene:PPA16882 transcript:PPA16882 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKLLENNYKEDLVYLFQRRNTFSEHGQNNKVPFIELNGEQYCDSQIIIKRLIQIFKLKAYLDEQGEGIGRMLDNHTSSLLMIAKKAEVKNILTTISADKVPSVFLPLVTSLGGWYRAKQMGKRAAASIGSFTESEYNELLSSHSITFINDLTQLQNILGSKSFLLGDEPTVADCTALGHFGSALFYLPRSSGIQLNSLHLKAITLKRVKIRIFEIEFHFTSTIIWIQLNSLPLKQYVERVKICIFGNEFCDSK >PPA16880 pep:known supercontig:P_pacificus-5.0:Ppa_Contig349:16585:18766:1 gene:PPA16880 transcript:PPA16880 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCDCCCSVSSLVYLVGLFTIASKVIPFLVKKYKGNKPAELQEKNFKKDTVYLYQFPGTPTSSSLSPFCIKIEAFCRLHNIKFERRNTLSERGSNNLLPFIELNGEVHSDSQIILRRITQIFKLKAYPDEQTASIGHAVDRFIDNHTFNIILMGKSTVFGKCVGVMAGDKVPSFLLPIVEFLGGKFGGNMLFTRAATSVGKFKEAEQRELLRNDLLQLQTILGKKKFLLGEEPTLVDCTAFGQFGSHYYAVPSARFYLHDVLESSEFAPLKDYAERAKNRLFGNEFCDKK >PPA16879 pep:known supercontig:P_pacificus-5.0:Ppa_Contig349:14060:15748:1 gene:PPA16879 transcript:PPA16879 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCDCCCISSLIYIVGLFTIASKVIPFLVKKYKGNKPVELQEKNYKKDVVYLYQFPGTATSSSLSPFCIKIEAFCRLHGITIERRNTFTERGTNNLIPFIELNGEQHADSQLILRRITQIFKLKAYPDEQTAAIGHAVDRLLDNHTFNLILMTKTPVLGEVIGTMVGDKVPSALLPVVKFLGGKFGACKMFKRASTSIGNFNENEYNELLRNDLTQLQTILGKKKFLLGDEPTLVDCTAFGQFASAYYAIPTARFYLHELLESSEFAPLKEYCERAKTRLFGEEFCDKK >PPA16874 pep:known supercontig:P_pacificus-5.0:Ppa_Contig349:4367:4764:-1 gene:PPA16874 transcript:PPA16874 gene_biotype:protein_coding transcript_biotype:protein_coding MEILPISALDGCECPDLPSEAPLPGYVSTGVAARTETAPACDSYNYKSRLSKAQAPRILRLVTGSANRAVYCAMGTWVNIIDSATTYNEYAVTAATCVAIN >PPA16883 pep:known supercontig:P_pacificus-5.0:Ppa_Contig349:22683:24226:1 gene:PPA16883 transcript:PPA16883 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSAQKRHIYVLKVIPFLFKLVKGEKLIELQEKNYKKDVVYFYQFPGTPTVSSFSPFCIKVEAFLRLHKIKYEIIIRRLTQIFKLKEYPDEQTAAIGHAVDRLLDNHTFNLRLMIKTGVDKMIREMNSDTVHPVFLPIVASLGGWYLSNKMVNRASVSVGKFKEYEFKELLRNDLIQLQTILGKKNFLMGEEPTTVDCTAIGQLGSANAIPSSRYYYHDLLDSSELAPLKEYCERVKTRIFGDEFCDRK >PPA16873 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig349:978:3420:-1 gene:PPA16873 transcript:PPA16873 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVFLLIGMVLLFLFYRHHNKRTRHWSDRRVPGPKPEFLLGNLRAFWTAGKARAVLLKEWTEQYGKVYGYLEGQQQIRVISDVPLVSEIFIKRFDYFHAPAKIGLQGADNSDHIHMAQARGAQWKRLRTLSTDAFSVKAIRNVFPTIKDSARQIVKFIEKKEGEEIDAQRYFREYTMDIISKITLGMDDCQMFENEMVGWCSEFFSAPRNSWIFVLAAVFPSMVQHMKILFFVLFLFGDIPIVKLSKHIQKVVVDRKRSRDAGETTRSDILEMFLDAESEDVEVIGGKAKNKLTTNEIVANCKLFLLAGFDTTSITISKAVHFLANHEEIQQRLRDEIDDVIGHENYDFEEIGSLRYAEAVIKETLRHHPIASGFTTRECTSDCQIGEHSFREGDMVIVDSLSLQMDKEVWGEDAEEFRPERWLENTTRDRAAFLAFGEGPRICLGMKMAFVEAKVDDNHNIALVEVLRNFTIEKTKNTNPLKLVGSFLLSFEVVPVSLKKR >PPA16881 pep:known supercontig:P_pacificus-5.0:Ppa_Contig349:19258:20682:1 gene:PPA16881 transcript:PPA16881 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCDCCCLSSFIYLVGLLTIAKKKIKGNKPLELQEKNFKKDVVYLYQFPGTPTASSMSPFCIKIEAFCRLHGIKIERRNTFAGRGSNNLLPFIELNGVQHSDSQLIIRRLTQIFTLKNYLDDHIAAIGHAVDRLIDNHTFNLMLLAKVPVIDKFVGAIAASNGVPSFLVPIAAILGGKYMGKKMGGRAAISVGKFKEPEYKELLRNDFTQLQTILGKKKFLLGEEPTLVDCTALGQFGAANAIPSARFYVHDLIDSSEFAPLKEYIKRVESRLFGNEFCDKK >PPA16876 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig349:7533:8665:-1 gene:PPA16876 transcript:PPA16876 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAIVQPVVLIVDTTFGVGTVLENKSANSIFCACFTVPFALMIIHFLYRFWSIRYPHLILLFSSKRFIALLTMYLLAYYGTDGDPSESGTKIIITESGRRLGKTIEDGWIIFDYWADNVFHPRLFIVMLTLDTIMSVSFMVAATLGGLTFYYIIREKQKISKQARTLQLKLFIIVCAQVGNIFKVDDVEWDLQTFVPLVFVYIPYFCVINLAFFKLPVFMDTASVVLASCFPAWDAVIMMLMMKDYRRITGDGSKKESASVGIEMEDYERHLLCHFAH >PPA16877 pep:known supercontig:P_pacificus-5.0:Ppa_Contig349:9990:11383:1 gene:PPA16877 transcript:PPA16877 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFLLALLGWDMHDIIYYMGIFTLLSKLWPLLPVLRKNILGEQPRELGEKNPEKDVVYLFQFPGTATAPSTSPFCVKVEAFCKLNNIKYQTRNTLTGRGSNDQLPYVELNGENISDSQIILRRLTEKMKIQAYSDAQTAAVGHAIDRMIDNHTCGLIVNSRLDKLSAMIGSIAMSNKKIPSVIVPVLSFIGAKFMRGKLESRVKTSIGIFTEEQYQELLRNDLLQLQTILGLKKFLLGDEPTAVDCTALGQLGVAYYATPSARSAYHDLLDSDELKDLKNYVVRTKDAIYGDKFFDADARA >PPA16885 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3493:766:1254:-1 gene:PPA16885 transcript:PPA16885 gene_biotype:protein_coding transcript_biotype:protein_coding MREASNQGSLSASGSVIRKALKSLEALKWVDKSEDGKGRILSKQGRKDLDRIAADLRSTAAPAEL >PPA17017 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:887646:888439:-1 gene:PPA17017 transcript:PPA17017 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKIRSRMQKNLSKTVDELRAEKEDKRKERERYYKDRETALEDERERMKRARDDERDRAKRVERERERDRRGGGRDGRYEEREM >PPA17025 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:951313:952959:1 gene:PPA17025 transcript:PPA17025 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLEEKGIVHRDLAARNILVGETLDIIKLADFGLARLLEDSEYYKTESTTFPYKWTAPEAFDDCSMTVRKYCENIGK >PPA17009 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:831600:838165:-1 gene:PPA17009 transcript:PPA17009 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSAMTNKDWIWDCAFNSRRACSVYTALLKCASGCSQDDLNLVMKHTMHASYVCKEKLEEIKTVHDCLESDTTDPLSDCGKVCEVTEHVPLRLDSTATAPVNPNVPAEGTGPLCNKQMCVLKCAREQLNKQCAGAGDLFKEIARHQVEIGWDMLQAQSENTNDTASQLVALSYLANIPEKCVYLTNLETFDKARCFLQLPYGLWFHEIGGDMMKKQEMMPGSDFSNDTMAETADSASDATTFDSSLFDFAPPLGPPKAAADDTDVTPSTMTTQSEEDVKILGDITTTIFVEEEEAPEETTTEEPSTTKESTTTVDTNSFVSGSSLAAAMDAPAESMDKMEGGVHAQPKPAEAPVAGSTEADESMDEPAETAETAESADKEAVMGTRPVTSGPSIGADESAGSGLIASRPVTEADKDKMPDDEEVRSKGHVIKASFHVPDLKTVLKTGEIAKSDDTKPLVEVGEEEAKKAEGESKEGVMEGAESTDAEAAKTEGGADVVPAAASEDGKTEEAEKSEEGEMADGVGEEVEKEIEGGAAENKDSEGAEMTTESQIVIDDENESELEKNEINPITEKAKVNSAPSFYLFTALFPLLAALFF >PPA16983 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:665006:665494:1 gene:PPA16983 transcript:PPA16983 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTIRGNTPISHFRSSVLLTSDFANADLLQTRRAAFSADEALENVPKDVKIAGTLSISHTISIPV >PPA16903 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:98010:101954:-1 gene:PPA16903 transcript:PPA16903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-col-153 MDTTGIVVGMSTLLLGIFYSTMSIGYLSSEVASLWSELDQEMGVLKVETDDLWVRIVQLGDPARGTIRRARRDYEKVIEGYNAKVEVPVFETDSITGKSESGDIPTLPPLLAPPKLDTCVCNAMNTCAPGAPGQPGEGGEDGIPGVDGQDGFHGIDAVDSQNDGFYGCVVCPTGAPGLKGRQGHSGMRGPRGVTGEPGRPGRNGHPGFPGDIGPQGTPGPDGKGGIRGPNGRDALKRTPIKGHKGPMGFTGPVGKPGSRGGDGLPGPVGPPGMQGMVGLSGIPGDEGPDGPQGGPGKIGPDAEYCPCPEKSAPAELERLI >PPA16934 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:313124:321214:-1 gene:PPA16934 transcript:PPA16934 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cel-1 MAYDNSKGPNAQKAQLGAPDRWLHCPKIGKVVENLFLPFKTPLCSLYDDQIEKRLQFHPQDVFDCPQVKGKKIGMWIDLTKTDRFYFQNEVEKNGCKYRKIAMKGHGESPSMEECEQFNRLCNGFTQENPGQLIAVHCTHGFNRTGFVIISYLIGVMDWGAEAALRTFAAARPTGIYKQDYINDLFTRYDDIEDVVEAPQKPQWNFNEESFALEESGGDEASTSHNASNGDARANGFKNKSTKVFADGKMPEVVPLEDEGLKSGLRRAIKDLIKYKRDGFPGSQPVSMEMSPDRNNLAFLAQRRYRMSWKADGSRYLIYIVDKFQVYAFDRDNEVFPLPRLTFPHRKEPRHITNTLVDCELIFDHVNGHEVPRILIYDIITFEGINLGKENFDTRLDCIRKEIHGAREKACAEGRVNKAKELCSVRVKDFWPIETTAKVLGDDFTSNVAHEIDGLIFQPVDEPYVPGRCDTVLKWKPPSHNSVDFKLQIRKVVKEGELPQYVGFLYVQHSAEPVDSSFAQMKATRSLLPYDGKIIECKREQGQWVFMRERTDKSLPNSLKTAQAVVNSMKYPIEKETLIDFIANRGYKGPAQKMKMPEQNGGGEGGGQGGQGDKRGQGGSRNGANNKRRDGGRPSDGHEKRPKVEEDNIQYDEVL >PPA17016 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:868025:878607:1 gene:PPA17016 transcript:PPA17016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-drh-3 MSRNADSFGFWPLIAALAQDSHNITTTLQYLLPNWQTELAAHRSSLAASSFSFESMARVIMAGVSCRPPAEFEPFRDEMTVPGTSIMPTLRAYQKELVERTDRGENTIVCAPTGSGKTVVGAHVALHHLRTRAKEGKPARVVMIVPKVFLVEQQAAQFNSYAKKEFYVAKLSGESSETGEPQLIKFLSGDIVVLTPQILVNMLQQESEAARLYIADVSLLLLDECHHTDKKNPYNVIMQAVKEATHARPQVVGLTASLGIGDDAGITVEDHIVRMCVRMAADSITTVMRNRDELARFVQLPEDVIRRVMPMLPNERRFHAHLITAISFVSRQLYVEFDALLRERPASIDPNKLTRFPPLEKTEDFTQAVVNVDTELRNNELFNRKLTDGERKWRLKRGIDFVQMYHKTMLLNDLLPAAYAFEQLSADVDELDTLAGRQCAFLDYFRKEQAEFAQRTSTENDKEILQQLRKELAGQFRADSASRVLIFCLRRETAQLLTKYLNEQGIEAMGRAEVLTSTNAASVRNGQSPSEQRAVIEAFTRGACKNPTLDLWMTVRKYCENIEKNL >PPA17022 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:930399:932344:-1 gene:PPA17022 transcript:PPA17022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-atx-3 MITDITRYHRRMDLVEDPMTSIFFEKQEARLCAQHALNMLLQSQLFTAVDLAEIAGRLDREENEVLEAGHMESQNMDDSGFFSVQMAIGRGSPGECPGHP >PPA16907 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:108737:113888:-1 gene:PPA16907 transcript:PPA16907 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCRVFENVSAVRDRIGRSEKKEMHRKVKETETRRQGLRLDHVYRTYYGWMSLPFALLGIVLSTCFIVTVYDAIKRKRVSRKCYILLLNRAIGDILSCLMVLVSCTYIFFAKEIYRDVFVLMEIFFVGSFWSGTVSYVALSLLKLFAVARPFDYRKCVTMKRCVHLIIISWVIFALIVRWVIFALIVLYSLTLSALVKVPFLNELTQCKMETFMYRSRNFLTVILYLFTLVVFAVTVVLIKRAQRFVDSFKKGRSVSADTTSRFPLWKLSLNVLTFAGLNLFYVVW >PPA16922 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:211396:213662:1 gene:PPA16922 transcript:PPA16922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-304 MDIRDDLRESSPEVQYSEDEDDEYARGHGMYEPLYPYRVDDGGESDNSVHNITRKRPGSKRKPKYAVKRDFKIDEPNPVEMTKLVIEYLVIEGYPDAAKALAAEANVKLDEQDWESIEKRVAIKKDLVAGNIAEAIDKLNVLCPEMIEHDDNVRFDLYLQRFLELIKEDDIVNALEWSSEKMSAEDLDDDKMARLEQACTLAAFGDPTECRFYELLEQGQRDAVAESVNSAILRVQGKPSCSRVETMFKMKMFMTMSLPHPSGNTQQEADIVAEAIHADIVNGAPPEGRE >PPA16992 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:733962:738204:-1 gene:PPA16992 transcript:PPA16992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-73 MMCDAVDRQISLDKRKSWTGLVDSNENLNKYRVNHYPKVHKDQPVMQRHTYFGSACQLGQVSAAPLARPTNSSMSNMSEIVAFSMPKGPYPVRADPIFIPASGGGSYPPSENMAPLPLQPDSRPEVPQPPKRNRLQELRAYCCSPKVTLIFFIVLLFLIIAAIVAIVVTQAYAMPKTLSMMWQAPTVYRGGQTAASHIDMNGETEGRVRFAMKGATPFKGNFIAYYDFKSGKAVIVDESLKKNGEQFTCFVLPIDTANTPTYEEMLKAAARAKSVSYSRSLRTRIGQPEGWDEEWTMVPSPLEAAMAKAQFNPPIPECDKARWIQLQKAAGDQRNQKCAECHDFCLPEFGIQGTATEGYLNIIHRDCFHLFVPEWRSYAQSATPEQNQRDFEAFYRNRKAAQNGADTTGSKWIPLAGMRPPNPPFGPSSPQSIPAPSMPMQQGMQPQQQNNGYGLPMQPYGVNQQQQLQQGIQQPTIPMGQQRPDAAFQPYGVQPGQQQGMQPNAMGTSNGGMYQNGVFGLQQQVANAGQSAVNTIGNAVSDIRQGATNIYNAGAQLSMYPMASSKQLMFA >PPA16970 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:561428:562201:1 gene:PPA16970 transcript:PPA16970 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLALIYSSSYVIFRTMSIPEGYTNGSSGYSPRTNGGGRNVSFQSEIAIHRKSYNL >PPA16966 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:530113:532013:-1 gene:PPA16966 transcript:PPA16966 gene_biotype:protein_coding transcript_biotype:protein_coding MYALSTAPNQGLQGLLATNSNWKRYLQERQIAPPEKDPQTGALSPVVLGASIGGGIVAVLISMCIIGLFLRVRHLKRKAHEYNHESELQEMETAKEINTAKEMAVVDKRNQWTLENLRNEQRIRAIVAERLMEEMRSAQRRLQHTPGFVFDLQWDSIYVQLRQQSYREPREQDSFSSHCDCDKERRPIHWPETPQNDYLERRTAF >PPA16979 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:642822:643435:-1 gene:PPA16979 transcript:PPA16979 gene_biotype:protein_coding transcript_biotype:protein_coding MYKVSTSAVPRTTLPCINKHIFYRTDHVEKKNHFMSKPVKPQDISAISLVDLRLNTMGRRKVALTPPSSPSTPSTPSSAEFEQQMSLLDKCKLIIFCNLL >PPA17003 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:790517:791971:1 gene:PPA17003 transcript:PPA17003 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLSLLLCASCALAAEHPEILFCLFERTGVLYDQLYEDPPTSLNCGNMTYCQKITANYISVGDKRTHIVLKGCDGIEIPKVFYGPKCQKEGCSEISVSGEKYQLCCCKGDQCNSSSGLTIFPLLLTLSLLFISTQS >PPA16977 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:637239:639631:1 gene:PPA16977 transcript:PPA16977 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKLIDEMEREKLNAQSDLKYAIVQLEQRMKEEYDSELRRLRDEKLRQLEEISTMHAEELRSLEDEMIDQSERAAEDIARLQDEVARMEADRDELRITLEIKRGEAERAEDDAARCRREKDRIEREHGTLLARSEAVKRDAVVKRDAAQMEKMKREVAKYKEINEILMSEYTKLEDERDALVTSIERGVTRLESMNQMKNHRLRDKLNEIDRRI >PPA16887 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:2835:4030:-1 gene:PPA16887 transcript:PPA16887 gene_biotype:protein_coding transcript_biotype:protein_coding MREVEYRLEDEYVSVRPSAWKEADCFKQSAWEYKGQSLTRAADRRNARGIRSGILL >PPA16894 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:33089:33950:1 gene:PPA16894 transcript:PPA16894 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQRVNTRLLLPPSQKMRDKFPHSKPDKMGMRVLVTADGNRERSSIVDEIQRTLTAYFIESIKGLPYPINTMPIRYRTQEINEFLDRTKRRDEFLLYWVKVFDRFDVIFLVILQIANIILTIIALTVNF >PPA16896 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:36110:36557:1 gene:PPA16896 transcript:PPA16896 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSGMPGDKGPDGPKGGRGKIDECLFSRHGDQRSTTSYCPDAEYCPYPEKSAPAELERLI >PPA17019 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:907334:908630:-1 gene:PPA17019 transcript:PPA17019 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTGTKYPSVPPSLDHVKEVAAIVVQGFRDACGDLNAEFAKGQILSEKAHN >PPA16949 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:407853:414352:-1 gene:PPA16949 transcript:PPA16949 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRLGIIVLLIFGLLISIGQGKEMADDESAPLPKHIFETPYRAEDLKLEWKQRKERMKESDVDLDVEFSDEDKRRYKEKVRKMFYHAYDGYLNHAFPKDELKPLTCEGHDTWGSFSLTLVDALDTLLVLGNVTEFKRASRLVLQSVRTDVDINVSVFETNIRIVGGLLAAHMLQGYANDSDSGWPCNGSLLSLAHRMGERLLPAFNTQTGMPYGTVNLKYGVHKDETPVTCTAGVGTMILEFATLSRLTGDERFEKVATRALDSLFKSKSPIHLVGNHINVQTGQWTATDSGIGAGVDSYFEYLVKGALLFRKPRLMKQYYDLEDAILKYVRRGDSFHWVSMASGLTSHEGELFQSLEAFWPGMLTLIGDVGDAYRILMNYADLIEKYGFPPEFWDLKTQEPKAGRDAYPLRPELVESMMYVYRATGDRKILKLAAIVVDTIEAFSRTPCGYATVKVKSGEKENRMESFFLAETIKYLYLLFDENNFLHNSHSGRVVQTERGSCVVEAGGWIYNTEAHPLDPGIINCCSANKAQDRANIAELLSDDSLTELLDDLLDTLGGEKTITVKSISEDAQALQDADQSEYLKQQYGSEEIEFEALI >PPA16958 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:452689:455034:-1 gene:PPA16958 transcript:PPA16958 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVCENARKYADIANKFVSDQPQNIPIWENITVNYQLLDEPHYQNQSIEMTSSFGFFSNEVQDSFDDEVENIPEKNLVMNGKTTFAISKQGLTWHTTGGKIAIRMKFVVKWDPLHGGPRLTVVGWATVTVEDLRTNLNDILLYVLAAYALYGIIHSVVMKILWKRRIPNFPTPVIKAYANLAVSDQ >PPA17002 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:780721:788213:1 gene:PPA17002 transcript:PPA17002 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLESEGGSSGVEDEEAAMIGKMKNPAAKNVTARLAHRCDNVFAKGMEKCHEVMGGLKLKCIGVLRMFGLYICNKLDVLTICESLLIRPSTVRPMKYMDRGKVHLMDRDAEKKKESSKICAANLKKIDGSNTFEEQVSNMENLTAGLEDELRMNMHVKAIKMPRVENTLLISDIKEKMKGNVAYTKVIIKSLKEVLQALMIFFVYTIFRDAVKMITNYRSNVDFNNKFITARFWEIDHLRESEGKDCLHRISKLEWKEYGLLKVFGLPTKVEAKAARKPLLKWLATLFFVVIVVAVDRYIKYILSKVLPMTIEEITNKAVHKTTIRLVGKGALADLINDILTVNKTRGNDQEFSNEQCLFTPIETPLLYIGLWIAMPLFLMLVLQVIFAFVIKRLVLFYVLPFMFPKRDRVRMIFLYNKILFNRHKNRQRARARIRFVADRWKMNENVVDGGLFSHTSWLKTIVIDRMFKTGECIYCKETNRPSSLIRCPDCPATYCRACVDELDGDCYACLAQDGLVNSTRTHLIELPSIPDAAPKQSGSEVH >PPA17013 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:856080:857985:1 gene:PPA17013 transcript:PPA17013 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGEVEEILDIIEPDQFKKIIDPLFRQLSKCVSSPHFQVAERALYFWNNEYIISLIEETSAQVMPIMFPALYRISKEHWNQTIVALVYNVLKTFMEMNGKLFDELTGTYKMERQRERKREKDREDLWKKLDQLEINPPDPTKPPKILSEESLFTFAPTRPSLSSLAKEGDKSPSSSKKALNIIYSQFN >PPA16975 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:630663:633620:-1 gene:PPA16975 transcript:PPA16975 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCDVLSGSQPEHIPSDLPVRLDDTATPSTSPKSSSVVPPLPSRSLGRSLGSSWPDDDEPLPIATVSLTKPELRTWRITSAGLVSTMGAFLDKPKTNKDNDSGMGQGLRYAMASMQGWRVDMEDAHVAELSMGDAIPFSRWSFFAVFDGHAGSVAAEYAASIVVETLLESEKLRQVNKTGHTVQAVGAATLSTTMDGHLCEGALTLLEEGLKAGFLALDDKIRHKLDNVLGKP >PPA16940 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:348200:350571:-1 gene:PPA16940 transcript:PPA16940 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKIRATTCALDQRTGSALGFPLTFNDYVQPICLTSNDAGMIDAGDKAWFNGWGHTSGSIFATQPKEMVQANFPIDSNGLCQNKYKNYNTKTMLCAGGESTTACNWDAGGPLMREKNGIWYLIGVEVTSNDFGSCESASELMFQEVSESASQRV >PPA16942 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:357000:358877:-1 gene:PPA16942 transcript:PPA16942 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGGSSDGAIHRPQKNLCAGAGSTTACNYDQGGGLMQEKNGIWYLLGIEANNVSFGKCDSATIFTRASQYCSFFSGTAGVS >PPA16890 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:25058:25554:-1 gene:PPA16890 transcript:PPA16890 gene_biotype:protein_coding transcript_biotype:protein_coding MANWTCRESIKADIKAHRLRVLLQAAEAKTSLKKAKASLSKGTSPMDALLDRAGAPITSRSGMEERVKELYTELFRSTTPVPRCPLPYSYDTPLPILSEKERERYQRERGLLLKGDHVRGGECSPPSPQRGERWTEPEK >PPA16972 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:573189:594861:1 gene:PPA16972 transcript:PPA16972 gene_biotype:protein_coding transcript_biotype:protein_coding MSADASEEDYESISSDLLGWIRDAIKHLSSRNLPNSLHGIQQQLILFNQFRNTDKPPKYKEKGELEALFFTIQTKRKAMSRKAYVPPQGKFMHDIESAWVALERAESERQAALTEELLRQQRLERLAERFLKKAQLRESWLRDMGRVVEQLELPRTAGEVDATLKKHHGISADVLPREERFKVLSEMCVDLCRENYHESDKIRAREREIIERWTSLLAHLEEKRLALEALNNLMTLLRDLDALSTELQQLEPLVRARDVGKHMLGVEDLLQKHALLETQIHGHGTWLAQMQREAATFLRSRGEQAEVLQRRLEDVSGQYQSLVELCAARRAALQRARDLFQFVQDDEEERAWLAEKQQVCQRALESSDISHVPQIQRLYKNVETEMQSHWTRSKEIIAAGERLIAAGHPKDDIARRTAAIQSAWDSLRGVMKKLGQWLSDAQHAQQYFQDANEAESWMREKMPLVRSDDLGKDEFAAERLLLRHTRLEEEIHAYRADIVRLEELANQLSTSHFHSASTDATVVMDEDITVTTTRTRKKTTKKASANVDGRQAIVDRQKIISADYRALNRLADERRRLLSDNIKLMRFYRECDEFETWTRETERALVEEPTVENVEEFRKRFEIVKKVRKRFERLENDIRTNGGTQLKCINDMAEELLGEGHSQSRQIESRQRAVNAAWAHLEKLRAQKAARLSTVEHVAEFDAACDDARTWMKTKFDMIDQNPNDLKSLQNLERDLKPLEDRIKQLEQMANDVRAEHPEQAAAIEAKMRELQRLHQQLLKAAKERIQQAEQTQGQSMFDSALRDMHAWCEKTKKELSEDVRPVDVAQAEELLKKHFDLGETIKDKKYEVEYVNDLGRRLLEKNPRLAVVKDALAGLDKEMNGERLTPADTLCSAPFWGSHAQYSNMIRSLWKDRDIFLQQHLDLQIFNREAERIDAASKGHEAFLDYADLGDSVESVEALLKRHRDLEAKLDAQEGRMAAFAKNADELCKQRHAEAAYIEQRRREVLERRAAVRRAGAERRRRLEASLEYQSLKRDGQEMLGWIAEKKKVASDDSHRDLASIDVKLLKHEAFEAEIKANAPRVEQINKEGAVLVSTRHYEAPAVAKLVESVNTEWAELRDAAARKGERLRQAADQKGLNRVLDDAHTKLDELENVLRSQEYGNDLRGVKELIQKHVVFEQELALHEKRLKDIAKKGEGMAAAGHFDADRIQRTVRQLLTRFDALKEPTRQRRIALDESMQWHQLAFDVDCELQWIAEKVPIAASEDTGRTLTEATAMLRKQEQLEAELAQHVDAIEKTIGRGNELIAKKHPAKEAIHAKCDELNAAWSNLSSLIRRRKQIVDWGIREQQYLADANEVESWMTEKRPLIESDDYGVDEDAAQKLLTKHKALMNDMHTYKKWLEKLAGKCGELVTARRPHADRFEKRQAELEREFERLSARAEERRRALEDALCLYEYLRESADLEAWINAQLQAAMSEEYGEDYDHLKELQSKFEEFKQTVKTGTERFRSCEAAANSILRRSPPFAKDILKRQEKLRSVWTLLVDYIESREAKLRAAEELHRFNRDVAEHEESVTGKLHSIPEDTGRDVKQVHSLWQKHEAFEKQVISLEESMQSLLTESARLKEAYPGGNAEHIGAQQAALTESWQYLRDATDDRKQRLKAAYDLHRFVGEVRDLLNWADMKVTEMQSEQNTHDLQVDINYDLES >PPA16898 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:56273:57496:-1 gene:PPA16898 transcript:PPA16898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-bub-3 MYSAPSLHTSNEFRLPFPTHVGISKVQFCRETGSNFCHETGSNLLAVSAWDGTVRVYDVKDYSDSHECGIYYHGKPALCCTFIYFARFLHIVNLFNS >PPA16892 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:28580:29460:-1 gene:PPA16892 transcript:PPA16892 gene_biotype:protein_coding transcript_biotype:protein_coding MHFPLPCLLLLCLCYSAVECKSKRMVDMSDNEILRKTIFLWTAGQKSTVEKAIAFVLRNRFKANREEFGGKNVVDVCLQYWENALPEHTELPEHVEMEMNKWLPRLWNGRMHDFTKGALYFKFPDESGDWTDGMEKTVEINKIEFFKD >PPA16901 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:71998:89875:1 gene:PPA16901 transcript:PPA16901 gene_biotype:protein_coding transcript_biotype:protein_coding MYENSNGALIFGGTTAQSQIQLISNRWVKNSGPIVHFGLVQGGTILVDNNLFEENRGKLQREAILEVMSEAEADFTIVISNNTFKNNQIEGAIRFEVMGGRQPKRNKDWTEEGLEKDLIMRCNCIDVELAARATISRNAFVNNQATVVVDVAVLTAPTVSGNQFGDPQSSCELAAVREHKENTFPANAQNARCDLRVGSRSTARPTATGPAMLSFPALTGTYNQIKKGDAPYAIDSNLDIPADTIVVVEAGSDLGFYGDHGVAVHGKLYINGTPSQPVELFGGDGSPWRGIQLEKGGRLFLSNVVIRDAQIGALLNSDDVIFHNVTFIRSLKHAVETGPGYCQGEICMLDLGNSTIRDTFGSALYVGKRDKAVPGCMNEFATGNSETAIEFQAPAGEITVKDVLIRDGGGNGVSIEEQDNMAGLEAVRIERLRVEDEERGDVGLFISSKRVKKVEILDSTFERNTVPSMVVDLDMTSPVSFFNISGNRFRNNSHVVTALSCVGCASGQISRNEWSGNNPDHDGSALFVEFKRNEDQEKEPSILIDNNRFDGNSGESTLAFSSDHEREIAAVLYNNTFERSNNSRAVLISDTPDASLHRNTFTSKTSMFDVEVMFPADQGRQLNATQNQWSSDPPDILDGNHSKNKGEILFRRLDLPVEFEIRAAVITLTLVDQPGDNVYKMKRRYVNLGSTPALTSLPTLLPPIVSVDCATALLSACATLAGHRPRAHCECQPVPSTAPGTARASAHNVSASWAGSGRAARWPLVLHFFNSTDPTVTFEFPSGVGDCSSHGVCVGKDKCECADGWAGPRCDQPECSKDSCSHGTCEGASCKCEKGWSGPSCSFPLCTTNCSLNGVCSAPYTCSCFEDFTGDNCEKCASDACRLCNVPCVNGNCDGSGQVCICSAGWTGASCDVCSSASICSVRSAILFLLPSTGDPSVPEGIVNVHTAILPRHLFNLWPEGAATPIPHVDKRPIHFTAYSTCLPSLCQGECLGPICMCPKGKAGPMCQFAQVVPSIDRQFISESSTTTASEEKAYVVQLPQVSSSILRLASDAPGLRLDESRSLLVWDLPRGRETPYNVTVVMASPGGDTTFSWPLTVPVTYSAVIDRVVKEERKRRYLVEGRIVGAKTRKDVPVTVTFARSDGSEEDREVIADANGRFSLYWTPPAGSAEFNVIATHPGEKVDESNQGVSLRVQSTSIEYDKDVTEEELKKGIEYKFITEDSKGEWSVDVLYPTVNIAAETMKLTTQGARIAYRIKKPFSGNLVVLFIRGEEKIVASHSVLTSPSGGQISLASSPSSITFNANDNSRNELVRVSLASLGAPLTSPLSLSFSSSPPPFTLLFSEPPLEEYRQYSKANSAGTLVGADWNWAYLGHYGSTTIHQVPYSVVSAPSPFMLRICLRDSALSSQTPSSSTDAEMTLARAANNAVTVRQATVNGAAEEFEIVPGFYQLRVTSREYAKRMEMIYVSSSNTSFCVDLSPVHADLLPSWSEERGVRVEMTGSQMDSPLPLLLFSPSALTAGDHEIEMTVHARKGTIIALLSLTSEHVQYLPSSSSTSSLLEDGDVVRLQMRVSTSSIKNDDCDGVLIPIPFVYESEDVSSVGESQLVVMKKQTEGQPRICSSTSPSSPLPLSLSTSILCDCASGARNKCRQKYHGAAGCGDAWRAIPDDTISTEILALFLSLTASCTSMGIRMEEIYEATKCIGQLEAVCPINRKIAVIPVQGTGVYATTNTDASNSPPLSLLLPVFRVLDLQSVALAGVYNEFFEKLELVFPSSNYSSMSPADFAHFIDSISDNSELGQWISEKETERIKNGKIF >PPA16985 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:683796:687062:1 gene:PPA16985 transcript:PPA16985 gene_biotype:protein_coding transcript_biotype:protein_coding MGADLSHPDHDPSRFANPVLLRLDAPINENKVRIVCISDTHEKLEEILPSVPDGDILIHSGDFTNYGDVGEVIKFNAEIGKLPHKHKIVVAGNHELGFEDGEEMTDRQQAALNMLGLDKAYQLLSNCTYLCDKQIELYGIKFYGAPWHPMPGYSFYRARGQKILQKWNLIPANTDVLITHTPPLGHGDFNAWAKCDGVLAGCAELLNTVEKRVKPRYHVFGHIHQKHGVTTNGVTTFINAALTDHKLRPEYDPIIFDYPLPPGKTKQDFGY >PPA16927 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:230435:237597:-1 gene:PPA16927 transcript:PPA16927 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLTKKTVEETYKRGYMDGKCPSDAVVVYGDTDSVMVKFGVKTVAEAMEIGQHAATEVSKQFVAPIRLEFEKVYYPYLLINKKRYAGLYFTRPDKHDKMDCKGLETVRRDNCPLVANVLGTCLEKLLVDRNADGAMTYAKKVIADLLCNKIDISLLIISKELTKSSDKYHAKQAHVELAARMKKRDPGSAPRLGDRVPYVIVAAAKNVPAYEKAEDPGYVLMASNDIPIDTHHYLTNQLAKPLARIFEPILGDRAEKILIEGDHTRTRQLKTSKVGGLAMFTKKTTKCLGCKSVMKADEQEEAVCAYCRPKVAEVHLNRVTTLNATSRRFGRLWTECQNCAKTMHEKVNCSARDCPIFYRREKVRGEMREAFSAVMRFGQPTLDD >PPA16990 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:711340:713126:1 gene:PPA16990 transcript:PPA16990 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGSWFKKFTVADSTPMIILPSGSASSPFPNLPVKQEKSLDRDELFAVSPNASPERELAADAATVAPTTQPIRLPVTDHSNRMIASQDPQADDALATSSFASPIDTHHQQIAPPTLATHATVEVASATTVQTSSELPSHPAPVLPQATPSLVTLPVAVDSTVLFSLFLKFMQDNPLAGFALKPKKKAKVEVITINDEEETVLAPILSFRFPTELQADPEESVPAPSNDLDEGRGANVLGIISADPQLAALTASFSQKQKVHDATLRISAVERIATAMWEEHWD >PPA16911 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:140542:141704:1 gene:PPA16911 transcript:PPA16911 gene_biotype:protein_coding transcript_biotype:protein_coding MSCREPRSVSEAVDALRMREQRAAAAAASAQQLQHTENLYARWSPSEPKYHLSAL >PPA16987 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:699862:702290:1 gene:PPA16987 transcript:PPA16987 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRERVLNNGGGDDLSGAYLFLPDGDAKDVPSGDSHYVVVQGPLVSRVYVQGPQEFRLLTTYSLDAGAPYVEITNEIDITERMQNVEVAMKIESSVDSADSIYTDLNGMQMIRRRRQLDRLPLQAHYYPMPGAAFVESAEERLSLMGAQALGVASLKPGELEVMLERRLLQDDGRGLQQGVMDNLRTVSHFRLMVERMGGERSAEDERVDNELLIISSLL >PPA16913 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:155782:158052:1 gene:PPA16913 transcript:PPA16913 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVGEYVAHPNNGNGVWRSSPTDVFSSDIVNMERRKESNESKGNLIVQDTMRFWKPIALLCSVAAILFFICAVALVGLVVVKYVRDEDKPEEGHHHEQQVDSL >PPA16930 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:268689:300835:1 gene:PPA16930 transcript:PPA16930 gene_biotype:protein_coding transcript_biotype:protein_coding MTWHIWQQEYAVVENVRAMGSDADDLNGSDLENDDEVMEEGGLAFQKTVWTLQKLPYAAEIEAQADEHFRVIKAGFAHSVLLKDIRRGMLHYCTELDKYISLHSYRFTKEDHVALLRLLTPFVKKGEIYRDVRVILRTMTALLKKKDCLSRNDITFDWRPVYDLYEEVAYGNLEEDGVFLMPEGFKDDITKFIRVAREFWPLEATQELLDEFRPLMCPWDESMLKGMKLLQMFLPTVMTREEHATFGAGLWFEEMWHHFLSIQSNSLVEPYQVHLFTRVSRDCPGLIDWSGNLNLMFAKLMRSLRLGRGHGFNQSFPVQNATVWVVYMIGVEKEAQSYLTRLMTLIESFLHPSNYGNHSSHLLNLLYRLVNEMVSRIKRERSGKPAPSVPDHLKMTEEAINEFVISLLPCVKLAIFTKGEMVNELGTRMLLCVKLAIFTKVKQEYISYIIKNLALLAPKIVLPAILEILYPALETVTEPHRLTQSLSCLFVALIPMLREEAGPGERTRKAEMVVLLEALLPAIDPNDIKKTLLCFQVFGIMVNTVPLVDCSEAVHLREDLTEDEKEICSATANFDGLVLGIMDKIISLLEWGANGATSCGHGSLAKNSHKFNIEEHVLHDGVRMVYKGIVMNSSRELYEARPELSFHRFLSLISKKLQEAITPDSYEDEKVNFTITYWLSLASGLFRVQSPYILKHAEEVKAIVRLILPIKCSIGINFACKTLQRVLRSVTLCYQDADRAALAKYDLPLDENLPIRSWACRLDRKAYDTTARWFVPTTESIGFAREILDEHLSRCLEKIANPAALSKQELFHEMLVILSSVQGASFSLPAFDSPIVRVNHMPTFIEVSPVVTRPAGTPELTAPSGTVRGAVLAAMERLLPFILKERESEVKTVSAFISILSTLVFSRGSDKTKLQQIISTHRIARLMMGDRLYGTAGYIKALAEESINVAHQNRVQLVSTYHLTEEHKRIIDILAKLSTSSYAENRRPAQSVLSRVLREFPYSFTLVMDDILAMLAPGAQTPHAQLKGALYMLVDGKRLALVLRQNWEIAAKVWPALVRMQHSERPSIIRLLEIAQNTIVDSFESYRIQELRPDPAVDAAAVALLEAGRDGKHASKYGLPDDEMRAKAALYVQEQNELNQRSYDSLVQELLACAVDSSLHWRHTDLAQVFLSILIRRDAAVNPDCIRLFLRLCVHDSLKTRKEDNRGPGAQWPITYGIRKDNVCMQYDAARLPSNASEWESFPFVGKMHWAFYCWPKELKTYAFVSEQSAINRSYEELSEIERFVVDTLKDPSYSARFRELYSIEEKKGEDKFNVVTFSFFLGLFRSYNDLLLPTFVEHLEALVAKDEAGATKLATEMLAGIVNGSKMWKFEKVEGMWRWLSPFLTRVLSNLKDNAQRNWGTAIAAICGSSESRMLQPLIDLLFELTLRPSENCFMTAARLFLLQSALCQFEWRCLQLWNRLAPYLIAQLAQPYTNIRDRVVSSLVTLTWFDLPAMQNDPTVPAEFRPYTVDAVLDSFDALLNEVWEEARRGESSEGSAAPSASASSVSLCSMANGDSEGKKSGRLALKSLTQFVYTYGAQTLGETTLRILNYMPLLAHFSFDQGDDELRNGCASTLRDFLSSIFVARENAERTVELFERFLGSSYSWKVRMVLINEISTLVFSNSYAFDDHRERVGRLIASQMAHERLEVRESAAVAVSLFIHSGYLPVTEAFIKEFVTACASESLTMRHSGVLGLCSIVQAFPYSVPPFLPEILAFLCRNGREKEPIKDTIKKALAEFKRTHQDSWHEHKMLFTEDQLVLLMDFLVSPNYYV >PPA16925 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:225329:226641:-1 gene:PPA16925 transcript:PPA16925 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPEAGKRVDEETTGPEVVDRKEDGEDTASPSQANVKEADEETFPAQTVDSEETAADETNSEIPSLLSLPRELLSHIFTFLPLEDRMRARVNKLLSDIESSSKYYLDTVSVQEPSTATISRPMRKCPLECIDRIADRTTAQWFEIMMPGSDKDIIKSSVKYSIFSRINFSRITLKFRKIRSTKYTR >PPA16994 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:744092:750313:1 gene:PPA16994 transcript:PPA16994 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVGFVTRQRRWTATETCDLLKVGIIVSCSLVMQFVDTSMVRSQAVIKLYMFYNMLEVGDKLFSSLGQDILESLFWTAAESRSIKALSRTALHFSFAVILMLIHTIVVLLQTIPIAQATVLNVAFNSHNEALLAIMMSNNFVELKGSVFKKFAKANLFQMACSDVRERFHTMTLLFVVVIRNMKAVNWNIDHLFVMMPNLILIVGCEFVVDWLKHAFITKFNEINVKVYRDFTITIAFDVVKSREESAYSDYSDQVSRRMGFIPIPLSIMLIRVIAQTFSFTTPSSIALGLLMWLFLITIKVCNGLVMLGQACAHVHHYRQLQESARQELARRRLVEKKCRSAPSSPTAIALVDFTDVLHQPQGPKGMTVSDWLGQQFGNGLEENTPARVGDADRKSEERAPRRSLSLANIPRERRDKSEPPPVIQEAEEEAQVNDKCEDEKKEEKARKEEKESGQMSPKKKVVPSSSSVSAPQGPSSEAEQLSDVTAYTMLSPDRASASSEISSSATPA >PPA16960 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:469325:471107:1 gene:PPA16960 transcript:PPA16960 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSQEMNHPSKIDPSPEALGFLDKANNLEEIVQSLSAPPSSLSPPFFSPAPSHDDAQLRGRVRELEQKLQHATGAAREAESRAERAERLLAAKIVEGAGKNAEIRRVELN >PPA16902 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:90096:97795:1 gene:PPA16902 transcript:PPA16902 gene_biotype:protein_coding transcript_biotype:protein_coding MSEWRSGKLHPFAAAAATSDVIDYAAAKQLVVAADRLKALARQQGAANPFSLLHDYVNRVLSAGGGGDPDGQAECATAHVYLSHVEIAEDEQFTVRVMVRNERKDTALDNVELTLDLVRDEPYQSPLKFRIGPFIYTGIRSLGGSHSLGPSQSFDATWTVVPVAERRLVREAHYQAIITLSFSSSGHSTLMRLSSPRLLVLPRPSLKLLYFLPSPSSQGTEAETIVSIVNTGYRPLTGLRIEGAQINVANERNVAMPYSINSVSLRDSSDHVDVPRDRLIGPIPTIPSGRAMQMKVQLGLRDNGKLRSLFLTPSLDGATLRLEDTRSFTVLTKPGGGDEDGGLLVSPLGQSTPVYYYTPTDAKLQNIAGLKLIQSRWDSSTTDGKEFIRVQAAMQSNESPDFASTLWGRVELPKLPANYKLLRVREVGSGVSPLGRGVGGEKWIEQRDAKQFVNWIDSGAIPRGGNINYEFVFGDPKDFEQPIFAQDLYRIQVLPEFWPQPSTVVGEIRATSPIDKPLTYSLYSRNGDETWAVNPNTGQIVLANRLRRGEESCLTMVVKDAGKVIADSCQTCLSMVVKESDGRQSTVPVAVNTGTAALPCPVITDFDHLTPLIWNPTAPTVVPTWPTAPHHGGARYGTHCATDYRNYEWYGTTGYHGAAYSHSFAQYQGSDYRNHEWYCIAGYHGGPSVDVDDHRGTISDAGLIASDHSPNHRRFHWNHWDHTNHRNDRHHGHGCHRRLDLGGHAAHGCLGAAFDDYVFWIFCNFSGLDADLAHAFWSIDSDETITNLYNRTPDPLALAGSLPGTRNDDPDSVGINACLDLDLLPDPSEGSTQTSTTLPSPVTTDDYVEYTTEPATRPTDRATIVTEFTTHATHPTHVVSPLPTLITVPVTLPTPAPTHSFSPDGTIATLVPATTPGTAHGSIATEEPFTLFTVPTDSGGLQTTPGEDSSTITTSGEGSTVTTSDETLTTSEGEHSTRGLAVEASRQ >PPA16961 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:471188:474915:1 gene:PPA16961 transcript:PPA16961 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVLGQLLKIRGSTRNLSKIAPFASEIEEVNELTRAIDGLRRERDELRKIANDTRNEKRKVLFSVLTEEIESLKQEMAEMRKLKRVPADDDGSLMQQLAEMGITNERVAEWVRQNQEREEKQRKKSAHRGTSKNRHGSSVISVKTLDPSKTALTEEERYGDEQDVVCEGCIWRKVNGQWTATVGEKDKESKREEDADIVEKGDDIDKMIDEIIMEKKRRIDSDLIES >PPA16938 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:341899:342371:-1 gene:PPA16938 transcript:PPA16938 gene_biotype:protein_coding transcript_biotype:protein_coding MMNEKNDYFRFPLALCPSEKSLTSTFGTWEAHGRLRPIASALKGRGRPKKTVSSNARNSKTRKRSIAEVDDIVVGGTEAEAGEDFNTWDLITNETNDTEGYDDEDEEETILVNRQDDEEIMIGI >PPA16886 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:165:1197:1 gene:PPA16886 transcript:PPA16886 gene_biotype:protein_coding transcript_biotype:protein_coding EHPEPAAARKRREMAQRKDIIAAQVEERTAEISAKRKRVTDARVERQVKQQLRKTGMISNDGEPILFPKPRPIPPPSLSTPADRATSSTPIPQFTPKSSRIGDMRAIKNTPIVPSRTGPTPLPGSNRRLCPARRDLSEEAGTSCRSPSPREMEDEDMPQLDDSRTPSSFSAFNCLTILSYCTYALLSFVKIFALF >PPA16929 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:259126:260668:-1 gene:PPA16929 transcript:PPA16929 gene_biotype:protein_coding transcript_biotype:protein_coding MPDEDVVRSPCPVPKAYYWKPPPGYPKPEQLHKITIPPGKTRCIDFKIEPWTTMGIFTTNDSDVQFWLYYAAERSDLEVEGVTELALPTATLTSVPAMDFFDYVAERGGYYQIRMKNHSTWFKNAHIKIRVYDQNKEEIPILNSDEKWIKISQDTPTDIRLEDWAKDNPNNPLNQKKSREELTNQG >PPA16909 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:132627:135615:1 gene:PPA16909 transcript:PPA16909 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAEIRMLKEETKRLRGAIGEMEGERNKARQLAHEWHKFARYSSDLVKQEVRSYDAKLKEAHGRLRRLREENVELRQICLYLDEQRHAVDDGLSSTVRALGGERRSSSHDLPPSIGQGLDPSLPKGLGLRVDPCLELLHKCVSVLELLSSTEVREGAETVVVARGQIRGVRWVREPFHLQLVHFLLGDFRMMRARVVHEHEDFALAQEFGRDPDRHLFQLGSEEVSLDGDAGREDLPVDGTEDGEEETEEFLLSVKFRLWSLLGFLVHPLKFPLRIIVGDPLLIHGDDVADPIEIGSTLSCREVMRNHLGELRCLPSIMQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAAYGGIPSPFDPGENNEAKRSGSLEQCS >PPA17018 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:896783:900628:-1 gene:PPA17018 transcript:PPA17018 gene_biotype:protein_coding transcript_biotype:protein_coding MWHDARKQEKLIRRTLIDQSKRGEKRRRFYDAVRADPDQFMQIHGRRAVVHTEAAVARAAEDSAVLRPWQGDGKVLIDRFDARSHLDHILKPTHREVAKDSPEAKMEILCDFERYRILIINEYRKVGEKEYLKKIGEAEYWHKASHATQKTLKMQELEKKKKSAATKAAVGFSYEDSDVVRGRTDGDGESESESDDDEDDGMEDIDVELDMSCMDAESARKANLLGESFGVSRCSFVDLQMAETSWARNQAELKQIEREKLAISGKNGRRERRQLKYRRQLILGKGVVGNEDAHMSLLAMIGANDSKKEGDEDSSSSSESDCNDFGKTVFIRSLDASGKVEEDEEDQTTSKPLGPVLPNQEYRRVL >PPA16963 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:505051:505776:1 gene:PPA16963 transcript:PPA16963 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRKSIMRADSDEGSDVEGVHDECFLTGKLIEFRSISTVIDEENEGEVDCNIDRLQS >PPA16910 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:136075:140510:1 gene:PPA16910 transcript:PPA16910 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEEERTGGGGGEEKGEGLANDRLLSYIHSLESRIQHLELTTTGGGGGGVGGERREDTWRSSISPETDDLTVMEREWDGRETRERREGGENMGGSTSTMTSSGTTFCSSSMDGDDHNLMMMMTSPSSGGSSVFVDGSEGGYGHLEVRTLPPIEEELKDDLSASTERGEDGGEKRISGPIRAAAALHGAHQLELDRAEPLPYQRLRQQQQQHEQQQSAAGDETGARGRGAGEHITRSPATNGY >PPA16921 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:208042:211127:-1 gene:PPA16921 transcript:PPA16921 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQEPKEDYEPAIKKAKDGANDEQLATNGPAIHYYPGAHRRAPFDNTHKIKRVGGKYHLLLGVTGSVAAIKLQELIEQLHKMVKDDRLWIKIVATDSAFKFLEKASLQIAEVIYEDRDEWSMWNGRGDPVLHIELRKWADAMLIAPLDANTMAKLANGLCDNLLTSIVRAWDPEKLLHFAPAMNSFMWDSTLTYQHRATLRDLLRYKGYGKRSNDM >PPA16954 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:441970:443159:1 gene:PPA16954 transcript:PPA16954 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYRPILVLFFAILTVASIDHHAAARLRFNRPFFVRAGKMTKRLVDRMIPVYEIPEFHFKPFHYITLNFRRWKITDLDFPRTRFAISDQGVNLHSTGGSLNVGVEYEFHYLLFTEKGRANVSFTDIRTNVLATISTNMSRPHFDIPWCEAKLGKSEIRAWLSRRLHLMCLFLTSARDIANEFVEAQPESIRLYRNIYLNYTADVDPVFTEDYVEASSTFRADLIGKYADPKNKSIDDSGRIPIYWNK >PPA16931 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:302922:303738:-1 gene:PPA16931 transcript:PPA16931 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEIDPNHCSPLNTDKGSTKVGRRPDHKKKFKKEYRSSPPLKQPYTFSKLIVVLG >PPA16950 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:421610:425833:-1 gene:PPA16950 transcript:PPA16950 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQLPNYKRVKQRIRRSLNFGGRAPRSLHLPLTEMMVEYFNQASPYDYLKPETSASISSCGFADTCTLVVAMCYLERLRQREKDAFEAANPTEMYLPALVLASKYLHDSDQEERVADADWADMAGMSKKELADLEWAFVKSIDWDVRVDEEEFNRRLAIIESWVASDFLAKYSFLTYNELTTLSTANGEKLRASLRLLLSALGLSLMIYSVAVASLLTLPLALPSTSSNDGGHFNSTLPDRHAALIARGSWIDDQEATVLPCLPLRPTVFLPPFLENSTTTVAANDIDPNPSFLSYILPQSSLIIT >PPA16900 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:67748:71748:1 gene:PPA16900 transcript:PPA16900 gene_biotype:protein_coding transcript_biotype:protein_coding MHKNRKGEMYLAELCNCDESTEHQQHKKRRRHKHHKTKEIRIEERRRKRQSSFSYGKDDSPHAISEKITIPSGESLTIQPGARLNFAPDAGIEVHGSLTIAGTDKEPVYLAASGTRWAGIQFRAGYDASATSLSYVNITGAKVGLSIDRGAEPPTMKNVVIDGARKGVVVDTQRGDGLHRITGVTAANSLDSGFTILGEGDVQFDDCFSSSNGLHGFDLLTSGSISIYSSSAFSNAYDGISLNGSNSLIVEDSLLGGNGAAGMRVVRSTTSSSDLFTLHLRAVNITSHFYDPAILLTTADNMDFLLSESIMREFFSSCDQTGRAMTFPLAIGQGQTVDK >PPA16981 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:652680:655893:-1 gene:PPA16981 transcript:PPA16981 gene_biotype:protein_coding transcript_biotype:protein_coding MRSALFLTATAALHRKLAFVIVETGILTLAASRGRSRLDLCNYYAILGKLDEHPECANVRGTNALMGTTTTKRPRGAPTTAAPAIVRQCSSSVALTQCASDAVTCPESGQVCTQSDGNKCCQVATTGIPVSEINAKQGNCPRPLGISVLQDNAIGCWHDAICPGIQKCCLEPNPVTNSATRICRDPVGVSSSSICTLPLAVGSCTAPSSRFYYDAATGKCSRFTYSGCGGNPNNFQSLASCQATCGAVGVKGTPSCPSDASVGLNCLFAHTDACHSDADCLGRENGGQPSCCMTTCGYKICYQY >PPA17024 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:940441:949525:1 gene:PPA17024 transcript:PPA17024 gene_biotype:protein_coding transcript_biotype:protein_coding MIWTISVVLLLASSADSIVFQSFGVRGTLMCGEAPAPGIRVKLWDNDMGELVDSPKLSSFTDMEGQFEYEDWTPHNDEIDPVIRIAHDCNDGVKPGQRLFKFKIPKSYVYKGKEVPKEKFFNLGTINLEFKPFNEERYYKGDFSKRSIIVPYPHKRHRARRHNKDVETTAAPVASSEDDPCDYGLCTFSGKKRKSNRDRKRRNLEESANEASDEIVKKEETQEEEGCASEGACPINLTKKRKDLRGRREIEISVDGQDSEVEMKGANKIVSSQAASGKTPQQGCRDDSRTRGKRKNNRLSLLFHNDLSPISVDGQDSDVAMKANQELFALHGYQPTRGLGDQLEFAVNDEFWLKSDADQKWWRVKHLPTGRNGYVPMSFIARKSEKIHLEWYDMRTTRSEAEETLLRERYIPGSFIIRTKENQIYSEALALTVKANVDGDARILHYVITRDAEDSFRVGGGRSFPTLALLIQYHAGHHSGLPQPLTFSVRMNRLIDTWEISPVDVVYSEERSEDVLGSGHFGKVIKGTHMGVVVAVKTMIIGRMSKEQFLREAEIGRDFSHDNIVRTIGVCSIKPFIVTE >PPA16891 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:26350:28228:1 gene:PPA16891 transcript:PPA16891 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLQWFIALLPLAAAASGETALINRLFSFYDKRIRPTSSSRATTVTVTLSYFILLTMEQQEERIRFGSDIRLVSSVRGTTRSSRGYPRQPTRLLNDYC >PPA17027 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:969031:971582:1 gene:PPA17027 transcript:PPA17027 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDDVDDDSLPSTSSDGLPKLKEIMTTCIHGSSLILHSLPITSALLSALSSSSTEIRKVTTVTFDLCVFADQEGIVENIRAFLFKTSVQSLTFEFCREEGERRIICDELFADLPHLQRLYIQERMPGKNGITKQTVDRWIATTVPYMVDFRNMESNIDAESVRKIIEFTLGTPRRYKTVWNLGTIRPASNRELTPLMLSSHRELIAQTHIDTVGQRHFKVSIFLYVE >PPA16996 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:754305:756168:-1 gene:PPA16996 transcript:PPA16996 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDKDMRLKRMMVYRLEDQLRVIPQDDIHSQILASLSRCVQSLLAPKTEKGHDGSGDASSINPFCTLDELLVEADRSKDAPSKEKLLVLLADALSVVFSTFEDLKPGPVSRRYIDSVVEEEIAIAERAKQAFDALSATNVNSQFNESAYAGSRSDIISGANSSASEMALSSSVTSSAPISAVAPIQPQWEHQQQAASPTPSFGSQVPQQTNGFGKGSQSAFAHENRGFNGNAGQQPTRSYAEPVTGISFGTKSKEVPAQPQHFNQHQSAQHQVPSFGWY >PPA16936 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:329291:330465:1 gene:PPA16936 transcript:PPA16936 gene_biotype:protein_coding transcript_biotype:protein_coding MTEASFVVVSRGAFEAAVEKMRKKAGEQGENPEITVQTPVPANIHTRQLRPNLSEDQWRMWMTKDVEKTWDEVELGGDGKGKQTTWGVT >PPA16915 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:161813:168607:1 gene:PPA16915 transcript:PPA16915 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGGNAVEATIASLLCIGVVNPQSSGLGGGFLMTLFNKTTGRCITIDARETAPAAATQDMYVEHPVDASYATEKLKRAGNSSFGYRSIAVPSELHGFYTAFTRFGSGKVAWRRLVEPAMNLASRGFPVSNNLADVLKRKEKHIMIDEHMTKEFVNPVTGRVYEEGDMMRREALAKTLELIGNDSDPIGLFYRHGMAQSITAEFHRNGAHVTAHDLADYRTQIEETPLVVEDLPGGLSMCGPPPPSSFVVLQAIVRTMARFYNGTGPKNLDDPLVYHRLIETMKFAYAQRAKLGDTRYVQSAAELVSKMITPSKCLSSNFITSIVNKIKDHALPMEDYVDVPMSMPEDHGTSHVSAIDEEGNAVSCTSTVNQLLGSMKISPTLGIIWNDEMDDFSTPGQANLFGFAPSETNFIAPGKRPMSSMSPVIIYSKDGEVKMVSGAAGGSFIISSVAQAIIHTLFFNQTVKESLDAPRFHHQYLPHKTDYEYTVPAEIIDALRTRYQQNMTVVDKIASVVQSLQVNDDGYIHGNSDWRRKTSTYPSGF >PPA17020 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:911223:913763:-1 gene:PPA17020 transcript:PPA17020 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGIGGSLLHRAAENPTKASEKDSSKLVEPFSGSGARLGDSNAPPPAAAAAIDAGDVINISDDDDYMEAVRRSIADQAGPSNSTGGGGRGTANYDYDLRRAMELSTEDDSEERSFQMALAMSMEVDVGTSSSSMTSSVVPPPRKTSAEEMKEKRAAFLSRFEQNVPVSMASPADEIHKDSPGMPQLKHLMMMDDEPVPTASVLHFDLGGAKL >PPA16906 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:106576:108258:-1 gene:PPA16906 transcript:PPA16906 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIVSSFRTLSRLADRCVGLLINTNQCFFMRNYTELMRVLAFVRVTLLIRICVDPILSFVTDFQVRRGFLNLIGVKRKITFSSSRGIFVRTNTTHDNMDNSSEGPSQPGSRKDLRRVDNRPTSQKKLCPPSSIESPSSPDPEDTVISPVPEPIPTVSSQVITEARPDEIDP >PPA16973 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:611022:625020:1 gene:PPA16973 transcript:PPA16973 gene_biotype:protein_coding transcript_biotype:protein_coding MNELEKWMDGIEGELASANHGTDLNTVDELLRKLESIDAEVRGRSEGVEELMKKAKDVKGKDALEVSLLLEKSAALEDRYRKLAEPVHIRGENLREWRKLYEWKALANEELQWLRSRLPEATSTDVGESLAAAQSLMEEHAALESGLESRQSAIREVEARGAEMIRHRHHRSDEIQKTLDDVTTSLLQMRGGMEERRRRLGDAIQAHEYYSGVAKAEKWIRTKMVVASNQETGKDAAAVVQQERTLAILDKDVEKFRDELDRLAKIADRLTTGGHRDSTQITARQTRLSSAYSDLVRECNKRRQLLLNAMTYHDFVKRADTLAEWLHAKAKTASSEEYGRDLEECATLIDEFETVIRELAANGERVAAVQRSCDEQLRANHPYSASIRAKGEDLQRLWHAVNEDANERQQALAGARQVHKFDQEVDETIHWLNKKERTGTALEQEDLAKTDLEGVKRLIQKQDEFVHGMKAVEKQVRELCSEAERLAVLYPQTRSHLEIRRLDMEEQLKDILQSMGKHYEKLREAELLQAYFQEHRELMAWTRRVQDSITSESLAQTVAAAEALMARHAEYATEMKARSSHVEKFVQRGKHMVQSGHVLSSEIAEKVESLQRAFALLAEIWAERHALYEANLDVRVWQANASQLEHWMVERESMLGEDWRLIDSVDEAETKLREFDDFLVTLDAQGEKRELVKRLTLIETKFAHIKKKEVEKIRVEEDATKKREEVIKVARNNLIANRRLERERRKTQEISLLKPAPEEIVSQTLPRNNKDKRERSKTTAFGDASVAAALASASSPAPLVVETAAEATGTLQRTTPAFTTRRQSSMRKTAAWEDSTIDMKGFVDRKHQLQAGGLKATVRSWKNYYAILCGQLLCFFKDEQAFHDASAAAAPLNIYGAVCREDKEYLKRKHTFRLRTSDGSEFLFSCASDDEMQSWLDRIQYHAGLAPKDQLRSFSNSVTSNGSGGAGVASTSSSSEYRPHASSYEQRGLTDTSAPVVPSHRPPPAFATIDTTPVRSGDSLQRRTSGLRASGRREEEDRTSLASCSATALDHSSPLRSSSTSISTPLPTLYDAAIPLRTALSRRESRRNLTKSVYESIYGAEDLPQVESPEEGSPSSYSTAGTTVFRTEVRELEYREATSVSYQKDDCSVHRNSSTSFSDAQPSGAPPPPTMPRKNTMPAEGEFVAWVEKYGNSQQNLSMGGNSSADDSDSIKSGKKKGIGSFFKRTTSSKK >PPA16997 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:756925:759758:1 gene:PPA16997 transcript:PPA16997 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGVAKTKVSKSGSSSPEIFENVVDDALSTLARCLSIAGTSSEAPIPTAVEESSWAHDHHTLSDDAPPVASGDQRGNIRIVPYKDETQIGDVMRLITKDLSEPYSIYTYRYFIHNWPQFCLLAQDSVTEQYVGVIVCKMERTPAVNKMRGYIAMLAVDEAYRKLGIGTKLVHRTIELMVNEQCDEVALETEVSNVNAQRLYLNLGFVKEKRLFSHWSL >PPA16952 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:436757:438758:1 gene:PPA16952 transcript:PPA16952 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQSFLTACALPTLLGVMQILVKEPRHRRSGFPDLVLWSAGKQVVMVAEVKGPGDTLSTKQRLWLDFFMKEDGERVKAYLCKVTVIHRSDLIT >PPA16956 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:447725:448508:1 gene:PPA16956 transcript:PPA16956 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRQKAGLDVIIKADRSELSDLPGFLDRVSEVVTRVRLQCYTDPSTVGFVFGRPTYNFLARVSAEENQGLSFIVFHAFLS >PPA16945 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:379964:383834:1 gene:PPA16945 transcript:PPA16945 gene_biotype:protein_coding transcript_biotype:protein_coding MERCIFCGWNRRTAFQSIRFFMIPREPGMKQLMWMHAIDKKGRPSNDMSHEDYTPHLYLTSEPPVETYCGDLRVTKVLNYLENLAETKSVTGERPGGAKHEPVHGEYKAAVNSMNPNRIEVSKVSRPSILQRKRKLPVPEEKPPVEEEPAPPEEEEQAGGEGVEEGVVVDYAAQEDMFAASHPDHRMAQDMQHREEPPGQRRVKLQAISRDVIEAIGARAGQVVIIKRKVLKGSRANSNEPQ >PPA17011 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:850386:851696:1 gene:PPA17011 transcript:PPA17011 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pptr-1 MQLSATANQFVDKIDPFAKRGASRRRRVVNSSRYHTENEPELAQLALIRETSASEQQALVIQKLMQCQKVFDFYDPVAQLKSKEIKRAALNELIDHMTSTKGAISENIYPEVVKMVSKNIFRVLPPSDNSEFDPEEDEPTLEVSWPHLQINGILIPSARTFFVHSDCGVP >PPA17014 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:860209:864763:-1 gene:PPA17014 transcript:PPA17014 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSGKGVEIYIDGSSDEDRRHRRKHKKRSHRRRSRSSSSSPTTSSALGNSIHINPIVANTFADAQMLQQRVAAQDVQIHHIGAELTSAHARINVLEGQLARLSQEKQLLEARCTPLEQQNTRLIEQLDETKKVLQVLEPAVTSYQKTNDNLTRERNELATKEKVAADECKAAKAAYLSAKEQAEFVGKRNEVLAKKCEDVLVKNKQLEEHNHLLCAEADRFRSHAEGLAKAITELKRRAELAENEKSLLAGEKRALLDREGTWRAEKADMEKKILYKESQIRSQKRAHDDEMHAEKDRFAKLETKCRENDAGFASEAAVNSVKMAVDVLRASMEDQINMMRRRIGDEIDIECTLCGLTIVSTQYRGLITGQVGNQTVDEGSVLLQLPHPNTVAVSR >PPA17000 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:769745:770609:-1 gene:PPA17000 transcript:PPA17000 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSALAEWYNAPLDIEDVFADERIHLRHIDVVRPRLRSPLRIGGAYHAQE >PPA16947 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:396528:401500:-1 gene:PPA16947 transcript:PPA16947 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGSPIEDTAESTMSVKIGENRFFNIYEDTVVKSDGLVTRAGEPSAKKKRRIAVVAKKLWHGRVLLATENGSIRVVQVKDDGNERTAPAVFTDGQFVPPHCTLKCHQPSVIISTESTVFVGTQRGYQKRGVWCDSEPWKPSAIDEEELDIPEINTSTSEELLNQEDQNWFMNGNNCKVANEVMVAEPMNDEGMEEERSDEEQGPAVKKLRIRESTAGDNWKRSETLLTAVIENEEHNPFPTDVSSCMHFSTWVAQTFITHLRMVHRTTPALAGIVLRCACGEDVFSHHHSRLCSVSNFTVVRKDYFGPPRTLVDKMTPKCTICYIQCYLKCGMCGEKFNTRNETSLHAKRCKSRFYQLLKIGEASETEKEAVDNVQNDLLEVKRITPQCTLCKAYPKTIGGYIQHLYNTHDRTLFEIKHYLKCGVCAVVVSTKLQSQAHSKKCKTRSFELLKAPSEELTED >PPA16989 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:707005:710240:1 gene:PPA16989 transcript:PPA16989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-osm-6 MIMLSEDCVIRTVFYKYFDPKEALVSNGIINRAIPTAAGKPSGSDQNANAQSLAFVYPYGCTLNINRSSSAVLSTGSTCFPVSRPIAAFHTHEESNGRMVVVGSSHLFHDTYIDKEDNAKILVFGTTLKVFMDFLNGEVDPNKMDASEPDLTDYYPVPDHIYLSEQLKVPLSEGDTDTSLIGSDFMKLFDAKLTSFDFSKWPSVIKAYDELNVKADRLSFITPTFEVPILRLQPAVFPPNFRELPPPDLEMFDLDEMFSSREVRLTQLVYKCEEKDLEYFIREAGDACDVTTSLPANDRTARRILERVLHHLIEYKKNNIDDDDSMPADDHLFNVPTGNLEADFSDLDDYDD >PPA16965 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:527269:530058:1 gene:PPA16965 transcript:PPA16965 gene_biotype:protein_coding transcript_biotype:protein_coding MSECDVKGNVPLLHQIKNEQLSIKEEEPMAMPIAYVFCPASGTSRPLNIVLDEGSNHVTTTPLTTMVENEIGSLADNAVADVNHGENMAGEEKDEDKKKNTKENKTKMKRKRTATYENYGQGVTCDACQSSLVPPDMRFKCIVCEDYDLCLACMTKSSLGEHSRHALVRLMNEKTLLPTRNGTTKMGIYLKSGWKHVLTIWLGILSTVNE >PPA16917 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:175863:177700:-1 gene:PPA16917 transcript:PPA16917 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVIVALLIALTYLAVSLWTRGKEEKKGKKLPPAPKWRLPVIGHAAYLDKDKPFEQTQS >PPA16941 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:353829:354206:-1 gene:PPA16941 transcript:PPA16941 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLVLCLVVLVAAAAATSQCGQVKKNPVSAAILNSPEVEAVIRAKRGANQTGEAILGGQYASTTV >PPA16959 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:458232:466282:1 gene:PPA16959 transcript:PPA16959 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFEMDLFDTRMHTLKTVKDEEEACCSGSSSRNSVVKPACLPITIVSILIIALVFLPLFNDEDLEAPLKMALATQCGDTCSLNLVESIPTGISFPSGPKNADTAETWIKIIDDTEEVLDMAALYWNMIDEERHPSSRKGSLVLEALIRAGQRGVRIRIAQSLPADGNATYADSERLRVEAHAEVRNVNMTRLVGSGVLHTKFIISDMKRVYIGSANMDWKSLTEVKELGVHVAECGCVATDLYRVFSIYWRLGEEDARIPSKWPISYRTPYNLEHPMPITVNREIMGRLFISSSPPGFNPKGREDDKDAIVALIGRATKSVSVAVMDYIPTTLYMHENSYWPVLDVALRDAAYRGVQVRLLVSQWDHSKKAAIPYLRSLLAINDALPEKRDKRGSISIRVFTVPSTPEKIPFTRVNHNKYMVADDTAYIGTSNWAGDYFITTAGVGFALESAAVAAQLQRVYERDWSSQYAKDL >PPA16955 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:444452:446005:1 gene:PPA16955 transcript:PPA16955 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLPLLLQLLPLIPSNSTQSQVVGSFRLEKAFFEQASGEVHEIINYELHGLEIKDQCFGIGIGTMCIKWMKIIRVESPKLDFTISQKGFLWRAKGWENGPAGGAVRLEGAIKINDEIVKYINKTNNFIMALPDDIPVWGNVTVRYNLTKDPIFREGAVEVTSSFNFSSNVLDDYEDSDIEEVFPELDLGKDHSPFSNPFEYITIADFPLIALACVGVTLLILDKKYGFITVLGCILVIAILLLLLPVIL >PPA16974 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:626858:629343:-1 gene:PPA16974 transcript:PPA16974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-93 MVGMNTCTLVSMEDDEKVTRDTRSLGSMALGSNRGSASDSRAVLSRRDVDSFGTEDHKPSNEKERQRIINAGGSVMIQRINGSLAVSRALGDFEYKCNSSLSPARQQVSPEPDVYILPRQTVDEYLIVACDGIYDVMSNDELCSFVHARLEVTKDGALSKVCNEVLDACLQKGSRDNMTLLLVCFEGAPAVHEAKAADEEKAMGVVKAALSEIIDESLNNGDSKEELCVEYVLRTLNSTRPEALPADSHARLLVRSIAEAVVAEKKKAASPPPADGPPSPASPGIPAQ >PPA16908 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:129093:131536:1 gene:PPA16908 transcript:PPA16908 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAVPPPPRSSQNGHPRHNSAHSSTTSLSSEHHRVPGIIRYPSDSNNGVDYASSRNSTSLMNGCGSMQGPAIDRLREENARLRLRAEEITTDSNRRVENWSTSDVSV >PPA16912 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:141868:143030:-1 gene:PPA16912 transcript:PPA16912 gene_biotype:protein_coding transcript_biotype:protein_coding MKSREAPDSDDQEYTFKKLVGVGFTTDGLMLFHVLWSTNERTWEPRMEFNVKITDYTRCIKTMIRRELRDGFEFTKQQKKTFEANTADIEISMNAKMVIMQNRNGVYS >PPA16971 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:562594:567323:1 gene:PPA16971 transcript:PPA16971 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sma-1 MPPVPPPMDDVYADETLYFERSRIKQLQDERVHIQKKTFTKWCNSFLNRARLEVVDLFADLSDGVLLMKLLEIISGEKLGKPNRGKMRVQKIENLNKSIDFLKKKRIQLENIGAEDILDRNERLILGLIWTIILRFQIDTIVIDVGEEETGERKNAKDALLIWCQRKTAGYAGVKIDNFTTSWRSGLAFNALIHAHRPDLIHYDGLAHSDHIGNLNNAFDVAERKLEIARLLDAEDVDTQRPDEKRRSSPAPGESPM >PPA17008 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:825377:828789:1 gene:PPA17008 transcript:PPA17008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dbl-1 MLDQDSIRLFYPQALKERVVEARVKLRVRGGRGGSLRVYRVEDMDRLKVERLLDSVEIARDGEEERLVEMDVTETVPYTNQQHVVRLLVVLPEGCALVDSPANSLSSLSQSRAASASMVVSYVVRDGDDLQEENEHPKRRKRFSDEEKRRKELRKERRRNNRRHRTGGQKGLCHRKSMYVDFEDLGWLDWILAPSLDIPPRRISGLVPQ >PPA16969 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:552149:553319:1 gene:PPA16969 transcript:PPA16969 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLHEAASYAPGEPTKRGWQGDETDATGRNKQQHPPPVPPKQQQQQAGDRSFRAEDDPLDEMHRPYHMRSEQVEDYCIPSSFDRSQSHLSQPTS >PPA16933 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:311468:311951:-1 gene:PPA16933 transcript:PPA16933 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTDKTELELKPGRARQQSLFDPARSLNLGDLVLGTSSTTPTDSTTAYDADTEPSPFLL >PPA16946 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:383390:395855:-1 gene:PPA16946 transcript:PPA16946 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dars-2 MRLSSLLRAAGRSLLPVNSHVTRSHTCGELRLADAGSEVTLLGWLSFRRLNRFIVIRDSYGSIQATIREDDERAKSLLSHLPYESIVRVRGRVRDRGEQHRNGKMATGDVEIDVSSLEVVNEARRDMPLLPDARAHEQTRLTYRYIDLRSPQLQSNLRLRSSVIHAARRFLVEEKGFVDVETPTLFRRTPGGAAEFIVPTRTPAKGLAYSLPQSPQQFKQLLMVGGIDRYFQVARCYRDEGSKLDRQPEFTQLDIEVSFTTQTALLDLLEQVLLAAWPEHLNGVKPCAPFARLSFADAMRDYGVDKPDLRIPWKIEEVQSRTFERLRGDSKDSDWSLKCIVARGSASAVNKARVREWCRLVEMNYQSTSFAVLTAATKKKDGRWFNFVRDEEIEREFEMGEDDAVILAWGAPSKVQYTLGQLRGMVGEEVGLRRREEFRPLWVVDFPLFERDEEGSLVSCHHPFTAPVPEDEWRIEKEEGLEEIRAQHFDLVLNGVELGGGSIRIHNGPMQRRVLEILGEETGEMTHLLEALESGAPPHGGFAFGVDRLISILAGRGDTSYGIRDVIAFPKTKEGRDLMVDTPIEPSREELDRYENKDNGEKSVVDPKVARERVKSRERHSPITELALEPLSTFRLIMTTWPARAPIASITSLQLSIY >PPA16978 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:639666:642438:-1 gene:PPA16978 transcript:PPA16978 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNAWKAFALTETGEPDPSIEKYKSKRSAPSDFRPVDIDNFLAERILREVDIDPKQMGKIRDTDWKNE >PPA17001 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:779443:780607:1 gene:PPA17001 transcript:PPA17001 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFNSLNDGPVSSIVQNFRLTTEAVLCNLQVQSEVTTSRISMLTGPLEDIVEAQILKGVKLGRKITRTLKAFIDPFRSDFTGEITEEDISIEHNERASKFTEVVG >PPA17021 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:923413:927576:1 gene:PPA17021 transcript:PPA17021 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKRGLVLDIDDDSHFRTFLKYLIPINVNDIVLTVNDKVAAKPDFKLHDMFKERGSKTFVFTYVRMRCATDDRVMVMDVAAKTIGAMCMQPGDCILQINGARQGSDAARTLETIATEIEQKGFARITVERPMSEVARATALFTIQARVVARVVVVVVGELCCATRGTTENRDLPIPADCAAYVKDALKLLKGGEPKSIMKSRSSGSDEAHVGFHERIHAESVIPSDIEDERLVKTPNRVPGVTVQPISLPAPPSESEKAPSAVKPPSVHAPPVPPPPPTTPPPAKEPKKSKVSKKTGTGTDSKNSKGRKRPSAEPIGEKKGSGKKTPASGAPSGKDGGASKSKTKKKVEGSRRSLSGSSRRSTKRVASRNKNSTNNNASNNTKKSEAAHHNNTATGGDTEVPAPLCELRRHAARDV >PPA16914 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:160413:161234:-1 gene:PPA16914 transcript:PPA16914 gene_biotype:protein_coding transcript_biotype:protein_coding MHNVTHRPTSLHSPWSVAIDARLKEVYIDPDGSGQRSFVWKLEGYDDVFQDGSLLPVTPAFCDIREAL >PPA17006 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:798840:801285:-1 gene:PPA17006 transcript:PPA17006 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGRTRIFCFEKELVGQVLDLPQDLFVARDGINAIENLEKFVDENPGGFFSFSLRLRGGKGGFGTLLKSFRINKSSNQLMCRDLSGRRLADVEEEKKLKKWVETAGDREKEKDNKRKAKESKLRAATQEKPKHDFNDPDYIKTREDILEKTDDAFEDGFKNLSKKRKPLMEEEDSSSDEDEDQPGTSAATFRKAKRERIMFADESSSTSPSSDEKKADVTEGSGSGEEEKDEIPDEKLAVPSPPVADTVVEKPKKVEKKPYEKPTDIPTDFPAVDLDAVASVSELEELGLYHLKHALEARGLKCGGSLQERAVRLFTVKGLKKEDYPKAIVAPPPKNKK >PPA16928 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:252242:258120:-1 gene:PPA16928 transcript:PPA16928 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTGKRASTAAESEAAAKRRKDDEEPKKSAYESQLEKLAESASESNSTVTDESNWERPPFDQDLGTKRPVAMQVLDVETYVDSGKGYDRTNVKLYGITKEGVSVCCIVTDYFPYFYFQAPANFQPEHIETAQRNLNNLLAGAIRRIGSGGQHMSTEVTDNLVHLKIVQGRNLYYYRGTEAKQMFIKVSGTQPTLNKAKQELKNGVNLGLGGATHVGTLFEANIDADVKFMAHTGVVGCGWVEVPIKKGHVVPPRSATSRCQIEVTCNYKPRAADIGQPEGTGL >PPA16986 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:687187:698453:1 gene:PPA16986 transcript:PPA16986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-aman-2 MMTEDIAYYPDITKLFRIRRGGFSSSASPQKMARSTRNVYLLLFIAIFIIMSLILYSSIDSPSSMRRADKNAEVLRLQQKMSSLEENLRRNGEEMDRLRKELRESERLRKELEKKEIEKENEIFDEKEEAREEVQKHVNKAAQVEDGNGEEDKAQVPEPRGGGNKAEVVRRFLSKTTKPARDVCPMRKEMSVPNSEIQMFDMYNLIDFANTDGGVWKQGWEVKYDAEAVKTEPQLEVIVVPHSHCDPGWLRTFEEYFDAQTKLILDGMATHLPQQDQMSFIYAEVSFFELWWRGLDEPTREKVKGLLSSGRLELVTGGWVMADEANTHYNAIIAELMEGHEWIKNHLPKEALPRVHWSIDPFGISPTVPYIMSAANITRAAIQRVHYSVKKELAKQRNLEFVWRQMWDSSKATDVRTHMFPFYSYDIPHTCGPDPKVCCQFDFRRLSGGGFAGCPWGIAPQLINEDNVATRAALIYDQYRKKAQLYKTNAVLIPLGDDFRYDTTFEWKQQYENFQKLFAYMNGQKEWNVKARFGTLSDYFSVLEKHTVEQKIDLPVLSGDFFTYADRDDHYWSGYFTSRPFYKQLDRVLQHYLRSAEIVFSLSHMSGGDSGPASEESFERLVRARRALALFQHHDGVTGTGKDHVVKDYGQKMLDALRDCEEVITVATEVLLQKKGSAAGQLQLDESRSAHDALPERRAAEVGSSLILFNALSTVRHEVACVRVADAKARVKRNGGEERPRQQLNPVVKLTGGVLVASQDEFELCFDTSIAPLSLQTYSVVSEASSTDDGERATVAGTARVESNDFKSASLPSGNVVLKNDRITAEFDAGTGMLESVTPVGASTATKTHMKFVKYGARGHKRIP >PPA16993 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:740140:741612:1 gene:PPA16993 transcript:PPA16993 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSVEEVDGTAVGEQWPRRRRKERPAPLLIPSASSDEGSSWRVGESEESEQEEEQRGDQREEETKRKISRVTLSDELTVICDDEDVTETERDQREESPGPWREEEALRVPTFGEYFFGEITRGYSLQNDESRYSEKRKKTKRLEKLLGRD >PPA16943 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:370341:375279:1 gene:PPA16943 transcript:PPA16943 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-twk-14 MFHGFGTSTPVTPLGRVASIAYGFVGCTCCVLFFNLFLERLVTALSHLLRYLHNRKIARRIARGTTGGDKPVTLLVNDAEYGDSQSSCGDAMDQWRPSVYKVFACLSLISKALLLISASIYAYIEGWTFVDALYFCFTSFATIGFGDIVSHHDDTVQTVISSAFYRPLNFILLSLGACCFYSYFNVSSIVVRQFLNCIIKKMDVKMHRGGCFKRKPKRYMGLGLRPPKGYDMSSERSSIDCGEGLLSLREFLGNQQSSILTLQKKLIQNAQRELCRDSRDNDNFISATRVGPMGILDETFGDPNA >PPA16923 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:218506:220170:1 gene:PPA16923 transcript:PPA16923 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-60 MKLSEGKKEFRYIIFKIEDREVVVESAVTQEDLGISGDDYEDSSKAAFEKFVADLKARTDGYSDCRYAVFDFKFTCSRVGAGASKMDKIVFLQLCPDGASIKKKMVYASSASAIKASLGTGKILQFQVSDESEMSHKELLSKLTEKYADN >PPA16976 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:634681:636636:1 gene:PPA16976 transcript:PPA16976 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIATPPSPLMHKHSVVVHSPPELDIPPMPVPKNPPKRSTSKGPAVRKMRKPEARSPAPPKSRPLPAAAKPKQSVSKTQPIPVKRDVEKRLAALQRANEKLREKGERLGRELISLRDEENLIEQERDRLQQLWMCSRSEVDDERETRHDMERAVQRMKTHHEATITQLQQKMRSLLLAARSQRVSATQTEHLHVGGPFEAS >PPA16935 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:321639:328419:1 gene:PPA16935 transcript:PPA16935 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHRVTALNRCLTASRQRFSTSLSPARRVVAAAANKAPVLASNSPALSAHASPLVQLRSLHISSARLDDVIIVDGPAFAESISEGDIRWIKAVGDTVTEDEVVAEIETDKTSVECPAPAAGTIVELLVADGSKVTAKQKLYKLKPGAGGAAPAPAAAKPAVATAPAAAAPAAPKPAAAPAAAAPAKPASSAGSIPSSLPPVARPPSAPLSSTPVISVPVTRVHVPAGVAPEHAISGARDEVRVKMNRMRQRIATRLKDAQNTYAMLTTFNEIDMSNVMEMRARYQKDFQKKHNVKLGLMSPFVRAAAYALTEMPVCNAVIDDAEIVYRTFVDISVAVATPKGLVVPVLRNVESMNYAQIEMALAEMGEKARDNKIAVEDMEGGTFTISNGGVFGSVMGTPIINPPQSAILGMHGIFDRPVAINGKVEIRPIMQIALTYDHRLIDGREAVTFLKKIKSAVEDPRWMHRAFKQDADGASSSLGTTRPPESRELFSDLSTVGGGGTNNGERPPKRTFDGSPRKELKRKRREKAGKMASFIFFDLESTGLFTRDQWRDHSKLDEPAKIADELFRYTLSTKK >PPA16957 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:448992:450831:-1 gene:PPA16957 transcript:PPA16957 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFFLLFLPVLPCSISTEPEPHAIVTARLEKSLFELASSMVKQIINDEVPKIPLPSYNEKFAGIRVRSRWIRWDGFEAPRTNFTISEEGLRWETSGGSVKIKSQFDAKWALFKKTGIIDFTATDLRTSVQATVRNKNSRPQIEVKHCANNVGRACKMVNRFVDKANNFINYQPEEILIWSAIAFNYSRRNRGRVFISDGVILDVDDDLIDDLVLVDSSDAHPPSAIDLSGQQMEPFKLSLKDVFLYIAALIGAINLLAKCRE >PPA16967 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:534604:539459:-1 gene:PPA16967 transcript:PPA16967 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLCIDERSQCLGGEKKGLLDQKGKCLVDSDSPHFSCTLAAQSDLALLPIAASLPEYCPQHSVYGSEPEDDCEEASDPNRLPKSGLEKWFTKEMFEDLFPKANLGHGPHPCLPYSYEAFTIAARYFPEFGNEAPTKPGASRAEVQKRDVAAFLAHVIQETGEQDLGLYNSSLSTDEASECFYRGGLYNWFERGPNSSFLVPAFPGYNTVDGKRCTDEGAYCNRNPVMQYWFPCNDEEEHHANKTFHRGCYFGRGPLQLSWNYNYGAFEHFLRTRKINVNLVENPNLVIIRMFSFDLVPDKMDYLQIMTKLDPPLAMLASLWFYMTPQPPKPSMHQIIVGDWRASTKNRRAGYAGSVFGPTSLIINNECGGEDADTPGGPGENRRIKAFKWFSNYFEVDPGANRTLSCKGMIEPFESNEHLYSYQPDWANMWRSRPCDCVPAPYGGPLPYYDPKFYPARFVRENDRSRLRCVFSLYDEPSLFRLDDGNSPCLKHRPKIKLTKTGF >PPA16984 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:667732:674713:1 gene:PPA16984 transcript:PPA16984 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHYSSSSVGLLSHHLSHDNHSSDRRCNNSDENSTLSSSLSDDVSSLNSTSQRDVFLSAFHFALPSVVAAVTAGILALSPVVFLISSLLLCNLNLLLLMLSPLFPMTLSTHPSLCLIMLPPFLIGLANVLTTRKNWKRSRKGTMEAPLPRLLQTCFERSVFLVLLPTAAVGMISGVSLHSARFHGLPAFLLLSFLVHISLSLMFLPALFIYRQKRSVEWTPDFTSNCDWALWRKLRAFIEEKVIYTLHFIVRLVRVSISRAPEAILAFHVVAGAGMIFYDVCAIFDFYELRAATGLQSLTREMTILLLKTSGIPFAVSLLILPILCRNIILALISLATIMSTFLATVTILLCLGQICAPSAPTLLLLSIILPLDATIRYSIYFRQGCPPPNYTKTFCTFAAITLSRKRKQPTRSFDALDSLLASIGIPYAASMAFLCTFPSLHVFLCNTSTPDLVDVGGHPYSHRLLTHLPVYVARFGPISVTFLSGPTHPLDAAHNTESRVHLTDHFLPSLSVHPSLQEIRKFSAQQQQQQYHNPALFKRKFAPNVRRASMPVLAMTQPRAVAKRNAVSRKFTEANQSTLRGISVDAMSISSATIIRREPLSNQSKSPHHSIRSLAR >PPA16999 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:766332:767483:-1 gene:PPA16999 transcript:PPA16999 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWMQMYLDWAWFSMCYHYSLIAWSRFAAIKYPHSFRSQSRTMSYLLCLSCYVFAAVQVLLTHFQSWYVTFYYEPAAYGMLSEDFFKYDPLTPSTSVKNSVSEEPTGDLPHRFVVGTKQERS >PPA16920 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:201278:201686:-1 gene:PPA16920 transcript:PPA16920 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAGPPRRLVADALHRRNHSVGEQAPGAGSAEKKDAYRKCSEGNPETRKCLV >PPA17015 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:866065:866699:1 gene:PPA17015 transcript:PPA17015 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDLYYAAQTECLVFSSRFLIPSGGLFVLDRVAAVLDVDPIMDLVCAGSKYPSLRVAVAEGPQQQMKVARRFLRELPRLAGDGGQW >PPA17026 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:967902:968400:1 gene:PPA17026 transcript:PPA17026 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVLPPELLTSIVDHTATNDQLNTSLVCRRVQSIMKTSRTIKRRPDEIRRARFYAFS >PPA16888 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:5054:6372:-1 gene:PPA16888 transcript:PPA16888 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFGDKVQQRQVLLVTSQVNDYSLIWDPMIPMNFENKKSKFVYISGSLFNAIEEMSFKFAFQKLKLMEMAFKLVEKDAWIC >PPA16897 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:44619:53696:-1 gene:PPA16897 transcript:PPA16897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-bub-3 MRGEIVKNLTTLGFILRLTKNPQPADRFPKRPDQNAVASGGADFCVKMMDIECRKDWTLGQHAAPVRCMEYNTRLGYIATGSWDNSVKLWDHRSPSTAVQAASVPDKVYAMDTKGEKLIVATRARSIHIYDIRSMREPEQTRESPLKYQTRALAIFPKGDAFVVSSIEGRVAVEYVDPNENTKKYAFKCHRTKDAGDGSELIFPVNAIAFHPRHNTFASGGSDAVVNLWDPFNRKRLVQLHKFDTTIASLSFSSSGDQLAIASSYQYEQETDPMPMPDNTITIRRVAENECRPRS >PPA16895 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:34372:35711:-1 gene:PPA16895 transcript:PPA16895 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSLAWDYLTKNPKLRSSLERVFFSRGIREDMYKGRKHRSRPSLHAGCLHMYTILPKRYSKRIGAGGWLKDFVEVKKVPERILSADFSKWRNLYITVTIGLALELWGAIAIGAPTICSIIISIAICACIVMLVVMHNAPDQQTRRFSRLFCMFTHIETLVLDNFRISENCSKSRDAIGTVMKSLKGVRIDRLEIRENYMNPYLQCVARHMDADMSEFSKFVHALTANHVTLDVYERNGMDDRLYFDRSRQWWTSTADEKYVSSFLEMSRHNER >PPA16899 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:63719:64078:1 gene:PPA16899 transcript:PPA16899 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQVGIKYSTPDPLPQLGIKQAYVPAASSTYIAYKSSPISNDPTSWNQALPTSCHQA >PPA17004 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:792762:793242:-1 gene:PPA17004 transcript:PPA17004 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPWYYLERPARTALLRAFYLTCAAAYPIYVGLNFKEYIPDRAALITLMYLY >PPA16893 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:29610:33022:1 gene:PPA16893 transcript:PPA16893 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRVRNLDISVIVANQLSQRKVSTSNRSSPKTIDWRRIVRFESEVLADGTVFTTNPSVYDTWCRLNLNNFPFDSQECEVNIGSWVYTANETQITTNQSEIKLDGAGTLYEGNSEWEVTRIRAEIKASVEQGENFQEVWYYITLHRRASYYVFVLLVPTFIVTTLCIIGLFTPLDNFGNRSERATLGLTTLLSLSVILNLVGEDMPKQARLPRLAVYVLAEIIVCCIGVLITIAIQVEYL >PPA17010 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:839369:839901:1 gene:PPA17010 transcript:PPA17010 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATDLKKLRSAAAPTMPPATRHFSRQEGFCGVGAAETSSSATSKFNGKGKGKDRWQGNSLHSACSPAFSNQEK >PPA16937 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:334934:337674:1 gene:PPA16937 transcript:PPA16937 gene_biotype:protein_coding transcript_biotype:protein_coding MFCVRRLGNLTLNAAQSHALRLYADASGLRVFCVRSPPGSGKTTVASVMAAAVALANKANPRAAGSAGVQLLLSVQNVAVDNMGAALKKMDYGGGTVYNMKSTKKLDPHTPAPFDFFDQMSRERLQEWKAGKQSMERRVWNGRNRSATMERFASYEECLDHYRREYEKSINPKIILSTVELVLYKMLNPSRARDVLNEVRRVIIDEASLLTEAALYVIIRRFPYARIVLIGDEKQLPPFMYDKKILGHELAGRPALSVAIKNGNVPIVELNEVYRAPPTMVAPYNRVAYGGRLVSKMAEGERPLSSIGLVHSGLPQLLLIDVDGKEERNGKTMSLCNMKEIDVVLRLLKKFPAQWAKDVMIICLYKEQKWRLHNKLKNQNHLKQCSVLTVDSAQVNKIHFELLCCLAQAATAPNEELVGNTNVYSLSPLLEKASYVCKV >PPA16962 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:479803:487631:-1 gene:PPA16962 transcript:PPA16962 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRFSLGTMYALSTVPNQGLQRLLATNSNWKRYLQVDCATFNNFNFPVPKKDEVHVYKARRSKRIEEEKALEERKRNTLSTCREIDVYKEVLRQDGNKWVIDEIPEKERLIRDKIRNKLIEFDPLDYHVIGDQKAADNDPAPRPGEFDYWTNIEYIYFIFYKFHRYTVDQRIICLRAVTAFISYSEADDWKGKTKKHFGTINQFFDILKMSHTHKLKDVEVWEHLYRMCIRFYASCTDSHAVNPQRRFRDLWKEIVKSMLDDLGSKAVPKENYHMTGIRRIALRIMLWLKDKKWTMFIAEEAKKPLSSIAAEIRPVVLQYAVREGGREEEIKMEFYDEVKREEWEPWEGVKHGIYRHHLIYALCSAKDIPDEEGRKNLYTTRREIIDAIFKNPKDLNRIFTPKDIFWAFKGATEEPEAAVYSVDIGLENRRDRMLVRLQDAWRGCVEFAVPEGANKDFFNEEMIEQRVHNVRLLCDNPKLNKLIVTGMEQYRIFISVAFWALIKMGCQKAVLLSR >PPA16995 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:751934:753728:-1 gene:PPA16995 transcript:PPA16995 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSESQQFNQSPAPSQQATVVGSQQQFGNQSAQQPNSFGGTQPPATQPQQFQSPAQSAPSFGTHQNMPPQQQMQQPSFGNQPSAAPSFGTQSANLQQPQQPVPPQQQQSTPSFGTQPMPPQQQFNQQPSSFHQTPQQQAAPSFGTQSSATPSFGTQSNQQQPQQSSFGQTPQQQTAPSFGTQSSATPSFGTQSNQQQPQQSSFGQTPQQQAAPSFGTQSSATPSFGTQSNQLQPQQSFGNQPSSQPASQPQQQSFGGFGTRSSPAPSFGTQSTNLQQAQQSSPSFGAQLSFGTQSSNQQQSQQPALGTQQQQQGSQQFNNAPSPAPG >PPA16980 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:644956:652003:1 gene:PPA16980 transcript:PPA16980 gene_biotype:protein_coding transcript_biotype:protein_coding MYEKYNGGYMTTRGRGNQSSHHHQQQQHLQPTHYAPEGPSPLSYLALPPSPLTPSRNSSRQSNNSGGGNSNDSLGIRTWNTEKDEPFFLNKTGNDDAEPRLFDDPHNRDNAYVTTNSSRGRTRSESPHKKNHLMGDNGHGNGHKLTSRSKSPTKKQNISHNLDYLTSQCHQEGCTASIIVQNARFLVCRHQLTHASEYFRSLLNSQPPGAEVTVSVSGMACPSPVTQFRWFIESTIPYPALKDIGDDTLETCMRLSRRFESKSLELRCNKYVIENASQRQPMVVLCWLNWCLQHSFAPNVKQACLPSVARLSLATLEQHRHMLTEKIFGDIAAAKLRSCYDKCVNVFSTIHRLDHFTVELDRCPRCGRTKEQGRVRVHAFPCRMPHRKLIGCERCTKELDCELAAKSETGMNAFYQCSHALLPLNDSTEDCFCQITNLASHFHSSFTPLRPPPHIDSNNQVPASTRPPEQPPLSIPLPPGNGDLPPSMPPLQPPNGHTN >PPA16982 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:658980:663448:1 gene:PPA16982 transcript:PPA16982 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLVILTYVKIESNRLFISRTLSPVREATGYGGGDTYAARGTLYRSPASYAGADSYVAKNALRYATGGADSYVAKNYALSRSPLSYADSYTARDQLRGSYADSQRYAAVADNYISRSALNANYALRYAAPLSNNYAIKAALGGGGAGYAGADAYAAKNAAARYAAAGADSYAAKDALRGGNYAAADALRYASGADTYAAKDALSGGSYAAADALRYAGADSYAVKNAVRGSYAIGDALRYASPGANSYAAKDALRGSYAATGYAAPGADSYATRGGSYATADALRYASPSSYASPAASYASPVGEYSYGTRRYEALRRAVYADAYKKAYSEALNSYAAPSAYAGSQAAYSSAPRRTLGEYGIYMPGGGYARSPLSKNYATLPYRAVGDYADAIKVADGRGAVGYYERSPARSLLLPSAYSPESSSPLVLRFHR >PPA17023 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:936877:939807:1 gene:PPA17023 transcript:PPA17023 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDSPTLYHQKLRAPLLERSLSSGNHSPMKRAAPRLPAKLTADSFIEAVKSVDTFIFDADGVLWLGDAALPGSARTVDLLLRLGKRVIVLTNNATKSRACYAKKLAKLGFPAELNKDSLVNPAAVVAEVLSLAGLKQSGKKVYLIGAQGVRDELDGVGIEYFGFGPEPEDRSDGSAFMFDIELECKPEDVGAVVVGYEKHFNYHKLMKAANYLQEPDCLFVATNEDETCPGPNPNIITPDAGPLVAAVRVASGRDPITVGKPNSPAFEYICRRWKIDPARTMMVGDRTNTDVQFGRDHGLKTLLVLSGCHQLEDVMDNQSTGKDNMVPDYYADSLGALSL >PPA16968 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:546611:549482:1 gene:PPA16968 transcript:PPA16968 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCPSSHCFIERSPTEEAGVYKITKGCLRRPSRTINGCDYDHFSDHVQCVCTGNFCNDHIYLNRRCHEDSATGAAGCGFGPPSLPFFYKGPELLYFRHKMCVTMSRGLGPPRKHCICNTNWCNGFRKVGESAGVKSRSLINTPEPVMPLQECISCEATYSDDQANTMACKQHRCTGHFCVITGQRVSTGGSAIVGNAVNNKHVPGMVTERQGCINVTDSNQIQLGCAHKWMDGNFEEIRCACKGNLCNRDFLTATSTTR >PPA16926 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:228097:229321:-1 gene:PPA16926 transcript:PPA16926 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSTVEKYLKGWTAFNILQLLGPIFLGYGKPATLAEQILPGAAAIHMRSHAYAAALMLIVKLAMLCCFSSRPMHVVHLLASLVSACSLSAELFIYKVMPLNTSNMFNLGLAVINVLLWSVCWTSMCMPEEPERKGPRRLFAKHYMEGNTFGVEDIENEKRRRKDKNM >PPA16998 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:763422:764837:-1 gene:PPA16998 transcript:PPA16998 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGKADPFMHWLETLPAMHYTNANSFVCLTSERAHQLDRMRTFFLPNRPSKTLIIPCVFNSAVFIVGQVVITVGTGEGRWATWTVMVLFSFNSAVNPILYLFFSGVIRKRILEMFGMDGVERKKTGAPVYRFQSLAFTW >PPA16951 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:427422:434100:1 gene:PPA16951 transcript:PPA16951 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGAEIDIPLNSEAEEAKPVESKTAAAAEESSEEKPANGSADAVESAEEAKNGDEDSEKWEEFNEEEVAKAKEEAAQKEREDKEKEEKERLEAEEKAKAEKEEEERQCRKAKEEADAAAKAEEEKKKKEEEEAAAKAKEEAEAAAKAAEEKKKADEEAESAKAEAEVEDAKAEDSDEGAMQIDEESSDKKEGESSKAADEKKEAEEDKKEEEEKKDESPKKKELPKWMVQDADEAVPEEDKKEEEEGAEADAEGDAAVVSPSGRGRGRGRGRGRGRGRPAGGASATPKEPKTPKEPRAKKEPAAPAPESVAAARPRRSTTRVDYANPDTASVLAEVDDEMPGGLFKKRRGGTAPAARGRGGKRGGGKKKGSDSDSDEPPEEGSDEDYGKSSSKKKPAGRGRGRGRGAASTPRPTRAAAARGKKKRQAADSDEEEEEMSLDDHESGEEAEAAAPPPTKRGRRAAAAPGRAAADDEEDEE >PPA16988 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:704467:705920:1 gene:PPA16988 transcript:PPA16988 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPSDSDLSPSFIGLQAPLPCDTHIVTLRTIAEPTKYGSARPTTAPSSSAALVLYRPLVDCRSTTPAADECADSKGECRLKKASHEPRERLCKARNERERTPHFAHSLARCLRFRDSFSVLFGAGLKSASTATLTMLYESKGTTERLAVEPQQLMAYKLREILLTQILEWVKHMF >PPA16964 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:517587:525884:1 gene:PPA16964 transcript:PPA16964 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAGVKGGGIFAMSLDQLTVGFRRRSTQEKIMKQNGARRSKRIEEEKALEERKRNTLSTCREIDVYKEVLRQDGNKWVIDEIPEKERLIRDKIRNKLIEFDPIDYHVIGEQKAVDNDLAPRPGEFDYWTNIEYLYFIFYKFHRYTVDQRIICLRAVTAFISYSEAADWKGKTKKHFGTINQFFDILKMSHTHKIKDFEVWEHLYRMCIRFYASCTDSHAVNPQRRFRDLWKEIAKSMLDDLGSKAVPKENYHMTGIRRIALRIMLWLKDKKWTMFIAEEAKKPLRSIAAEIRPVILQYAVREGGREEEIKREFYDEVKREEWEPWEGVKHGLYRHHLIYALCSAKDIPDEEGRKNLYTTRREIIDAIFKNPKDLNRIFTPKDIFWAFKGATEEPEAAVYSVDMFSTNMCSYSCKDIEAWIDVIIRRTEGLENRRDRMLVRLQDAWRGCVEFTVPEGTNKDFFNEEMIEQRVHNVRLLCDNPKLSKLIVTGMEREYTLHTYLNKYK >PPA16953 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:440869:441279:1 gene:PPA16953 transcript:PPA16953 gene_biotype:protein_coding transcript_biotype:protein_coding MFAEKMQHMSAKTRKIHESFTMVLTISAVTIVVVQVLLSIGAAPQVFFNIHSPLIEGIVYNGAVLPALVNPALTLYFVGSYRT >PPA16991 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:714769:730157:1 gene:PPA16991 transcript:PPA16991 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRRIREAVGEFVGLSETPAEPSGEGGTGRGSGTWSDRRLQYFNRKFKLSKDFVQSCDERSSGGLHRQQHAASLSPVVSQYQAPPTPGLASFHIVVDPQAEARARSVSIESRPRRRDSAFKIITENCASLITKGRLTPTAAQSSRIRRNNATASFTVAESPLASSSLTPGPYAFSPQPLHRELNGADQDEAMSMVPLREPPSAERRGDTSGSALSSASASGPPARTRKRELHRLLEADEHAAMAEYEEADERERMRGKEKEEEGEDGGAEGGSRDEVEWQAASTTVDVEPSETEPSSSSSFYPQSIRSLIDPGMISAPASAAGGRPATAAHASSSDALPPLSASATASPPFLVSRPTMLPMGGDETTQQSIATDELFFDITPPKRAPKTHATLPTALFAAPQRGLIALGGGGRVMDIDRRTDRAEVTTKEKKVKFMEIPLVDTLKRQMEAKWHEQKTYRTGGVGAFGECLGRKTKQLEELPEALKKRIKMTVNERPFFTFWVTFTQLLVCLASVAIFGFGAFSPSFAKKDGEVPSLDRTLITVGVYEKGNIWLGPKFADLIRLGAKYSPCMRAEHKIMSRIEQDRIAESYTGCCIHTDGFCYQAGDQCPRTLATLHLYRGLRRDSPTGLRRTQSSPELRQASLSTAKRSQIVCGLDPDFCAVPSLHSFSGEDITKWPTCEREIADSELGRGFRPRHLTCTVMGRPCCIHLQGRCRITTKEYCAFVGGTLHEEASLCSQVNCLHGICGMIPFGDSPNQIYRLFTSIFLHAGLLHLAITIFFQMVFMRDLENYIGWKRMAFIYFISGMGGNLASAIFVPFNPEVGPSGALAGIVTTLFVDVFVNRDMLQQPLLAIAQNGGWLAALFMIGLLPWVDNWAILFGTVFGLLATFVFLPDVGLRRGAVFRRFIVIFGAITLLLLFALLFYIFIENIDIDCTWCGYFNCFNWYQFFAYDPDDGNHFCDNQGLQMVKSLLADSIGRFEPDRRRPVLSRLYLSRVVARCAQLLQTATGRGDDIGRVSRQVAHPVERRREIKVMSSIFGRMIKIGGPV >PPA16948 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:402469:405996:-1 gene:PPA16948 transcript:PPA16948 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVGDTRRVAQADGRGFSKLGMEESGAYFWTLPLLEEEEKQKKIAEIVKKWEEKEGRKVELPVEIKQAKKEVKREEQFVKVTTSSPPTPREKRRRQREVEGATVQIDLGRFEWKKGEEVQRAREDGLRQIDDLKRQAKALVEQRIKKGVNSTKVSLEVIQALFRITEEKEDTTKAILHQFQEPLRRLSHAELTEMLSKRSPVEKVPWPEAECANCCRPLSLHGDSAAYRSILASIYTVVYRNQGVRFQPGPVCWETEAPRREDFFMDTVPDVARYCIHDFDRVTVAYTEEAMRETVEQEWDLLAVRGPSFLERLMADGEVLPRRDLNAHVTHERKQQMGREKLEEDDELDDIVYRKSERKEATEEGNGESNKDLQEDDEWWSYGAHESAEEIMKSVMADRV >PPA16919 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:190880:196983:-1 gene:PPA16919 transcript:PPA16919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-frm-10 MAEPQVRKSLSESRGVQLSKIEMISAGEAPVHRSFTAPCDIERRPYPEMTLTNTPLGYSMNESMPPMTANEQSTVNITVRFSYPYVTLPEPYQKSESAPQPYFVPMILPVQGGKLANAEFLLAEVAKEFDVDMHYASEALAVWMISDLLEVQLKPHHSPYGVGECWASLLKKFTSASADDIAEDCPLVMVKRRVQLSVTREQEIMAFCPRIREILFNDAYEAFMSGRYAPSVEESAELAGLALSAAFEPYVKEKHGVEFLRQEIESFVPRHLVSTIKGPLVFGRALNGANSLESLLLKSWRESPQTLADVHTRFLEKLHDKPAEMLHDKPYYG >PPA16905 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:105371:105671:1 gene:PPA16905 transcript:PPA16905 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFVILIVLAALVAVAQANFSPDEADFSQVGLGRRNQRYRDSSRAKRGAHN >PPA16924 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:220811:222246:1 gene:PPA16924 transcript:PPA16924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-60 MWNTKDKEYASGVKIDSGCKTTYEALHSKHSHSYIIFKISDDDTTIIVDKVGDKGAPYSEFVEEIKKANEGGKQCRYAAVDVEVSVQRQGTDGSSKLNKVIFVQFCPDDAPVRRRMLYASSVRALKATLGLESLMQVQASDLSDLDEKAIKHELMTHQRT >PPA16916 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:169178:172558:-1 gene:PPA16916 transcript:PPA16916 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEAFLKQSSNFSGRPSLFVHESCWGKGLVIRDGKEALSLRSFIMSTIRQRIVSSADCIQSLVSTETTHLIDRISARVRDHETPSSSAESFAVTSIEDDLFYVVGNVMTQLAFGRRYDHGSIEFKNNASWLTTTTELMERTAMITFLPWLRWIPYFKYFEIKKKAAEVHATMTEDIHRRRESGDYLDHSEHDLMSVFMRRIDDESERIKNGEDSLFTDDMLARIMTELFFAGIQTECNTFGWAFLYLAINQDIQDKARKHIWDEIGRDGEIRFENRRNLPYIEALVAEVQRIANVAPFGLFHKNHEETTLDGYTIPAGSIVMMNLYSTLLDESVFADPKAFRPERFLTPAGELDQRTMKRSVPYGVGSRVCMGEIIARTELFTVIGSLLQKFRFSLIEGEQYSTEPKLQLTIVTDY >PPA16939 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:343541:345598:1 gene:PPA16939 transcript:PPA16939 gene_biotype:protein_coding transcript_biotype:protein_coding MKCGKVVKLWMHDHRVDLYKYVFPMAREDVGVIGLIQPIGSIAPIAEMQGRWCARVFTGRVRLPSTSERRADLEKKQREMKRRYFESMKHTIQVDYLKYMDELSEMIGCHPTPSQYLLSDPTFALQLIAGPNVPYAYRLHGPHAWEGARKAIEEVGERVKKPLKNRECRMRKHKRRGRMSIKRDAGDGEIASVY >PPA16904 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:103443:104587:1 gene:PPA16904 transcript:PPA16904 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIIINAATTKLRREKQAKTVVAPPTETRKRGREQEVVEENPAKRSKTGDDKMGGKQCIQPEFSPKKLQSMKKARCEEKSTEEEKSIDEPVFSPKKLQNDQEFKKLIGLAFAEDGTMLFHVLWSTKEKTWEPLMEFKDYSRCIKQMVRRELRNGFEFTKLQKKTFEPNTGLKLRTLKYL >PPA16918 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:183255:188761:-1 gene:PPA16918 transcript:PPA16918 gene_biotype:protein_coding transcript_biotype:protein_coding MRRETNYENAVQVASAAFFPGSIERPKKKWSLSGGASDIRVLVGINETFVSVLDDAKKEILLVQRIRDCTWNRIDPQPPTSPDDVEPSLLLTFPDDADTRAKATKVLQIFGRQAVMINALLIAMAAQAADDDLMEEDSGAELVRIIIYCETQIRRV >PPA16944 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:376162:379675:-1 gene:PPA16944 transcript:PPA16944 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRIPADWIFEEDAIKNSPSRKAGMNERDELKLKREAIDIMKKIGAEFGWKLNGATGAACVYFHRFYMLHSIQEFPCPTTSLSCLFLAGKSEETPKKCKDIAQNALKNFSHIFRVNLNQLMDDVMSMERVLLQTIKFDFTIDLPYRYIIMYANDFKSKRAFDAAMVSDIVCCAWPLVNDSFFTHLCLAWEPQIIAVSLFWLAIKLRQHEEINETKWWEEHVAELCSDKMETICHERCVELCSDKMETIFVVCLFGTF >PPA17007 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:806359:811039:1 gene:PPA17007 transcript:PPA17007 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEESSPPPSTTTPSVIASPRAGIDFIGPLWLPRSFKITCCRGGTPGPENDLLIRNWTQSVADFAVDEESDEGCRVFEGTFLLAATALFKLRSFTGYGVQCKCPSDSPVALDKHCRKLPPCQNNGYRSFSLNMRCACPEPFFGDFCEKYCDQGQKLKGVDGRDYCSCVPFFQGEECRDMVCLNGGVESGRRCACPPNFLGYHCEIDANRTAVLGGSRFPKYSENPNDFFTRDISGTIFSLIMIVVLVVSMYLLMKHRMQWAN >PPA17005 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:794103:798588:-1 gene:PPA17005 transcript:PPA17005 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKRKGATPKAVARKSKNVETIVEVEAVSETTPVKKRVLVEVEDETPMAKKHKVEEPKKEEVKEEADAVPAPSKEALSKINEQARVAISSLLKYVHDKGEKSLFPEADHGLSVMVTYKKPALVNGKALKKNIILPHPSVAASSAMFCVIMPDLDISDKARTDADVEKQAREWEERIQADHGLTRANIAKVMTQLQVERVYRTFADKRKLATAYDVFLVEKRVHKSVMKHLGKEFIKAHKMPMIFDYSKPLGDSLKKAAATTVFDLTANKMRIAVSAGHLSQPHADLVANVEHVVSEMLSSCPGGLPNVRSLFVQLASSQPSLPIYADDGSANDVTLKKAPTVRAEDKLIVDDCSTLPEGLNVAVSKSGRIRVIREKDGAGVLYPTVNDEWTSMDKLKPKMDPKKVEKKRQTKAHRKLKHKEAVAKALKKADGTAVYKKVIARPLMKTTTTDEAKPAKKAKKTKKASVKRAMKRLRGEVKKAAPI >PPA16932 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:307555:308887:-1 gene:PPA16932 transcript:PPA16932 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVGDTRRVAQADGRGFSKLGMEESEDISNRFRDLRQIHSNVQGGVADAQQEDVLEDIRDQRSKIKSHLALESLEIPVLVSVHHLSAEGVDSYNEVVRGTKTIFFGTRSIEQLCDFMRFMLGELWLHMMPSAEQKSIEQLHSAL >PPA17012 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig35:852883:855519:1 gene:PPA17012 transcript:PPA17012 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREIDIAFKLVYELFLRFLESPDFQASIGKKYIDQRFVLQLLELFDSEDPRERDFLKTVLHRIYGKFLGLRAFIRKQINNMFLSFVFETDSFNGVGELLEILGSIINGFALPLKQEHKVFLVKVLLPLHKPRCLSMYHAQLAYCVVQFIEKDSTLTPQRIPRRTRV >PPA16889 pep:known supercontig:P_pacificus-5.0:Ppa_Contig35:17185:24327:1 gene:PPA16889 transcript:PPA16889 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLDGIDLGAFQSSTLWALIVGIILAFVLGFGMGANDVSNAFGTSVGSKAVTIVQAYILASIFETLGAVLVGYSVTDTMRKSVVDVSIYNDTPKELLYGQVATLGGCACWLIIATVFSLPVSTTHALVGATLGFTLVCKGFEGVIWSKIIQIVISWFVSPLLSGSISSVLYLITDHLVLRRKNPLEMGLLLLPAFYFVCLALNCFMILYDGSPLLHFNDLSWWVCILISLAIGIVGALIVQFIVKPRMRIRLCPAPTADSVPEIKKSAWDDAEGGGAKAPRPTVIPEDDADKTNGCSRIIWRILPDRSRVEDENTLKLFITLQLFTACYAGFAHGANDVSNAIAPLTALVALYSGDFQQEGGTPIYVLLFGVFAICVGLWVLGHKVIKTVGTHMSAVTPASGFCIEFGAAMTGLIASKVGLPISTTHCLVGSVVAVGSIKSGVNGVKWSIFRNVALSWVVTLPGAGLISAGFMAILKLFL >PPA17029 pep:known supercontig:P_pacificus-5.0:Ppa_Contig350:2564:4689:-1 gene:PPA17029 transcript:PPA17029 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPCDDDSNDMSALANEEEKIIISNPTLNSNRENSSTHPLPRLLFFTSLTRRSKRGTTRRIPDSDSLKTHILVHRALLEFVVEIDGDCVPMEGRVALNTEKAVANAAIFVPTVTTMTMVTEILE >PPA17030 pep:known supercontig:P_pacificus-5.0:Ppa_Contig350:6240:12263:1 gene:PPA17030 transcript:PPA17030 gene_biotype:protein_coding transcript_biotype:protein_coding MCTFWAVLNRLGIPVAIQRTLEWLPSSFHGTDNGALHPFLMHYLVPETRDEKTRSRTAALCLSLWKRSGGYEDLFGMQACTRMVIGERTHFPEHKVKIYQKGEGWAHDLWIFHSHWATDDFMMHSLKEENFKPFPADDAGQKRCRDSLLNYEPNDACHVVFPVLRKLNVDKCKAGTEGWLMEGRLQIDNETRKKIYDKMAYRVLKQQMELVGKTAGKIEHPLFKIEDVRLIFDKLDSTTEESVTREFLDLKVYLSQEAMKVEDELINNKGKSKFKCHLSLCAERLSDPTCYMNLTYNRALFIGHLYLQDLVDFTVMIKLIEYLTKSASLISAECQGYHADDESLQTAVRLLELVGRALHAETQLQLQPKTARLPSPARKNSAPAPFSVDTVLCALETASTCVNSATRTMIIQLREVNNNGWIQKDLIDLSSEGPSQLGIVVSNKEAIKPPKYNFVEDLMVVEELGDESEKKLKEMEKKYRQLLMMIMEQ >PPA17031 pep:known supercontig:P_pacificus-5.0:Ppa_Contig350:12662:16533:1 gene:PPA17031 transcript:PPA17031 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDGPSEWKGVLLYKMIHSKLQRGNMKGKRVKLIKFMDEEDEKELLLRKTRALFGKVTPTTEDQLTREFLHYHVHTSPALEEVVSIIFEQALEQPDLGDLYARMCARQVKKELSSNDNVSPFSGILLARAEEPLDGKEEKEWQLEIHAETDEKKREDKQNELSEAQLKFRQRKIGYYSFLGHLRLQSLIPIRIIIACVWHLLKTVTERPKEEEKHRADEYSIECAVVLLEIVGQRIHEKAAEAASIPALKT >PPA17032 pep:known supercontig:P_pacificus-5.0:Ppa_Contig350:17322:17745:1 gene:PPA17032 transcript:PPA17032 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFMALLSLATLNCLTLSKDARIIELENALDKFKYDIVGLSEVRRKSAGEMDLSWSNGRLYHSARLPNHTAGVGFIVSGSVKQKIYAPASIDMLEYSTFIHEVEQAFHQPVT >PPA17033 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3500:29:1380:-1 gene:PPA17033 transcript:PPA17033 gene_biotype:protein_coding transcript_biotype:protein_coding ILRAISSREVCPMLVASKRIVPITSEQATTPKKPTCDAEMKQKYRDCGKSFYSKYRMLPVNILNSGDHMDEFCSTLETMDTCSLSSRLCASQEELALKKLGQHLCAARQTFDAHKICLKKTAENAEVAACLSQFLTSSTPEGRCTALQGATKCMAEQIRQSCGEETLQYSFSAMNVYTSAAYDNCQISIPTVALSTECSEADLIEFLTCESHIDSFSPRPIAVIANASSLSAFCEA >PPA17035 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3504:138:793:1 gene:PPA17035 transcript:PPA17035 gene_biotype:protein_coding transcript_biotype:protein_coding GSVVEALVEGSVVEAEVLGSVVDALVEGSVVETLVEGSVVEAEVLGSVVDALVEGSVVEALVEGSVVEAEVLGSVVDALVEGSVVETLVEGSVVEAEVLGSVVDALVEGSVVEALVEGSVVDALVDGSVVEAEVLVVEAEVLGSVVDADVLGSVVEALVDGSVVDAEVLGSEFNI >PPA17036 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3509:119:1247:1 gene:PPA17036 transcript:PPA17036 gene_biotype:protein_coding transcript_biotype:protein_coding IDAHCRDLSDHLLMLTYLLHKLICIAEEEARTTKKKPAKKAKKPRKGKGAVVQNEEGDMSGGDDDGMGRERGGRGGEHMGRHKGEKVLGVSRGDGQ >PPA17037 pep:known supercontig:P_pacificus-5.0:Ppa_Contig351:10310:15183:1 gene:PPA17037 transcript:PPA17037 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pde-6 MFVSISDCYCQVNKRCIEQNTFEFNSAIEMDAVRLLSSQEIYAPSITRFRDGDRIATQYYDGLIRLQQPGRQRKRSVVDAYRDKRSTSDARRRVSGEVRQALENEHLWSFDVLQLERVSEHHALSQLALKLFGRWKVCEMLQCPEDTMTRWLLSIEAHYHPVNAYHNATHAADVLQATSFFLDAPSVATYVVESHAAASLIAATIHDLDHPGRGNAFLINTRQSLSILYNDLSVLENHHVALAFQLTLQQSNNINILANLPREEFGSMRSCIVEMVLATDIRRHFEYLAKFNQMELTDERESEREAHSITICNMLLKCADISNPAREWALCERWAHRIVQEYFEQTREEKEKALPVTMAFFDRETCNVPLTQVGFVDMFAREAFSCWTQFARLPQVLKQLESNYERWRSMADGWTSAHNNSLHS >PPA17039 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig351:18446:19832:1 gene:PPA17039 transcript:PPA17039 gene_biotype:protein_coding transcript_biotype:protein_coding MIALVWKKGEHTKTNFIYGSPAVVSFDYKGLSIALVSATPSLHSDVSSLLSNKGTFSCGQSNLKANNKSMFGYCVGQVPRRAALR >PPA17038 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig351:16858:17479:-1 gene:PPA17038 transcript:PPA17038 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSAKKLISAVEKVSVEEEEIQLIETASKDSLQVSSRQEEVEENVSGETEIQRLRDELACKAAEKLENEIKMLKKKAEESLPSGARIICFRKVDGKFGASHKGNCIAKVVDGGPADLAGLMKGDQLISINGINVEALPTDTITKLSEDVKDDVTLLVRFNPARLADIDP >PPA17041 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3512:789:1428:1 gene:PPA17041 transcript:PPA17041 gene_biotype:protein_coding transcript_biotype:protein_coding GMAKNSIGGDAFHIRHVSNLNVMILDIIDLANPQKTHLDHRFSITPGPRLFLSPTKAGMAPLQKRKEPFASPFKASAAMRLFDEKENVPPGTKLT >PPA17045 pep:known supercontig:P_pacificus-5.0:Ppa_Contig352:16650:18011:1 gene:PPA17045 transcript:PPA17045 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSLIDVIVLLLCFICASLATPVTVKDLSKPLWAQQIDRSQQSALNIDNEKLGFFAQRTTPKCFPGNLPQCVTTPFYYFECCADDCCMRLQPVTFVVFVAIILSILICIVIGFIRKCRASPKYKYHYAVKN >PPA17043 pep:known supercontig:P_pacificus-5.0:Ppa_Contig352:7264:10471:1 gene:PPA17043 transcript:PPA17043 gene_biotype:protein_coding transcript_biotype:protein_coding MSTESTCTGLKVVMAVGETNVQEHRKEIAQGVDILIATTGRLNQFLDQNVVKLDALKYFIFDEADKMIKNDAFVQELEKISARIPEEIRPTLRSCFFTATFGEFGDFRVPFRQDKICVVKVPGNPLITHNIIPLESRHDNPSFKANILMKLLEKDVIDQGRTLDDQSDKPYLKKTVVFAGRKNDCSFLAAYLSMHKFSVGTINGNYSLPMRNKVMQQFCDGKIQALIATDSMARGHDIPDVTHVINYDVSDLVSFKHRAGRTARIGHKGTCTTLLSRDRFYLYDNPMFTETLGARKEAAKLAGYLLIECGQRIPKCLVKSAHQAIKTSSRQQNWPFFRTKSASGAMPE >PPA17042 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig352:3476:5087:1 gene:PPA17042 transcript:PPA17042 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVETEKKKRVARPKLRMDDLPTRIAQTAAGEDYMKVCIKSVFDRIKFQSNHMRRPEIPNANINVCLPRKLAENLERMGISQLLPTQRYTLSLMVDHDTDLFVEAATGHGKTLAFLIPVAVNLLSNLSTCASSGRPSALVVANTQVLQLQTYNVAKSLLQGE >PPA17044 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig352:10725:12764:1 gene:PPA17044 transcript:PPA17044 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIHCSTTAPQPEQRANGQHMNAANGHRNVVLPAPEFDEDGNPIFPVSAAEQLLREGDSSDDDYDEAAYMRGVGSVVAVPEWSDEEIERGRAKERERAEAREEARLENEAPDRLSDFDNSDGELDEEEQEANYAEFEARQRRQEALEQEQLEEEHLASLNAEYAQLQRQNVGVDYDEDEEDHGDRLYSDEEHTRHLRRSIACDR >PPA17046 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3521:255:855:1 gene:PPA17046 transcript:PPA17046 gene_biotype:protein_coding transcript_biotype:protein_coding NLDGHFTVSERRYHDRHHIILQVIENFVPYNPWICYAEVDGAPDAKAFYIAASCPEESIRNGSREVRFARTPPDTVLEIRCPNSSTVLSRECSMAGEWSFVDISICPEVGNSCDMPVNRENIDSYLRTAS >PPA17049 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3532:72:497:1 gene:PPA17049 transcript:PPA17049 gene_biotype:protein_coding transcript_biotype:protein_coding TATATSGFGTTSVFGQPAASAAGTTSLFGAKPATTSAFSFGGGTTTTASPFGATATQPAGTGLFGAKPATLGAFGAPAATTGTGLFGAQPAAQTGTGLFGTTAAAQPTLGAFGAQPLQQQQPIVQQVAAAPIELVTNLNQAQ >PPA17050 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3534:198:1069:-1 gene:PPA17050 transcript:PPA17050 gene_biotype:protein_coding transcript_biotype:protein_coding MPHFVLLPPVGGEEEITNVISSVASFPLLLVVSSVIIVAATAAAAAACSKAKRMPAQAQKPEQQQLQQQLQLPVQPTQSTQSQTQSRQQQPAQQSPQALQQLQQSQLPKEQSVRSK >PPA17051 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig354:3067:4249:1 gene:PPA17051 transcript:PPA17051 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTIDEIRSLMDRTKNIRNVAVVAPVYTGGKSTLTDSIVAKAGIIVGANAGETHSTDMRKDEQDRKITIKSTSCNHDLLRTGEEEYRLNQGKNQD >PPA17052 pep:known supercontig:P_pacificus-5.0:Ppa_Contig354:4424:7558:1 gene:PPA17052 transcript:PPA17052 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDGLGEKCNGFLINLIDCPGRVDCSSEVTTALRVTDGALVAVDCISGVCVRSERVIRQAIAVRVKPILFMDKMDRGLLGTQLSQQELYQTFQRTIENINAIIATYGDDGGAMGAISVDPSIGNVGFGSGSQGWAFTLQQFAEIYAEKFGVKVETLMKNLWGDRFYDSNTKQWVNAPNDNAKRGFNQFVLDPIFKVFDAIINVKKEEVTPLSDRFGVKLAHEEKELTGEQLMKVFLSKWLPAGDTLLQMICIHLPSPVTAQKYRMEMLFEGPHDGEAAIAIKNCDQNGPLMMYVSKMVPTLDRGRFYAFGRVANGMRVRIQGPNYVHGMKDDLNEKSIESTVLMMGSSVIGLDEIPSGNFVGLVGVDQYLINEGTITTFQDAHNMRAMRFSGSPVVRVAVEPKHPSDLPKLVEGLKRLAKSDHMVQCIFEESGEHIVAGANELHLEMSLKDLEDDYAGIPITKSDPVHNRLWMSACPMPVGLAEAIDNGEIDPKEDCKDRAKVLAEKFDYDVTEASRIWSFGPHGNGPNLLVDVTRGVQYLSEIKDAVVSGFQWATKEGVLCEEPMRGIRFNLHDATLLSDAIHRGAGQILPTARRVVYASVLTAQPRLLEPIYLVEIHCPEQAIGGVHGVLKNRRGHAVETHQVAGTPTFVVKAYLPVKESFGLTADLRSTTGGQAIPQCVFDHWQLLCGNPIENGTKAFQIVSEIRKRKGLQEVIPDLQNFLDKL >PPA17054 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3540:86:367:1 gene:PPA17054 transcript:PPA17054 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHGLDWRKYSAFCLDSWEAEEAQYEDIPEEYEDIPVQPAIYSDEGELLSGTTRVLTRQAERVLVRPATEAGSRYRFRKEELLWWCLRAVVSQ >PPA17061 pep:known supercontig:P_pacificus-5.0:Ppa_Contig355:51117:52026:1 gene:PPA17061 transcript:PPA17061 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pcbd-1 MKALTTEEREKELSVLLSNGWKITEGRDAIQKIYQFKDFNQAFGFMSRVALKAEKMDHHPEWFNVYNKVDITLSSHDVNGLSRRDLTLASFIDSISH >PPA17055 pep:known supercontig:P_pacificus-5.0:Ppa_Contig355:297:1078:1 gene:PPA17055 transcript:PPA17055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ncs-2 MGLKGSKPKLSKEDLEFLKKNTNFTEEQIKEWYKGFVQDCPKGHLTKEQFIKVYKDFFPSGSAEGFCEHVFRTFDTDNSGFIDFKEFLLAINVTSSGTPEQKLEWAFRILSR >PPA17060 pep:known supercontig:P_pacificus-5.0:Ppa_Contig355:47589:50655:-1 gene:PPA17060 transcript:PPA17060 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIGGSPTEDAMVNLDSSGNDPGTSNGPKKEGSLKRTADADNAPVASNIKKKKTAEERASCTRGRNQAIKKAMREEKKKEKEKEEKESRMDKLLEYRDKLLDRLQELEERDMEVNDQKEKKALEKEEDFRGYLIKCENAIKALGNIDFSANDEMMMQSVAITIPDWSCLDTHVQDFAFERFSTNNPDHCMTMRQLTDIIKKVKPNNPTVKFPKEQTDGIDRLLSAINWKIRDAAQLRRWKILEKNLEEYPGVEADSLPLVKTEIDEGEERGALQNLINNVVPDGASDKEIDVINLDDEESVEVEGDDDEVEDEGEVEGNDDNNEESESKGFDNMEEDCPEMEVVNTLMEPPEVESKEEETTKKEDGNTQSMDVKTKEENDRSDSKDEKSEEEEKEVRPDSREGKDTLEGGTSTNSPTTERDERMKSMEKTDNNVKQEVIPMEHDSPREITENGDNKDGPELPNPMIERKVVVVAQTIVLDDDEDGMEVQEMKVIPSRRDTGLSSLENKRDDTPNGPPVPPKMNATEAWHLKLKQIQEWVDKLSPASPEENEVDQGQVGR >PPA17057 pep:known supercontig:P_pacificus-5.0:Ppa_Contig355:35253:36635:-1 gene:PPA17057 transcript:PPA17057 gene_biotype:protein_coding transcript_biotype:protein_coding MWIRFHSDDALEYGGFTATYEFRMGYHNEHPNVGGCHFDLSNELDGEIETTTAISALLEDPSLRESECVWKVQVPSNLRVWLNIDRFSLGEPNQCASNFLEIYTGNTFDKPVKRLCGASAASIFTSHHTLFLRLFTLNSTIRRNTFISANYSAYARQHNEATSLPAKVFKMNT >PPA17058 pep:known supercontig:P_pacificus-5.0:Ppa_Contig355:38689:39072:1 gene:PPA17058 transcript:PPA17058 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRGEGCAYGRDAIKAGLAEFTAKGKIDSKMVNREICGVGDHIILRAQFDATVISSGEHIKGKFEQIFRKEGEEWLIIFDEFQG >PPA17056 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig355:32572:33725:-1 gene:PPA17056 transcript:PPA17056 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGGMVSVVGVNSLTNRMETLLGIIRFPIRCSISSSSSQYFECSSIPYSTTTDDRGIL >PPA17059 pep:known supercontig:P_pacificus-5.0:Ppa_Contig355:39835:44328:-1 gene:PPA17059 transcript:PPA17059 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSTGGNISEVNPYYYVRDHSQLPNRHTIFYSTNDMVCKYPAIRSFHEHLSEKRKKDVDVNCFTDSPHVQHLRRMDVLTLKLLLLLVMIVGVVIAGLLPVRVLKKLRQNAARASTSQKHKNISLILCLLTCFSGGVFLGTCFLHLLPELSYSVTKLITDYNWEVHYPLAELLSCMGFFLLFLLEELVIMLIPSLSHGHSHGHSHGHHAHGGIVEDDDGSTEKKMESGEKCCMTNLPPVKNMEEKTVIRTVSGDGADESMLSKQVPPSDEVDGHCQKHCPLTVHDRRHSGGGQGECTNDNAELITTVILAEPERCETNCDKDEDPPILMKSSPHAHSHGVRSITLVLALSFHELIEGVAFGVQKDVSKVTALFLSLLVHKLIVAFSTGLQLARTHAHQLHLVVISIVVIALTSPVGAIFGMVISGGDESSFTKDLIIVIFQGLAVGTFLYVTFFEILLHERDNEHNNMIKLVVMFVGFALIGLLRLIDNHDHDHGMSMVNGTMHSLGLNDDHVHSHSLTHDHDHSGHVH >PPA17062 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3552:263:1074:-1 gene:PPA17062 transcript:PPA17062 gene_biotype:protein_coding transcript_biotype:protein_coding ITVEAAAGAASLCCKPDETCAAGANYLGGAQRCTPGDGRCPLGTRCLSSSSSLSTKAVDLVFECCLSTVIVTCPDGTPPLRRPRNHSIVRSGTDYLKSSDRAA >PPA17068 pep:known supercontig:P_pacificus-5.0:Ppa_Contig356:16201:16703:-1 gene:PPA17068 transcript:PPA17068 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSTALVAALIIVAVEQASAQLSKAEQAQCKAEMEPKFKAETDKDLAAKMAKGHEMLKNTVELVQGMNAEQKAKLVNTYFTGVCAPIKEFYNL >PPA17066 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig356:10764:13282:1 gene:PPA17066 transcript:PPA17066 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTVSVFVLSSMVALSAAQCGTRGNHPNCANWAANSNFCFNTNYTLAIRQQYCPTYCTNLGCGATTTVAGPGAGTDGNANCAAWAAKVDTPFCVNSMTVAQKTTYCAKTCAFEIAPNADCALYTVTGTAFARGTPSNKTAAPGTAVASGAVAGTTTLSRVFANTGCTVKLFTAADAGGDTSTGELETHLGTATEHFFTVTNNAALSYTCVCA >PPA17069 pep:known supercontig:P_pacificus-5.0:Ppa_Contig356:17312:17684:1 gene:PPA17069 transcript:PPA17069 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEPKFKAETDKDLAAKMAKGHEMFKNAVELVQGMNAEQKAKLVNTYFTGVCAPIKEFYNL >PPA17067 pep:known supercontig:P_pacificus-5.0:Ppa_Contig356:14315:14785:-1 gene:PPA17067 transcript:PPA17067 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLHSSIFVSFFLLISLQHVLASKEENEQCQVELEAKHKAESDKNLSDTLTKIDDAYKVRTRYVTTCFQGAIAIVQGMNAAQKDKLASKYFVGVCEPIRDLYEL >PPA17065 pep:known supercontig:P_pacificus-5.0:Ppa_Contig356:8855:9675:-1 gene:PPA17065 transcript:PPA17065 gene_biotype:protein_coding transcript_biotype:protein_coding MFMMSVERYAASKNFRTYEYSRKNTIYFWVHILMILATMPLTLAAFDPETSYSVSTGVPAGGQIPNLGKLANSGPNATDRTKRTGADNNTAREQQLDKWRPSHTTCY >PPA17070 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3560:92:444:1 gene:PPA17070 transcript:PPA17070 gene_biotype:protein_coding transcript_biotype:protein_coding NRANYAECTNGQKWTGQQRETFTTQALVDNPFLTCIKAMPKTCPPGTWKTFSCTECDPSKIMVTPGAKGDCTIMCDRGYRLV >PPA17071 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3562:250:740:1 gene:PPA17071 transcript:PPA17071 gene_biotype:protein_coding transcript_biotype:protein_coding MIRARASTFTGHNEKGGMQDKFFDQEVELSIGPGGTKLTEDIVKVESFDDLEFRLPENVANAGYTKPTPIQKYAMKSIQNGKDLMACSQTGSGKTATFLLPIMNEPSYTDPSLITDVPCKPQARILAPTK >PPA17077 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig357:56605:58062:1 gene:PPA17077 transcript:PPA17077 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAKDHLLKQFYEHEKVAAKSWMNIFQGPLDETCADFWQMIWEQNVPTVVMLNKLIERGMLKCSPYFPSKSVQEMTFEPYKLHGRDNTCPAVVHCSAGVGRSGTFVVVDTVIRLIEADTEVDMEKLIVKLREKRMGLIQTPQQLRFSWQTIVDYFQFQIT >PPA17074 pep:known supercontig:P_pacificus-5.0:Ppa_Contig357:6110:7678:1 gene:PPA17074 transcript:PPA17074 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGFLSFRIGPETPKIGELLKTTCSEDEDDELEDHGVQIDEEERKRRRAKRRHLRHLLTHRSKRSADHRVRRQGAEEGSLSDLGICFGDILPAVREKYPNQKISIQIHTIRAPSIILSHARGGSAIVDLQADADIFIDGTTTKVGTITILAQINIALQMRGNRLYGMGEITSLKLKDNSGSLGLPQDALDNLGTLGKELLTKLANDALAKGIPINISQAPGLPVNLYNPQIVIIEHGLYVAGDITISPALLGTIAGSGTCYRY >PPA17076 pep:known supercontig:P_pacificus-5.0:Ppa_Contig357:54209:55526:-1 gene:PPA17076 transcript:PPA17076 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYSDLGIEGICAEYKKDIEPYKSPTFGEKAFKANAGKNRHKTPLDNTIEDFWKMFFEHKVICTVVLCDKTSDGQTEIVQKEQVTTLELLPEGCSNSFVMACIVQMTKWESTYTQSTGRNLLKAIRVISRLENLAAQSGNVGPIVVMDELSGISRASILAVVDVMSALIYKGDKVTTLSDLVKWARRCRNGAIKNEDDYVAVIKTIFEYLYRTNQDKFKDQFEKLCGKSQDPN >PPA17073 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig357:269:5611:1 gene:PPA17073 transcript:PPA17073 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLYSISLLACVSLVSSGGAPHAVGPYTGGGPVVGGGPVLGGGCIGPGCGPDYNPALLGGTRGYPGIRARMNARMFQYASQLVGELLNKEIQRARLPDITQSTQPMIEGCIVLYNAYVSRYRCPQRVVVFPKAPNHLVLQVQNLDVGVTGNLGGQINVLVPIPLTGIAQISITVEVSIEHGPHGPIISICSCSAHVDYVDVCIENGGFVGSIANSFFRRQISDMVRDMLPNQLCKMLPKIVNEQINTKMSSIPQAIALTQLAMLAGSGLSAAPPKPDPAFCEHQCGIKMAAISTTSTAQSSSLVAPQIPSGAYGNSTRFVSARSIPVRREVSDARAQPVRRSSLVAAPLRRSKRATEIVLPRSPAVLPTRRVERRLAPQRILQTRGAGVHPPQQQLPISSPSAGVVASPPRSPLCNQCSASADDPAGFIRDLAQNLNLEDKEELHSVPSLQCFLLLMIII >PPA17078 pep:known supercontig:P_pacificus-5.0:Ppa_Contig357:59248:61066:1 gene:PPA17078 transcript:PPA17078 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-npp-17 MFGSQTTTFGASKPLFGAAVTAAPAATGPSEDVAVCNPPDDTISALRWSPVSNPPLLAAGSWDGVVRVWAINEKGESEGKAQQKIEAPIMAMDWFDDGSKIFLGCADNHARVWDLASNTVAVCGTHDAPISTCNWIKAASYNCLMTGSFDKTLRFWDMRQLPTQSAMASLQLPERVYSADVVYPMACVALANKSIVVYNLENGPQEVRQIESPLKSQSRCIAIFKDKNNQMPCGFALGSIEG >PPA17075 pep:known supercontig:P_pacificus-5.0:Ppa_Contig357:51907:53292:1 gene:PPA17075 transcript:PPA17075 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-kin-1 MVLEFISGGEMFSHLRRIGRFSEPHSRFYAAQIVLAFEYLHSLDLIYRDLKPENLLIDSTGYLKITDFGFAKRVKGRTWTLCGTPEYLAPEIILSKGYNKAVDWWALGVLIYEMAAGYPPFFADQPIQIYEKIVSGKVKFPSHFSNELKDLLKNLLQVDLTKRYGNLKNGVADIKNHKWFGSTDWIAIYQRKILPPSFSKTEPGRLFEALYPRVEGPADTRHFVEEVTEEEELGYLISLSLFKMNRLLFIRGTTSYFWN >PPA17079 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3570:250:656:1 gene:PPA17079 transcript:PPA17079 gene_biotype:protein_coding transcript_biotype:protein_coding MIRARASTFTGHNEKGGMQDKFFDQEVELSIGPGGTKITEDIVKVESFDDLEFI >PPA17080 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3572:162:534:-1 gene:PPA17080 transcript:PPA17080 gene_biotype:protein_coding transcript_biotype:protein_coding GLVPKGHKVTILNIPKGGTSLAQPLDLCYNQQWKCVMRRLNDAILVHDIDFVLHTRDNLLRCISQVYWAFGAPMFKEYRKYGWYRGGFLTTHPAPFVTPPKYMFGEGSEADCPCSEPGLIRCPY >PPA17081 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3578:12:308:-1 gene:PPA17081 transcript:PPA17081 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQWIFGGVERRDKTKLFAIPVAKRDANTLLPLIVKHIAPGTEIQSDCWAAYHRISNIGKYTHLTVNHSVTFKDKVTGACTNGVEGMWQRLKLEYSI >PPA17087 pep:known supercontig:P_pacificus-5.0:Ppa_Contig358:17041:18329:1 gene:PPA17087 transcript:PPA17087 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEEASSSTYEPASPQQHHKNFMPVRYIMEHTICTLCGKRLDQAKHDEPLCTTTSCNSHIFHLDCIKKYEDRMHKCDVCRAHFRIFEVRREQQPKTKPGQSQENSLFGKVRWSAISNGMISSSTWLTN >PPA17082 pep:known supercontig:P_pacificus-5.0:Ppa_Contig358:228:3095:1 gene:PPA17082 transcript:PPA17082 gene_biotype:protein_coding transcript_biotype:protein_coding VTSGGLILTAYAAAGKSFSIDNQGTSNDRIVAISTGDAKLGQVILVTIVQGVASLGRKAPSIETSQTPFDLILRRYLTKQNLTSTDFRQLFVTLWTETPRTIKDDAVEPSYFIRREITRNWLGAQVGLEWTQSYFVLRDSKLYIFADSTCHDGQKIIDLSVMKELVVTEETEMEHPNVISIALHDSSFMISFPSAEDKNLWVQALHSANCQIPEATLSSALLFVTDSNLVIGQLGSNAHVDGFIRTLAIFKLELIREALFYKTNTRTIILLGIEDNFEWFMLRTAEEVARFRSHFSSLVKCYDLPDFPESAHLFSLIEQKSHKFPNIWAQNVFVTGNDALSDVEDNDD >PPA17088 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig358:19818:20753:1 gene:PPA17088 transcript:PPA17088 gene_biotype:protein_coding transcript_biotype:protein_coding MKREQGKGRDGTLLELRGYTTVVWNEESPKEPKSEKKKKNKRFLRILKFIRKSNKQPRENGKCLQEKIEVDSIENPSEWSQSDFEISYDDIDHLDRTMHVICI >PPA17089 pep:known supercontig:P_pacificus-5.0:Ppa_Contig358:22805:23703:1 gene:PPA17089 transcript:PPA17089 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQQLSLPSESGFFSYTRNWSRDKKYDLSRAPQKGDTPMSFFLRRLGHAYEAWPLFVLTGAWVVLFFYACYISFTKTEIWLDRSKDTAPWDWSRIKDKYTKLHTVVFESVALFGLQKTDTHKRLEIMEILQDEMMEAAKKRGTR >PPA17090 pep:known supercontig:P_pacificus-5.0:Ppa_Contig358:25381:27324:-1 gene:PPA17090 transcript:PPA17090 gene_biotype:protein_coding transcript_biotype:protein_coding MARMKTSIYSGQRSGKGPLPTKASGKMKNKGKAASASVRSSVRSDIRKGSEVRSGRAPSRTKSTFGRRRSSSRERRETTEEKKYEVEQVCGVKLDKNGKAVEYLLLWKENSTMNVAEGTQTWEPISCASACQDLVKAFEMRQRTGRYIVNDVDYVTPTTSSNSSGTIQRPRSQSPADCIHESVCRRYLHSIGRTKADYSPAMLICESFLTPRIVLGHHVLNVTVTPGRENDIIVYPEEIRSSMELVLELQ >PPA17084 pep:known supercontig:P_pacificus-5.0:Ppa_Contig358:9825:10291:1 gene:PPA17084 transcript:PPA17084 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSCPACLLRDEVDKCKKDAADRFTKDAVLLTVANFRENGITSRRAVDQMVKILNDEEEDMKEYVFVNYDDVQGHK >PPA17086 pep:known supercontig:P_pacificus-5.0:Ppa_Contig358:13175:14184:1 gene:PPA17086 transcript:PPA17086 gene_biotype:protein_coding transcript_biotype:protein_coding MPWIVATMADFLNAFNCFRCGTESTYKCYTDKCTLTPTVHRYCQRCAHFYLTRAAGDTCLGCAPRLWKEQAKRDQASSVAAGNSTVNVDEDGRPISDEKTKEKKDMVLFASLYPLYLY >PPA17085 pep:known supercontig:P_pacificus-5.0:Ppa_Contig358:11029:11848:1 gene:PPA17085 transcript:PPA17085 gene_biotype:protein_coding transcript_biotype:protein_coding MTWIVPQKGDYINIIDCFDCHRQSAFRCFNLNCDRKPIVHRYCKRCAEEYKKRPEDDCPGCAAALIAMEKSREEASRSSPTGEKTTIVVDGQGRPICDLSEQKKD >PPA17083 pep:known supercontig:P_pacificus-5.0:Ppa_Contig358:3864:8133:-1 gene:PPA17083 transcript:PPA17083 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQDALNYQLYITGGTLITVFNLALGLIIVCHKSIRQQKEYLIYAGCMFFDVIFGLTYLSSGAFRLKVANENTYFPMTTKYECMMTVHNFLFVYITPGAGILVFVTAIDRFIGVFFPTKYMLLRTRYVYCLLFLIFTIPLIAIPIAVFTSMPYNVDCDQHAACILSQAITYQVYLGLRLIRIIGSLACVLFYVPISIKIFYNVSRASSAAYMATSQNRRLIRMTVTVILVTVNTICLYVVPDIILLFNPSTPSFAFYLMNMSKGLVNIVIFLVTQKTLRKAIMQSIKAKGANRSRLQIETENKSTEQFSQLAEYGVRHEQSHRSEDDHSDIDEKVHHAMIYTPQIFRFVFHARSLGRTTS >PPA17091 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3580:254:510:-1 gene:PPA17091 transcript:PPA17091 gene_biotype:protein_coding transcript_biotype:protein_coding PHFAEGEWLVLWQEEVAGLSQTDWIRHNVCMKCIAPLVEKYVMQLYDLTAPLQMK >PPA17093 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3585:82:452:-1 gene:PPA17093 transcript:PPA17093 gene_biotype:protein_coding transcript_biotype:protein_coding MMYADDICIVGTDVKEVENSVRKYQTRLNEAGLTLNTGKTEFIGFECGNGLMTDVNHTAIKRVEQFKYLGAMITVDGSAEKDIEHRIKCAWMKWRGCGGVMNDRRISLKLKGKVYKSVIRPTL >PPA17097 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig359:13327:14974:-1 gene:PPA17097 transcript:PPA17097 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTIPLIIYATYVPIVFVIYLVQSLTPGILSIIFSTAIRTEIGKIFALKSRNTRRTATRVSEVISR >PPA17095 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig359:5470:6330:-1 gene:PPA17095 transcript:PPA17095 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFMGRIKAQIPSNGYGCGEYCEDSSPLVFLCTEWKEMTVVNVNTKEWKNIDMRIAEDRTAEARDSIYSIVGIHDGKMTKGIREFEKLTPQ >PPA17096 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig359:7434:7942:-1 gene:PPA17096 transcript:PPA17096 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLKFIRGECVEEGFYAGQATDAKIYANSASWDGQIKSFACHENELFFRTKNYETEMEKIYRARFHEQTEICVEFIRDFGKDLPRGEPVAQ >PPA17094 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig359:3665:4923:-1 gene:PPA17094 transcript:PPA17094 gene_biotype:protein_coding transcript_biotype:protein_coding METIEFTPAQALGFEGMNARQTSDGTIYYVKRECNGADELIYALSNGQTVTTTKSWEGDIDKYDAFGNALFFLTYSQKSQIYKAIFHPPSEVRVEFVRNVDKLETHQVGMLFSRIVSGRNAVYRAWDHPSKGIPVNFDDEDNNNSDEFEVLALHSCMDSDDKDVSFWNIVGVWDNKLTMWGKRGGVTSLFTADVPERFAQFERIR >PPA17163 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:306259:323510:-1 gene:PPA17163 transcript:PPA17163 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKMARKPRPTDEVSSPPLSPTSGGTVHKKRRIESESVSPERKMSTSSNGDTATLPRSPKFSPPKEVFTNESEQMSSPLSPLPPLILDDQQACSSNAPATAIEAPPEFLAFNYKALCPALMEAVKSEEMVPQMDREKLRDLQYELEAMLAHTMDFMRRAAGDLQFLEKGEYPTVNLKKREMPVFQLRVPLSPMSPNTLMLHEMSLRAQLIPSHVPDDDPDEWPAPHFPSRYQSWLLMEKEEDAIDEHTLDQFEDFIDKEIEELGMEYVKVNVPEFRHRLHHSNTLEMFNAIEQRLANTSMEQLNIRQNRRTITYDGESTSSVRQDELVSISAAASFTKNYRDKYDMLVTERRMSMLKITQLPSLGYPSLRDRREERLRKENRELKKQLMEARKIADGAIGTPIEEKNEEKKKDETKDKEKSAKDKEKTKPQISDKKDEREKKTLFLSPTTSMDIDPVTEEPSLSKKAHRPLLSPTASIDSESVLEKPSSSKKAPPSLLRSSRTSKDSDPVEKPSSSKKAPHRLLLSPSIPMDIYPVNEKPSSSKKIGLQSPSTSKDTEHDIIVLTPPVEKPSSSKKVSFTPTSSKIVPSTSRDKPMMPRIPSMNGLTIAETGGAKYVIVVEDEDGRRVFPCKNNGKLKEKLKMDPLAGKVIAFPDEEGKIIEMKIPPPSDPLERRLTQYEYWNQVENYKKKEKERAESLQRIRRKAISEGRAISAPPPPMRVLTKEMRRRNWIDKAKKLYGFTDESLKDVRVQWEDGKEERLIPETEKTKKNGRRMKMNECKKKISERIRTIGSAPTSTPPTTPRMPKRFHGKKIPFWRRMVIPFNIGRKEVITIDDEESSSSSPVKSSVKTASPLKSSPCKTATSPNKSAPVLRDDGTPQVVTIDDETTSVNPTIAEIPRKKPTWRRKFPPPREPISPRKAALSPSKAVTDLESDGTLDVVTVDEEAPSVKPAIPRKKPTWRRKIPPGRAFNPPPKKPTWKRKFPPGQSPKSTRKVSFSPLKAADVITIEGRTRPKNGTKGKCLCKAIKSPHKISVHKPLKSIIKKSSICRHLKLLRKYSIQKAHRKVLASSRKVLKSPRKVSTTSPRKSSLRKTSSCARKEGPIEVVTIEDDSSPAIPSITSPKTSTKTSTKEVHQKSKIKRKKSVRFDGTATHRELTRNEKLRRILHIFVRGLMRMELRQETEALQKKNENEAPEKIPIIHLDVVTISDETGDVPVATASDAAPIEEKTPPSTQTTKEVTTSKTASPSKKQLRSSAVIAPLTLSCPSPSLSKTPITSPTTVKPSTPVFALPKSPVSTPTTSTPSILPKSPISSPSAAKAAFGKSPISTTPSTSSSSTPASKRKSSLRNSKVSEEENKESEESPAVPSVVRPNGVENGDDQSPLPQRRSTRDRKPSLKIQESQLPIDHYLPLSSSRKNSKVIDVTISPERVIPIPEPFAIPADPVPVIMPNGLTKGQTISPLAIKVGTRRQTRNSIDAAAPSPEQQVQQALLLEQQALEKQQVLEEQQQLKLQTNVSKLPRSSNDLSPKTYKTTKATRSRRGTLITSTPKEEDAAPKEEEAPKEEEVAKNDEGSNDAGTSKDGITSKEDEASKDDEVPKEADAPLQIFSDQDAVLVYRDAKEGPDIMELPQPKDDAVVFVKELITIKDDPPKKKVIQVITLVPKKKMTNGLTSPLTRRRKSLEDKMRKENEDGDEIEFVKTEKPIEFIKMVKEIEFLKAEKPIEFVKAVKNIEFLKNVKNIEFLKKVIVNKPLHPKKKKKISKLKKKKMIKKRNKRMWMLLKKVAAKRNVSTITLNDKGKKIGEVTQQKIIIKRNKRTKRIHMQICMAEFDRYRTSAAPNKITLAQLIKWAKEKKIDVRIRVGFGNNYARLKRQRRISIMRKCLRRMIKPSPTRYMLSEKEKKKMEKEGKKIPRCTADNEKKQGKLSRKDLQRMHMQTRWVSPTWYNLKESERKIKLYMKMHKPQNVRIHLRRQENGVRIIATEARDCERSIETEVPRIKEWKMIDKMTKRMKIGKRKMVELIDLTEENEEMSKKVEKKKEKKESKKKVKIASTKEEVEKIRKKNEKQKKKEDKTESEKEKKDEDKKKLIIPSVIIFKGRKPKLLDGIEEWREFLVDRKTFDAKKLAEEETKGKRKQSKSPVKNGRRSSISSPKKSGITKSVEEEVEEVVIRIGEINEQKEKKEKKEKKLKKVTTKDADEEKKKEKPAKEKELEKETEEKEQVKEEENTISMKEVQLSLEEIRSRYLINEEQIDSIRKKKAERKLWKLYKVIRKDLNTPNGDIGPKFNDARGKMMKMLRGLKINKWRRWKKKQSKAPEVLPDENTSEAPVNEDRESEKNMKKEIKAAEVLPNVDMSVPSLNEKRDCIGFPGIHLASRLAGSSKLMKSRVKTRAGRRRAVDRRLKELKLKIAMIKSGTKQAYPFSWENKKPFGEISKSQRIMAKIANAESEVITKNIQEERERVMDAIFDAVFSLDIQQLLAIQEEKEQIAEKDLSREKRKELKELKGEMRKVWHNFNKEEEDEKRKQKEEEERRRKEEEEEEEDEDEEGDEEDEEEEENEDEEEENDEEVEDEENEGEEIEKKSKKSFIKRRKEKEEANEKDEENEEEDEEEMEDEEIDEEDEEDEDEEEEEDDDEEEEEEEQEEEENEGEKEGDDKDDSIEERLGFEKELIEEDEEINEKEEDVANEGEEMAQNGEIVEKEQGETSLLLKNEVAIELALAQREYKAEFNSYIRMLEDIYYKMEALEYQQRARKNLDDKVDALSHLHLKFYREVPKRVPNEDEIQQLLEALREKREAEEELLGEHLWRQPRLDDEEHPAYLNAGYHPKSRRQDKYNFFVDFEYHKKKELMHAHEKLWSAATSHHLPSRDAYGKYDVGLNREMEGQETILREFEKKLIGGMIQSQMRVRDTIVDDRKPRLRNLPKRPPTEASLKKKAEIEKQKKEEKEREEKEEEERRLAAGDIFTFDSEEDEEKVVMEKDEWDMKEEETMKMMEDMKVEEKSPSPFNPFGHTQHTEKRKKLQERYMTWRSKSTIICETDEEDTEYSEDESTTVESFGMEHSSSVESSTLTGPLSIKTEEMEEGGEVKEKKIKKKIRYDENGDRKVDRRKVGGLDLPNAISHLDPMIFLKLIPDNRSHKKGQGKKAMLKQIMLEYAQKEGGNGRTLPSKPQCTQQQLMSPGGTTVIGGEPLSPAKSLRGSIVSPQGSDVSEIQRERSDSIKDRSVVLLTPKKEKKEKKMKKEIKEEMEVKEETKVKEEKEVKKVMKIKKRKESIEDEIEEEVLPVRHRKDSKARLTSPLACTLSPPPLSPAKAYGERRSCTRKAKKLTDDEEDEDDFATMKVPYTPARRGGQSTSIAPSSSLLPSAPSSSSRLSSSTRSPSVKSTPVTAPLPMRSCRSKAPVKYEEEEEPQFLTTRKTTRKLKKVKLEVIDDEYPV >PPA17316 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:1039668:1041535:-1 gene:PPA17316 transcript:PPA17316 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDSADPFYWLRVIMASNRGTLMELGISPIVTSGLIMQLLAGAKIIEVGDSPKDRALFNGAQKLFGMVITVGQAIVYVASGLYGEPSEIGAGICLLIVVQLVFAGLIVLLLDELLQKGYGLGSGISLFIATNICETIVWKAFSPATMNTGRGTEFEGAVIALFHLLATRSDKVRALREAFYRQNLPNLMNLMATVLVFAVVIYFQGFRVDLPIKSARYRGQYSSYPIKLFYTSNIPIILQSALVSNLYVISQMLASKFGGNILVNLLGTWSDASGAYRSFPTGGICYYLSPPETLGHVLEDPLHCIIYIVFMLGSCAFFSKTWIDVSGSSAKDVAKQLKEQAMVMRGHREKSMIHELNRYIPTAAAFGGLCIGALSVTADFMGAIGSGTGILLAVTIIYQYFEIFVKEQQEMGGVSGMFF >PPA17105 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:14907:18804:1 gene:PPA17105 transcript:PPA17105 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cpsf-3 MEAMDTTELIVDDSSDVLTFTPLGSGQEVGRSCHFLHFKGKKVLLDCGVHPGMMGVDALPFVDTIDVESLDLLLITHFHLDHCGALPWLLEKTAFKGRCFMTHATKAIYRLLLGDYIKVAKYGGGSESRLLFTEDDLERSMDKIEVIDFHEQKEVNGVRFWPYVAGHVLGACMFMIEIAGVKILYTGDFSCLEDRHLCAAELPTMRPDVLISESTYGTQLHENREERESRFTSMVHEMVGRGGRVLIPAFALGRAQELLLILDEYWEAHPDLQDIPVYYASSLAKKCMAVYQTFVSGMNDRIQKQIATNNPFVFKHVSNLKGMDHFEDVGPCVILASPGMLQNGLSRELFENWCTDSKNGCIIAGYCVEGTLAKHILAEPEEITALNGEKLPMRLQVGYISFSAHTDFEQTSVFVKALRPSHLVLVHGEMHEMNRLKAAIQRQFSEEGIPIEVHTPRNTETLGLRFRGERTAKVVGDMAMVPPQHGELISGVLVKRNFNYHIMAPKDLSAYTDLSSSHLTQKTAVHYSHSLPLLLFNLRQLTEDASISDERKPSSDPTVPTHTIKMFDDIITVHWCSGAKVVVLEWTSNPIEWLRVI >PPA17198 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:463872:464472:-1 gene:PPA17198 transcript:PPA17198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cks-1 MSAPATDFYYSTKYEDDEYEYRHVHAPKDVVKHIPKNRLMSEGEWRALGIQQSPGWVHYMIHPPERHVLLFRRPLPHMIPKSSSLAKGGATVVGRGQVGGR >PPA17321 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:1057041:1059607:-1 gene:PPA17321 transcript:PPA17321 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLREATILVPVRKALKTDRVLKFGRDTFRIHSIILEQSKPLRDLVRGSRMTYNIDALKRDQAMLSSVGVELALKFMYGEPLDVSLQELYSLFAAAHALDLFDLLEKLRAELIRLSRDPTIALFALEHAYNHLSPPAEETARNAALHLATVSKQQWCSELTAKAFEALITEASYLCPIALDVDIYVCTILDWLAANKLEITLARKLLSRIELGDATAAQNTRIRDRLFSLKLVEQVGGILSIALGISDRSNHCGGDGSSLPGDQRSPLSSSRLSSSSLLDSSFSSSFTERTMVHSWRATADETSIRTRTPRAAAKSGVEEERVLCYDFGEIPVPPPDEEGGLRVVSVSMDLDNDEDLFDHLRSLRISFDFDTRPT >PPA17286 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:905036:906775:1 gene:PPA17286 transcript:PPA17286 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFSMMLTYVKLTSVNERSMEFSLVLGMMLVFPNGDVRTIVQAEITYSCPFDTSLFPFDVQSCVLCFTLNGYDPDDFEFTASVDPAALANDMSEWRIKISNNTESFNYCANDICQTILHYSFILSRNPQFWIGLVIIPIFMLGFLILIGLFFSGKENLVNNAIILQSKRGFQIGFGLTTMMSMMVVVGILNDSLSKIESIPCMGLFVLIQIAVTSVAVVSVLLTDQLRKSLSAVAREKKNDGSKLWRIARKLTQKDIILRNVLFLIFSFLHVGNFIWFLSNQK >PPA17202 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:477364:478223:1 gene:PPA17202 transcript:PPA17202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3F4X8] MTSPAPAAAAAAAPATTATPPPTAASAPLPPPPAAAGSSPAAAAAPAAGGAAKKPLNLANKPGEPAFQLKIEPNDVVLMPWAKGVTGTIELKITNPTPDPHSFKVKCTDNNMFRVRPPLGFIEAGKSLPIKIFQTSAEIPEENRHFFAIYHKKCTADEAKNQPRNLWKSDTKPDGVIRLLATFKAATAADKPATPEKKEEKKEEKKEEKK >PPA17136 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:171142:172535:1 gene:PPA17136 transcript:PPA17136 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLKSIFADKWNKYIAQNGFSQINIDITCLPGFPGAPSSNKITKSTEGAHLTWEPPISSTGRGRISEYSVYLAVRSSVGPSSSESQLAFMRVFVGADPECIVPHANLSSAYVDTSNKPAIIFRIAARNGYGPATQVRWLQVNRHHFAPTQTGVNRQMRFPVNASNYHIPPKRMRMD >PPA17107 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:25412:34442:1 gene:PPA17107 transcript:PPA17107 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-26 MEIVVEPPPRRDEVLVIVGNAVYTKDVTSFDDDRRLYKKISDGYGIMGILRITPEDSVLVVVTGVISVGKLKDDADILRVTGTEFIPLNTMAVTGNDVDTRLPSLQRLISSGSFYFSASPSFDLTLSAQRRAEGAGSDFRFFWLAAIVSRLSCERVGTRFNVRGANDLGAVANFVETEQLLVFEEEEVSFVQIRGSIPLFWDQPGLQVGSHKVKLRAFEASVPAYYRHFYQLRKLYEDVAVVTLLGSKEGERLLGDAFKTQHSKCAPDVPFIAFDYHAEMKSSRENIERLKKQMFPIAERHGYFHSKGGIVVRHQSGAMRTNCLDCLDRTNCVQTLLGLHMLCAQLAGLQIETTNANTFTRIEEVFKDVWQKNGDQCSVIYAGTGALEGKSKLKDASRSIARTIQNNLMDGSKQESFDLFLQGSAVPPVLFDRASNLLPTSLVQGNYYFREYPSAVENLLEQADTLTSPAPLTIFVGTWNVNGGKNMHNVAFRNEAKLSDWIFPHANLVSVSDMDENPDIVAIGVEELVDLNASNIVKASTTNQRLWCEGLRKTLHERAPYVLLGCEQLVGVCLFVFAKAALAPFLKDFSIASVKTGMGGATGNKGSVGLRLVVHSTSIVFVCSHFAAGQNEIRDRNEDYATAMKKLQFSGGRTIDSHDVIFWFGDFNYRISMGGEEVKRAVRSNQIDLIVGGDQLTVQKQAGMTFVGFEEGPLNFAPTYKYDTFSDDYDTSEKCRTPAWTDRVLWRETNWRGGMNKVQLRAIVFNNPNRYRYGRCELKTSDHRPVYALFTVDAQAVDWTKAEGVVEDVVGLMGPPDATVICSLVYPRDPMFPAALVDTVLDRVRELGVPVLATNRVDGDLWLFLESGESALAALSMDGISIGQGQELTVRLRTPDWMDTVGPKISAHDPSAITFAGASVDAMGGDGSSLFNFDEDDEEVASTMLRMAPPERPRPPSARSGGTPRMDGGSTPHRVPSGSSLANLDWPSDTSSSFGSISTQSPFEASWTSIPAPVPPPLTTLTALRASPSIPPSIPSRPAPPPPPRAAATVNPFMRQVAFILLYSTLPCAYPHCANTFN >PPA17113 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:49450:51514:1 gene:PPA17113 transcript:PPA17113 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVFLESENPHNHSSFGSLFSATVVPTKSREQGKSDKMDADKLMVVGVEEIDNLPKKIKETTQRLGEYDGICNDMLKNISKNMRGFAKSSKKMTTDQKRHHAAEIDKLFEEAEKIARKKVQIACDLYDSVDQDIAKMDEKNKKYEARSRKGWHSSDDEPSSSKGITRKKKKKRRKIRLDSESASMSDTRQNDMPVDPHEPFYCTCHQISFGEMVCCDNPDCKVEWFHFQCVGMISGPPRSVKWFCETCRPNFKQVQNQWNYEKNEPPTKTKKAKLRKAALLSP >PPA17225 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:612259:615407:-1 gene:PPA17225 transcript:PPA17225 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAHVNGKTVEARMIRRNIVRYCVLAQCIVFRDISMQVRKRFPTLDTVVVGRLMMPHEKERFESIDDKYAKHWMPFQWAISLANYARRKELVDSEIRIDTLINEIKTFRTQMATLRNYDWVPLPIMYPQLVVLAVHTYFFLALISRQFVDESNIIDLYFPIMSSLQFIFYIGWMKVAEGLLNPMGEDDDDFECNWLLDRNLRIGLTIVDEGYEITPDIIQDEFWGSVDLKPLYAVGSRAVQSLEGSTANVKLLPHNANEVEMFPLQDNDEVFDDRVPLDRSLNQRRVSIVHKMSNADRRNSSVDSSVSKFGNLRRRMSGFHLGSKPSSASLGDHHFNEKGAYHNDIHDDCISVEIAHPNDIHNIHSPKRIVFEDSNGESLKFDDSLKRAQDLHKRHKSEEMGSHHDITFATSHSVSNPHQVVSDTINEAEENDQVSRHSSIDVPRESRKAKEDDSEDEMDRKDTVIKRI >PPA17294 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:943937:946442:-1 gene:PPA17294 transcript:PPA17294 gene_biotype:protein_coding transcript_biotype:protein_coding MFDTVLLRTLYSSRHTDDWVPVGTVKNLWVHPIKSCKRKEVFSLYCDDLGPRYGENRDREFVAIEGATGTMLTARTAPRMMLIDTDVVDGVLAVSTPDGSSAHVVLSEVIERRIVHRATKNGTQCDGLDCGDEVAQLFNDYLQMSDIRLIYYRPDLFNGRPCTTDPGWWNNPVPKRSDTVRYVDLSPYHISTEESLIALNKELETPVASTWFRANIVVDHSSAWDEDRWAEIRMGDVVLQCYKPCTRFRLAPDGPLREKHGQSPIFGVNAGLLKPGYVHLGQTVYVKYKPSPF >PPA17146 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:221250:222262:1 gene:PPA17146 transcript:PPA17146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpl-12 MPPKFDPTEIKIVYLRCVGGEVGATSALAPKVGPLGLSPKKVGDDIAKATGDWKGLKVTCKLTIQNRQAKIDVVPSAASLIIKELKEPPRDRKKVKNVKHNGNITFDALLKIARIMRPRSMARKLEGTVLEILGTAQSVGCTVDGMHPHDLVDKIKGGELEIPAE >PPA17187 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:409465:412658:-1 gene:PPA17187 transcript:PPA17187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hars-1 MSAAVADSQLETLRDEIKQQGDEIRLLKANNADKDLIKEKVAAMNAKKALQKKLEGGDEAEKPGKFVLKCPKGTRDYGAKAMAVRESVLKIMTDTFKRHGAETIETPVFELRDVLLGKYGEEGGKLVYDLQDQGGELLSMRYDLTVPFARFLAMNKVSAMKRYHIARVYRRDQPVMTRGRYREFYQCDFDIAGTYDSMIPEAECLKIMDEVLDALDLGEYTIKLNHRLMLDGMFAVIGSDDSQFKSVCASVDKLDKEPWEKVEKELINERGLTPDAAARLGSFVRFRELNPDLDNVAVLDQMMLIPELAANAKFKKGVEEIKLLLTYCTAFGVSNAVRVEPSLARGLDYYTGSIYEAVIPNGLPGVADDEGQPVGVGSVAGGGRYDGLVGMFAAKANVPCCGVSFGIERLFAIIEAKNTAEQAAIRTSSTQVFVASAQKNLLPERMRLVGQLWSWGVHAELAYKANPKLLNQLQYAEERLIPFVLIIGEQELKDGVVKLRNTQSREETTVKLDELESTLTRMLAA >PPA17228 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:628347:630304:1 gene:PPA17228 transcript:PPA17228 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWMLLSSTMYISVLLSPLLLWDRVATAAKGDDFLILYVGSIIWGVFSYVVANVPFVYLDFADPEWVQPYRIQDGDSKKVTFDRWLRALRLIAFNVLVIGPMGGYLMYRSGRIDLSLSLPSVFDLSLYDIISLAIQFLGIVLVEEAGFYYSHRLDFGLRFLIVHSTIRASTSTSTRFTMSGPHPSNDLISAAITSVYAHPLEHAFSNVLPVMAGPMLMGSHVVLAWTWFTMALFTTTVSHSGYHLPFHPSAEAHDHHHVAFTECFGVLGILDYLHGTNKTFLDGVKFKRHRTYYTTEPIKVTYPDIKKD >PPA17193 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:437183:438118:-1 gene:PPA17193 transcript:PPA17193 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSQSTGRIDLQKNRESDGDEYGRDVGDYGERKKKNSKPRAKKTATGKSSKQSEKSGRSSKSTSKSSSRGKSRKVSEPVDPIEMELRRAFRGEGREKARFISTVQEAVEAPTIPGSMIKFESMQTVVNVVIPHHRTFKKKNRSKYDPWKRYGALKKLHQIGGWAYNTKDLKKNSSRRSRGAAKSKLKYYEIKKDSKFYLPPPANQPITKLETVLVKDKRRRNSK >PPA17102 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:6639:8337:1 gene:PPA17102 transcript:PPA17102 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYDAVTCASNAFLYEDGEALRALLSSHNSSLDRSHLLVLYVSSIQAEIFTTLQEEFQKDIKIIPWAVGMKEGPFIHLRKTAYERAVAASFADCWIRFAPFSSSITFIDMNSVPFDKYLVSLEIVPFFIEWPIHGRSNIFKYRELKSLPPAIWRSQTMRLHRANSDVPPYTAGLQKCLFRNPFTRGHGMNDGEVLRKRLVFNIEKELDELTTEDVCEIGTKKDNLQCITSIEVDQPLYHEDLRLAVIQRRSFKKHWDGCYA >PPA17300 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:974912:978478:1 gene:PPA17300 transcript:PPA17300 gene_biotype:protein_coding transcript_biotype:protein_coding MDESDSDGCSFCSLAMWGIGIAILLFALRKWFKGGQFTEHVSAKGKVVAVTGANTGIGLETVRELNLRGAKVYMLCRSEERANEAKVKLARMGCDATRLIFEQCDLSKFASVRACAKRIIQAEPHLDILVNNAGIMFYPKHELTEDKHEMTWQSNHLGPFLLTELLLPLIEKSEEGRIVNVSAKLHEHEGPLNLDTIDDKKSFGRLAAYNRSKLANIMHARELTRQLRARGNATVTVNSLHPGTITADFRRCGSFVTVTSSVSACGNTACGRTRPRLNKADKPGSKVNKKEVVEAVTILETPPMVISGIVGYIDTPNGPRPFKTVFAEQLSEDFRRRMIKNCAQTPRFYLWVQKLLKHRNKKAHIMEVQLNGGSIADKVERAKERLEKQVLIDQVFAQDEMVDTIGVTRGKGFKGVTSRWHTKKLPRKTRKGLCKL >PPA17247 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:728466:729318:1 gene:PPA17247 transcript:PPA17247 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLLWSDPDDRGGWGISPRGAGYTFGQDISETFNHSNGLTLISRAHQLVMEGYNWTHDRNVVTVFSAPNYCYRCGNQAAMVELDDELKYSFLQFDPAPRRGEPHRLVVS >PPA17255 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:753694:755980:1 gene:PPA17255 transcript:PPA17255 gene_biotype:protein_coding transcript_biotype:protein_coding MNAELLTMNAVCDNAFVLSKSLTLKILLGFKCVLCICGMIATVAVLRRAGLSWLGTASTRAIFMGHVLSALLCSTGFAFCYAYDVYRLSQIHPNPCDYTLDMRFAFFMRIIPVFGMFGSIYFMVCLAIERSVATCAPAGYSFFSNSKLFSVLIVQLVILCSLFVPIAFLVPPLKWNQRMYIFNTRTDENSEMFQHMIWLEVGPEVLAIAFFHIILYTNRRRSPQMNVDYLKRRVLIVPNYTVTSWYISMESVNIIQMFPCALAAILFYKLVYRPKQLPQGQISTILDRIRNEDTTAIHFDNLR >PPA17180 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:383703:384350:-1 gene:PPA17180 transcript:PPA17180 gene_biotype:protein_coding transcript_biotype:protein_coding MDSENGEQLIYNGEETIEDEERTPREIPILNGEIMKEEGADIKIDSNQLKKELLQLAQSVQPWELAREALLPWTIDRSTEVKSRSRDELERELRALHKTESYLTRFHSRPSIVNNSISIEAMAEIEPFVDFSPLYTQSTNVEIRIDTFLPIEVRGMRDSCKPLGSMFTIAQRGCSRRQE >PPA17314 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:1022640:1024475:1 gene:PPA17314 transcript:PPA17314 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGHEPGKSVPFSNYFSEAGTSQTFLLDVITEQYRLLEQISMRSDEYVMTEAFGDRLQSRNGHLPATIGMLNQCVRATHQPMIDFATICFPEIHDFNDDEKWLILKNFMTSRFLFDGVHLAQKFFPGNDKIFMMTFHTFIDIDQLDFYLSDLEALYDKQHSISIMREMTTKCTSDWLGPLLTRAGIDEVETMAIYGLLCWPSLGIPMIITDPKIS >PPA17245 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:701186:707195:-1 gene:PPA17245 transcript:PPA17245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nhr-66 MVDEGRPVPLCSICSADSTGIHFGVEACAACSAFFRRTVVLSKNYECTKGGDCVTHKDTGGSQRCRACRFKKCLTVGMDRNAVQHRRDAIGKVSQIKKESSPGMDDDMSTMMNGGQNYHHMMPSTSTYVPLPVKPRTTLDEWMGRHLMLMKGRKLHYTTSSLEDSFDDVKDLYAQPTEMKNFSECIFQLWKIEPKLVAIYVNDNSHTVHLKAYEKAALFRNFFLAFQAIEEPYLTWHYGGLHKEWWVMPNKMFFSFEHINDYFAGNVMSGLNLDMPTAVRIFIPSFEQAMELVARPMADLGVTYIEMVALSGVIYLDPMTPGLTKETRDLLRTARGELIANILKYYQEEMFVHSRVPDTPEIRLAQLLDISAGIKIHAERTRENMQILSLFDVIPVDNLFNEMCNIVTAYNDETRSKLRARAIKEELVDMVKIQKSDIKWREYNRMIESGLLQKGSFINQMDGPKNGPTPFMAPPVFERDEKMEMKVQLALMQAAKKEEPDRMSESPSIPPAAVLAAAAVSLSPPSAPTAAAAAAVAVPTSHPALPLPHPMPFLPSLIPPQPSPAASAAAIHAAAHAARTNRTLANLVATSQPSITVAAPTMPTSHSDAMMQKIFDGRHKAEHMHALVRNNMTHHVNHPALSADHWIRYAGFVSDAESEAAAMKDQKKYIATQIAETNAIRHAQQQQLAGPSDAKRPRVADSGTNPVTPPSSNSELLAQLQQAMAANQSAALAVANQSAANAAAAAVAAAQNSGRVQVLMPYEMLMQQLQPNPQQLTTTPFIPTQQQMASAAAAAMAPVTLASQLQQLQQQQQLLQQLQQLQQQQQQQQQHPF >PPA17232 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:642933:645279:1 gene:PPA17232 transcript:PPA17232 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCKAIGIDLGTTYSCVGVYQNGRVEIIANDQGNKTTPSYVAFTDDERLVGDSAKDQAARNPVNTIFDAKRLIGRKYGDETVQSDMKHWPFKVINRAGKPVIEAEFKAERKQFMPEEISASVLTKMKETAEAYLGHPVKEAVITVPAYFNDSQRQATKDAATISGLKCLRIINEPTAAALAYGLDKNLSGERNVLIFDLGGGTFDVSILTISEGSLFEVRSTAGDTHLGGEDFDQRVLEHCLADFKRKSGKDIKGNARALRRLRTACERAKRTLSSSAEAVIEVDSLHEGVDYHAKLTRARFEELCADLFRKTLEPVEKALRDAKMDKSSIQDVVLVGGSTRIPRVQKLLADFFGGKELCTGINPDEAVAYGAAVQAAVLSGVQDSTVKDVLLVDVAPLSLGIETAGGVMTSLVDRNTRIPTRAMKTFSTYADNQPGVSIQVFEGERALTKDNHQLGNFELSGIPPAPRGVPQIEVVFEIDANGILAVTATDKSTGKKNAITIKNEKGRLSKEEIEKMVQDAAKYEEEDRKTRDRVAARNGLEAYALAVRSALEEHGDKLESNDREEGKRAVDETIKWIDRNQAADKDEIDFKEKELKGISSWRIWRIQQ >PPA17281 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:891329:893295:-1 gene:PPA17281 transcript:PPA17281 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVTVTSSVSACGNTACGRTRPRLNKADKPGSKVNKKEVVEAVTILETPPMVISGIVGYIDTPNGPRPFKTVFAEQLSEDFRRRMIKNCAQTPRFYLWVQKLLKHRNKKAHIMEVQLNGGSIADKVERAKERLEKQVLIDQVFAQDEMVDTIGVTRGKGFKGVTSRWHTKKLPRKTRKGLCKL >PPA17173 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:358471:365101:-1 gene:PPA17173 transcript:PPA17173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-plx-2 MSWGGQFESECAHSTSTTGQSAVCVYSMPRIKVTFWYNIDRCRGGADSIGLPHIGRDTRCLNRSHLPLEENSCELGVGGRIEASEMALLSLPSSIRVIESMNRPDIVFMGGDDGKIIKLKAKDSGALLEYATVDLSRDGSIHGLVFTKGRRMIVHQGAKLRILSVAECERHEECAICLLTKDPFCGWCPASTSCSSFCPVETATKCPILRGPPSPSALPVSTSANVTIPIEHLPRPEGFTYQCVFDSSLLSLAVYSPSSLTCPTPLMPKTRTTSTVSLSIRTSSSHRSIATHNFTFYDCGQYSTCSSCLSSHWKCSWCGNSCTLEKECAKPSSQCVQIAPSQRLLLPSGRPSTIAFKISGDSVFCRGIRVDSRSANVSYELQLVNDDREEIVDETQVTVFDCSLLASDCSSCLTSASAFGCSWCAGRCSVECPSTGSRSSDPDVVCNAPTILSFHPSSAPLEGGTLLTVIGRDLGADRIDVEGRILVAGSRCHLRDFNVSTGFTCILDKGTGSGPVRVAIGHSARRTAESANVFSFHNVEVTSMTPPFGPVSGGTPVVLFGRHLDAGSRVEVMIGDNPCVVRERNSSSSITCVTTAGKRVQSVGPLRLSIDNATRSVSSLTFEYRPDPIIHSITPLSAFRSGGRLITVKGVSLDAVLTARLFLLSSHDEPTEIISDLAPCQILNATLMHCLSPALVSSEQQPSTVSSWALGLQMDNVSSLRRLPRRLSLSIVPDPHFEPFVGVRVHLSGQSLILDGKYLGMAAEARDYKIQIGSSPCTVTVLDDRQLVCTPPMEQPEAKDEKGEKADGLPTVTVTIGRLKYELGLLEYSSGSLSRRVWIFILIIAVSLILIFFILILVWRRKNEQREKDYKKIQIQMENLESNVRKECKQAFAELQTTIEEQGTEEETGVFVLPKDEFVSRLLWIDGPPHPSTTIYSTSQPVTLAQLDSLLCSPDFIRCFVFTADSDPSSSPQV >PPA17303 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:984910:985641:1 gene:PPA17303 transcript:PPA17303 gene_biotype:protein_coding transcript_biotype:protein_coding MINPTTAWIMMRMGGMEKGDWLIQNSANSGVGRAVIEIAREKGYHTINIVRDRPQIRELKNELIRMGGDIVWTEEEVRTEGKNFKGRPKLALNGVGGKSCLQISSLLSRGGMLVTYGGMSKKAHEISTSSLVFNGITAVGVANALWMANHEEETKIMLSEIQVRVYDRFKIY >PPA17117 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:58069:58798:-1 gene:PPA17117 transcript:PPA17117 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRQLSRQRRAFFDEVNVLETYQPRARTHGHLFVPDPPTPGPNGETTTPDGGEEVDPAKKAFNANRDNHYANMYQEALRLNKEMEMQNKVIGKDPCAMGVDADTVLAPVEFDSQGRPKEEVAKATSSVPSTSVAASTAKSIGSKTPGPEDVTSL >PPA17298 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:957190:958008:1 gene:PPA17298 transcript:PPA17298 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVDFCDFIETIERDMKERHERIEATVNSVDTSSLLQVIRDVEKESLVLIDVLTNQHKNCEEEAINIHTSEMSLLEELVEKEQHFLQRVKDISDLS >PPA17179 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:382114:382807:1 gene:PPA17179 transcript:PPA17179 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMKQCRTQIAEESVRNVWRFNNRTIPCKFIEADFLTLLFKKLCCRGDVQWSSNGRRGKVSWRSPAKCQDIARLINNCLLDGLTQQITNISLRFLPKVQV >PPA17239 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:671958:672334:1 gene:PPA17239 transcript:PPA17239 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKKDDQEAAKVIMDSAEEAKKLLEELTVLDPVRTNYWNHQRMLVESQLQRALLTATNIFAF >PPA17305 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:990240:992979:-1 gene:PPA17305 transcript:PPA17305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulase [Source:UniProtKB/TrEMBL;Acc:F1CZN8] MKLRLLLLLPFLIHSTMSQQCVNQLQWTTAGGNILVNGQPLVLKGINYFGFETETYTPHGIWSYDLNVYLDFIKNNNFNAIRVPFSLEMVKNNPSNLNINCATNPGLCGKSALQLLDVFIDRAAERGFLIMLDNHRITPGGGISELWYNNEYPESQKFTKTHCRWNVFAIDLKNEPHDSASWGNSNAATDWNKAAERIINSLSSFLGLFFVEGIEWGNRLENVAQFPINTGNPSLNNRVVYSPHCYGPSVYDRPEFNTPDFPNNLDGMYMVKYGFIVNQTGQPVVVGEWGGRAEVGSKDMTWNQWYIEWLRSKCITNNVSSFLHLPFLSLSDPPAPSQFYWCLNPNSADTGGLLEDDWLTPIPRKINLTNRAQPNPTKFQAQNGQICITAGAFPEAHCQVGVKVTDGPGTTTTTVNAGPTTITTSAPIAPSTAVAQAPSTTTVPSSGGGGVTLSTENGSAVKQYTLRISNGSPSTVCSVHIKLNASIKDKWNLDEVSSDLYRTPSWMTIAPDAVADQAGYIAYGESVPTVSSVHNC >PPA17318 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:1043737:1044702:1 gene:PPA17318 transcript:PPA17318 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLIMPEKFQHIHRVMNTNIDGNKMIPFALTAIKGVGRRFAFVCCRKADIDVTRRAGELSEEEVEKITTVMQNPTQYKIPDWFLNRQRDYKDGKTQQMLSTGIDNKWREDLERLKKIRLHRGLRHYWGLRVRGQHTKTTGRKGRTVGVSKKKGG >PPA17268 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:822266:828139:-1 gene:PPA17268 transcript:PPA17268 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDYGASADSANGEGSIADMLRQAATEVLTAKVPPGFVFIEEYNMYYSHESGYYYDQNTSLFYHSETQTYYYYDETDGQYKVYQSMKPRPYWGPIDAEWARRTHRRAAADLLGYRKVEAMNQEDIDVCETLFGILETLEDEEDRNNRRGRRDQRERDRRYRRDEDDYRRNMERSSRRRQVFDGDNFVEVEDRRAQEEDWYREKERKSTKEREWDRGKERPDREERDRREKEEKKRIKEEIEERRRHRSRTWSSSDSEGEKEEKAKEEEDERVQMMKEEGYDQPPCVRFINMETLDLFIITMSGGLVGLAPECDVKVPDQTLPPRLAQLLFVQEKGPEPDKKMNDEDMSEFARIFSKRSTRSGSKERGDRRRSRSRSRDSGRRRSGSREKSSEDRGRGGGEERPGEKAEWRRIEKHVKKRQLPPNASRKERRAFERIWGKIDGDPIHGKYFLRVLSNAAKCTVDGVKVKKGEPDVPIANGCMVTFAKTNRFTLHIHSGRNTCAGCEPGLLLAEAAAAAPPPPPQKKRLKGEAARRAHLKQMKESLGIEEDEEKEPKMKYTDRAKERRKMFGSDPSLPKRRDDRPTGAGGMYDGCAAKPLPGAVPIVTATDAKAAAKKALSSENKASSDEFQQGFKLLKSMGWKEGEGLGRKNEGIVEPVANEFKGDRTGLGAAAVAPTKNAIWDLARSRFKETLQLL >PPA17262 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:788115:788740:-1 gene:PPA17262 transcript:PPA17262 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFRQNFMIFGWMHFVYRSVILKQERVGVALGNGSYIPYREEERSMMELKWQRTYGIIAKKLVDMVGIPMAEMDVDYEEYCILKAMSLFQFGM >PPA17270 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:832957:836432:1 gene:PPA17270 transcript:PPA17270 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDIAVTKFREYLRVNTEQPTPDYYGCQKFLYALADELGIARTSHEMVAGKPIVIMTIPGSEPYLPSLMLYSHTDVVPTFRDHWTYDPYSAHKDEQGRIFARGAQDMKCVGSQYFEAIRRHFGRGKKQFRRTVHLVWGPDEEIGGHDGMEKFVETEEFKKLNLGFTLDEGLASENGVGVQVYKVYYGERAPWWMEITFTGNPGHGSKFIENTAVEKLHKFMTSALAFRAEQKAKLDAHPEFNIGNVTTLNITIIKGGVQTNVVPEKIVANVDCRITPTDDFDELEAKIRGWCKAAGEGISIEWHQKCMTKSVTPTTRNDPWWAALEDVLNEEGCKFTKEIFVGATDSRYLRAKGLKSIGYSPMINIPSLLHDHNEYLTESLFLRGIEIYEKLIERLADLRKSELDRAMYGN >PPA17119 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:61688:65319:1 gene:PPA17119 transcript:PPA17119 gene_biotype:protein_coding transcript_biotype:protein_coding MSSREGIVSDSSNGWNGTKDRVNMGTIDSRGNTSLFWEIVCDIGNDDPRCATTQKPMDDQNITMDPVGQPVLTGQGIVYVFYSSYGDRTPWANYMNNANLIWGDAKVDAMFWYDPISLYQVVIVVAFGIIIPIYILTAIIATVVSCICRIKHRGDHHFELWSTLWYGIIPYPPFILTTGFMFAQFINNRIPQIYQWGSKFMMEMFRKPPPGTGGTGGSTGAGELNFVEMMQLAGKIMEFFVDVITWTEACIPLLEAICAFLFFTSYMQQV >PPA17290 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:918574:923897:-1 gene:PPA17290 transcript:PPA17290 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-60 MGGRPRPLLCHLEKKSVTDEFGFNLHAEKGKGHFIGAVDVGGIGDRAGLKMAQRIVGVNGILIHPTTPHKEVVALIKKNPVSTTLLVAPEDVDAWYKQHNESYSFDYSDDGARPGAHTHHITIHHEEIYEYDYATEHPIAEAVVASAVLHRLESVVEEAEDHHLLEAAARRSRAPTADDVAAAVLAAVTVEAVIEREEQALHAHETHPDDDIMAAVFAGIPAVEEKKEELHHEEHHHHSDAEKLLVAAAIIHHEEKKIEKLEEELERVDHRETPVMTPEPTVRSSSNSYSKPLENGHAHHVEPKKNDAPRDIFELSAAEARERLRANKRRDPRGLEMSLEEKYRIVSSMWNRELTLRSVRCHSIED >PPA17215 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:543273:551971:1 gene:PPA17215 transcript:PPA17215 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVAGRHMSRSPTVARLLASQASPPASGQPPPSPSSPSSPPSPPTTFAPVNAALAKEIEDKFAALDPTFRNTKEAFKSKSNLDLIRALVVLKMCAIKPLVQHNQAILATMRRILGKNLFKKALKNSFYGHFVAGETTEEVGHTIERLKRFGVKSILDYSVESDLSHDEAEQKTNATSSMEAEVSPPIALLMAAIVDTGVVDSATVDLTHERYSAHKDFADRRVNVHAARTYIYEGEKACDVNRDVFCESIDAVAKASGGEGFAAIKITALGRPALLLRLSESIAQTHNFFKNFGVKIDKDITEQWFETVDFDRDGLVDFHGWDQLLEDNQKLGQMFKVLNIKTGKLEPLIQNLTPQEEQEFTNMIQRTVEVAEYGIARGIRLMVDAEQTYFQPAISRMAVAMMKKYNKDRGNIFNTYQAYLRNALDDMECDMQKARREGWHFGAKLVRGAYMDQERARAAAIGYEDPINENYEATGRQYERCLTRIADELARRGRGNVSVMIASHNEDTVRFATKLMRDRGIAPSERIMCFAQLYGMCDQVSFSLGQAGYSVYKYLPYGPVEDVLPYLSRRALENGSVLEKAKVERGMLSSELRRRISNGQIARISPTPSASVVKLQKQDVDHRGAGLRLRRTRPSGRRFRQLLVIVKAKFFSHSAEQKIKAAGGTFVLVAKGTITCVLFLI >PPA17240 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:672517:679423:1 gene:PPA17240 transcript:PPA17240 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGVPSTFSPEASDHLLPPSHKVIVLLDHGPRMAVDANSSFQPVIISAKNAPPEKKVSCPRNLWTMAVEATLEAHRVVSDLFFDGSRLMRLVLADTVSRKLTSDWGTRLMRQPEVRLRDAVELIAQLSTTGAPTTVDDADASFLPLGVQLAVEVDERMKGTLSETTDRYAKMKCMDPVQQVKLVKQLSHNFAWKIHPTTKTREKMAVEKGMRLGKATLVNTVVNPKGEEEEFSKKVQRSCFLMNKGSILVMTRMKSDEEVEALELEVTEQIATRNELIAKSKDTKGTARIDHVSLFILNLLPVGEEATITSKPLTKINDTLSCGVRSCFAKGYDLISGVHGVLMPLYDLVSTTVAGIPMKEESNSSHSVNYDVELLHQRLAHSELTRNGLLKEKMPTAPTYPIVMGTEKGGVVKKEENKEGDPSPPGLVAALHNGAYSTVRLTWATPSPKSAWNMFPRTLSAYPVSPAYLNARPSICLTSFLSNGKCVMLEVKNPPLAPLLTVEQQAAAIAERRERKRRQLDAMPPERRKVAENIVSRRLAMRRKMRAAAKNREKEAKKLPTAKAPKKVKKLLSKRRGTGLQPNLHGTRLISHTLIAHSGRIFIHEISLDGGTHKNEMTRQAAGFKPVPDLRISDFRGLMREMMLTLPPRAKLFEFADKRARGLLPKGVPPNKDARDRALRLTRYWPLKNSHSFIYNIKQKIEPLLSLLRKAELSTADVDKCKQTINKIVEARDSPDLFTYTKFACEPMSDQQDRDEQLSMLFREVTAHLTNYAAHSERHMEVFTLWAQASEEENANALDPIALSEANTVLRYDSLFEQSIDVFDVWRVRQLPRGSRVRGGGTTSVADLVQAAVCSQHQTGEVDAAAAALAASKEDSDCARIRAPQRALAVQMQWLQSKERDSREGPAAKRIRVPPKFQWTNGEHREVLHLLKANQDPSMLSPRRDFVGREQSASSQAKLYSQLGDAVDRGPKPIFE >PPA17237 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:662241:663801:1 gene:PPA17237 transcript:PPA17237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fnta-1 MEEGLIPTSSLYKDDPEWTDVKPIYESEEERSAVRIKYDEEFRDCFGYLRAILSSGEKSERVMKLVDTCIQKNAANYTVWQYRRECVQALGWDLRKELRFLDEVILENPKNYQVWHHRRAVVEWLGDASEELTFTQEVIDDENKNYHAWQHRQWCVRRFEMPAQPELDYSLKLIMEV >PPA17154 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:250084:254697:1 gene:PPA17154 transcript:PPA17154 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sec-24.2 MSGAPNGPAKLGFPQAPAGTQHYQPMSQFPQYGAPQQPVSAPTASAFRPVANGQAYPGHNHMAPSPASFPAAPMIPGQQPPLPEALAHPMANMSLGGPSPAAPSPAAFPSFPTAPSSFPGAHQQVSYPTTSRPSPFPSGPPPPSSQPSPMGRGLLPPLPPSTVPSQQQPGFPPLPSSVVPSPASSRMPTQPVMPPGPQMMPHQQPSTGYPGQHMQQHPNAGHPMPGPPGPPGPQGGAPGFSAAAPGYPAQPGAYPGQQPQPGYPQQPAYPGGVSSGASLTNHVDLSTERSPMIGGMDEVSYNLPSNNGIDVRCDPNIFRCTLNAIPQNEELLQKARLPFGLTLHPFRDCKQLNVIRANTIVRCRYCRTYINPFVFLPDARRWKCNLCYKANDLPEDFCYDSATKSYTEPMHRPEMRHATVEFIAPSEYMLRPPQPSVYMFIFDVSTPAIQSGYLRTMCDQFIINLDQLPGDERTLMSFLAVDASLHFFQFTTPGKLPRELVVDEVDEMFLPSNTGLLVKLKLFKESIRSFLTRLPELFSTPSISSSSNALGSALNVAKEMISELGGRVTIFQCSLPSIGPGTLKSREDPNKRAAGECDVTPASDHYKKLALDCTAVQMGIDIFSFSSQYMDLATLSEVAKFSSGSVYRFPGYQADENIVETRRFEKVFTRYLTRKIGFEAN >PPA17249 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:732165:733525:-1 gene:PPA17249 transcript:PPA17249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:H3F525] MAMIAHQARSPYVHIETSMGKFCVELYWDHAPRTCQNFAELARRGYYNGTIFHRIIADFMIQGGDPTGTGRGGVSIYGDRFPDELDERLKHTGAGILSMANAGPNTNGSQFFITLAPTQHLDGKHTIFGRICAGMKIIQSIGNVDTDNNDRPRAEVRVIRATPSEHST >PPA17166 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:339738:343116:-1 gene:PPA17166 transcript:PPA17166 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-seld-1 MESPYASHASAVARILKTFIPQDYGLHPLFLLQNFTQMKGCGCKVPRPILLNLLKAFGNIPTMSHDEVGIGLDSCVVPTRHPGLKLVQTTDFFYPLVDDPYIMGRITAANVLSDLYAMGVSECDNMLMLLGVCNDFNDVERDVIVKEFIKGFKDAAAQAGTEVRGGQTVKCPWLLLGGVATSVCTDREILKVDRAKPGDVLILTKPLGGQVSVNSYEWLQTNNGRVKELHLDPKKILRLAHQFFVRANTYAAQQAMEQMCRLNRNAAILARKYRAHACTDVTGFGILGGRIIPFLSQVTQTISLVFKGTNVQFVIDSLPVIEYTEEIARAMNGNGFNLFGGTSAETSGGLLLALPEERAEDFRAELESVDGFPTFLIGQLQLS >PPA17138 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:184235:185122:1 gene:PPA17138 transcript:PPA17138 gene_biotype:protein_coding transcript_biotype:protein_coding MREREKEGKSVLKARIAEIHGSEIKIRNGRSLKKGDKKRSVGEKTISLSARGACPCELKKSAPSNQRYLIMANKDVDDNLVATLILPWQKEKNFKRAVHQFSRVNCKLLGREIRYSTTPDPEALENLSSDVSSESSDE >PPA17307 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:998502:1000620:1 gene:PPA17307 transcript:PPA17307 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAELGRTIRNAIGKLGQATVINEEELDAMLKEICKALIESDVHIRLVQNLRNNVKKALNFEDMTGGVNKRRVIQKTVFQELLKLLDPGVSQFTPQKGKPNVIMFVGLQGSGKTTTCTKMAYYYQKKGWKTCLICADTFRAGAFDQLKQNATKARIPFYGSYSEMDPVVIAAEGVEKFKKDNFEIIIVDTSGRHKQEASLFEEMLQVSNAVDPQNVVFVMDASIGQACEAQARAFGDTVDVGSVIITKLDSHAKGGGALSAVAVTKSPVIFIGTGEHIDDFEVFKPKSFVQKLLGMGDIAGLVDMVNEAGINQNNEELVKKLKQGVFTLRDMYEQFQTVMKMGPLSQVMIKKQNDE >PPA17264 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:805011:806106:1 gene:PPA17264 transcript:PPA17264 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTTKVRVVTNSSSSKSATRSRKRGKKVKETRSSDTGDKPSSNNDESPSQKQPSPTAVQSTLPSSTPVYQDEGSLQPCTTQHGTTEIEDVPQVKTDYTQNDVRLAFVQTERSERTPLVPVTAQGHSDTTDGSPIPKNAVDRSQSNRSRSGKRKKSRRKGTISTRAFFPPHLIGTHMSQRRVRRVRNSVEEVGMKDQRPEIRDQSLALLCFLAQGILHKFLQIA >PPA17306 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:994201:997361:-1 gene:PPA17306 transcript:PPA17306 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEHEVPAEAMEVVPSQPVKEPIKISFGVKKKLETLVIKTESQSVAALDVAEASDEDLEEEERAAKRRKLTHFEDGAMPEDDEDKKKKASVVIPMVMEVDWRVQKLLEQEKDGSISDSDRARLELLVGSNPVLAEQRKKEQGENQDDAIVVDTCKTETEDADYNQVSIESFGLAILRGCGWKDGEGIGKNPQKVAMRLLERRPKGLGLGATPKTVDKKKAKNGDKGDEVSQDIKTGSLIKITQGIHKGAYAKVDSRDDDNSSLVARLALGGRVIRVSLFACYAVNQKEFDKEGKVLNREEYDKEKRRIDKEKEKYEEKRRDDRDGERKKEKRRDDRDYERRKEDDSEVWVRVDCRVRMVSEDYKKGKHMDEKMRVVDVADRKNITLEDDDGRTHYNIRQSWLETVMPRSNGEKVIILRGRNRGAKGVMVEKDRERESLQISIIPTHEIVKVAFDDACMWTPQVVDLDDDE >PPA17256 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:757168:759443:1 gene:PPA17256 transcript:PPA17256 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGDKWEGVQSSTAKCNSDKFIGKLSNGSPVPSNSEGIRCIEKVKCEDFVILNECTAKEICEKPTAFDPKVTCGPEFTLQAKTVGKWKEVQEATCNTGAFTAKLTNNIVLKHALLQGVRCTIISSECSLCDNPCPSCGSSTIYKKATKLEECTTFSCGDHSRMIVATVHVGSEDLICESAATPGFNWVQKNSGAKRVTNGDVSCEISCTQKIPLTDTCDPNDYLCEKKTIGDDLPVTCAATSKLTYKSSTDQTETVGSRLLCDKQRGVWVITKEDGTTEIDIIQSGNVFCRYDDCKIAEFCPDCEKEAGSSNKYRCKSDNTVEFRDPPTSAPRSLDPLTARFECKLGKWKDENGVSLSGTARVNCIPITTTLATTTALPTTTLNETTVPNETTVPTITTSRPKDNDSDSAESQVGMGASTYVLIIFSILIITLAIVCIACKLGGKGWLHTIIMSKLRGGKKMESKSKAGTSKEVGSPRASVKAQWQTGSPRVDKGKEGDQDDEGDTTGVTGTTGGLTDGNGGSTEEVQTTFDV >PPA17178 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:380760:382000:1 gene:PPA17178 transcript:PPA17178 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSLRTLVKMKTKKNRPMIEYVERSSIVFPDEAVMRDPMDQQRIRAERRAHHRRLRDEMGAIGRNDLIANANFDDEMMMNPNEHDINESFGPFGMEDDIDMRNPNEEVLNESLRGLFLEFDVSFDNTNENERFNNGQGMISETTVALLLSIVAMRYSVGAANAFWSAIRKAAYEVHGFSVLPYVNKM >PPA17132 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:147528:151731:1 gene:PPA17132 transcript:PPA17132 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFPSSTDRFGISRILIAYLILTQNLVTAQCPALSGPCRCAPSIFEPVAILCENAGSLSNALQAIAPARNIPIDSLTIVDTAISTIPANAFQGLTILRLVLNRNTLGSIDDQAFNGPLLDSLVELDLNDNNLGQIPQLGVPHLRNLRKLYLNRNRISQLSPGAFSAYQSRDLLLKLSLAGNRLTDGALGDATVFRPLRSLQELSLETNALSAIPSAALVNQRETLTNLNLGLNNINEVPVGALDFPSLTSLSLEFNGITVIVPQAFQGVPNLQFLYMTGNKFPSWQPEMFRFVGQMRTLGIGETPISVIPANAFQHMPNLIRLEMSEAAVDTIERGAFQRTPAIQAIVLNKNRLSQIRADFFAGLNDLYSVDVQGNRIDDVEPLGFANLPSLTHLDISYNQLQTMPANTFDNTFAPKPNDRRVIYACANPWLCDSGLEWFRRLLRDNLDIDIDKPGCVSSCAAGVNGCPIPGTPLRAPDNCAIQDNPQPQPYTGTALSLVGWIILAIIMTILLISICLLALVRYGVSHRRKKQKDQEIMEAEHMPAPYPALGIMPAASSLYISNASHHQQSMSIMDRPYATTTVDLDLPTAHTLDDRPASYFY >PPA17120 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:67575:68969:1 gene:PPA17120 transcript:PPA17120 gene_biotype:protein_coding transcript_biotype:protein_coding MRIECTRQIPLITRALNLTGGDLRLFSSPWSSPGWMKDTGKMEGPGKLRKGLEEAWAKYYVRFFEEYLSHGIPFWATTVQNEPTSGSLPSYGWQTMFWNSTGERTFVAQHLGPVLAASEASKNVKIIALDDNRFWLPMWANEVYSDPIAASFISGVGVHWYFDALAPVSTLRRTHEAHPDKFILATEACAGSLPPFHGPAFGEWERAEGYAKSIILDLNNFVGGWTDWNIALDTEGGPTWAKNFVDSPIIVNATADEFLKQPMHYAMAHFSRFLRPGSRRIQSSTVDVDDERVMHTAFVFDGQRIVTILNTVNVEKEIAIEEVGGTAINVNIEPNSITTVIWKKQ >PPA17199 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:468861:469952:1 gene:PPA17199 transcript:PPA17199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpl-18 description:60S ribosomal protein L18 [Source:UniProtKB/TrEMBL;Acc:H3F4X5] MGIDINHKHDRKARRTAPKSEDPYLRILVKLYKYLARRTGAKFNEIVLRRLFMSRKNRAPLSIARIARNLRKPGNENKIVVSLSPVTDDKRIFKVPKITVAALRVTDSARARILKAGGEVITIDQLAIRAPKGENTLFIQAIIIVGPRKAREAERHFGAPGVPHSHVKPFVRAKGRKFERARGRRASRGYKA >PPA17277 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:878087:880311:-1 gene:PPA17277 transcript:PPA17277 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEENTVHIPVRRRKAKNRPKDTAWRQQRLPAIRPVLNAQCALPLTLTLGIACSIIGVFMYLSALNTYEVAVDYTNCTDLITKKSEEKGDYVPTAKIVCVFPIELKNNFTNPVKFYYGLDGFYQNSRLYMASRSEMQLHGKLDDTDGCSPLEMMVDPTDNIKKPIAPCGVIADSLFNDTFSLHTTPDASTPSTLVPFTARGIVSDYVRKQKFKNPDYGENETLCDAFKGTVRPPSWQRDVCTLGAPTTADEAERESVGTGFLNIDLIVWMRAAALPKFRKIYRVLDTEVDNYSGGLAAGNYTLRVFYNYPTAAWRGRKFFYITAEAWR >PPA17100 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:2813:5172:1 gene:PPA17100 transcript:PPA17100 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCKAIGIDLGTTYSCVGVYQNGRVEIIANDQGNKTTPSYVAFTDDERLVGDSAKDQAARNPVNTIFDAKRLIGRKYGDETVQSDMKHWPFKVINRAGKPVIEAEFKAERKQFMPEEISASVLTKMKETAEAYLGHPVKEAVITVPAYFNDSQRQATKDAATISGLKCLRIINEPTAAALAYGLDKNLSGERNVLIFDLGGGTFDVSILTISEGSLFEVRSTAGDTHLGGEDFDQRVLEHCLADFKRKSGKDIKGNARALRRLRTACERAKRTLSSSAEAVIEVDSLHEGVDYHAKLTRARFEELCADLFRKTLEPVEKALRDAKMDKSSIQDVVLVGGSTRIPRVQKLLADFFGGKELCTGVNPDEAVAYGAAVQAAVLSGVQDSTVKDVLLVDVAPLSLGIETAGGVMTSLVDRNTRIPTRAMKTFTTYADNQPGVSIQVFEGERALTKDNHQLGNFELSGIPPTPRGVPQIEVVFEIDANGILAVTATDKSTGKKNAITIKNEKGRLSKEEIEKMVQDAAKYEEEDRKTRDRVAARNGLEAYALAVRSALEEHGGKLESNDREEGKRAVDETIQWIDRNQAADKDEIDFKEKELKGISSWRIWRIQQ >PPA17159 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:289289:294854:-1 gene:PPA17159 transcript:PPA17159 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVVNHFFSIRTTSAAVEFSIPRPIGGEHDVATMLENVKEEDIDTLEGTLLDKAKDDPEFVEQILTHLIHIRERYIKLRQDWQKTIEDRDKTAKIFKARIVDTRSDPSSALLPSNGQPVQFTQSFTPNTGLTNVSPVLNTNPPLLPTRPPPPVQPFFNTPAAPEHSHFTMSSETLPHSQPPQLDQEELEEDPGSFLGPPAHSSTPSDFFFVPNHVIDPQIDPNQIIFDTAIPQFASRGSPPAPPPHVAARRATAAYEITFTDNSSSNHHNHTETNTTVALLISCHYDHSTGDETMVKTTRVATTSTPRPTTRTTITTTTEAPTTQAERSETKAGIHFDHENNRTVYRYFWKPNTEEQAAIPEMIDENGNEHSAVTVETEEQTTEVAPPPDDIVFEEPQPEEETTLAPTTTTPEPTTTTTTTAPPPTTTRRRTTRPVPTTTSTTRRPTTFSTAVPRRPTLFERVPEFAPRQPKVIRTSLFDPPPQEGEKQEVQQNRPQRFRTPNGSRTFGTLPTAMPRFASYEELPIDEHPLEPWAPTQRPATTTTTPPSTPSSTRRTPQTVAPTTSLTRVTTTTTTTSAPTMTTEEFIPEEAEVVTVPEFAVEDISHFSDDFTEQSVDERELVNILNKEEEQRGVVEAKWFSGPHSFDKDQQHLSGSPKRFIPEFPGEVDPETFPEGETTEEETVQTPKRIVFTVRPVIQPVTAAPTTVEQTTEPSTTPIEETPSIGFTDETAEEFEGVTVATAEQNEDTTETIVDEVIDDTAEELSQAFADFERALSTRATDEPIEPQPTSTTTETSSTVVDEVGPSTAQKSRQGVRAPTVVVWGRRPPKQPSTIVHVDTAPPSIRPTKRPRKKTTKVPDEIDLLDELIRGTTEHVETTTRSISELENELAREAKINNFFESEESTTHPHDDVRIHGRTPGRKIRGRKGQHKRVNTSHFIVSALNRDGVHLTHEEARIALGLPGKASRPHSKTTTPPSTTTDDEVQLLVADLQRELLTRGFNEQTFALCNAINCDFEKNDELCQYESSLDELIFGEGAFRRRVKRQAFDSFITVRAWTNWGGAKGDPALGNIALASGRLPSDVFSQGKNERFSGTQVNPNQMAMMSTQINATDSLRILFDVWEGTRGVQLRVCCDAVCPFETELGVKKGNRNWQPREVTCPKGTRQLSFECTNTGKFRGACGVDNIRMDKC >PPA17208 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:495533:496969:-1 gene:PPA17208 transcript:PPA17208 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFIIAAALAAVALSLRPKEKDMEGRTLIDLADVGDSCADSYTGYAIVDGSLERCENFTSIQMGGKGGQGDKAYEEYKCKHLRSHGEMKSGKCVCKGSWKGPACNDYDGCPVDRPSLHAGSCSKSGCAHDGIMAIGTKHLECICKDQWDGRHCERQACWRLTDKGHDKRYRNGKDGKCECGTHFEGENCSVVKSCEKNGKLENGVSVAFCIF >PPA17320 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:1052729:1056589:-1 gene:PPA17320 transcript:PPA17320 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPLGRSWSLRVATSLLLLMTSSVMATDPSPITVENGCLPADKATGACSDPTIGTVDPTTGDKKVTCNTGFILESTILEGDILKSVAKLKATCYSMCHTKFMELKCPAGVEDCVSAVYAPVTKQINCPVATTHDLYVNDKAVKSFAKCDTATGWKDELNNVLLAFTTTSSEPDVNAFCLKKKPPPQTFTRCDTTSFEVDCTGCVSPTFNANAKKLSCQAGQKLVILDSILFDHAMCTNTGWEGHTTATGGTGGAKLLAFTANPPLHHPDPKLRAHCYTFDCSKLFACPLATPNCARPVLAQNKLTCAAGLFLTIAGAIYKEAVCSSDGWKANAKELIKYSPTSLSETKLEAQCQKDCSSLFENDCPEGVCKEKPFLDAPTKTKISCPLNNILIVNGKDYKELVCSTAGCHRHFVDTTCAAASCNQPIYVNEGEPMFCRGGDQLILNSKEVGDVVCDSAAGWKDGGNVVMPFNETTNIMKAECKPNYRAHPTVSLDLTTSEPTTTTTTTTTPPPVEAQEGNDMPYIVIIILLIGVILVGTSLFCWHMKKRKKTSRDDDEENPSRTKTDSKNKSKKGKKGKKSKKGEGKSEKKKGKKTKKEKSKKEMEVDSDVEVPVQQMSMPNDSTLPLTLAARAPSGPSPNEMDI >PPA17288 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:910579:912004:1 gene:PPA17288 transcript:PPA17288 gene_biotype:protein_coding transcript_biotype:protein_coding MMKESRPQYLKMGEIKKCDGVCKLNHPIENCVVIEGSKHNFITNMFESFTGAKKVWCVWCVVEKMVQDRMD >PPA17170 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:348913:349559:1 gene:PPA17170 transcript:PPA17170 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIRILVDHDVPEENISILSLLMAEPGVHALAYAFPKVRLVTTAVDPSLNEQFHVLPGMGNFGDRYYGTEISDDDSDAFNGGAYSEEGISD >PPA17131 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:144208:145314:-1 gene:PPA17131 transcript:PPA17131 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLFLLPSTSAFLSSLFGGGGCNPCAQQPQPYYPQPQQPYYPPPQPQPQYYPQPPPPQPSYYPPQPQGYVAAPHHTPNYRNPLRQYTIPDSSYNNVAPPSYRPTLSRSGYGNDFVGEGSIPLRSSVEGERATYVINRQGGNSLIVRGEEPVVTQPFVDEVQVETNEQEKV >PPA17278 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:881570:882398:1 gene:PPA17278 transcript:PPA17278 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLERYSRKSRSPLLQLGQDDGRSQFPQRSGTTRFGAACAQRSSLWRGYVTGFSEDCLNLNIYTSKECRESTSSCPVILYIHGGVALFDGTMMFADEALITNFASQGEIVFLCD >PPA17230 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:634531:637433:-1 gene:PPA17230 transcript:PPA17230 gene_biotype:protein_coding transcript_biotype:protein_coding MICTLNFRPNKADEWAIDGVNEAVDVAHAFELESDLPEVKLFGKWNLQEVKHLACSLMMRGRNDGKKLMTVRIVKHSFEIIHLHTEENPVQMLVNVVINSGPREDSTRIERAVTVRRRASLNQVMWPLCAGAREAVFRNIKTIAECLADELINAAKGSSNSYAIKKKDGIDVKRKVTREATFND >PPA17111 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:43726:46722:1 gene:PPA17111 transcript:PPA17111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nhr-8 MDEAKSDFDTGRICTICGAKALGYNFGVISCESCKAFFRRNAFKDEIKASQGVQCPFANSCTINEKSRRFCQACRLAKCYKAGMKRDWSSQGKVKKEDDADEEAIVLRKRVREWKPSIPVPPTDPTTRPMTAAAAAAASVAAASIPSIFPASPSASIPMTSMSDQVTIPKDVFMQLIHHAQCKSKVECSCKCSCGFYPPETRLIAKTDKTTNGVPLQPPPSIVPLLSGPLSVPGLEAFSPLMNPSLDLFQNVNVPGMWTPPNLWYRTCKQESLSHLSNPPSNNGMSSTTASCYAPSPLVNYRDTIANPSSVQSIPPMEVVPTTPQTLDNSPLVSSDNEDEFSKNFAAIGRNPAVYEKLTVAHRSLLIELLRANESLRMPLADQKKDVYTLLDAVKCAEIAVRRMIMMAKNLKAFNELENLDQMTLIKGGSMEMMILRGAMIYDPHNRAWKYDLTKGTSEDSVHAQMVMSLDILKGSEHFLAHHQFLASFDDRIRTNEVLMMVINAIILFTPDRVGLKNPGDVRAANEKYYDLILRILECEFSSTTSREMLIRIETVIKQLKSLNTSMMEVVYGIDSSQLDPLIVEVFDIKPKNSP >PPA17244 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:697304:700277:-1 gene:PPA17244 transcript:PPA17244 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALMKHSPHASSYGDSAGNAAQRLMHNATESSLLIANRPTRISFLSSTSSFLTACLGPQPSLILHGPSTPDDDEAFRQLAHAKLPADPNDMVAMANQPYFVVPLVNGSVHVVQLDENEKGIQLFHRIVTHEGGAEATCVSSLDNSILVGGSDGKIVMIDGVKNESRVIAKSGAGIECIATLGGGAVVASGNMSGTINLWDIRTPSSAITPQYTLSAKPAVVFATDDIRKDLIFDLSQVFGDSCTALATHPAQTNILGAGYESGSVSFIDARGSNRDQPPETRNTFGLANGAITKMAFHPILSDNLFASSIDGSLVHWDATANSYAGLSTNTLVRLSPWLTPTLESSSVFNVVQNSFE >PPA17206 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:490619:492238:1 gene:PPA17206 transcript:PPA17206 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPQEYAEVVAKLPDLQQVLQQQRPWMAGQPFQMKDGSITDAPKGKGNPWKGAYSNHGFWFKLEEGDQKMFDKLVARSYEEDKFLMRYELLEELKAVHGSDVDFIVAANQRGDLIGGVLRGGNTVAVHYVREDYRHSGIGFILIKELVTRARGYGIPDCPEQSSANKELTTTLVSPLYRSIERYDSFQAVKGSTLVHVTVFSPSGLDKIAQSSYRTLTDIDWTAVEKLLVQSNLSIETVKNWAKDGQITVVGDEKGDVKSFVRVVEAAGGYVKRIVVGPLVADSVAAAEAVLHAALLPMLNLTTDYVWNPDVHSLQRRTLHFRVPKDNNDVMGILRKLAGEGSIEVGRVEYQTFSTDKSIKFDAEKTFATEINY >PPA17248 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:730085:731731:1 gene:PPA17248 transcript:PPA17248 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHVISTTEAQAATAAISRICNSIVDSSTLGSSRLKDCVKLPRGEDRNEWLAANVTDLFKQARMIFGIVYDACTAESCQTMSAGARHEYFWTEEDGKGGQRIIEMPACDYIDYLLSAVGDQLDDETSFPSKLGEPFPPNFIEIVKSIVKRLFRIYAHVMTAHIEVIATLGAISHVNTSLKHFVLFIREFDLMKQEDLTPLASIIDRLLPRMTAAEVTQAVNAVTSTPPSVRR >PPA17118 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:59473:60259:-1 gene:PPA17118 transcript:PPA17118 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVLSLFLLSISSVISRVIIGRYAGYQLDDMAMCELGWPMSEYNHYGCACSDLIRLAAVDQVDQCCERHNECYDSARSECGTLSPYYAFYSYKCESKKITCKDSIHSCAGYVCNCDKTFLDCLQKFPKPVEPVPKCKLTFYSSASHNKTSNE >PPA17310 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:1013175:1013767:1 gene:PPA17310 transcript:PPA17310 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLMASRIQLIGRGMSQPTPFGKRMDRLQSRIFNEVVLPTDTRSHKMVRVMSAEPVETKEQLSPKYYPNLPMFHYLTKLVRLHGLFFDDHVVFRQVQDELKTIRGKVVRPPIGQGKRAQLRGKK >PPA17149 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:237170:237921:1 gene:PPA17149 transcript:PPA17149 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSGADVTGSHLVHLGKSEPVQSVQKPVLQSHKPSRLSPTSTIMRDIKVETPSKGYADDEDDELFSMASSSMMRMRDIPEITSGPIESSLFPFSDSSYFTPLDSSTSMDEEETCDGFDDDSDDEEGEDETTPDIANCSMFRPNFEG >PPA17269 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:828645:832205:1 gene:PPA17269 transcript:PPA17269 gene_biotype:protein_coding transcript_biotype:protein_coding MERPENLTTAELRAELATYGVHVSYVTKKKRPALEEQLTYLRASGPPTNVERDSDETQVQSDLESIVKMMKENNLELRNHQTEALEMFWDWHEQGRGGILGDEMGLGKTCTTIVHLMRLRKLGYGPFLVFSPLSVVDHWIKETERFSNGLIKPIHLASEQLLRGAYLAEYPSSFPKNSMIIVAHTHAEQNLNHSSNARLFSGSVFDMVVVDEAQRCKNSAGLLYARCTRMNSRFLLLTGTPIQNTLRELYSLLSIVDRKKFLTRHEEKWALEHADKPEVVKNILSNYFLRRTKELVCQDLPPINQTIFYHGMSAVQQKLYLDVLHCDHTEVSKIAYNSLINSQQKMRLVALHPWLLRGIEPEPFVESELLVQVSEKLTVLDRLLKYLLKKGHSVLVFSQFVIFMDIIEDYLNWRKLDFVRIDGRIRLEDRNENVIRFNSSTRENGPRIFLLSTRAGGLGLNLTNADTVIFTDSDWNPQVDLQAMARCHRIGQTKPVRVIRLITRFTAEQYLLQKARKKLVLTKNIIGAADENLTGVSWANVLAEQAQEIKKQKKMDLNDEVIEKIVGKTDDSGKWIPITEEEAKKMEDDQLEKIDDVDDYNGKDIDEDDHKKYMGKDFRVSERERDELNRQISSSKYTGTRLTGHVVELELEKDRELRLKMLRELEVKRQMKRKSTAPTANERMAKNQKMDEDEEEDDTQIMDAPNPAAAGEYCIRLHL >PPA17116 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:57118:57711:-1 gene:PPA17116 transcript:PPA17116 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQLFVLLVSIPSLFAITCDTCKGEKCTDRTLITPEGCPAGIHFCYMMTRNDKLFDAGCAVDNFCKVNHIEGAVCGTCDSDRCNTVHLPPRFYGGGGDAWNGNGIETTTIIMSFVAPLAAMML >PPA17182 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:387322:387854:1 gene:PPA17182 transcript:PPA17182 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSIYYHDEHARQSHRHGHHSSRHPVDDRSGPRVRLVTQGEETVIQGTDNPASPVKSFREDGNDMSFLDDIALLRRGYINKSSIKTPFARTSPDGQHSSF >PPA17242 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:687220:688575:-1 gene:PPA17242 transcript:PPA17242 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSRCNERFSSSIGDILILTNDDDEPVRVGDITVFRIEGREIPIVHRIIKIYENYANNTKVLTKGDNNQGYGPATQVRWLQENRHHFAPTQTGVNRQMRFPVNASNYHIPPKRMRMD >PPA17125 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:101469:105549:-1 gene:PPA17125 transcript:PPA17125 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDEFLFSHLGEMGKYQKIQFTLVCLPTIFCAMHALSWTFAGAQIAHRCAVDGEEKYGGYWNDVTNTINKTTECYDDSWSVLPAGAEGARCLYEQCKSGDGSACSSIVYDTSRVSQSAIGRWEIVCDRGWIKAVVQACYYVGQMAGSMTFGVLGDRIGRKKCFFVAIALQIICGFIQSVAPTWWIYAFFRAGTGFSHPGIFVIANVIGMELVGPRYRKLASVITGLFFAVGQCILGGVAMGIRDYQWLHVATTAPAILFLSYWWLVPESARWLVSVRRFSEADAVLQKAAKTNGVTLPDEWWTQLDGEEKSKDEEEQEMPMARREYGFLDLLKTPELRKRSLVVFYLWPVVSMVYYGLSMKSDILGGDMYVNFIIGGLIEMPALFLVFLFIDRIGRRKVLAIGYGLAGFCLLSNLLISPETPKFVSLVQLLVSRGSITATYAGIYMFSPELFPTVVRNSAMGVCSTVARVGAIAASFISMWIVERYGKIFMLIPFGTMAVLAAILILVFLPETSGKALPATIEEIEDSHEMVEVQLESLTKKEETSDE >PPA17296 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:952222:953410:-1 gene:PPA17296 transcript:PPA17296 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAVVLLLVVSEAYSQSCTGGIPAAEVTAILSSHNALRRSISAGTYVAKGKKMPAAVTPIPDLTWDCDIAKSAQAVSNTCVFAHSTNRVNLGENLYTMWSSNKVSFTGQGKAASDSWANEFQQYGWADVKLTAAVFNTAAHATQMAWAKSTKIGCGMTLCQNGNSVIVACQYRDQGNMLNQNVYQPKA >PPA17172 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:354760:356920:-1 gene:PPA17172 transcript:PPA17172 gene_biotype:protein_coding transcript_biotype:protein_coding MYDFVCDRGAPQLQTLHAAFKLQSDRGPVDCVTGAARYTINESKLLRETVDASPIDLLITSMEGRGPFAVRVLACDSISQVRRKAIDAIYRAAPATGKPHAGHVIMEWQRPDGECIQLRDTEEGDSTGRTSGKTPKRIQTVTSAGLTHGSLLLMCATRRTPSSGGDSGQCSWSSLDCSSLSHSSHYHLVPPTKNNSKGKFSDNIPQSIPEIYLTRLLTSKGAVQKYIDDLLESILMGNENVLPPALKVVCDLLDEVAVENKVTDPLLVHQWKANCLVLRFWAQLISNARLIIDVDSCVAVDANLSIIGQTLMASCSSGEPLLHADSPSSRLLFAREISRLRPLSSDLFNRIRRAPPPPVDWTAQLIPIDQPSRSLPLGELLSWVRGNGLRLVQLLEQRTDPSLRLPSKLSHLLNLSIEPEHIYATLN >PPA17222 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:599369:603696:-1 gene:PPA17222 transcript:PPA17222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-wrt-6 MERVMPPLLNSRRQMKIGDIAKRLQVAVQQATGKSYEIFMGKGDMQVASHQIDDGTTCKQRVGEFFTTVYETPIQYNIFNFDQEQFLSNIDFGEPLGGSGYPGQQPFARIVEPPTSQVGGLNAGQAGSGNLGQAGRGNLGESGRGNFGEDSQGGDSDQSGRQSGGPGGRGGLGGCGNPEIKSIMERVMPPLLNSGRRMKIGDIAKRLQTAVQQATGKSFEIFMGKGDMTVATHLMEDGSSCRQRVGEFYTTVYETPVQYDIFNLEQEQFLSNIDFGEPLGGSGYPGQEPFPHLVQAPGGEAGTNANALGDAAGEAGSEQSERGRANAEPDGGPSVGGCDNAQLRGIMNRVVPPLLNAPQRMRIGDIARRLQLAVQQETGKSFEIFMGPSEMTFSSHQMSAGTSCRQQIGEYYTTVYETPVQYNIFDAAEEQFMANIDFGQELGSTGYPGQIPFPQYTELAPVQSLQGPLWPQYYPPVAANPPVYYSPPPVFAQMECFSADLMVETIEGPKRMDELKTGDEVLSIDETMISFSPIVMFLHRDEQLMAEFNVITTANGKSVKLTNEHLIFVSDCDIKNTLRLVKAKEVTIDHCVMSSQTSKRTLNVDRVTNITKVYERGIYSPLTSTGDIIVNDILSSCHSNLGVRTLQQSVFIVYRILYRSLSFFLPEEGSLPVGLEYLSSTLDLFLPAKGTLS >PPA17235 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:655770:659170:1 gene:PPA17235 transcript:PPA17235 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPLAVAVYGFVQRERVYEEAGIFWEARVIRHRCTMEKRCVKEHAENGNGQRDESPSKEDEEVLRLELMDADNDKYWKFLMKLDSNNCKEQDHYKVLGLSKLRYDATTAEIRHAYRQKVLKHHPDKKKAKGVPIPNEEYYVCITKAFEQIGTNEQKRKAYDSVDEKFDDAIPNEKSLNKDNFFTLLHAVFERNKRWSNTQPAPGLGDMKTPREEVEFFYNFWFDWDSWREFSYLDEEDKEKGEDRWERREMEKMNKAERERRRKDEMKRIRKLVEMAYAKDPRIVQFKKDDKDAKERAKIEKQRAAREKIEEEERKKKEEEERIRKVKEEEQRKAKEEKEAEKAKKNELKKALSEQRKRLRTMAESNKYWTGIDVGDEVVKMMERIEKICFQSTIEDLTSLCNRIENLKLDDEVNAVFEELDGIKVVKKVKVESKSEKEEKDKENQKVTWSSDENTLLIKATNLYPAGTVDRWSVVADYVNEHRKDKKGRPKKEKEVIQQAKLVQTLGMKAVENIAEAASTVPQAEASWSAAEQRSLEQALKQFPSSDPDRWDKIAEAVGTKSKKEAITRYKYIIELVKKNKANGSALVCSVVIPQSTPTTSIL >PPA17301 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:980438:981453:-1 gene:PPA17301 transcript:PPA17301 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGFGFDLLVNCTKYPWNVDNYQYTIEPSNENGGFLEKRSTNKPAMMCITGHFFEILLDLQADHKVNSLKRTGVTCEEIREEDRKGFTNFIKTVELNQFNLEESPALNAYLSGQFFEDLSGSNLKDLFAETARQSDQSYKYHARQSDISSMSRYSNLQSSFIVVDANWIDHPHKRISQR >PPA17141 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:198729:202065:1 gene:PPA17141 transcript:PPA17141 gene_biotype:protein_coding transcript_biotype:protein_coding MISDMNPVDNILYVADSYKITHHNQYPEGTTHVYSYFESRGGKFDKVCFFGLQYIMKRWLVGPVVNRQIVQQAKHFYKVHFGNLDLFNEEGWNYIVDKHGGTLPLRIKAVPEGTVVPVKNVLFTVENTDPAVPWLTNWFETLLVQVWYPMTVCTNSRAQKEIIAGFLRDTTDSVAGLPFKLHDFGYRGASSVESAGIGGAAHLVNFMGTDTIAGLQLCRKFYSCPMAGFSIPAAEHSTITTWREIGESDAYKNMLTQFPEGLVSVVSDSYDIFRAVSDIWGDELRDQVIARGEKGCLVIRPDSGDPATVVLKVLNILSEKFPCKKNSKGYKVLPSYLRVMQGDGISYETIDNILTKITGEGWSAENVVFGTGGALLQKLDRDTQKCAFKCSNVIINGESRNVCKNPSTDSGKRSKKGRLTLERTADGEYKTVEEGKGDPAKDVLQLVYENGRLVVDWTLDMIRERAEIDLVKEVKAREQTSDVVNGLNKKDTAVPAH >PPA17160 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:296543:298874:1 gene:PPA17160 transcript:PPA17160 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDDLCSNVTAYNKDEQVQAMANQLFFYSSLILTVPSIPSSLILGSLVDKSQIRKSILIPLVALIWEHSSPYYLLISDFIFGIAGGYTSIIGVSAAYSVRGATGSFRSSRMSRLESAIGLGGCIGSFVSGYIRAAFGYTVVFVIITLLQILAIVYVLLFVKDDVYEEIPDTQPESSFIRRSLIDPLYVLTRIRPLRVVLIACFCAFGLELFAFSGMSDIQFSFMRYQLAWDDKSYGLFTGLSSLFGTIGVIFVYPWLRLIICDALLGSIGLVLKMIFLIVLSFTTSSTVILILSGLYIGSRFVSTAFRTQIANLVEEDEQGKMSSLVSILEGVAGILATAVLNNLFPFTLSIWAGICPLSIVGLLGIALIILIISYFRLSSYKKEEEETQSATTTLDAVEYQ >PPA17200 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:470338:472966:1 gene:PPA17200 transcript:PPA17200 gene_biotype:protein_coding transcript_biotype:protein_coding MATNDGEDWLKTPGIAHRSKENHARHMNNLRDRYLDMKRAKNVEETKEVTTETKKSTEDPPSFGTRSSFCDKKRERRGDRRLTTIPPLSTERRQSLPMFSGVIELETPGIATRSIKEIEAVPKESRWRKAVVLGFRGTDADQKIKENHERHMNNLKERYMEMQGMKEEVKEEEKKDVKEANPHFPSFGTRSTYCDKSGRRLIGSPQSTAVRALTPNAKECEQQLKLDRPSTRNSPKEDHRNQFNAFVNKQQNVKQNQRYSNKPTGFKGFTPLQQQLNNYRTPLYIETDPSKLVGQSDNLRFDPLSRPRLSDSSVCYYRL >PPA17108 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:36806:38916:-1 gene:PPA17108 transcript:PPA17108 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-bus-12 MRLPPMMQSPAAKSALIFAGASFITGIIAKILITKMFFDYPIVILMLQMATVLFSIELLRVFGVLKLAPYTFDKGRHLFLSSILMSISSWLSVSAYEGIGLPLFDPVKRLTPLLVLGVSTFIYRKQQRLDRNALIALVGISFLSSIAVNFELTMGRFSLFYGLIAGLLHAAAFVQFESLSDTFSPLEMMYMHSFNSLVVFLLADIVQDEIRDAFMYVMTSSSKTFVFLFIFLMVLGLAIQYTTFHCIGTNGALVTSIVANGRAVFQVMFAYYTSSYLFYDLYPGLINWMSFLGTAGSIYYFFQKYDLDQWKPIPFTKC >PPA17191 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:433387:434570:-1 gene:PPA17191 transcript:PPA17191 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRSKASSGGEKKELKPEFKRISLEQLEREMGTVDHYDENDDDDLSSFPSLSSATESGTDYDSVRTEDMSEEDELPDESTLKKDVDTIEPKQDFSSSECYGIEKEEPFKKVDPEEFYATIAAVDPYNENEDADFDTEDEEAESDSETDHDSVRTRDMSDLEYVSNEPPKEKKKKQPKVKSSYVPFRRWLQTCESDGGSWDTREKRVTRSSTEKVPKDDFAFSQCHGVVREEPFKKVDPTEFYEAMAPVDPWKMTKN >PPA17156 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:269286:277343:-1 gene:PPA17156 transcript:PPA17156 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDESDDADFEPKQNNRDDDDDDMVSDPESEEDQKSEESPKKKSKKRKIESDDDDEDSEEDEDSDDDRHKKKKKKKNRRPRGMDFILDDVEVDSDDAEEEEYESGDDTGLEPKEREEAEKRMRQMEAERRKKEEKRAAFLNMPEEDIEKYFEEKYKNSSSGGANFDDDDALDDISQHGLLPSTKDPNLWIVKCMRMGEEKLVAMQLMRKMIAYENRGEPLQIKSVVVKEGLKGLIYIEAFKQSHVAQAIDGISALNSFTITMVQIKEMVEVLRVVKDIPTLKQGMYVRMKRTMFKDDLAQVDWVDISEGKVGLRLLPRIDYTRMRGALRSENDKLGSAKKKKPAPRPFDIDRIKEIGGEVTQDGDFHIFEGSSYRRGFLYKAFPINAIMAEGVKPTLAELEKFQETSDDLKRELELTSVSESAHSFAPGDLVEVSEGELLNLIGKIQSVDGDKVVILPEHEDLKEPLTLNAWEIKKRFKQGDHVKILAGRYEGDTGLVVRVENNLVVVLSDLSMHEMKVRPRDCQLCADVTTGVDSLGQFQFHDLVMLDAQTVGVIVRLEKENLEVLNQHGKVVRVKPQSIQAKKLNHNVTTTDSQQNSIQVKDVVKVVEGPYATKRDREDEKQGEILHIFRFHVFVHSRKHTENGGIFVVKARHLLLVGAKGGDKRNDLPQMNRLLSSPNPYASPRHPGLSSPAHSSGRTSGGQTPSSLTGGPGGGPKQQHARRDTAIIGKNVKIIGGPLKGHFGIVKDATETTCRVEMHSSCKTMSVDRCRVMEVGDDSVGFGSGGVSMYTKTPMQGGDSRTPMYGGSSKTPMYGGSATPMYGAGGKTPMYGGAHTPGHDGGRTPHYAGNATPHYGADGGRTPAYGGDGSRTPHWGDGGASTPARHNIKEDDDPYDAPASPAYNVPTPGGMNFNPRTPGGFDYTAPSPMTRNYSIEQAIPAHFLESGDWMVENLVVEIKNHDDDRFVDSEGIITSVDQGSCTLFVPSMRKQCRAEADQVVPVRPQQGDWTRIIYGDDMGVTGVLKSEFDNEGVLTLDDDTQRATTLAFLCKLHRESR >PPA17164 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:331686:335057:1 gene:PPA17164 transcript:PPA17164 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMSGDEVIAAENGHQNGVKPADQNGVSVEKNGVEAEQQNGEVPAKRPSEDSAVPDEPPTKKSKTDGEDQEMNEEPSPSKPVEQPSPVPDDPDVKVEATYCDIVHARNLPEVPKNIDTYKQVAAQLKPVWESLKKKNEPYKLKQISCHLCGFKTESRIIMIKHRSTPHFDGKKYQCTMCPEFDTNESRMVNHYVEAHYVIPTKEEPPLRNPCHICDEDFQLKGQREAHFKMCKRDFHRLRHIMSPKVRRVGDAKGRGFQSACLEKVRSLK >PPA17289 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:915193:915506:-1 gene:PPA17289 transcript:PPA17289 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAAYGGIPSPFDPGENNEAKRSGSLEQCS >PPA17210 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:510656:511597:1 gene:PPA17210 transcript:PPA17210 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLVATVFFRLGEGITCHAGNVSINHSNKQNSLPDTHCQTARDEVCVHTIFHDRTATAPPYLKFVAGCAPPPKVTADCRSHNETNAVLYEFSCCCREDDCSTLAYSTALGTSLNETDKQFFYKLSFTPPLAVPSNQPIGLRIGLFVVYTAFAIGIQVLAILYARRVKRRKAVIEDEKKAEKTNRSMCDTAI >PPA17258 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:763023:769599:-1 gene:PPA17258 transcript:PPA17258 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQRLVGSARESIRYGSSFVKKNPILGIRRETINAWERRAPLAPVHVKKLTKQGVKVLIQPSNRRAYPIQEYVAAGAVVQEDLSEAQLIISVKQVPIDQLIPEKAYAFFSHTIKAQADNMDMLDSILQRKIRLIDYEKMVDRKNKRLVMFGKWAGNAGFIDILHGLGLRLLALGHHTPFLHIGLAHNYSDSHMAINALRDAGYEIALNKMPQSLGPLIFVFTGSGNVSQGARELFEHLPHEYVDVATLPKVAKKGQTNKVYGCVVTRADHMVPKNGGPFDKAEFEAHPERYISKFATEIAPYASVIVNGVYWGVNTPRLITIPDAKNLLTPRGNRYEVPGCPTLPHRLIALCDISADPGGSVEFMTECTTIDKPFMIYDADFNKSTDSFDYPSGCLVCSIDNMPAQMPIEATEQFGNLLFPYIPDMLNCATDQNFTRLQCKEEVKNAIITSDGKLTPNFEYIADLRAQKASQSHHKSRVMGVDDKKVLLLGAGMVSGPFADFYSKQDKVSLTVATESQHDGQKLCIAPNISNVVVDVNREQGVLEKMIGEHDLVVSLLPFTFHPMVAKMCIKNHTDMVTSSYVSPELQALDGAAKDAGITIMNESGLDPGIDHMLAMECFDRVREHGGKVTSFVSFCGGLPAPESSDNPLRYKFSWSPKGVLMALNNDAKYHHDGKLVEIGQGKVLDHLYPVDFMPGFNLIGYANRDSTKYADIYGLGSDCKTLLRGTLRYKGFVEAIKSLEAVGLLDATPSVLFNSSQGPDLTWARFFVFIYYKEGRIKQLMASLLNQQTDIFPDSLRNIAAERLSGNKKIGIKALTDLGLFSDTVVDRKGSAVDTVAYYLAKVLKFNEGERDLVVLNHDIGVQLPGGAQEKHRISLVQYGDPRGFSAMSKTVGYTCAIVSQMMRSNVTEFFDQ >PPA17196 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:450240:453458:1 gene:PPA17196 transcript:PPA17196 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMALAQGVRNVLSSSSRSSAEDADDSANGRSPEGPPNRLGLVVDMSDANFLGGFIPVLLEKVRHPYCRPEFLYFTDDDIAISADKSIRPVICPKNAERKTLQNEDQATARLLQIVQQGYEAEVAKELDRRQQLKHVSNGRMSDDDPLGSPTISLGSDSPSLIAPRVEAAFFAIFDGHAGTGASIMASRCLHEHIKSRLSEVLESLIEMDREENFMSTKFRSDSAYSIGKGQSRLEKSTINADALVIGALESAYVDMDHQIAEEKQVFKINGGCAVISATILLGKIYVANAGDCRAVLVTSEKVEALSCDLTPAADSERKRLQEIAYRNPEIIGSAFSRLEYARHLTKKDLRKKVLYRDWFMQGWSVKTVRDSDLRPPLISDRFKKKRLLNTIGVSRGFGDHHLLTADDKLSIKPFLSAVPEVRVFDIRSLSTLTDKDVLILGSDGLWDVISNEDAALIVKSSLSSSDPTDASRYCAAAQELATAARGNPTESYKWMMNCGGLASTDDITVLVIPLKYCIAPPRGDDEEDDDEMINLD >PPA17284 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:898802:899225:-1 gene:PPA17284 transcript:PPA17284 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLFSLFFIIALAHCSEDTPKCYKGRELNSLLSMPCDALKDIDKVMCETGTCHDLGGSLPPDALFCCCSQKHPCH >PPA17204 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:479639:487482:-1 gene:PPA17204 transcript:PPA17204 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vps-11 MSLTESWRRLNFFDKNAVIDPKTKDKFKGLEKLQMCCWATTNDGQGVYMGESTGALFALDKNWDQTYWKAYQCTLSDVASVGNHVLTIGEDEREINSLLKIWERPEFGEHNQEVDCAPRILKEVRLCPLLGPAGINTRATTITGHSTGRVIAAGYADGSVMAYVGDYVKDRAVSSKWIRIREPTPVEGEVTGTAIGILPGSNEMFVIFVLTNKSLNSYVMDARGTITNKLRHEAQGSTRDCWTFSESNNQFTVANKDMVHIYDGESCKDEEGARGKCYALSRGLEKQQIAAFGEFLAVLTRQPALIPTPEQEMTVVTVYDVTGKYIAFTGSLPSLANCFVLDGVFILLAHDGQLSSLTQKHLAAKLDILYKKSFFDVAIDVAKRSEGGADVLPHIHSKYGDFYYSKADFGNAIREYKESIGVLDPSYVIKKFLDGSKIPHLCTYLETLRAKGKSNVHHTTILVNSYARLQEKAKLQKLLTSLEQTGSDTEAEAVVSCLQAAKLLNEATLFAVKTQQNDAALSIMVNEQCRYASAIKFIKNFILSNDTPKAERYLEKYGRRLLRENREEMMELLSTLMLSDGAKVNTPLLLQLFVGDEQLGAELMEKVAASGAGGIELMNTIVELNMRTYKKEEPFNVFEKVTKYVVKENEEKVRRIAEELKCDPVVEALLRTSRDLSGLHAFHVRRGHVEKVVELAIEINSSQSWLDTITFVSKMETAVDDELMERILEKVKTTRVLHPLVVLEVLSKSSRLNVAAVKKYVVEWLTEQRETIAKDKAAIVTAEQKIKDVGKQIENLHFNTQILHVTKCCACNFEMQLPSIFFLCRHAFHVHCFDSYTDKGDICPKCSNEGASAMRMDSDLSIFSRNAYGEFVERMNKAEDSMEIISKYISSGLFDSKKSIAPLAAGLSPSSSSSSNRSPFHQPLVVDGSKKRSNTNPFEEESKNPFDEPSSNPFDE >PPA17122 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:89229:91620:1 gene:PPA17122 transcript:PPA17122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-shl-1 MWAAFENPHTSSIALVFYYVTGFFIAVSVMCNIIETIPCQYTDTHSTSCGELYERQFFVLDTACVIIFTIEYLLRLYAAPDRCRFVRSIMSVIDVVAILPYYVGLGLQNNKDVSGAFVTLRVFRVFRIFKFSRHSQGLRILGYTLKSCASELGFLVFSLAMAIIIFATIMYYAEKKEPATRFTSIPAAFWYTIVTLTTLGYGDMTPTTVMGKIVGGICSLSGVLVIALPVPVIVSNFSRIYHQNQRADKRRAQKKARLARIRIVKNASGQALFNKKKAHEARMQAFEEGLLPLDALKDEDIFEIQHHHLLQCLEKATEREFVEADVAFEGGVRSTPPLSAASSTSNVVRKRKKRSGWCCNGNEENGESEDEPRVTFADGHQIRERTPDDKDENERLTNICISQL >PPA17127 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:111557:112342:-1 gene:PPA17127 transcript:PPA17127 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCRIFVYWDIRKAKAFRLVTILKVWLEGNRRMSDSEHKNNKNNKGGNEDEIASKLISIAYKRFYVDVKQNTRGRFIKIAEASFWIRQLLK >PPA17309 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:1007026:1012883:1 gene:PPA17309 transcript:PPA17309 gene_biotype:protein_coding transcript_biotype:protein_coding MVLADLGRRIRNAIGKLGQATIINEEELDAMLKEVCTALIESDVHIRLVAQLKNNVKKEMNFDDMIGGVNKRRLIQKTVFQELLKLLDPGVSQFVPQKGKPNVIMFVGLQGSGKTTTCTKMAYYYQKKGWKTCLICADTFRAGAFDQLKQNATKARIPFYGSYSEMDPVVIAAEGVEKFKKDNFEIIIGEEVADFSFIGPPSEVEKVSPTGVSVDTSGRHKQEASLFEEMLQVSNAVNPNNVVFVMDASIGQACEAQARAFGETVDVGSVIITKLDSHAKGGGALSAVAVTKSPVIFIGTGEHIDDFEVFKPKSFVQKLLGMGDIAGLVDMVNDAGIKDNEELVKKLKQGVFTLRDMYEQFQNIMKMGPFSQIMSMIPGFGPDFMTKGNEQESVNRLKRLMTIMDSMSDAELDHPKASDLFTKEPTRIARVARGSGTSQHDVRELLSQYKKFSDMVKKMGSMKGLFNSKTGDINPKNVNPAKMAQLNQQMAKMMDPRILQQMGGMGGLQNMMQQLQKAMARTRKAAVEKKEEGSDVDESTMDGMDESSNLPPKPVESMQRKMIIAKASTFSTPSSLYSIRHPRLNSACLFHLSKTSCEEVFLLDDEFRCLFSGDTVISDGRARILSPFNPIFLVLPYLEKKKARYEQLEEILVDEELPAIERLRENEQMMKELEKVADKTDVCDEILYKLKEKKALEWISGRFEVLKTALVAHAKLHDSITRDDEVVSRYTFGVLSDHLSPSMAAVVKEHLQIKDAPQAEAAPEPLGMKRKLDDDENLYGSAMPPAKKTPTQSATQKKLQQASKGTKSLASFFGKKAN >PPA17220 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:593054:595476:1 gene:PPA17220 transcript:PPA17220 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-zip-3 MMKRCEMSDLPIDNLLIRSLFGAIFGFKKNTNSSSSSTVSRSRASSIDQPDQPGPSWASTPVAHHIPANPATPAAVPVECAPASNPPSGPPPDAHYQFPAYHQPVFPGQHPPLPVLTSQCPYSRNVSYSSLAHQGHAPSAAPYPHPPHSGYAPPAPPPYSPYAPLPPPHASHADYYKTKADIYREIVNECEEFERSTTTTPLVESSPATPTVHSPPTSQWQQQQPIHEITANFSMMDLPPGNVSYHPFDEQRVANLVQQQIDVKPILDDKNAMEALVRMVVQAVKENGAAANGNTNSPEEILKRKRQMNNEAAARYRKRQREEKEKQHTELDTLEQRNLDLKRTVDDMEREIAVLKKYVLEQSRQTTVAKVEEEQV >PPA17144 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:211110:214827:-1 gene:PPA17144 transcript:PPA17144 gene_biotype:protein_coding transcript_biotype:protein_coding MPECKFGAKCYRKNEDHLRECHPEKISKENADSPKEVPSPQTATEKVPLKRKMNLLMDEEVVKEKKGAKMRRGNEGDKKDDTVAKELTEVFEENETVEDIANAERKSDEDSFDSSRSPSKCPPSPSRYPHRSPFSPQPQSLYTIWRKALLFEPDNPRDAFSEVDGMRLVGYFDYLAGDLRDATDDEIRLHARYATDLPEMQTIMITQTGRYALWRDDPKSATVMVVFVKHGDDHFTKITMVGDKPIHAIIHAAGEDAENVLKKFYPPSEFQYATIGRVKHDAKTAITKRTKISLGRPVHSMRLWVHVDANSVGYRSLGEDLNKLKKTMGLIGETTDEVVRKKKMAELREIGTNVQLAMDECDFGTGLEWGHDLFIANFPQLDAMAKRCLIMAYQLLMYDNDNVEIVMNSLQSSKRRWKKE >PPA17312 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:1018053:1020593:1 gene:PPA17312 transcript:PPA17312 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-inx-7 MCTSRKMFAFRVLHTVPYSNEPAANDVIAKLHSFITANVLIGAALVISFRQFGGKPIDCMVPTDFTPSWTQYAETYCFSQETYWVPFTSVVAGLTSADKAEKKACLRMRIGEILRLSSSDTNGVPEVKKSNVEALGVHLNGALRFHKILNHRRLIPHKILRFLNVKYSTYYVTLIYFIAKIAFLLNIGVQIKMLEKYVLPHSDEEHLAIKTWNMLVQGNETWKENGMFPRVTLCDFETRDMGNVQTHTIQCLLLMNVFTEKIFLILWTWFMTLAAITALNIVSWSWSMMSETSQLHFIVSHLDMSDVTIDKNKMDQAEKVTRFLNLYLGSDGMLVLFLVSQHSDVVFTSELVAHLWKSFTDVEQQRIALKKMNKFVELQQKIASSSNQDANDAAIEMTPRMKRAMSLEKIENGESRKRFDDSSSDESKTSNVSKRKKSKNDSEVNSRNHTPRPSQVGFF >PPA17169 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:347615:348107:1 gene:PPA17169 transcript:PPA17169 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEICGVAIMRAGETMENSLRSVVKDCKMGKILIQTNDKEDEEGMDKG >PPA17115 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:54903:56594:-1 gene:PPA17115 transcript:PPA17115 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLLFVSLLVVASEARRCYSCTSAADCASVGVGRVQECDSRTQCYTVSINGRAQLKGCTLECAQVERHSELHACHTCSGDLCNNSPSVVGHNNQDNNNNNNNIGSGASVGGGTGIGVGASPHNNNGNSGIGNGASIGGHGSGGIGSGASVGGNTGIGGGVAPSGSNRRHYKRTIGHGAGYDSSIGGGAAPMGGGAYPSGGWNSGSGGIGGGAMPEIVEVNGMMIRGTAISKVTTLMLRMLAHTMHFEHAPSAQQIAPRYRISLQDTMESEVELVRTTLRLSPLLWLLWSLLSLSSYSEDNRNSQSTVSLKHSR >PPA17185 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:405137:405807:1 gene:PPA17185 transcript:PPA17185 gene_biotype:protein_coding transcript_biotype:protein_coding MINLYSKAESEQYSMEMCHSLRPTGAEVRISGDYIHKTCMIGLAVCFVAFALGMSGCITNVLLYVELNKTQKEEYDHQNRLILERKERRLERVRSIIQLHERREADAANEGIKTAQEPADPPGNHTNVDKTQPKSETAKSKHRMIF >PPA17299 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:972335:973798:-1 gene:PPA17299 transcript:PPA17299 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQNWKTLLLTACLILFTTISTFDAFTLDGVVPLLQKHFDLNNAHTAWMKTISSTAATLVLLFMGIAGDRVGRSRISMDNRALRVRFIRKGAVLDKGLGRAIMINYLMEIIGKFVESPYRRNIFLVNNRFIFTSLVVSILAYCCHRRIFYAIISKELGYSTYEKRNLLDALKLFRVYAYHVVFFWMATFVLSTWNYLPEVFYGLPYPV >PPA17217 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:585427:585693:-1 gene:PPA17217 transcript:PPA17217 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVTGGAASKLSKIRVVRKNIARSDRDQTDGQRAVLCVALSPSTRLPSRARSLSIAGANYAPLSL >PPA17176 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:375497:377699:1 gene:PPA17176 transcript:PPA17176 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVCYGRQLSAIDIELRLQRDEMMEMNEMHDAMERDADGMDEEINGMIELDALSEEVKEDDYETIDNKKIEVNGGTEMMRSEEQGGKIDECVIVLMKKFLNDPLNTVHSVRPEFRRYLRLLHEIYTGEEEPEHKLEHGKVASIYTLTSPLVFPVWRKISINFPEGASDVMEWVGTNPNACYGLVQWKNLGIAKKKVVSGDEILLQPEMYEIYIKLSMNQFYAADSPIQSKSRPEKHPLRQELSYG >PPA17260 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:783002:784727:-1 gene:PPA17260 transcript:PPA17260 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pisy-1 MVDSALSSTPVWLFWPNIIGYARIVLALISMWYFPSAPVTAMFCYALSAVLDAFDGWAARTYNQSSRFGAMLDQLTDRCALLSLVMTLCVLYPRWQFFLQLSAVLDIASHWLHLHATDLSGKTTHKQSSNPVLHLYYTSRPFLGFMCAGNEAFYLFLYITHFYPGPSLLGISLMAFLTFLAFPIAAVKSAISVIHLGTAAADVVEIDERSRNQRKQVQNLVVHLRSK >PPA17213 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:526759:527254:-1 gene:PPA17213 transcript:PPA17213 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPPSTSTASGGRTVASTVDINIESVTGQRWVERMLLAESVYDLKERIWRRTKLPPMKQALSGRI >PPA17293 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:942346:943404:1 gene:PPA17293 transcript:PPA17293 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEDRTLLLACIGGSILTFNAFRILKTFLDSQRTEWIPIGTVKHLWLFPIKSCKRKEVFSVHCGPLGVSHGEGRDRELLVVNGKTGLFLTSRQHPKMILIESDIADSALTVSTPDGRSTTVSMKEVIAARNVTRATLFDRLQADGLDCGDAMGELLSSYLQEPDIRLIYYRLDLFNGRLCKTEQEWWNNPVPKRSDTITCADFAPYLITTEGSLNALNEQLERPVT >PPA17162 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:301880:304634:-1 gene:PPA17162 transcript:PPA17162 gene_biotype:protein_coding transcript_biotype:protein_coding MKTWWFLLSLIVLLAAQHSLAEDDIGEISDDGFDPPTLMNTSGGEGKSTTKVAVNKEEDGKKKEGKATGSVEKGEKTDGKIVDGKKAVIPSESKDDADKGMIKAPKATALAKSAEKETTKTEKKPVLPKSEEKDEKETPIGEKIVMVNSSDKDKKEKEAKEDALKTNVIPSKEKSTKVTEEDKKFELSTVSAGKNGSAEKTEEKQVNVKKPVEDKETTQREMTTVVTTVNVPMMEDSAEKKGEMVDGEGEGTSDEQADLEGEQVTGEKEEKKKEEKKEETIEGRKQEERPYPKQPINVEYSSDSHFFSFFIFFLVLSAVGYLAYHNKRKIMALLIEGRSSSSKGGRVRYHRLANDDNFERNVIY >PPA17137 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:173334:175228:-1 gene:PPA17137 transcript:PPA17137 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYLLHQLSFLQQTRSLPAFLLHQVPSILQQRLLLLQMLQLHRRPPIPAGWRAIAVRVREIETERGVLATGFPFNVTPQEIKAFFSPLEVVSIHRSIAGAHLTGDVHITFSTTNVANQAIRKDGRRMNNRTIFVHGPHNFPVASRRHRFVRI >PPA17147 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:233078:233647:1 gene:PPA17147 transcript:PPA17147 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPRPIRRSLDSTLSRLSQIGFTEDKTRIIIIFISFFFSIDLILFSFQSIEEQGIHSIRSTRPHPTAPTVP >PPA17218 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:587113:588660:-1 gene:PPA17218 transcript:PPA17218 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAMTSSQQTDTDRLPVSGETVRGHNNDYALGDVLGDGGYGTVFSCTILGRHLAVKVEKYSKSMLFVEASVLKAANQRRLKHFCTMVDGGSLNKEYVFIVMTLLGKDLHRLRAEQVDRKFSLSTGLRIAIQTLEAIKELHEALFLSRDIKPGNFAPGHRLNSQHKTIFMFDFGLARRYVDKNNNLHAPRGEVGWRGTTRYGSLVAHSRQDLSRRDDVESWYYLLVEVTKGCLPWRLVTDRTAVQQAKMRARKEERSSFLSGCPPCFDEILSDIDSLNFYDTPRYDQYIQSLEKDTVVDRYGILSIAEYEWIVLRCAMRKGFE >PPA17271 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:836786:842703:-1 gene:PPA17271 transcript:PPA17271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lst-4 MFPLALPLNRRTTEESSLGVNRQIGGEGRTVSTVSSSNAANSAATAGKLKGNMNIFSNFVKSGMEAYVLSADGMKGQPGERHDIVISDGLIRWRGDSKNYVCTVDKPKKETKMKGLKSFIAYSITSSLSGIQVSRRYKHFDWLHEILTAKYITIALPPLPEKQVSGRYEDDLIDHRMHILQLWVEKICRHPVLSKSDVWLHFLTCTDEKQWKIGKRKAEKDEFIGGSFLNCVHSPDAPLNPNDVERQVEMFNRSIRQMEEGSRVLTERMNTYQRLLGGPVKQNWQKLAAAFSALGHSFKDDGAGPASMRMADALQTTAHHYHVIGDDFENHAKNDVERVLERVYSYRGTISRAPDICNIHKQAITKWRNTENAVVEGKIGEAQAVKVKQRVDNTSYVILAEVNHLNSEKTEDFKQFIGAYLRQQQKFYQQMANTMGDLAKQFE >PPA17317 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:1042420:1043425:-1 gene:PPA17317 transcript:PPA17317 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTFLFKAVADYVKFLEFVKPFCGFVPEVSKPERKIQFREKMLWTAITLFIFLVCCQIPLFGPAATPAVDSTANSLDLISP >PPA17257 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:760233:761530:1 gene:PPA17257 transcript:PPA17257 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDICTHAAELSKSDALKIVQSTKCFICVAGTLASIAVLWKGGLSWLGFRPLTRSIFLGHVSSSFVCSLLFAFCYAYDVNRLSQKYDNPCDYTVEMQFAFLTRIFPVFGLFGSIYFMVYLAIERSLATLCPAAFNRLSLGKCFTFFTINFVNLRPSEQTFTDSYLNSCPSGLSSSTSQMGQKTCRFQYNNG >PPA17106 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:22425:23051:1 gene:PPA17106 transcript:PPA17106 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDAALAAILHAESNPLPEKYLPDTEAIVWTSEALEQTIKDVCGDESVVEVDGSVMKIEIDGRQATVCGYEYSRSRIGRSSSLSSSHFAHYQNCCIRY >PPA17254 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:752119:752514:1 gene:PPA17254 transcript:PPA17254 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTRPDTGGRFQLWGTEDEYTNIDPVLKIYHNCANKWPCKRVVKLAIPDAYVAQGDKVEKWYNIGTVNLETKFASEGRDCFL >PPA17261 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:785896:787122:-1 gene:PPA17261 transcript:PPA17261 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTQRSQRSVKLTLLFPSLMGILDELVRGMGIDPFWHFELRGISPIPTPLLSYSPMDSFPSSVGSPALFAVDAHSPGAVLTAHLIEQIGHLEAQYVQQLSTKMATSNAMAAALSSVSHGSPSHQSTTLSCSPPSAVISATTPPLTYTWDESVQNCLSNCNIFLDTVPKQL >PPA17209 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:508930:509597:-1 gene:PPA17209 transcript:PPA17209 gene_biotype:protein_coding transcript_biotype:protein_coding MRPITLAELESRGLNINGKIVYTLPRGTKYSRYRILSYRNPFYRILFSKARPPLPPLASADSSSTSRSWIPSTSGSDASVAALVNESKVVCPSKTTNTKRRLRYTTQIQKPNVKTGDLQRLPDGTIVEKIALDKERVRVQ >PPA17267 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:814040:815180:-1 gene:PPA17267 transcript:PPA17267 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGGGVTGRGSSSTSGFAPVTPSGGSSLLGATTPHDQQQQLQLLMLLQHNIAAQQQAAAAAAAAAAAEPLSVLSSRGYKRKLSSIDGLPPSLEGTPLDDGSPCFWSSTGGGLLTPNGGQTRRNKTFSSEMSDLFVHEVINRKETLVENNTNREVDFNRQKKHAWQENDSEKWGYAVRPNEQI >PPA17151 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:241305:244217:1 gene:PPA17151 transcript:PPA17151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pnc-2 MAMLSSSFYKKKKLNSALSALNGFKEFSVALGMGAEGAEQLAKEIRGLVGPSVDDLRIRRLVVRATQIHDSLESIQRFRGELRAFFSQLKIALVVVDFQNDFVSGSLSIKFSRDLDETLMIGIRPNHISFYEHCRNGDRRLSEEDKSRKLKPFDAVRFADPGCAQVRTIRTSLENLLREKGVDAVIGCGLAYDICVAATLKDSADLGFFTSVVADASKGLDNDRISETNNEFKEKKIAVLSTGEAISALRKDIMPIEWIEKRVTELLQD >PPA17263 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:793863:794956:-1 gene:PPA17263 transcript:PPA17263 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLLATETTSTMMMDDAPRCVACNSTERVSIHYNAMSCHGCKAFFRRTVFERRKYCCSAEGRCEITDENRNQCRACRFQKCVKGGMNPKHVREERAKRRLGDSEHEYGDPPKIGEWAERLIIGFPVPHVFGLRVH >PPA17153 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:247695:249526:-1 gene:PPA17153 transcript:PPA17153 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKTQHKNDNSAEGDVKVGDTEMKMEEGEEGVVPPLVIKKPPVKPKRKYKKRKVDDEEHEENKHISKSIQQRFGKNKNTQELAVIKHTKNQTVKIVNPDAHDNMIERAEELKINKMYQVELRSDALPTAWKCALCHQRTCRDSLGDLFGPYYVQAEEKHWPEFLTKKPAKMARSSSSLIDIWMHGSCALWAPDVHMAANQLENLEEKLNIFWGQSCFVCHQSGASIPVDGKYLHFPCAMKHPGVVLDQSTFTCKTIPAESSR >PPA17101 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:5847:6307:1 gene:PPA17101 transcript:PPA17101 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYGTRRSRLIVCAIFIACLVAVNVMLSKIRRVIHLTELEKSSRLHATLTSAVYYPSTVSGKDHPLVYFLLHSDLSVLPADLACRSANGTTTIHSSMM >PPA17214 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:528326:541286:-1 gene:PPA17214 transcript:PPA17214 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPASAITSLISPFFHNVLSDLTTSILTGDDVTFAAELASNHDVNKVDHSGCAPLHYACFTGNTNYIDQLLDYGAHPDTKDKDRWTPLHRAVQKDNFEAVDRLLSAGANQWSSCKIQQTPLHVAATHNAVQSATLLLSLSPEHLNKTDKQGSPALHHAAYYNNESFVKLLLTHNADFTLRDKEGRNAAHWAAIGGHDRIMMMLGERGADLCARDKRGRTPLHYAAFTGKTVAIDCLLRHTEQPIDARDNDGFTPLHYATHTGNIRAIRILVDNGASIDGEAADGTSVAHIAAAHTESSHALDYYLSLFPSSSKSAAVRKAMNARRTGGFTPLHLACDQGRISRVDSLIRNGVDPNAKADGDIQPIHVAARAGHQLVIKHLLKDYNVDVNAQLRDGSTALHLSAYHSYVSIVKTLLECKADVHIVDKKQRTALHLAAVSTIEHNEFCVEMLLVAGAEPSPHDAYGMTPLHYAASKSSIHVVEKLLRANASTEALDGKRRTTLHYAVWRAKGCTPVVQALCRSNASLIQKKDVNGLFPIHYAASRGNSSLVQYLFDGMGTLDLSSGSPLHLTPLHVAAAFDRLSVVRVLVNALTSQASMVEQGTCVLADKVGLDTDTKMRIAMHYAMERGFLECAKVLASASKHTIKKQLGWCDHQGQSPIHLAAANRQSHCIQWAMSVTDVLYAKDKMRRTPAMLAITARLDTATLNLLIEKTKTPENQKDAAGRGFLHRAVFVKNRSLVRSLIDGGCDPNEADKAGVTPLHVAAAAGDREIVQLLVKAGARPHRRDGEGRLPADWAAAYGELETLETLAPGRAPTAPPLSPSSVRKMNRSRGEEMMEEEEEEEEEGEGEGDRTGGGDEMADQSTSTAGELNESREEVGDEERPKEEGGEREGEGEEEKMDLDNNGSSVDASASTITPAAILLAAERGHVECLGHLLSLDPTLVHEVDREGRTPLHLAAFHARFDCVEMLIMEGASIEAVDYLGRTPLMLAVMKPRAIPVVEHLLDHGAEIGKADIDGNTVFHLVCAEKNEDAAKLVVGVLKEVDTPEGRAVIANMKNSKGETALHLITKFGLVSYYFEFVPYAQKSFWMRDNNNRLPLTSPTEDQDVAEVQALLLVEMTELSKDRKSSHG >PPA17104 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:13639:14397:1 gene:PPA17104 transcript:PPA17104 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFLYPLILCELDIPSDIKDIFEHHIDATFHTIRSVNDRLKTGNIDKAEAQRMKELLDIHIQLHENGKLGMLPIQRTVEELYEEPNMKLSIEAHIGTQLIAHDSCASNPRVDSSIAKCMHNVLSHYNGKSEDIRTNMTYVREDEMFTEGCRSNLHLIMDIKGELEKLMKKETNE >PPA17197 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:454250:458764:-1 gene:PPA17197 transcript:PPA17197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-col-99 MRTKNIRIPTLTNSTQRIQRYSRAADCVCPPGPKGDIGPPGPPGGSGGRRRVLNNPSLVDGRTRVHSLRSLKSFGFVYSPDGTAIQLRGLPGPPGLPGPKGGRGYPGFPGPIGLDGPKGLTGPPGARGEPGERGQPGPVGPPGYAAAPKMAMGGGPMRNHGSDYYPPMPGPPGPPGPPGAPGKPGTEGRSGAKGERGLPGFDGESKVPHYTIHCKMNDADDDNGSGRCTAYCSIPRFQVGPKGDNGERGRDGTPGPMGPRGEKGEKCIAPPPPPPTHPPPPTPSTPHPSSLYRPMPGPPGPPGPPGARGENGFGGAPGTPGFPGRDGAPEQISLQLIASFVSNPIVLFQGAKGERGDRGLDGLPGVNGRDASSSQMSSKTNAVPMPGPPGPPGRDGKDGAKGEKGDRGSPGEAGPDGKPGPPGKRGKKGKEGIVGPQAEYTQDAASLENLAKELAPLILERISAGPPGPVGPPGPSGLKGSPGIQGLPGHQGDKGDRGAMGPPGLPGQPGSLDLDGEMGGGRPGPPGPSGPKGDSGLPGQPGSIGLPGPPGPMGMRGAPGAEGKKGKEGVGGPKGDIGVTGPSGPTGPQGPPGERGDRGPAGTPGEKGEQGIPGLDAPCPTGPDGLPLPYCSWKPHDSNVKVDYGPKSLESSIVPFERDGPTTAMDNDANFVQDVLADL >PPA17174 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:366247:367748:-1 gene:PPA17174 transcript:PPA17174 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRRLIIQLLLLLFAHSSSQHEFVSKGGPIQNVIVRDDKIFIGATNNIYELKTADLSLLSSTSTGPVLDSPFCSLDGGNCLRGHSRVQTDNTNKLLALLPSGLLECGSVRQGVCSLRDPSDVSRIIQTFPVPVAPNAPNASTSWLSLPSGLVVSSSHTVDSPYREVPTISYRTIEDLQVVNAGSLEGESAVFVRAEFRPLFPQTFVSTFQYENFVYVAVVQPSQARR >PPA17233 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:647163:652818:1 gene:PPA17233 transcript:PPA17233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gcy-23 MKNGDKILEMSRKELWKDGYLGEDFDVEILNQMGCGDSFEGVAVGADMYHVQKVRAFIGPYCNTELDAVAKMATFWNIPVIGYIASSNQFADKNIYKTLARVSTRTTNSLAEATAALLRHFRWERVGIVTNTGALAFERTTAFEEVFHTRGVTVVKKVMFDEGADAQSMINSGLLADIRNNARVIICIFSATRDSSKEFMKAAYMTQMNTHDFVYILPWLQTEAKDTSPWIGPDGQLLQNTKDHYANSIIIDDVNGFDNTLVNPFREKVEGNGLALSELDLSNIYGYIHLYDALRLYVIAVRKGMNETGKEDFALDGRAVWNKMRRITFPGLVSLEGISSGTVRMDDLAERAPIYAAFFINPNRDTVMKMTEMEPVMRGSECDGLKQKTACYDLKITDLISGFWPSADGSMPPDEPACGFRNERCDYTLFILVGALLLFLILGFVVGYVLFRICQDRALAKTPWRINGTDFRVINEDEMKSMLSIGSTRTRLSNMSMFVKHHAVVGTNTHASFHVYPQRRPITFARSDLQLLTNMKTAVHDNLNPFLGICFNEKEDLYILWKFCSRGTLQDIIYNKEMVLDSKFHGAFVRDISLGLEYLHSSVIGYHGSLSPWSCLIDRNWMIKLSDYGIANALERWEKAMAISTETLKEGDDMSAATQKTSILYNAPELLKNRESNRLRTSEQAWMKQSQARRQSGDIYSFGMVMYEILFRSLPFPSHTDVAELIEYLRDGSKVLRPTIRRVRLNTENYLKVTGSLVDQMMRMMEQYANNLEKLVQERTGMLEEANIRADRLLSQLLPKTQLRYVANELKMGRTVPPKTFRSATIMFSDIVGFTTICSGSTPLEVVNMLNCIYSGFDDVINKFEAYKVETIGDAYMVVSGIPEENGTKHIQSIGNTALGIMEVNCLATTIIISLLLQFLKTMEIPHKRRERIRIRLGIHTGAVATGVVGLIAPRYCLFGDTVHVASRMESSSQPEMIQMSEPAHDLMRANYSEFNTTLRGTVEVKGLGKMTTYWLDGVNQPPRSHAENSNHDAA >PPA17157 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:278053:280344:1 gene:PPA17157 transcript:PPA17157 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSIISTLAPVSRGSTLIARRGFVNQFFDDTDNLGVTSELRPKKRPGRSWTSDELRLKSNTDLHKLWFVCLKERNMLLTVKKAYIAQGKYMPNPERIDRVDETMEAIKEVVDERDEAVLRLETGDGARPPSRTVTSFMGFTYKQEATEHLSKDGKKEYEVPMLDDDAYMMQKLWAEKEHMKKLERHDEEIRKELQTDDMRRFKRGGPRTFNKQIPYKISMFVSTLEKPIRMADGDANIEHFDEEKNELNEQDLIDMKNLSVRGSHSVVSLADSSSNFGEHVGDEIQMEDIEEVNVQQVEEEIDQM >PPA17236 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:660166:661632:1 gene:PPA17236 transcript:PPA17236 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-praf-3 MSGPTSSSSSSAVPDLGTFSIANGVELPQIRPLDDFLVDKARYEAPPFNDLARWNNRIISNLLYYQTNYITFFVALFVISSFFNARDLVLGLTAVVVGGVVGYGTLSPNSMFVNTRRDHPLVCFGAVAVTLYYVFYMFSSVMVLLFSLLLPLFFVLIHASTRLRNFRAKFNQQAERLGLKQTVMGRILDAIGCDVKA >PPA17226 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:616503:616845:-1 gene:PPA17226 transcript:PPA17226 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNYNLLVSNSSPWALFKLLVRWKGSIWKAVWLEYLVWLICFFIVSAIYRFALPDEQKKSIASDKEKRKQCSALNKNNHFNS >PPA17276 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:874337:877178:1 gene:PPA17276 transcript:PPA17276 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVERARIEHARDRLTRRGARPPFFDPPPHVEASMPEEFARFCGENVLIFNTVERAPRRVKDINEAISQAKSSSIDRPMSSSTVTLDLGGSSLMTSSSNRPPSRSHLRTPSAGRSRSKNCSARNALPSAPVPSDRSTVGGPIKVSPLGLGPARPTPASVVVYPTARGLQQYHHYRSSNLSYSGYSSTVTRDLLGIPVFTASSTPSTSSSDEASEGICADYGGSSSQGDEKESIEDEEEDTIYETLKWRGRVTAAVGAPVDATPTTRLTRTSVLPWRAASAPRHLSPSPAPPLLRHRSSMASASPLSSSGVFSASSSSSNLSTRFYLGSVPHSRPLMSSSMTSSPRRTPSRIPRPSVGAPHLARQMSASYGGRSASLEVTSSSGVLDGSRECLAEDDDDEEEEGGVRRRHGCLLLRASIRQASLDVLERATQKRTPRGPRAVDERCDATPRLRSLPPPSLTERRILTRRTQQPPPGYTADVPLAMLARSMRGEQNSHCVNECDKWEIAESFVARVRTPSPEPQMKKRKRKDPRAEQQLSLYRMTSTTCRDCRHEARLARRAVRGVAGSHRQTHTGRVQKPLVVARSRCICERITVASMPIDRSTVSKAEFAYYYNLAVLFAALAFLARCLITVVELSY >PPA17243 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:692610:694112:-1 gene:PPA17243 transcript:PPA17243 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDANGTPRSSGMDRSIRPSSLSPSSSSSSIPKDRSIIGRIKDCFPPLNGKTLLTHYMPASGAVSHALFQNHIFSPNIIHRLFPVGELAVSNVILFNANLGLGFWVYFRPHLSRADRWTRVEFAVFASTIFNFGSLLASVLIKALLPTKTATPIKSLLATSLSFLLLSRAYKYSKFVDKRRVAYSKSPSPKLSNGHTTSINGDSH >PPA17140 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:192265:197764:-1 gene:PPA17140 transcript:PPA17140 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGDGKHIKTKVKRKETATSSFTNFFQRFSRSSAEIKTSDDHNRLERGDQPLLEEEETVFIERPDTLQIPDEEQWFESRTSFDAKPLTPHPDGEKLESNEEMQRALEVLLEDFTSGNMGGLSDVQIAQLDATRRAHEMITDTHRNLANEAWEDTVGEKEETVLRESFNRMFCLFLSMSERVHPPSPTALPILETVSGPAPPDNHIPHVDAGPSNDVDVGAVCAPPLSVASSSGPSPSSSQQPSPSPSGVKYENTRELKQLRDKIEAFGSKEKFAEQSDLLRNSENILVKYSQLLEELLINLDPKHSTVAIVYVLAVMFEGASGKKMEREMIKAVEILKTFVESKVLVRDQLLHVVDVYTILFRKVTKFLIHKNEIVNGVPFLKAGINVIVDEGDQTVTTLHGCLFTLCLKAQLIEEGLLYLYPYIKGILPEHNPSSGAPSTSDSRSLLTYLYYGALLAARAQQWQRSTSLLKAACVIPGYAVSEIQIEALKKLHIISYIACGSISMPNRSPCLSKAFKQQGSVYAHMAAELEGGTLSEWDDDIRTYGRHDNEPLSTAQIANRANIVKKYLNSNLKAMEKDQNVELLVILQHEFKLRTVLKIAEMYKVASIARITEMAKLESMEETNELLSVLQKDNRLIVVDEGEGFVSLALPPSIHNIPDITAAQKRLEAINEDVKRLDLQAKKTPWYIQRTVRKQDWSS >PPA17167 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:343829:344467:1 gene:PPA17167 transcript:PPA17167 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNGSAGDGEVKKGVKIEEVPSYYNSSGSSVNELVENDQRSPSPPAARRRLRTLSGSKGDNQIMTTKTGRRIYTKGRPPWYDRGGQTMKKPFVIGICGGSASGKTTNLSHDGPGFTMNFR >PPA17224 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:610401:612232:1 gene:PPA17224 transcript:PPA17224 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTIFITLAAIISVSLAIRCYSSQNTVPGPSSQMVIVDCPSASFCFKSYIERNVRGDNSYTETRTCGQVGTCFETGCKGNGDNRQCCCAGNLCNSAEGAMGKYPRGKKI >PPA17130 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:138235:139539:-1 gene:PPA17130 transcript:PPA17130 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPARQSVEVISVSQPSRPVEKLYRPTVHRVDVVPQQIAVEPATIAPTYTAPSYEPRVVESTSEFSGVEPTAPPTLQYPIEQQGYNSVPEPTVAPYEPAAPAPYNPEPVPTSNAYESFKRFAGFDQLRASGLARRA >PPA17194 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:445486:445705:1 gene:PPA17194 transcript:PPA17194 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDLNNETDTVVEDLNAFAMPPEPITSDYLEMGMLVFLFALGAPLNLAAYTQVL >PPA17103 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:11544:12317:-1 gene:PPA17103 transcript:PPA17103 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFLDVTVLTLFAATVFITLCSKKKADQNKPNVDGGTQPLPQETSSKKGESAKEEKKEEPKIIQDSPVATSNTPKKGASPAVPPLPAPVEKEKKEEKKEEKKEEEKKDEKKEESKDKKEDKPSKPRDGKKEEKKDEEKKDGKDDVMEKSARSKSQKEKSEKSNKEKKDKILKEEEKDDGGYEQCADMSPEELKKVNL >PPA17126 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:107691:111515:-1 gene:PPA17126 transcript:PPA17126 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mys-1 MGANHKSRVVLSMSAASALVDKLEGYLTFHDSTPEDPKREETADLMSDVLNFESRRYYLDLKENSRGRFLRLAQTSPLPRPTRSQVAIPIVGLKEVKECIADFISKFGEGFMDSQNDLMDSMTLKTELGKTFYFDANHNDRGSFLRVSEVKASSGFRTSITIPSSSLSEFRKVLNEVIEKLSVEKTDDKVTALDQIHEGYDAEVISVRVNPEGTYAFYVHYLECNRRLDEWVAVEDVDVQTLRMPVKGQKGAVVPARLQKCEDAISTREESPASSTGSPDRELSKKMGAIARKRKATIDPMENLKSDESMPPTAETSAPRTSGSMCKVGHSEDALTRIRNIELIELGRHRIQPWYFSPYPQQLTKLPVIYICEFCLKYLKSATCLKRHMTKCHLKHPPGNEIYRKDNISFFEIDGRKNKSYAQNLCLLAKLFLDHKTLYYDTDPFLFYVMTERDDRGFHIVGFFSKEKESAEEYNVACILVLPSYQKRGYGRLLIEFSYELSKKENKTGSPEKPLSDLGLLSYRSFWASAIIEQLMHLKASFPSGDENAISIAQLSASTSIRKEDITSTLQQLNLSKYYKGQYVIVISDDLKEMYEKSCKKMRIRIDPSKLKWTGKDWSRRKV >PPA17319 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:1049202:1050149:1 gene:PPA17319 transcript:PPA17319 gene_biotype:protein_coding transcript_biotype:protein_coding MDDWVLVLIVFVSLVAGLIALVVLFFIFQRCCCPTIDADIELENRPPISPIYIIDSGCPPPRHHHPLAAAALVHHHRRHRRMRILGLRQKDVISEMPI >PPA17110 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:41320:43049:1 gene:PPA17110 transcript:PPA17110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cal-3 MSFTAYIQDASRISVWDLEDFYDAFVQFDKDGSGTISTKELGHLIRALGENPTEQQLQEITQEADVDGSGEMEFVEFCVIMKRLMKDSDSDIIRQAFRTFDRDGNGVISTEEFKYSMRNMGVHMSETEVDEMMKTMDRTVKSTMKNSFE >PPA17311 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:1014481:1017141:1 gene:PPA17311 transcript:PPA17311 gene_biotype:protein_coding transcript_biotype:protein_coding MKRATRIPVKNEAKRGKSEEDDEFNEKEENNAPVKNGEAKDLFGRPMLSSGTWEQPNHELMIFTPHGLEHRAKVAAFDMDGTLITTKSGKVFPVDTTDWKFWSDRVAAKLRNLHEKEDTKLVIFTNQKGLMTKKVDKGAFKKKIEAIVKSAKVPIQVFISIGDARCRKPMTGMWEHFTKEGNGEVEIDKEASVFVGDAAGRHKTKDRERKDHSCADRLFALNIGLRFETPEQFFEGKKTDEVWGPVPFCPHDYAKTERSLLEPKDAPLPSIEKEIIVMVGFPGSGKSSFSKRLERDHGYVVVNRDTLSTWQKCVAAARDALREGKSVVVDNTNPDKESRKRYLALAAEMGKVPVRCFEMNTTMHHAQHNVKYRMLYRSGPDVSSMVLRMHSSKFEAPSLSEGFQSIVRVNFIPEFESEDEKKSYFQYLVE >PPA17252 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:745968:748743:1 gene:PPA17252 transcript:PPA17252 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFEEAFRQSQANSDGSAPKDSFAFPNVEAAVAARKRDTRFDGCKEIKRQLFFPGPLTRKYTEQEVQQLRASMKDLQVYPADDENPCEIPAPFVSFEEAFHDKPALLAELAKAGLKTPSPVQCQVWPTLLNGIDTVGVSQTGSGKTLAFLLPAFLHLDEQLKYCRLLYGPHEKQPCPSVLVLTPTRELAQQIKREVDKYSYNGYKSVCLYGGGSRVNQVNECTDGVHIIIATPGRLGDLACEGVVQLSTVSYAVLDEADRMLDMGFEPSIKKIMFDIRPDRLVCLTSATWPPGVRALARRYTKSAAMCVVGSLDLTACNTVTQYVESVDGPEEKKRRLIEIVHYLNNAHQKNYKMIVFVSAKVMADDLSSDLCRAGINSQAMHGSRSQQDREYVLKCFVAGEVRILIATDLASRGIDVPDVTHVVNFDFPGEIEEYVHRIGRTGRAGRSGEAMSFMSRRDWDRAQKLIDIMSKNPNNNSWDASCARVAGGDGG >PPA17135 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:168761:169502:1 gene:PPA17135 transcript:PPA17135 gene_biotype:protein_coding transcript_biotype:protein_coding METFNMIFLIYGIPSCVLYFLVIATVISMRKNFSSSFNTIFLMTAVVNLGTWFNTWLTLRLTVEPFFFWYYYWVMTPEIEWFRNIQQFLVSYFYFAQNASRQWELIRRRSNIHAYKTGISNMSGDIGLFWESRD >PPA17282 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:896685:897002:1 gene:PPA17282 transcript:PPA17282 gene_biotype:protein_coding transcript_biotype:protein_coding MERYCPETDTWEDLEPMSELLNDSSYPFIFAVAIPATFPTPNVEMPFRFAV >PPA17184 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:389012:392403:-1 gene:PPA17184 transcript:PPA17184 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-aptf-1 MASRSLLEAISDSLMAKAEGEGGGGGSRSPAPKKRPTSPPEGDTPCKKQQLAAGLLPETAAPIFDISMFGAALHQKESTNRSHHEYTVDPSETESRASSKSPLEAVLDSLGLTKPLAININGPPSSSSHPHLLLPSSSSGPSTALTTSSSMMTHDDSPSDSESDDAIQGGPISSSLALPSSSSISSSTAVSAEDVMLPAGVLAGTVRAADSDIFCTVPGRLSLLSQASKYSVTVGEIRRRLGNPESLNASLLGGILRRAKSKNGGKMLRDAIQAVGMELPVGRRKSIKISLLTSLVEGEAQQLGRDFASLCSTEFPAIEMATAAVKKVQQQQKSEEEAAIRKRLEQIDATREMAREFLQFANALNVKNEQLSAGEMSPLEDGLQHFEMATHGFGVQAVVTGLHTFCRFLDLQRAHLSSTVPAAEGAESAAAVPSSAFTQVASCCSGRTTDDAPPPPQPQSLLTLSAPLSQMKHEEEEEDEEGTVAPPTSLPAPIPIRPKMEMQQEQHVRVLYSPINSYSLSFNSSREAKHSTEPSTSNPVECHGFLPVIENDQ >PPA17274 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:859904:866930:1 gene:PPA17274 transcript:PPA17274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-klp-11 MEASGASSNGSSLMRASRNDETVKVIVRCRPLSQQEIGQGHDRIVKMATDRGLIELRNPKGSNDEPTKDFTFDAIYDENSRQIDLYEETFRELVDSVLNGFNGTIFAYGQTGTGKSYTMEGSAEVAEERGVLPNSFEHIFAHIAASRNQQYLVRASYLEIYQEELRDLLVEDAKQKLELKERPDTGIYVPNLKSTVCKSIVEIRRLMAEGNKNRMTAFTNMNERSSRSHAIFIITIECSEMGADGQNHIRVGRLNLVDLAGSERQAKTGATGDRLKEATKINLSLSALGNVISALVDGRSSHIPYRDSKLTRLLQDSLGGNSKTVMVACIGPASYNFEETLSTLRYANRAKNIKNRPKINEDPKDALLREFQEEINRLKAMLETKKKGGGRPMTSSGRASSAASSGTGDDDWDGAQRRMEREREAIENDANLIESEKSRLLSSLQSRVDALDQEKRAQQVVAERLKKIQESMLGGDEEALLGRTRAQNEELEQRRKMIAEQKKREREMQEQLEQREESMNEIHSTVTNLKQELEGRQRRLKKMYIKLQKARMGLEDARISSSSERQETENSVTELTKELKLK >PPA17129 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:135998:137172:-1 gene:PPA17129 transcript:PPA17129 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFNLLLPLLPLCITVHAATEEYTETFVLARHGASSASRGLQAVDSPGPILETGNYDVVSSKLLKGADITQLRRAPAIRPSTDFAPSHRLPTLRSEQLLQLRHQQHLQQPQQPLYRYDGPLDGRRVWIYKKILRPVRITSHGMERLPGAQVIDQWAEGGFGNQFDTPMRTPVYTPMHNGLFAQTGGQFAHAH >PPA17280 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:886800:887856:1 gene:PPA17280 transcript:PPA17280 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVLIVVALVGCAMGAVMQYPVKLGEKLELSLGTNIRVWERNVNGQDQTMRHCGPTEKNIACGKWVDKNGSPVASGAIVNADGTLVIAKVTKGDAGSYSSPDELVRVTKTEDGGFSGVARSQINVIVE >PPA17139 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:186125:191294:-1 gene:PPA17139 transcript:PPA17139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hcf-1 MEEVHEGGDSKVIGPLVRWKKVQNTSGPVPRPRHGHRSVSIKDLMVVFGGGNEGIVDELHVYNTAANQWFVPAVRGDIPPGCAAYGIIAIHAQIYIFGGMIEYGRYSNELYELNSQRWEWKKLRPRPPRQGGTGPHPRLGHSFTVTANKVAYVFGGLSNMSSDPKNNVPTYLNDLYSIDLKINHNQLQWECPTTYGTCPTARESHTCNYIETSRGKQLMIYGGMSGVRLGDVYLLNLDTMTWDNPQIFGIPPLPRSLHTANLIGERLYIFGGWVPMVTDTNTGTMEWKCTNTLAILNVEKLTWEQINLPLVTDGIVTAATADNANGAPTPQLTTVQPGMAVAVPQSGNITEVLPHARAGHSSVVANNRLYVWSGRDGYRKAWNNQVCCRDMWFLETDKPEVPSRVQLVRANVNGLEVSWAAVPTAEAYLLQLHKYEGAKGLTAGGEDTDGRRLSAGGAVLKGTPVGMAGKPIAGVVQRTPGGQLMKLVGRGPNGQSQVVRVVKGAMPSTAGGPSPAKTPAGHSILMGKAVFSTGKGGAGTPNRVVYQVAPQTAATPVSAAVAAATSGPAAAAAASAPTISTQGTTYTTPRGTAGSDDIALPANLLDEMTEAPPAPPSPPKTAQQQSTTADCTATADTAAATEVDQPAVPPVDASETKEETSSEIEKEGETSGVEGGSEEEKKEAKDEEQSSIASTETAIPSESEVKDEDTQSVTTATTASPSVAPPPPPAILSIPPPGTTPITGTDEAQTSAVNQEDEVWFDVGIIKGTTCMVTHYFTSSLNSLENNYTEDGSAANAANTQRKAELEPGTAYKFRVAAINALGKGDWSDTAAFKTCLPGFPGAPSSIKITKSTEGAHLTWEPPISSTGRGRISEYSVYLAVRSSVGPSSSESQLAFMRVFVGADPECIVPHANLSSAYVDTSNKPAIIFRIAARNEKGYGPATQVRWLQENRHHFAPTQTGVNRQMRFPVNASNYHIPPKRMRMD >PPA17302 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:983514:984033:1 gene:PPA17302 transcript:PPA17302 gene_biotype:protein_coding transcript_biotype:protein_coding MCTKYLVAELVTETISAELEPNEVTGEKALVRWLASPINPLDINKLQGAYAFKPPLPAIGGSEAVGRVVKANWLLSN >PPA17114 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:52009:54756:1 gene:PPA17114 transcript:PPA17114 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRSGTKFDIPGVKFDEGLCNEILLKLQKVFPSNVLSFEPAEGFMIHCCNVDCNLTEFHMKCVKMKEWIPMKVKWYCPLCRPRFKDIVNQWNYEFNRRPDFNMSHEFYHGDEKEDVDIETIEDEIVEEKVEKKIDEKRIVMKISKTIKDGKMSCEILTEKKKENKREEKEGILKRKIYDSFEEDDDKENITEVKKSRKSVQFGKKDVKFFEKEDEDYMKNYLSQEEEDKENMKKSEGEEDEDVKKKKAPPSSGNKNQPPSSGGGPASPADPSAPAAPKLPPQAPPPAAAAKPAAVVIKAGENKIADAYDPNYQTLAAVGAGNFGADKAAAPAAPAAAPAAAPPPAAVPAKDLPKAGENKIADSYDPNYQTLAAIGGGDAFGADKKK >PPA17265 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:807931:810215:1 gene:PPA17265 transcript:PPA17265 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCNLQKFNKRTPTSDASASAKPDKSGGKQGRDSSRERSGSKSKKSKRSKSKRRRRKHANQAERDARFYQRVEKFKNKKVEMDCSCPINRCECGEKILRRVGGCMQTAGRACWVVCLPPLPSLILNKKIGESIKKIVIRKADKSSLNKSWLFGFEHRCYKRLDPKRVECFVIETRKNHYIACVMIRTPTAQPRYTILYSHPNGSDLSDHMNGIPSVVEIAKFLDCDIVIFDYSGYGISSGQCNEKSLFADIEGVYQHLITVRKIEPAKIVIYGYSIGTAAAIALVAETQAPVAGINRLGYRVILLAPIASMLRVLLWKRLCFDQPFARRKPCVDKFRSIEKIPLVNVPVLVCHGKDDIVVPIMHGEAIYANAPNKVPPLWIPDASHNNLENTKELWARVRHFLYNDLAPTGTPIASSQPATPLGPSSPVKPPTVIHL >PPA17308 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:1003489:1004057:1 gene:PPA17308 transcript:PPA17308 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGTAQGEVRELLSQYKKVSDVMKKMGSTKGLLNSKTGDFNMKNVNPAKMAQLQQQMAKMMDPRMLQQMGGMGGLQNMMAQMQKGAGGKGGFGL >PPA17145 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:215182:220717:-1 gene:PPA17145 transcript:PPA17145 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEHEIVDGDQVIVEEIVDEGEVYDESQVYGEEELYLDASTLDVDNLPPGEYFQLPDGQLIPASEAQSMPSSSHQSERTVQQMRPQHIGMRRPLQNENSSMPSMNQVIRVDKGNQRTSNLKGAEMKVLPKAEVDDLPIPSSSANTYGGEFCRDCNCKDCHNNLAHESERTRAIKSSLERNPNAFKPKIAVTGSTVKGKAEASERLHMKGCHCKKSNCLKNYCECYEAKVPCTERCKCCGCKNTETDRASKFRDRMGPAALLSLANVASISENRPGTPFSEDESDAEGEEKTDPKTMPWFYLTDEVVEASTLCLVAQAEQMERDNEDVTEDHMERVLMAEFSNCLQSIIQSATDSAAAAKITKANNRLQRAAAPCTPPEIETTTTSGFLIEFVTRHMFSSASSLSAEDRVWMDRAFVLAEQALQADEVPVGCVFVYEGMEIGSGRNRILPSTSLYVTLEPCIMCAAALYNIGVGRIVYGADNERFGGMRSVGNAQRYRAKPETVEVVAGVDCDRSIRLLKAFYDKQNPFAPDDKRKSSGKGGNRETRDTLYYVLSIGVIAIGVTFAAIPAYRIFCEQTSFGGLTQVAKDFEKIANMEKVKDRLIRVQFNSDVPSSMRWEFRPQQHEIYVHPGETALAFYTAKNPTDKPIVGISSYNLTPFQAAYYFNKIQCFCFEEQILNPGEQVDLPVFFYIDPDYANDPSLEYLDNILLSYTFFEAKNNLVLPSPFDPKNRPNEKALEKMKEPIKKEGKK >PPA17121 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:87502:87759:1 gene:PPA17121 transcript:PPA17121 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNRKKIMAKDLAVDHVSDEKLSINISGRKFETWKNTLEKFPETLLGSNEKSIMKRNYARVTF >PPA17177 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:377858:378323:-1 gene:PPA17177 transcript:PPA17177 gene_biotype:protein_coding transcript_biotype:protein_coding MRERPGATAGTLLFDQVADKLNEWFVSAIVTASRIRFVMLHTAPPSLPFPSVRLGQ >PPA17188 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:417986:418671:1 gene:PPA17188 transcript:PPA17188 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKEDDKAAMKQKKVPLSTLFRYTTTCERFMLFCALLVSMITGAANPFMSILQGKISQSFINEQIFIGNDID >PPA17273 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:850496:850880:-1 gene:PPA17273 transcript:PPA17273 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQVQAEFDFEAQPGTSELNLTAGEILTVLQDNVEGGWVEGKNARGKIGLFPATYVIPYSGPSGKNRETSIEIGDLLCVP >PPA17155 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:255818:258453:1 gene:PPA17155 transcript:PPA17155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sec-24.2 MMRKEEINHSYEGSTAKENHEMNSLSSSIDFSSSGIGISTFFGNFFVRSTDLLSLANCNPDAAVGVQLNLEEKIASSTVCFQAALLYTSSKGDRRIRVHTLCLPTTNELSTLFNNVDLKATVSLLAKMGVDRACVGAALADCREAIVNASVDGLGAFAKTAGRTGVLAPRGGQLRYLPLLSLAMLKSTAFAAGRSIRLDDRVMSMCTMRNGSADHVYTEMYPLVYRLNELPQLDESAEPRPVPASFEYIQRDGVYLMISGHWVLIYVAASSDPQFLEQLFGMRYHLLDALSFREVSTPISQRCHSFVSSLIQRYQIYNSPLVVRWTSEGEEDGEEVEEMVDQNSIHFPPSSFSVDGDESNECSNQ >PPA17295 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:949030:952049:1 gene:PPA17295 transcript:PPA17295 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFQLNFPLLQGVGWAVCFLSFLRASNYALLNAFTLEYAVEARCFSPETNKKFCPNSTFPALFNNTCVTMEQRVKNDPPDEMAAREFFRHTIRGLPPSFDVGRKNHNESEFTFESGRAWTRAFRAARTSLSLGFGGMITMASYKKRSNDAFWVYIVIAYCRHLYPFVNWMNKDKYHTAYYMFFIYFPEAIDRLLETPISALTDQFKFCRKHRSITIIILSIVGFGVGFVQCSRVGYHIFYILDTRVLPLTAEVMVGFQVIAIACYGAANFYRDISASIGKKVNVFGYFLSPYGLLVRLSQFVFSPCLMAYVLFERHSHIFDPSWLELLRPDPMHPSVRYKVPPPLGFIFGLKPIEAMPPAMGDDDKMVIDFPEKAVTPAKEEKHYETNKQIKD >PPA17190 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:432765:433113:1 gene:PPA17190 transcript:PPA17190 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDGKGLKEKNIDKSKTGSSSSKSTKSGKNAIVIPSLEVDSTLESGSSSPAKIEAQQSVFVCYGNQWRQVRRVDSDFSFSIASQ >PPA17238 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:664479:667654:-1 gene:PPA17238 transcript:PPA17238 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSVTPSHLHPHCNSQSNHSLSSSSPPLLPSFSPSSMADGGRKDDDDRDDDDDKVSSRPRPSQDPAPLLQDVEDGNFKNGLFYDERATDEKVSKTDVRVDDADGGGGKKKEKKRNSDEHDAPPPPTGAERKKKRCSRVMSPEPVIEPVMAPPPPPHPAPVPPPHAPTGGADVDPEGAPGTTATVSQSELRKTKAKKQQGEKQGEKPSPAKSPVVKTAQENSERAKHLSGLAARIAQEKLDVDSLIRRVLMCAMPGKGLTKCVPIPEIMSLIRVARYAFASGPMLVEVEAPINICGDTHGQYGDLLRLFRKGGFPPTSNYLFLGDYVDRGAQNLEIYGFFEEINRRFGSPILWNEFQDLFDLMPLCGLVSRRILCMHGGISPKIMNDKWRELIRGIPRPCHPDEGQLAMDLLWSDPVSGNQGFLVFHHPHQSIISISQPNPRGASYGFGENSVNDFCQISGIDLVP >PPA17291 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:926807:929145:-1 gene:PPA17291 transcript:PPA17291 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPPDAPQPRVCLVEKATHDQEYGYNLHAERGKDQFVGTVDKGSPAEHAGLRMGDRIFAVNGVSIQGETHKKVVERIKQDPMRCELLVIEEEGANWYKEHNITISPTMPNLIRISKDGETGRHSTNNNHEKSSGSPPPAAWYAPNGSAAKAVLVRNYDTLVSHSTL >PPA17250 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:735840:739874:-1 gene:PPA17250 transcript:PPA17250 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cyn-12 MRETPRGPSDGPSSLTSSRRSSRVTLKAVDLHDYLSSVLVSSPPSPSLHIKNSGRHSSSSPNLHSLLAASAAFTTATRLAKGVAALPMPMTKLPHKAILAILKHLEGEDVYRMAMTCSEIRKLAIDNENELSHRKVRSREVQIGVDRNRADRLIFVILRVPRSMRDGIPRESRNLDALLPPLVMVEAKMTFGSDVCVGALRPVLRRLYDSRRLAPTAFHFTGGANTKGNRVCGADLRQFTTESFILFCAHFKPVLKEIQLATTRHFRLSPRLLSLVNIVEDFGCVYERPAMRVQLQHLHDVIQVWRSDSLAHSCTLHMRRPLADADELEDELSNVTVETEERNGEIMASCDSSFERALKVM >PPA17134 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:156342:164806:-1 gene:PPA17134 transcript:PPA17134 gene_biotype:protein_coding transcript_biotype:protein_coding MLLARGKHQQRGAVEACWAHNPEVVNLVPFGPDAGDLKVNPGMLTGGQTIDLHMFFPFYGGLYNYSTLSVNGYIGFATVLDQGPTINIGPETDWPRLQDPAMIAPYLCKQQVPQTGNPGRRTGVYYRLALRQSLFGRESGSNTGLGGPASYTSSFFGQSAQQACPGTPDSYVRCDTQSDYFLDEMMRWLMEGVAGASMFRADAALVVTWQNTASAISGRSDIDAGQTATYQAIWLTDQAARLSYVILNYDRLGFDAQDFRANSRSGRCRAVFNGGNHTGTVEVDPTQPYKNTPKVLAQRSGVPHMVRGRYMFRVDDIVRPAGCSNKTGGTYPMMIYPNIVNMLGETSVDVNAICLDRTQTYILMIEEREVATCTVINPAIARCALPKIYDWGVKTVYFQPQSRGANDEKAFVGYIYFVPPTLDPMRLDIGNIYEWYKNPLPSTLMPITWFPRNFTNPDLMQNSLLGQTRISDDTLYSVQLGLYVIGYREYKDDDIKKFRPEHRVLARLATYSNRNQIDYRWRPQEEIINLNQVQQWYLTDWERMNELYTYRVGYLKLAPMRTNDQNGTNLLAGLVSAPISLHWLWTPDNPQYQQTTFTTQQNEQRTQFVAEKSRQMCHDWYDEDGALFNFIRDTETNTSCPCVESQARLDLGRFMPHPRCSQLFRDITCTSMIGSKNCYMSAQNIYGSYAGDGTTFNNRDTSRFMTHYGQVCCYDESGFLMQTPYQPVIKTQRDYFYNPGYPMRAYEFGTAPYMGQFEVPGLSVFHHDYMPYYLCCKFADFRCQMFYWRRPSSACQEYQPPATGQISGTGVINTIDNDKFIFTEPGVFNLLYIPKTQHSPEVKIQVRLERYPNRKVDFGLLGRYIPQADLVQPTNTTVVTGITMEASGTERVHVMARKDTRRFRYRTDIMVGNILRYFDTIKLQRFRGVLVYVNNVIRGQPEIYVVLEEAQIGVRIRESYALDIDRLPNYQESMGMLDIQLSVPPQYGVRPDGDKTREAEARQRYNLPRVSGVMRPFPDQTSASILQGLTYNDVNSETYRQQLINNYRIQGSGEPGSDQNMAGTLAVGLPTENMFTTSKDDEKQFDVFPEANSRSGPIFKTAPQFQTGPNRFVPQTGIAVNQLLQQCRDLEENYVVNQQPYQQVLNEQYGAQRCPDDPGAVIVECGDSIPCLYSYALLNSKVLALEEQDVWNTFIVERLEATKQFNSCGAINIEYPEYMMKTPALASGYLQGDVARFDCYQSHWIKGDAEYKCGLVVDRNDPNRYRFEWNKGDQPWCRSRVKENYFKWIATIFGFVGAVMGIILIFLLFWCCKQKRMADRRPAQYDNNGYDKRVPSIASLNDPNRGRFDGLGAEPRGGTVGGVVPIGEYPPDGRFSPMGVGSVNPADSNLMGLNTIRNNILIDACSSKLRAIYYLD >PPA17229 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:631209:632622:1 gene:PPA17229 transcript:PPA17229 gene_biotype:protein_coding transcript_biotype:protein_coding MGATGLYLVQKSYQKKVRALPHYVESLKIIADHDKAREALGTPIELGTVDLADRLHNYVDKTKSMLMVPVTGSMAAGFMEVRAVRDTPAGVFSTATIKLHLDDEVVTIYDTGAWREEKSSNPTTTPSTTSK >PPA17275 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:871569:872978:1 gene:PPA17275 transcript:PPA17275 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWGEVVVVYLAISVSSSTAGDDSGVGSTEEVRYESVVPEEVYEARPVS >PPA17192 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:434869:436034:-1 gene:PPA17192 transcript:PPA17192 gene_biotype:protein_coding transcript_biotype:protein_coding MRWNRIEFEHNELALIAFLSGSWKGIDGGNGMIRLPYSDRGAAFYTTVSVIGSRIYLIGGSNGLFASTARRSPSPDFVPVVSVIETVPTLQHRAVACINFFGFPLDVIRRRLPEGLFYLYFRDKSNDEPFPKGFEL >PPA17181 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:385607:386319:-1 gene:PPA17181 transcript:PPA17181 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKEFLIPRKCEGVRKIEKGDENRTEETIRFRHSSDSTDSAKHFCRFIHSLPFSLFLIPSHLIRVPLPVDDRLLPISLPQTCRRIQTPPLTGTTGNQWGSMVYGDWMDPLDSLDCR >PPA17231 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:639219:640325:-1 gene:PPA17231 transcript:PPA17231 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHILHGIKLVHDIGFVHRDIKPANLAIGTSVHEPLLRPTGRAARIIHILDFGLSREFVINLEGEWRMRRPRKKALFRTKEDVAECKRRTPDQLLLKDCPVQFLEFVTHLRSLNYFHRPDYAKLYGLLNSVMEEGEYQMGDPWDWERKEFVKAMKKRGDTEDETKETSDDDKSKPIFEINPEDFDVNPFNF >PPA17128 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:132369:135444:1 gene:PPA17128 transcript:PPA17128 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSSASSVQYFYCTLMMIFTVPMPYPLTIIPLPFIVSLLFTITYATDFEYKIDLNRCSQDRGKEDMSCFVRQECKGATGADKDEIDQFEISGDAAPPEEVDKCDVIVQKDELEKPSDIGQLTAAVAELRYTSIKDDGNCTACPEPTTFSPEECPTCTSFITEDENGCKVRKCPEDKFTVDGKKDKTSPKGITCEKKDGGSKWRLHDTDDNDFVESHISCFIQKPPGCSPIDKLSCPTDLTNCTSPVPNEESPTQYSCSKGKILQQERKPVTLSCNTDTLQWSGFKSDIDLYCAVEEKEESSAKESDTKAAGPGMYIGIIHKKKREAKKTTKKEEGTSKKSSKTVESKSGADGSSNEAKKEEKKSDPNKESEKPAAEAAKDAPKDGKETPADPPKETPKDAAATPAAAATPGAPPTPGTAAPPTPAAGANNKQEPPTPISNITSGPDSAPAPADATPV >PPA17189 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:419131:426882:1 gene:PPA17189 transcript:PPA17189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pgp-1 MDQSTKLTHSRPSVIWAYAILAVGVFCAAFIQVSCLLYVSENMMDRLRRNFMKSILRQARSLIIRFPFFLLDFSKLKNDISWFDMNTGGALATKLFDNLERVREGTGDKMGLAMQCLAQFIAGFIIAFTHDWRLTLIMLAIVPIQVACGFTIAWIVSTFMHAEALKYAKAGSVAEEVISSIRTVVAFNGLERECKRYDSALKEARALGIRRCIYIGLSFGAMGMTNFIGIAIAYYFGVGFVYDGSLEPGDLMTVFFAVMMGSVALGQAGPQLAVLGGAQGSAASIFEVLDREPPFDSTADTGVKQTNAKGRIVLDNVKFRYPSRPDVPILKGISFTVEPGETVALVGSSGSGKSTIVSLLLRYYDLEGGRLTLDGHDIPSYNLHYLRNIIGVVSQEPVLFNCSIAENIKFGRVDVTLNEIKVACAIANAAKFIEALPLKYDTMVGDRGTQLSGGQKQRIAIARALVRNPKILLLDEATSALDAESERIVQKALDKAAKGRTTVIIAHRLSTIRHADKIIAMKHGEIVEMGNHESLLLTKGLYHDLVMAQTFSDSVDLAGDGKDPDEEDDEPVPLPEAVARRMSISAEARTNAAYSRQTSQIADPFRNARNRAMSNVSMISQHSRRSYVADMESEMDPAGLRQRTMTAGAAVVVEPVVKSVKQDEMARLRQELKDEGVDPVSLGKILASSRQDWCRQVIGFLFVLLLGAVTPAYSVLFTQIIQIFTITDQDEMLHEGQFYSLLFLVLAFMQGSCVLGEVFFLGSGGERLTMRLRSRLFRNVISHEIGFFDNPKHATGKICTRLSTDVPQIRTAVDYRIGTVASTFISIFFGVVIAFLFGWQMALVTLAVFPIFGKWAELRGGGAGKGRGHLHSSSAIGLVMRHRVLTGKGKLSAKEIEESGKVAMEAIESIRTVQALTREELFYQKFCGSLDKVVQYSVRQAVVQGASYGFASCSLFVMLAIATRIGIIFIKDDIMEPIKVLRVMYAISLTTNTLGLATSYIPEYMKAKLAGGIVFKMLSDVPKIDNLTQEGKRVTLHGNITFKNVRFCYPERPSIKVLQGLNLEIKRGQTVALVGASGCGKSTTIQLIERFYDADGGNVLLDENDIRDLNPHHTRSQIALVSQEPTLFDCSIRENIIYGIEENVEQERVERAAKLSNVHEFISSLPLGYDTNVGERGTQLSGGQKQRIAIARALVRNPKILLLDEATSALDTESEKLVQEALDTAREGRTCLVIAHRLSSIVNSDVIAVVQKGVVVEKGNHHELMALRGFYWTLNQKQTFPVQNECL >PPA17158 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:280554:288528:1 gene:PPA17158 transcript:PPA17158 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMEDAMYLKFLQARIYGGYMFDRRRVDDIGGLPETVSVLRYPFPIPPCPEGENPKEWEAAYNGSEVYLVGTAHFSKNSQEDVKKVIDRVQPDVVMVELCPSRISVLSLDEETLFREAKDLTTAKMIQLMKRGGPLHGVMYTLLISMSAHVTRQLGMAPGGEFRMAYKAANQIPLCHFVLGDRPIEITIGRALGNLSMWQKAKFFFHLLTSHSMKISEEDVEKCKEKDYLEQLLEEMAGEFPGLTEIFVTERDKYMTNALQTLLINHTHRKRAAWTHTDGVKWQPLKVVGVVGIGHQPGIASHWGENVDITQLIRIPPPSRSTVVVKTVVRWSLYGLAAYGLFRTGRMDSRMKEWSIDWDLLYQNYTNFTAWEGEDVPCFGILFGSKEFSRIHLPLFTKTIDGPTLHQMRSLMSSDLELIGVIKVGAYDVGVTVPKGGIEIKLHREHLVIRDSDGIKRANSFLVDVPGADGGVPRYAVARISFNVTLRQGNEPHELASAFEAQLTIIETMSFLTPDKKVISSRSTDKEKSPISGTGSPFVRLLAMQCLSPPPGEEESLVPIVRVAKGATECRIVSVPLEVSAVVLDSDSSLALHKRLLEAFRRRLDQTHNIMQTALRKKGASLEVISALFLPTGWSRHLHIQQTAVMESEEVGVEYRRRLHRLFNLPRSFPALRSGQNLLSSTPGLLRDIHKNVKNYKSCGEIAVVRPGYDYYHYMQQGFDDCQWGCAYRSLQTIVSWFLINGLADGKVPTHKEIQQCLVDIQDKPANFVGSKKWIGSTELGFVLETKYNIQYKIMTTNSGIEVAERARELLYHFKRVGSPVMIGGGQLAHTILGVDMNVETGEARFLVLDPHYTGRDELPAALSGGCEWKTAKFWQADAFYNCLMPQIPINVI >PPA17285 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:901377:902550:1 gene:PPA17285 transcript:PPA17285 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSTTDKPPDAPFNRSISFWAVIASCVILLFAAIICAIGCRNESAFLRKCCRRCCAKKKKNEPHTTVHASSTTNPPSSDAKKDAPQSPAAAASTPEAAPPVTPSIPQPDPSKPWTAAQQAAAIEAGLTRDQKDDETVDEF >PPA17161 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:299655:300309:-1 gene:PPA17161 transcript:PPA17161 gene_biotype:protein_coding transcript_biotype:protein_coding MFAEFWYAGKKNKRCPRCADILGNTPEERKRHSRKEHYDMFFKWARLGRMSNLEKLLSLELGENSDGDNL >PPA17142 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:202643:203395:1 gene:PPA17142 transcript:PPA17142 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFRVFVGQIRTKTTREKLKNYPFPEIRKEDCEQKFISGWGPGGQKVNTAQNAVQLRHLPTGIVLKVHESRLLPKNIEIAFDRMKYAVDRHLNGDDCYEEQYKRIQKVKEDKAKASREKKREMKAHLKDDEKLEVEKKE >PPA17234 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:653151:654492:-1 gene:PPA17234 transcript:PPA17234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rps-2 MADRGGFRGGFGSGERGRGGRGGAGGERGGRGGRGGRGGRGGRGGARGGRGGKEGDKEWMPVTKLGRLVKDRKITTLEEIYLNSLPIKEFEIIDYLCSNLKDEVLKIMPVQKQTRAGQRTRFKAFVAIGDYNGHVGLGVKCSKEVATAIRGAIIAAKISVIPVRRGYWGNKLGEPHTVPCKVTGKCGSVSVRLIPAPRGTGIVSAPVPKKLLQMAGISDCYTSAIGQTATLGNFAKATYAAIQRTYSYLTPDLWKETPLEKTPYQRYYEFLARA >PPA17272 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:846079:849515:-1 gene:PPA17272 transcript:PPA17272 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTSGIRKAVDAYNPSAAAILTTAEETAKPEPRVSLQSLQSDVNVISEHSEWDMPAVAPPAPPPVTGYPLPSLPSLPTKPPAPSFDDWGSSTFGVPAAPAAAAPSYGAQSSGGSGGLANLINRPPSRGGAGGDDFDDEWSDEEEEERFATRTESQTD >PPA17253 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:749479:750502:1 gene:PPA17253 transcript:PPA17253 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLNEVRQWTGVTPFQWLLHSIGTLIASILLALKLSLLPRISYILVFTPCFIATSFDFYFIFVVIVRGLMTDKEQTRLPGLISVFGTARVAMLSFFQFLFYHKITF >PPA17112 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:46806:47516:-1 gene:PPA17112 transcript:PPA17112 gene_biotype:protein_coding transcript_biotype:protein_coding MENAEVKLEVKESDMEEPLVSAVLDIIREAMKQFTIDKEVATYIKERLDKEYATTWHVIVGRSFGSRVSYEMSHFLLVKGNNKIAAITAISAS >PPA17143 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:204259:205975:1 gene:PPA17143 transcript:PPA17143 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSTSVPLCMGSIYPNIEERLFVGLPVLVLSLISTLLNKSFLDANFRSAIIPDPWLIILASPNSSFFQTIQYSNVAISIDRFMIFCLPKIHKSMVSHQITRYILVVLPWVFSTLIVVHSSAIGYYKRVNPYTLKYTYECSRGGIYESLLFIFGFLWPSFMFFLYLILQFFIISMLQLFSSLFFNFAPKLMGDSQWDGLLMTFFSTLNTMNNPIVMQRVRISFIAMAKGGFIRSVSLRKLTVATPVTDIRTNHYRRHASIIGTLQ >PPA17171 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:351072:353761:1 gene:PPA17171 transcript:PPA17171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ufd-1 MMNWLADGMVRGGMGGPGIPFGMGMGRFAQSIKAFSAPFYEGADAAKINEINYGGKILLPSSALDVLIRLNIQYPMLFKLTNTRNGSMTHCGVLEFSAEEGKAYLPQWIMQQLRIDEGQIINIESASLPKATFARLKPQNIEFLQVTNMRAMLEVELRKFACLTEKDRIAVRYNDQTLEFLVMELKPNTSVCIIECDVNLEFDEVEGYKAEEESRKNQRIEKPAAPPMEMDEEEEDEMPEPTKKFAAFGGSGARLDGKIRRGGRTESTSSVGGTMRDEKDKEDKLPKVKAREDYVPGTISFPRYDYKCRVVMEKELEEKAKAAAAGGEKELDCDDGGTLSNSMETLLPNA >PPA17266 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:811484:812591:-1 gene:PPA17266 transcript:PPA17266 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADWAIYDILNTNGCTTASNGSWQGKGDTSDLEEKDIPTPPAKFARFDKLEDLKCEDSNSAPFPVSPASVCGDYSSQHGLAPPTTFSAAAAAAQLLLGAASMPMGGGGGARGGAAGAGALSQCSPSVITPTARPATLADFSLLGNDENNEDESRMRKELITQQTKFFVSMNQLVADVRTQLPMIIAQLMANTPLASPANSSSSSGSIDP >PPA17183 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:388129:388786:1 gene:PPA17183 transcript:PPA17183 gene_biotype:protein_coding transcript_biotype:protein_coding MRPITLAELESRGLNINGKIVYTLPRGTKYSRYRILSYRNPFYRILFSKARPPLPPLASADSSSTSRSWIPSTSGSDASVAALVNESKVVCPSKTTNTKRRLRYTTQIQKPNVKPGDLQRLPDGTIVEKIALGKERVRYNIETNNRITTVEKGRRVRVQ >PPA17221 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:597310:597644:1 gene:PPA17221 transcript:PPA17221 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGKWASKPTMKCVKNSGGSYEWTANGIEVKKGSCTSKFDCVTQKEKERIVTLSADRMTISCDEAKEFFLVYGIL >PPA17152 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:246096:246966:-1 gene:PPA17152 transcript:PPA17152 gene_biotype:protein_coding transcript_biotype:protein_coding MATAICKWVVCIDAHGHALVVGSLDRHEPIKTIDSLVCGQGDEIKPIEKSSSILAVENGDNGRIVRDDEGETFALEGAPCRGRCAGLLTNTPFLRNFEEGFPHNWKQIVRSEWEKIEDGGSRVDVGQEERRRRRAIVVAPPPPGLQILPLHANNLRE >PPA17109 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:39838:40395:-1 gene:PPA17109 transcript:PPA17109 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLVAFLVLAMVFSVNSFIVESRSGSPRVPFRSQMEAELFKKRILDAMLK >PPA17123 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:94008:96530:-1 gene:PPA17123 transcript:PPA17123 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNHVVCYVKHAPMAQPIQAGGSSGGLIEWTRNNLGVVASVAGAAVVGFAIYYDSKRRSAPDYKDKIRQKRREKASARSGRGGANLGDIDVPNPLNPTDMQSFFLQEVQLGEELMAAGNLEEGAEHIANAVIICGQGNQLLSIFQQTLPPDHFALVLEKLPSAKNRLSERFASAADILEQAANEDGERVVFTVCTEDDDEDSIDDHRVSPLPDPHDFLLPDPSPPHLPLYDPDDDADPRGYFRSPGLTHSTSDSGLPMAKPDTARCGSRCARPYSVVNLGPIVQEMMADDDDLE >PPA17211 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:514167:516566:1 gene:PPA17211 transcript:PPA17211 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDKFDLILDRCSTNEPYYAGQSVQGQIEVHAARRVRVGSLHVRLSGTVETGWRNKSSDLVVIVDHCNDSFRLHEGQHCIPFEIKLPLDVLSSIEREQHGSIRYMCTAVLDLPDNGGTQLVAEKEFRVFSLLNLDAPHLRDPAITAEEEDISTCCGLRKRYLAASMRIAETGLLPGETTRISLSIENRKKKRKRRRLRRKEKHECVLISLCQQIDFVSTARFDPLMVDRKTFTVAVESHGTCKGQECNGPETKEVEFCIPEGLPPTSVQADGLITVSYFFKLDLERFDVIVPVVIGSIKTPGSFS >PPA17251 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:744315:745023:1 gene:PPA17251 transcript:PPA17251 gene_biotype:protein_coding transcript_biotype:protein_coding MQALLVLSLAVAASAQFYPGFAGFGGYTGYGLAGYNGFASYGYPGFGYGGLGYPAYSYGLGYRTLAAPAFTSFAAPAVTTTVTAAPVVAAAAPVVSQTVTAGPVVATAVPTFAAPAFVRPTIVAPQPHNTKISVIQRA >PPA17150 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:238575:239208:1 gene:PPA17150 transcript:PPA17150 gene_biotype:protein_coding transcript_biotype:protein_coding MMDESGMETKKFNQGTDLDRPVTHIIAEDVKPDLNLLSSSMASKGSSECVPPVKSKLGFRTPKKPFKTYSKRWWQVCTGSTQESRTITSQAHAFLRRFGQDSASSTILTSSVPSIKEEAFFDSDWSRYILHYTRRSP >PPA17283 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:897151:897940:-1 gene:PPA17283 transcript:PPA17283 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIVAFSVLIPTAAALHCFVGTLMVGSYNQLPPKDCGDIDNCINGTMETEEGGLFMAGCDKLIIKTYGSPDQQEVSCPKGEMNTCQSMDFVGADNITTSKGTVCCCDDDWCNKAAFGVHSLAIPLLIVSAVKWIV >PPA17207 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:492739:494129:1 gene:PPA17207 transcript:PPA17207 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFLIVAASLVVIAVANRPKTKDVNGHILIDLADVGTSCTDSYTGYAIVDGSLERCENITLIQKGGGEQERKEYEEYKCRMNERSFKKHNKFLVDNFSLQHTVRFEKESACAKEVGRCSESGCAHEGIMAIGSKHLECICKDQWDGRHCERQACWRLTMKGQDKRYRNGKDGKCECGTHFERENCSVLKSCEKNGNCKCNDGYGGETCERKCPLGELT >PPA17165 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:335781:338342:1 gene:PPA17165 transcript:PPA17165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mep-1 MSHINRWLWDKPPVDPTILAQQQLKQARAAQLAAAAPQTNARLLAAQQAKSGMTAHLQNAQLQHQQQQNLLMQQHQQRLQRAMLQQQQAAAQQTFASMANSPRQQNALIAAMQQHLQQSKAGAAARQQNPINAANAALLQKALQQKMQFQVQQQQQQQAQAAANILAAAKLQTQQNGKATPTSSPVVSRPVATPSGGAATSSNGLICEICDNAQRDKDSYLIHLQSQHRQLKQKSSADMQQGAPLACSRCRDRFWTYEGLERHLVMSHGLVTSDLLAKAQKKEDGGRCKLCSKQYAFNMLQHLVADHQVKLCSAEIMYSCDVCSFKCQSYQKLEEHLTKTHPKTGNGTPAAAPPKVSLSHRVFYPHLKEFFISLDSLYP >PPA17148 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:236097:236581:1 gene:PPA17148 transcript:PPA17148 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRRRDTMPTSSYMYMDASIEGPESLLGYGSREESIDDDEYDDYEEDSSDQSSFYGTAISQTSLLRTPTNDVLRQRTANSAFLASANSPSPLRLG >PPA17304 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:987403:988216:1 gene:PPA17304 transcript:PPA17304 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMHGGVVRSNRKNDQKENKKKAGEKKEENEEQVGERPANSYEPYGTSSHGRKKNKSNRGKGKNMELMRKHYGIIRRRQTKMTDYLMLGDVRRLARRPNKSHSYCLNCRAQMEEASRREPVFIDLVSSSYHDDDYEEDEEGDENEED >PPA17195 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:448665:449359:-1 gene:PPA17195 transcript:PPA17195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpl-34 description:Large subunit ribosomal protein 34 [Source:UniProtKB/TrEMBL;Acc:A6YMW8] MVQRLTYRRRLSYNTASNKTKVSKTPGGRLVYLYRKKQGSIPRCGDTGVKLKGITPSRPRALTRLSKKSKTVTRSYGGCLSANAVKERITRAFLIEEQKIVAKVLKAKQNADK >PPA17133 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:153019:154497:1 gene:PPA17133 transcript:PPA17133 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSEAPPASRWYQAYIIAETAIPIASSVLVVITLPIYIVVVIVLAIGYHQPGGNQAFYRICMVGGIVDIIAIFVNYFLGILPAHGFYKELYLSSPFMGATYLAMSWGIRYTQNMTATLLAINRLMALIFPVQFRKVRWNDNGLGGYYVLFTDESFKKFYYNMSGALASFFITYMIVNYIKPVRAFYLFYNVSTDLYSCTPPYLLLFFSTPFQRRMRQFLRIDILFTPTVSHFDSSLASLSGVKVEESRF >PPA17216 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:557104:558838:1 gene:PPA17216 transcript:PPA17216 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNELSNGDCANLSDAERFRREIANLFNNKTSTIEEQACVYAALVLQDDDFERSFFAHSIQTSAIRQLLVIVKAKFFSHSAEQKIKAAGGTFVLVAKGTITCVLFLI >PPA17175 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:370605:371318:1 gene:PPA17175 transcript:PPA17175 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLEQGERKTNDGAIAEFKLPSKVHDIRLIACNLDHDGDGIRMEFNAFLVGIVEEIVKENGLEEYNRKAYGQ >PPA17259 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:770416:772152:1 gene:PPA17259 transcript:PPA17259 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rps-24 description:40S ribosomal protein S24 [Source:UniProtKB/TrEMBL;Acc:H3F535] MKHGDVVTIRTRKVMTNRLLARKQMVVEIIHPSRPSVPKTEIKDKLASLYKTTSDLVIPFGFRCKFGGGKSVGFALIYDTLDLAKKFEPKHRLIANGSLKKVERGGRKQRKERKNRQKKAFGTAKKNVSAGKK >PPA17212 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:517470:519207:-1 gene:PPA17212 transcript:PPA17212 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDLSREPDDLSLSEMSSGVIICDLSNEFRKLKVKRRHHTSSGPAVGVNGGMGGRNVPPLSARRARSKPRATAAVSHEATSSDDESHERTDSSPNGAPAAAAWSCSTAAAVAASSRRAAQAVRQPRHMNSRQMLARCAVCAGKLQSAFVCRCGKALCGRHRASALHGCSKSAAAAASTRSRPIAAQFSD >PPA17223 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:607293:608912:1 gene:PPA17223 transcript:PPA17223 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRESVATGADSDWVVHLNTTMECDVFDQPCGILIAHSIKEKETIIAFRGTRGIGHKLSLTGHSLGGALAALAALRIVATVIASFIQWMLFHTFHDVKRADDSVMGYPHTNKIGKENYKVCNGGPIGEDASCSNTVNFHNVFRAHKYFSDHGQYLGIELSDFGRGGCTVESGKPDERSILTKAKDKVVEEAIKFKNWITFWD >PPA17292 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:940110:942007:-1 gene:PPA17292 transcript:PPA17292 gene_biotype:protein_coding transcript_biotype:protein_coding MILDDKRLLLACIGGTVVTYNAFRILKTFLDSQRTEWIPIGTVKHLWMFPIKSCKRKEVFSLHCGPMGVSFGEYRDREFLVINGTSGKFLTARAHPKMILIESEIVDGVLSVSTPNGRSVRVVLADVIAKRDVKRGILHAKLEADGVDCGDEVAELITTFLEEPDTRLIYYRPDLFNGRPCKTEQEWWNNPVPKRSDTVMYVDLAPYMITTEGSLKALNQQLERPCTSLNFRGNIVVDQCAAWDEDKWAEIRIGDAHLECFKPCTRCVLTTVDPETGVKDPDMQPLKKLREFRLAPEGKLRDAHGQSPIFGVNAAFFKLFIY >PPA17287 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:907299:908801:1 gene:PPA17287 transcript:PPA17287 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFSMLITFLKLTAVNERSMEFSLVLGMLLMWNDPRLAWNPEKYSNISHLYLALEQFWFPNFHPCESSSITYLSLDRDQVAKVFPNGDVRTILQAEITYSCSFDTALFPFDVQSCALCFALNGYDPDDFVFTAGVASEDTVATDMSEWQVKLSNTTQSFNYCSHDICMTIIFMLGFLILIGLFFSGTENLVNNAIGFGLTTMMSMMVVVGILNDSLSKIESIPCMGLFVLIQIAATSIAVIVVLLTDKLRRNLSDESRDKS >PPA17186 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:406197:409029:-1 gene:PPA17186 transcript:PPA17186 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSMSSYNYNRKTWEDSEFPILCETCLGNNPYMRMVKDKFGAECKICERPFTCFRWQPGKGARYKKTELCQTCAKIKNVCQTCMFDLEFGLPVQVRDHALQITDDLPKEGVNRDYFVQNQERMLSHTDGTTPGGALANIGTDTTSAGYEYLKRIARTTPYYKRNAPHICSFFVKGECKRGEECPYRHEKPTDPDDPLSNQNMRDRYYGNNDPVAEKILNRAKAMPSLQPPDDKSVTTLYVGGLGPDGTINEKDLRDFFYQYGEIRTLNVLGVKGCAFVTYTTRESAEMAAERSFNRINIKNRRLTIRWGIPQAQKGATGSEGRPINPVPSLPTTSFPVPESLRPTGVKRPSDSPFVAPPSKLVVPSVAPKAGRGGDDAGGSRIYYPSQDPQRLGAKGDVLEED >PPA17313 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig36:1021577:1022059:1 gene:PPA17313 transcript:PPA17313 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPRPMQRTCLVCNAKADSAHFGVASCRACAAFFRRTVLLSRNYICRQSTDNCNDYPK >PPA17124 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:98141:101307:1 gene:PPA17124 transcript:PPA17124 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDELLFSHLGEMGKYQKIQFVLVCLPCIFCAMHSLSWTFTAVGVTHRCAVENETKYSPYWAEAASEHINITSSCVDDKWNPVDPSDPSARCLYESCTYSNNQSCSSYVFDNSHVRQSAMGRWDIVCDRAWIKAFIQSAYYFGQMAGSMTFGILGDRIGRKKCFFVAIALQILCGFVQSVAPTWWLYALVRAGTGFSHPGASVISVVIGTELMGPKYRKLASICTALCMALGQCILGTVAMFLTDYQWLHVALTAPSLLFISYWWLIHESTRWLVSIRRFDKADHILQKAAKTNGVTLPDQWWNLLDGEEKTEKKERKEEKSPANNASCFDLLRTPVLRRRSLVVFYLWPVVSMVYYGLSMKSDILGGDLYVNFIIGGLIEMPALLLVYLLLDRVGRRPIIVGGYMLTGVCLLSNLLISKTAPRYIAILQLLASRGAISATYTGIYAFTPELFPTTVRNTGMGLCSTIARVGAISASYICFWIADRYGKAWMIIPFGGMSILAAVLILIFLPETMGKPLPSTIEEIEGSTSDKRESELDSLTETPSPEVNWL >PPA17227 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:626074:626547:1 gene:PPA17227 transcript:PPA17227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ins-1 MRLCGSKLTKTLLAVCSGQLCGAYVDSPKRSVIPAILSVVPLSDPEYYEVHRTVKREAGLATECCTNRCSYSHLKKYCCMN >PPA17201 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:473456:476381:1 gene:PPA17201 transcript:PPA17201 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSFYFRTTYFCATDDSFPVFKYEKKVIPEKKISFEIVNDTNTKMQYVFVAVSGCVNPTVKEKVRRYNKEPTAAGKRYLKPLDIVNNSAAHQLQTQIKFDKNRVKRWVARFQSFHPSKDPTSNRPRRYSNTDESPVVVTNCPSKDEEEETHEDVGLAEEVFARMCRWIGDVKWKVEDNGRIVFPKEGSTIIVRVLSSRGRRAVVVPSDIFDRILEESKQFIIFNSPPRIPADYMRYALVLLEQESNEIGQKEYRRGWIFDGNERELRVLLIDERRIVSSHRSRLFLCPDPGVMDTPPLCVAATFHRELMDHEKELLLEMGCSAKCRVMKEGEKALKLHLSRINAEGHSLDIMFCGEECAGACYHHMKS >PPA17297 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:953926:954874:-1 gene:PPA17297 transcript:PPA17297 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSVILIFLSIEISYANDAMESGRIGYSVDKLSQMGWFTPRDSRGGCKWNGTAPFCNGECPDGYQQMRESNGRTSNWWMAGYNIPDDLFGHSCTTIFGGFFKKRYCCIGHDCNDVKMFSTTGRATCGRIEWNEENDYWQQWSAHSWYKVQ >PPA17315 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:1025752:1026908:1 gene:PPA17315 transcript:PPA17315 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLAGSSGSVSRRIPKIIVSKVLVSFKYPDADTVFQFGSLSSRSSSFAHSPTPASSPRSSTLSLNDLNSEKYLKVPRSPSFDVGVGLPSRRVSIDMGDAVGKRMMDSRNPGRSRLERSCSLNILPSLTVASARLFTLCSVHVSVAFFNRR >PPA17205 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:487848:489999:1 gene:PPA17205 transcript:PPA17205 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cdk-1 MAAEGSRFSLDDFVKIEKIGEGTYGVVYKGRNRQTNKLVAMKKIRLESEDEGIPSTAVREISLLRELRHPNIVNLEDVIMQENRLYLIFEFLSMDLKKYLDTLKSDEFLSEATLKSYLMQICQAMCFCHQRRVIHRDLKPQNLLVDGKGTIKLADFGLARAIGIPVRVYTHEVVTLWYRAPEVLLGGQRYCMGVDQWSIGCIFAEMATKKPLFQGDSEIDELFRIFRIMGTPTEAEWPGVTAFPEYKDSFPGWRTNNLRSKMIDRLGDAGCDLLQAMLEYDPINRISSRAALTHPYFKNMDMKSLPAGDWRGQLVLKEHDTNKQ >PPA17322 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:1066489:1074542:1 gene:PPA17322 transcript:PPA17322 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLDISKSTSGYESVGGGGAAGKRSNEEGSTTSLATSGGGPPQRKADLAYNHLVLAERPGPCKASRSKLNVTVNYFKLSVPTNMKYATFRLEFEVENRGKAAEVKKEKMAECFRALYRDNRKIFPRKDTGIVYNGTDMIYTPPDHTIPLGTYNFQSNKGATFKGRPAKANFGRCKITPLGLNSLATVQVDDEANRCALMQFLDCILTQEHRFDLTGQAARFIQHGHSLFKIPRTAEEKRLDVIPIGRGEEVWLGLHAAVKCDSEELMINADTSGSIFKARNISLLEFFAQVVSGYTQSLARVDFENLTIEPRHLENLDRILKGTKLLLKHPNGTEKVKKYEGFTNRPANQEMFEFDGQRMSVADFFLGKYNFRLKCPRFPCLKFWSKTTRSFSFLPFELFFTLDEPTRFKGKLSDQQLDVFVKAVCQNPRQKKSRIQRFCTRGGEYLGDTAVMRMASAPQVAPNVETGEWKLTETDPSSGREKPILPVELNPDVILLVVVFVGHAAGAGDKERCHLLRNTLRNYGMRVDEDLTSMGSPSLTRKTGRSYETNENWMDGFFRNLDDKVRTKTQETGTKFIPLILWVFPRRDASTYAAIKYYCDVKHGIASQCTVRKTYEKLTGNPDTNASSQNLLLKILAKTGSIHFRLSSFAHKNVEKLQNEKDPVLIIGIDVSHPGREERFDAIKEHEQKTGRNTEQANKSRGQHEKLPTARPVGKTLFGELSYYDCPRSVVSVVGSTDIKGARYGVSSRVQRLGQEETVNMVDMFRERIKEFYDCTEKKPAHIIVYRDGASDTQVKKIAYEELESLERAMESFGLDDTAEQKTTITYIMAAKRHHTRFFRSNLERMPDDAQHLNCPPGTLVEAKVVSRNKWDFYLQSHYGTLGTALPTRYTVVRDDWKVSSAFIQTIVFAHCFGNVRCPKPLSIPIPLHYAHLAAKRSKVLFDHFKDNACDLYRDSREDLVREALESEAVTPHANIKAMKGMHYA >PPA17279 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:882712:885339:1 gene:PPA17279 transcript:PPA17279 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVSGVIMVTTEYRLGVFGVMALGDENVLPANIAIHDVLEALRFTRKEIHNFGGDKDQISIMGHSTGATIVLTMSFSPGINKPGEPPLFARAIAMSGSTNYEDEEKQVKRSHDVAAKLGCEGSAQEIVDCMLPISTEKILDVAFKTGGLDVFSKTQLNDLTMAGELMPIENVKELREKQKVTKLMLGTTLYEMEMKPFNTSSTVNNEVNLILGVKNEEECTQKYFADKKSGKFVSQYSGQSQAFFVTKWLFSEAQTKAGGEVYLYQYDYPAHAIHTDDVSYVMGFHDHAKDVNEEWLSRTYPIYFANFAKGLPPAPDWTPVVPELMNYYSVNKSFTDGVSPEMKTGYHRDIIDYYKGIVQYDDNLSKIKKKLLNAPVQYKELIVLTTERFFYSSCSNPINVGGRDNGVQKKVHCSLID >PPA17168 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:345160:347505:1 gene:PPA17168 transcript:PPA17168 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKTKGQQMKKEREHISRHVQELVLNEEEKKRAHESNFNFDHPDAFDFDLLKDVLARLKEGKSVEVPVYDFNTHSRSSNAKTMYGADVLIFEGILAFHRDDINEMMDMKVFVDTDADTRLARRLARDISERGRDTKGVLDQYLRFVKPAFDTFIAPGTKVADIIVPRGGDNFVAIDLIVKRVKTELSSRGYNACGGAENGLHQQRASLLASETPNELPESLHIVKQTPQVLGLHTFIRNKETKRDELVFYSERLMRILIEETLNFMPHKEVQVEVSVGVRGIDSRS >PPA17219 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:589172:591807:1 gene:PPA17219 transcript:PPA17219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cho-1 MREEGYITMLDPFQIKYGQRVGGLMFIPALLGETFWSAAILSALGATLSVILEIDMNASVIISACIAVFYTFTGGLYAVAYTDVVQLFCIFVGLWVCVPAALFENKTEDISRDPEGWIGSIGGFRENTLWWDNMLLLVFGGIPWQVYFQRVLSSKTSSGAQKLSFVAGVGCIVMAIPPALIGAIAKNTDWTLTDYIPYGNGTKYKDIPHGKTNMVVPLVFQYLTPRWVSFIGLGAVSAAVMSSADSSVLSAASMFAHNIWKLTIRPNASDREVIIIMRVGIVAVGVMATLLALTIQSIYGLWYLCADLVYVILFPQLVCVVYFKGSNTYGCLAGYAVGLLLRLSGGEPMLGLPATFHYPMFDYVDGVPQQFFPFKSMAMAACFATIIGVSKLSVHLFATGRLSPSFDLLKCVTNVPLVRPHSPSE >PPA17246 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:726596:727779:1 gene:PPA17246 transcript:PPA17246 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-let-92 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3F522] MAAGDPIDRPFLRDVDQWVEQLYECKQLSEQQVKMLCEKAKEILEKESNVQEVRCPVTVCGDVHGQFHDLMELFKMGGKSPDTNYLFMGDYVDRGYYSVETVSLLVCLKVRYKDRVTILRGNHESRQITQVYGFYDECLRKYGNSNVWKYFTDLFDCFPLTALVDGQIFCLHGGLSPSIDILDHIRALDRIQEGVEAQSLICFVSVILVTV >PPA17241 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:680985:683878:1 gene:PPA17241 transcript:PPA17241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-spp-10 MRSLLVALALVACTLAYKDEMLSSNRIPEHNQEAFGNTCGECKSVIHSFVSAMDDPAKLAELKILLNALCHQTSYETECTLIVKNIDKIVHKLEPFLRDEEAVCKKMRLCQNPKLTNFHRIGLLYLKSAMDRVEGKDATNDFVCDECQLAAIEFKKAVDDVNERAAIKAFISEKVCKHIPKYSGACDLMLEEFLPELWQSLDALLANPKVACAQIGFCAKQAALPLNKVSSKQTLSSFWKKSKHMTTHSGDQEEQKRPWNVVNWLTKVVNTDTKIKDQSQILMSCFECKVLIDALSFDLEEPEHFNAIADLLRDWACPQMPPHLYDGCIDFLNMYAPTVVYMTAAQLDAEGICTKNLHMCDATSMMFLRKMGKAEVETKKCDACKAFNAFLKYEITQPDFITDLVAAVNGHVCSNLRDFSALCENFSTSYLPVFVKRVTRLLESGSVCTKIAQVC >PPA17203 pep:known supercontig:P_pacificus-5.0:Ppa_Contig36:478383:478713:-1 gene:PPA17203 transcript:PPA17203 gene_biotype:protein_coding transcript_biotype:protein_coding MQFRYLSIFALSALVYSIRADEEEAWKAVTAHNEIEDAAKHTSNTIEDNVNKLKNTMDNTKS >PPA17330 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig360:20266:20969:1 gene:PPA17330 transcript:PPA17330 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGWTSSQSTSLVSSNGRVSTPYDESRRSKKKFVPWEPHKAACGETKQLNEAPKDLPRLFPYEQTSIPDREFIFEGIPLVDARKERLKKSQTEVEKALREEIEGLEMRLREERKKGEELRRVLGATMDSDVVEYVMSMSDDKARLATMIEEYSKKIKVCGNGSEKSRRRK >PPA17329 pep:known supercontig:P_pacificus-5.0:Ppa_Contig360:17949:20099:1 gene:PPA17329 transcript:PPA17329 gene_biotype:protein_coding transcript_biotype:protein_coding MFAIDKPKGMAYSGGKDTTKYQLDRMASRVKDILLPKCERLHPVLTLDKDNSGITLFTTNPLLRNELVERMENGEITIKTDCIVRGLPSSAHISVHTPLVKSTKGGQLKMWPTKEGDARGIKTLDALGLSRNQSSKLPMFIHRREMVIPSIIATKPGVSIMAPLPSHFTSILKKLSLLKKPFLYRIRVIGGTPSEPIVLSWERRIHSLLKKYLELEKTLWTLSTLGGAYSALADYDSVHTCTVREISLYQLAIARELGDPVTEAKCCLYLALSEAQQGKMEEAKRVVRRIIRIGDSVQSPPLMASSRGVYAKIQSIEKEIEEKRYQPANRDR >PPA17328 pep:known supercontig:P_pacificus-5.0:Ppa_Contig360:15944:16929:1 gene:PPA17328 transcript:PPA17328 gene_biotype:protein_coding transcript_biotype:protein_coding MIKYELEGFGIRLNKQPPNIAFKKKEKGGLNLTTLVPQSELDVDTVKTILAEYKIHNADITLRYDATAEDLIDVIEGNRVYCPCIYVLNKIDQISVEELDIIYKIPHCVPISAHHKWNFDDLLEKMWLYLNLIRVYTKPKGQLPDYASPIVLKNDAKSVDDLCMKIHKTLQKDFKCALVWGSSAKHNPQRVGKDHILGDEDVVQVIKKI >PPA17326 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig360:9288:11847:-1 gene:PPA17326 transcript:PPA17326 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRHVNLSSTRGHNGEKYEKYFKKRIATIVSAYCERNANECPGVALVLNPEGDRKRGRSHPSDDILYAIDDDDPLLSQDNVILLRTHNEPLNITRILFNVVKSQTIAKGAYIDEEAILDPVKVKYILGSSPAPLARILGGVKVESVRVNHVDGGTRVEEDIAIGGEKNNSKLKMMIAIVGSFFGICYAVGIYRLIRDCQRRKKKKKTTECTQMIQKETETKNANYGSMVTHQPNGSTVVTVMEEAPLTSPVIGHCYTRPSSSLDASTLPRTRLSDRQALLMFACDPSQLPKEVSIDTGLEHAVATTSQSIHPSTVSQPLPSTSNQTTVPSIPAVVLLSSHSLSRDSPLVDPIPHSSIDNDIIPLHRPKSRRGSRVDDGIETIDLTERTVHPEYSEEAMHRSLHKRLTDEAWSSSEGEVDVYYKLSEEEGEGAKGDEWPNEVNPSHNDPSNVVIFSPPRKNRDVIQDDSSDDEIEGEESRKESVDVRDEDDIHGYERLREDLSPMPPPTSQYNRVKVLF >PPA17327 pep:known supercontig:P_pacificus-5.0:Ppa_Contig360:14119:14553:1 gene:PPA17327 transcript:PPA17327 gene_biotype:protein_coding transcript_biotype:protein_coding MQFECFPSVGKSTLLSNLAGVYSEVAAYEFTTLTTVPGVIRYKGAKIQLLDLPGIIEGAKDGKGRGRQVIAGKEYDC >PPA17324 pep:known supercontig:P_pacificus-5.0:Ppa_Contig360:6748:8724:1 gene:PPA17324 transcript:PPA17324 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-glb-11 MGNSKSVEVKDKEKEKEKEQERLSSGGGSATKKSSGAHRGSGSLNGSLPKKPLLNASQRSIIKYCLDNAKEDMADRIVRRVGEKKDDFKAFIEALPKEEKQQYVDSLKEFLTLVCTSLMDSEFVQKTGFEYGQKHANLRTKGFKPDFFAGTADAVTTECTFLDGATHAPSETAGAWYSTPSFHRVVLFSDGYYQELRKQRKNSNTFQSKIKLSEMAQESRDDDLNDRGSSPVKSEPPLKMSILQKIADIEQEV >PPA17325 pep:known supercontig:P_pacificus-5.0:Ppa_Contig360:8924:9248:1 gene:PPA17325 transcript:PPA17325 gene_biotype:protein_coding transcript_biotype:protein_coding MARTQKNKATNAHLGILKAKLAKLRRELITPKGGGGVKEDGFDVAKTGDARIGFVDQWDGRGFFEEV >PPA17323 pep:known supercontig:P_pacificus-5.0:Ppa_Contig360:933:4121:1 gene:PPA17323 transcript:PPA17323 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pac-1 MDYSMDLRSSLSSVVSPSSSSSKEGEGRSANLLIMHRYKAKSTALGSPLSSKRSSQDVGVAPTTNSSRTEANGEGVPSGGTPRTVRRWKKGKEKDSKKHMSMTEPGPSNLSPGSFAIGVKLANCPTRGDNDMVPLIVRACTEAVEKNGMDSVGIYRIPGNTAAVNALKEWTNIGGIEVMEMRNVSLMFGPSIVRPSDDSMATMREWMFNDEGTSDDAVPIESIADKEIREAPRGSGMDSAPPGVSTASFNDMHSMIIRANEKQAEDMMKENDKGKPYSDCLRARVRLTICGPQLDRLLDVTSPHGRTM >PPA17331 pep:known supercontig:P_pacificus-5.0:Ppa_Contig360:21742:25986:-1 gene:PPA17331 transcript:PPA17331 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-zer-1 MLINSVSESEPDAFLFVSLVEAHKNRLVHLGIVNTHAVSQSVLPLLETRGIKLPNLKSFRASTTEGIVGNPKHRRRKMGTAGLEGRNVPILCEEDLQGHAAEAIQSFGVCTLPDGEKDEDMDRLYSPMTSKFPSITHLNLAKDPRSQRRNEEDSSSQGENMNDTLIRLIEPLHSLHSLDISYWNKMDDLRSLRPLSISLTRLILYDVPDLYKAIDNICELTQLKVLDVSQCNRDTGLYPKPATCLYKLINSLTSLTHLDISSTNLAAQPSQQDWPDKESPGNMLCDIYGLRFLRQPLEFLGLFNCDSASHFGSLPAKSISGDATEDQVINALRAYSSRAGLLQAVLNESYQLYRFGNNNPLLRHTEALHLVLKAMHDHLHDSTLQIAGSASLFYIIRKVNMNRDTKRQVVGALLSGMETHMDEQVMVRNCCLSLCQFEIPQEILFDYSRLAILLVTVLQHHNGDSLTQRIVVFLLNSMACHVEGDQKIQVGKYRAIEMILQQIKRKLDANVCDDVMEVGWSFLWNITDETPTNCERFLEAQGLSLFEQCFDAFRNERELVRNMMGLIGNIAEVDSLRHQLMKDSYINIFTTLLTLVDDSIEISYNSAGVLAHLVSDGVDAWKVVTTKREDVMQAIMKSCSQWKLDTRRFINYRSFRPILRLIPKYEAYASQHWAVWALANLTTTDGNKYCRYVVDEGGEILLIHLVSHCGVLEGTKELANKVLENINNWRNTPIEKEREDENMEVDT >PPA17333 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3605:154:682:1 gene:PPA17333 transcript:PPA17333 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQNDRILAHDPEEAYKSGGFIGQTSHPLYVMVWGGVCATGKTPLVFVTPGVKVNKELYVKHILQDALLPWARSHFGQSHWTYQQDSAPSHKAKKTQDWLNAHVPDYIPTSEWPPNSPDLNPLDFSVWGVLQAKVSTTKYKNRDTLKAALLKAWAELDTNYLRELVTAYERRLKA >PPA17334 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3606:135:339:1 gene:PPA17334 transcript:PPA17334 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEQFSRFDETQPFQVRGLLDWLAEMHGRLDVSSSSSDLSAGLAAAAAVRAALG >PPA17335 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3607:189:785:1 gene:PPA17335 transcript:PPA17335 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVKLELFLDLPMPAIVSHLRACGLLRSTLMCPKCAVPCVEYQLKKSPSWPGCGWRCNNCATTFSALRDSWFSRTRIDIRPLLRMLYAFSWEQASFRSVQHELRCPDGSTISRQTFVDYCSFFREVCVADNERQPKIGGPGK >PPA17336 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3608:45:550:1 gene:PPA17336 transcript:PPA17336 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFFNDTGRFPEEELHFLPCVNAFRFNVDLMTQVKNRMEDGVWDRENPTKLPVGGEDAYKKYSIEYALALARVTNGDYEELDGYWGKEYNK >PPA17339 pep:known supercontig:P_pacificus-5.0:Ppa_Contig361:5536:7523:1 gene:PPA17339 transcript:PPA17339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-act-5 MCDEEIAALVVDNGSGMCKAGFAGDDAPRAVSDLPYPPFYRIPLDDLFLLPQVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQSKRGILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRIAPEEHPVLLTEAPLNPKINREKMTQIMFETFNTPAMYVNIQAVLSLYASGRTTGIVLDTGDGVTHTVPIYEGYALPHAIQRLDLAGRDLTDYLMKILTERGYTFTTTAEREIVRDIKEKLCYVAHDFEQEMATAASSSTLEKSYELPDGQVITIGNERFRCPEVLFQPAFIGMESTGIHETTYNSIMKCDVDIRKDLYANTVLSGGTSMFPGIADRMQKEIQHLAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRNSSEFELLYK >PPA17341 pep:known supercontig:P_pacificus-5.0:Ppa_Contig361:13571:18398:-1 gene:PPA17341 transcript:PPA17341 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLVEWPEIITCLENNRFELVLKNVKASSRVPSKSPLNLLYIGGCSLDRLSTTIKHCANLTNLVLKDNCLTCLPEEIGLLTQLKLIDVSSNQLVSLPQSMKNLENLQSLDIAKNKITTEGLFDFVGLVMLHIFEFSKVTKLKDLKMEKNELTDLPLCLGRMDKIRFLDLKGNPFKDTRFRKLANDTRVKVPSVLDYLRRKHGEMEDGENGNEKEKGNDDERNNGDSLNMRVKVLDSVSSIRGFLLTCVIRNINLSGQNFRKFINLQITALRKKTPVSARDLLSNLLLDSELARKREKRSQMTENTSSVLVEVSSSDSIDVCYEIMDIFIKETRLISPSIEMDQMRVYKENDDLLGEYPSRDSLRGISLHFQNYEEKKIFAVEVGRIHFLAGRHIEAVVLLEKAMHRNQDNLDSMCCYWLARSLYHTGRKNREVVQKVKDILTLAPNLKSRPELLRLQAGIFIDLNDISSAVAVYRTIIEVRTENEERKEIANIKIEHEESNNYIELGKLLLRMGNEEEGFAMFARMCLYAKGKFVSGISCLKKAAYLNPLEYKILYNLGLSHLAMNQYSSSYHFLAASLSLKPNNADILSTLAIVLTRMKDPPNARKAYKKAMEVMENPDIEKMWNYAVFEFNEGELESSKEAVEKLLERLKTPSNNEEEMMRGQTERIAFEIERMIALKNNGNDQS >PPA17343 pep:known supercontig:P_pacificus-5.0:Ppa_Contig361:23355:23887:-1 gene:PPA17343 transcript:PPA17343 gene_biotype:protein_coding transcript_biotype:protein_coding MWTGVSGGANGMNGVNGTSRRVNHINYHLDECTEEYRQLEKERKQTEAELARHNLGKRISSSNGMPIPRLPSAPSRVDRLVVDFFREHARLVTLLSKMEQLRGIPSSPRAIDALSQLHSAVSLLQQCRLQERAAILQQLRGDAPRMNEDGQL >PPA17337 pep:known supercontig:P_pacificus-5.0:Ppa_Contig361:388:2372:-1 gene:PPA17337 transcript:PPA17337 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRKRLSKKASKILFEIICEESFEGKSIKSLLPATRRISKVREGLWEYIRSQLALRSDEEYDLGTVSAAWYYNLRKIGSCLDQIDLTEDNGLTIDKLRTMERYVCNNMGDRIRGRGKGKGGRGEEARDVKLTADQMINLSRQTTMDVSSNYSLHSATGTAHVGRAVALNGLSVKNEMGSSNEDKEANKGNPPRETDEREDGNGDDEMREDEGMNNDPDDLRHLNETPSIEDNHWIPFDTPPGDNDVPMDGIPLPSRYNTAESTLIFRNNSTMSVSGYDADNEKGLIKRRRKELKTRTEREKVVKEEEEEDNKKEEETAEDANNGMVEDEGEDEVENEENEGEDNADKSEEKLKMIANLKRMIENLRDHIVSLRIMGSIDAIDMENLARSFENASKSINNNVNTVCLLCRIT >PPA17340 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig361:9348:9667:-1 gene:PPA17340 transcript:PPA17340 gene_biotype:protein_coding transcript_biotype:protein_coding MFNDEFTLALIDVGFGTLNWLVEDFPRKTRTLREYSSSDNFRSSPNPLALLVFKKDLFCAR >PPA17338 pep:known supercontig:P_pacificus-5.0:Ppa_Contig361:2850:4537:1 gene:PPA17338 transcript:PPA17338 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSSTDSSADRPEFDCGLYFFDYKIIRKLGSGGYGSVYEVEKDGGSYALKAEIVNKKNSGSLRAEAVTLRRAQSSDHVCRLYLSAQCLSGEYKINLMVMSLLTYPLNKLRRACPEQRFTRSTALRLAIQSVQAIEDVHSIGYLHRDIKAGNFAMSPDRRVVLIDFGFAREYVVYDAEKKSCRLRGQRKYAHFVGTTRYCSKKVHERKEQSRRDDLWGWLYMIVEMITGSLPWSELDDKKIGEMKMSIGSQLYDSCPKELYHIHDHLDSLRFFSKPNYELIKRYLKKACDRCEVKESDPFDWEKGGRYDDICEKIEKDRKREAEKAEIEKKKKEEKMMQEKKKNTEKWVDKKEYSLEE >PPA17342 pep:known supercontig:P_pacificus-5.0:Ppa_Contig361:18731:20846:1 gene:PPA17342 transcript:PPA17342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hpr-9 MNPEDSIRSQLECSSIAEGVPCGYITGTHKGAKFFMLSNVKVFAKAILALSKVADILNIEPSENGLALKAFHKGRCAYGAFHFSSKFFDEMDVTQLTQAYSSCKISMKEILQQAPNVKELVIAANRKNLIASTFCQKDEDISKSTMQMDFLRASFSKFQIKKKTEISFPTKEFSALVHFASDHTLPINLYFDKPGKPLILFIGGSISYTAEISIATMEGDEEENDEEEEEEEGDEMEDHNQTVGVYNGEDVALDMDDEDEDEQDDERREGTSEIRDESFQVREDTMEEDLELIDNQDEIMIDNDDIMVEKEGADQSIRLDNGDQSERWINESMQPPEEPRRKEEREQEYRRFFLSSSQMTQHASQLYERERILANESDDEEGNETPFKRRKKRSRKD >PPA17344 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3611:16:465:-1 gene:PPA17344 transcript:PPA17344 gene_biotype:protein_coding transcript_biotype:protein_coding QKLLKHRNKKAHIMEVQLNGGSIADKVERAKERLEKQVLIDQVFAQDEMVDTIGVTRGKGFKGVTSRWHTKKLACLQVDSRGSP >PPA17345 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3617:50:253:-1 gene:PPA17345 transcript:PPA17345 gene_biotype:protein_coding transcript_biotype:protein_coding EEEQRAVACAAAAAAASAAAAAAVAATNTETSTPPSTTAAAAQQLEQLQQLQLAAANQQLPLPLSYNQ >PPA17346 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3618:32:726:-1 gene:PPA17346 transcript:PPA17346 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCLPPYVLFLPSPLIPPMRRCLTDGRLSPSLRSLPSFPSHSSDEEMPEVKRRKAQA >PPA17348 pep:known supercontig:P_pacificus-5.0:Ppa_Contig362:22821:27142:1 gene:PPA17348 transcript:PPA17348 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIWSTGTTAQLKEQKSDIVIAGCTLTAPNKTPDAESAKARCDFDSVKHDTTPTDDEHFTDEIPYDLLFKKSMSCPAHSVLIFEPKNKPVTYETKSIWCDNVESPARFKTEAKRIDNGKYKNITLKGEFRAGCKFRVCDMCSKANFTCQEGVPDCKVPASETIDDCTVYKCPLSRMTVDAENKTRPGDIRCTQIPNKEPRAFGWTFNGANFERVSCPKELKCAEVSPLKCAEDEYTCKALHGVGASVVLCRNSMQMRVQHEGSETNVTSLSCDRDTGKYKMQLGGLSEPELPKNATIICTVDEPTKVPTQPNTGQTPLLYVAIGSTFFAIVVVIVIVCCLRQTQRRKDAASKAPGTTTTASKTTLRTTKTTSAESKTPVRTMVPIPPPTPIAAAVPLQRAEADIKPWDPNLTERTPNAPTPLCEQRTQDQPTDRRERSKTKSLSMAEPPKPKAETAQPEGEDSKSGVESTKTKVREDKTFKRCDDWDPNQMLPPKRRRASSPNDRSLSAHPLHPTQGEESTKQTQKDSTTKTKTQRTQDMRTDEAGANEETARIPARPLPKKPVYVAVPDVEKDSFDSEETKKSPLDKGPMKAPAKTPVEHSPYLKLEDLMSEHQLKKMKKKEKEEKKKTEDGI >PPA17347 pep:known supercontig:P_pacificus-5.0:Ppa_Contig362:15284:17963:-1 gene:PPA17347 transcript:PPA17347 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHYKELQKSSTEEIKALQERLQIVLNEANRRLEMTEKQMRTIKRERDFFDRIVSENASVDECDRGPNKLVMSPQALRKSKSNTNVNPFLLRLSSALSPLLKSIHSRCSPLPEPIAAQFDRLRAAYEKCNKKRLADQTWGLFDAFLLRGCDLCKVPLEVNGVRNFIDHICGKKHSTKLEGIACADAFDFWLNAIETATYDDPLKQTTKWVDIATKARNSSDNEWIRETEKWKREDYGDDEKIQERVRKLEEDIGRGVGPTGGNGKGKGEISTHYRKVEAENERVRFTQLEKEMEEMKLFWADLGEEEKTKDGVD >PPA17351 pep:known supercontig:P_pacificus-5.0:Ppa_Contig363:4197:7074:-1 gene:PPA17351 transcript:PPA17351 gene_biotype:protein_coding transcript_biotype:protein_coding MYDQLSGSAQKQEVGEDMPPAIGDAMEVKDGKEVAAPGDAIEKTVDDEKEMKSEEDRMIQLEALAGNRVGAAVDAMATKEAEARDGVCTLLHLSATGERGEHSSRSIPLSGRPSPASPSSGIHLDIRGDEVSLSSVRVDASGVAERSGELWVIEDPEAARAIANRVRALAASRKRFSDVSLAVRWLAKHAGLPSLRPSPVGRPDRGSAVWDGHAVMLKNRREHDKKKKAAVAALSAYHEWRHGIRQELGEDVTEKQLDMVVRESLRESERRRQARKEAKKMAKMAERLVDSREETRTMLRDAMGGEEPTEEDIDNILGRIAKRHQEEKGHREGGKKEKMSDRDKKKYGNRPPHTVLL >PPA17352 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3632:281:435:-1 gene:PPA17352 transcript:PPA17352 gene_biotype:protein_coding transcript_biotype:protein_coding KCPINTHHSSCIPCPPGPPPTAAVRAAAGHCAGANPRQRPSARRAASTSIP >PPA17354 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig364:16071:17647:1 gene:PPA17354 transcript:PPA17354 gene_biotype:protein_coding transcript_biotype:protein_coding MKISLIATKVSPEHPELAPSVGAEDDLTNGVTQEALGTKVRKLSWADQQPSVAEDSFSAAIDDYEKKLNETTAQTKELVDLLCQTGRQITENSMKMSDVFSDAVQASVRSMLDQERKDRAAAAVLSPIAE >PPA17353 pep:known supercontig:P_pacificus-5.0:Ppa_Contig364:3566:15003:1 gene:PPA17353 transcript:PPA17353 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLLPTAKKDEEKKEEDRKEEGAASEAVKKDEEKEEEAPVAPAADAAAPAATATDIPVTVWAPETSKDEEKKDDAALAASAAAPTDIPVSHWLQEEKQDAAAAAAAVETASSMTFSSQLSSSSITSAVSSTGAVAGSETDATTATRTSPAAAAAAPATPTTRAAPAAPAADLVVPPSPAGAPPSPALEISSRPIDITFDDGSVVAPGAAAGAAATPAAAVDIPVSHWQEPKEEEKKEEEKKEEAMTATDISVTVWEPETDKKDETAADRPSSLADKEPAAATAATAAAPSFGAPVPTVDGTQNATICSALESLASKMTTVTTTDAEASSTVTTTDAPVTLGVPLQLQPAASPALSPAPSSATLGVDDANRDRSVTPTPASLQHDSTISSLAVEVGSDRPTPEPGQERFVTNAEQSEATITKPSFSLESSTTYSSSTSSTDVVVGGSSDSGVASTDHYVLPSVVLQPTENGAAAAAAPAAEPAAAVPTVAVTEEPVTPIVDGDGVVTTTAPLSLGVPLQLQPAASPGISPAASSTTLSQDGDRAVTPTPASLQHESTISSLTVDQTSSRPTPEPDQERFVTNAEQSESSFTLESSTSVTSSTADGGPSATGDSGAGAGDSGATAVTDHFMPATHVELQAEAASPAPTLTPAAVDVPVEIVRHLDDAAAWHSAPAGADANGIAVPITIAPSGGPPPLAREPPLEAREEAAPPRGPPPLAREAAEEPPHALERSVMVERRVLSPDSAAAHAAAPAPQQPKKEEETAQGPTPAVSVDQAPLTVVLQPAEPAAAASAAVVMVERRVLSPDSAAAPAAQAAPAAPVASSVQQEQQAAAAAPAADDAAASSTSPTTAHAPISLNGTFQITPSEEDVAHPPAMLRDRAMSIHEKSLLAHEPLSGFQPGVLDAVAEDTEVHVASAVDRRRASVAPGVDGVPTSGFQAASTSCLFTVDEAAPRDEEDASTKPPSTASPSNESSTATTVASSIVDVTAPEEAAKPPPKAPGVQWLTESEPATVVQQQAGSDSYSTTSAPATETAQTTPAADAPPSTPKTPTGGSWTTEPAAPAANTVAAPAVTTDAATSAAPDAAPVSTSGAGDAVQPRRLTCSLIAHVQTVTSTEAPPQTPKTLTGVTWVSEPAAPTAEGAADSVQTAPAAEAPPQTPKSPTAPAVDSVQPQTPQTPGGAAWITEPAQPAAPAQFEAQPQTPKTPTGVSERAAAAPAASTEVTAAAVQTGSTDVPPATPKTPTGISWVSEPAAPVASTDPPQVASADAAQTVTSTAAPPQTPKTPSGVSWISEPAPAATPSAPASAASTEQPPATPKTPGGVSWITEPATTTSESQAPPQTPQTPGGVAWITEPAQPAAPAQFEAQPQTPSALPAAPLSPSVINITAGDGGVQAAEPPRTPTSPGIKWASDSERTGGNVAAAGAPPAPAESTFVVNAPWEGAEPATSQASAPDADGVTWITETAAPPPAAESVRPPLSRGDSMRRSS >PPA17355 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3643:454:946:-1 gene:PPA17355 transcript:PPA17355 gene_biotype:protein_coding transcript_biotype:protein_coding NKVFPGTHLLPQEVAPAMRLGKPIPPPLKKAKGDEIEKENENGDEKGKDNGLRKKTLKIKATMRDGKVKNLFAHMHTTVQALIVQAGATAPCSLFHDGRKVAYARSLRSIESVVEAEKEIEVDIY >PPA17357 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3648:923:1744:1 gene:PPA17357 transcript:PPA17357 gene_biotype:protein_coding transcript_biotype:protein_coding MNLENIILSKLTQEQKMKHCIFSLIGRPQKAAGGIPQLKPRIYEGIIPFKKFWVSI >PPA17361 pep:known supercontig:P_pacificus-5.0:Ppa_Contig365:7930:11531:1 gene:PPA17361 transcript:PPA17361 gene_biotype:protein_coding transcript_biotype:protein_coding MRILVTGAAGFIGSHTVLELLNAGYDVICLDNFANAVSDDKGEAISLNRVAELTGKAVNFKKCDMNDEKALEEVFKGAKIDAVIHLASLKSVGESVARPLDYYRNNIVGSLNLIGLCKKYAVRNFIFSSSATVYGSPEKLPITESCRVGFGITNPYGQTKFMLEQILTDVGKAAGENWNIILLRYFNPVGAHPSGRIGEDPNGVPNNLMPFVSQVAIGKLPELTIHGDKWETKDGTGVRDFIHVVDLAKGHVKALERALEENASIGTEVYNLGTGTGYSVKEMVAAMEKASGRTINKKVGPPRPGDVASAYCDPSLALEKLGWKSELGLEEMCRDLWKWQIDNPNGFNAA >PPA17358 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig365:82:928:1 gene:PPA17358 transcript:PPA17358 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSLDEAMRSKERAVDLLELHTNKLNESIGRLQELREVCEEMGVDADSLESVDDGKEYTGAIYRSVFEEEEE >PPA17363 pep:known supercontig:P_pacificus-5.0:Ppa_Contig365:18114:24486:1 gene:PPA17363 transcript:PPA17363 gene_biotype:protein_coding transcript_biotype:protein_coding MRIALGLAVLAVLACAASGAFRGQFADLFNTWRAGEEAHYVKDFDHFSAAYHPEVHSEEHTRKKRNAEEAAKFAAGNPITKACDRPGYTGQYCEFPICQEFNPFINPEQYLRDDGYVIDLTDLGNCTRKHEIIVDETMFDIHIEVQSLEDVSPVLSIYDSDGYLGTPDETVAESDRFVATFKALKPGYYTLVPSAASIESRCILTTTAQTFMTISGGFQTDDRDRNDFPSDNAGAHQFNSIMLHLNGGRSPAELKTVSVIGPENQVLRPRMLDKRYGCQYEYYFDSLFCYGKGSYAMIVEGVDFYGLPFRRTAPFQCVYVPAPPTSPGPSTTPVPTNPPACANGGVMMFEGLRSSCVCQDHWTGYDCSQPLCINGGTLIEGKCFCTNGFEGVHCETVRCEPNSNHGFGVDKPTLIFVVRVREEMNAVMQQVQQAVDETFIICTSCSLLISYSFSETQQGKSGADRDISKGGRKMRSHRDKQHNVITPEDMFDALNATLQLRATSVFLEELVENSVSSTKIKKITELSYFEYHGSDARVWKFHGIGDGEVIKDLKHTNATLDIKKQGGKLAPAAVNIEDRKRILASFDKNPGQYEEPTFWLLPHEVAPMLDIEPNARDDDIVTPNRPDPSNPAGAAKQSLFYCRDCGSSFILYKNLLKHIEKGKHFIRPEHVKLLDKVLGLFMRAIEDTLVPEPLSPVSE >PPA17360 pep:known supercontig:P_pacificus-5.0:Ppa_Contig365:3808:5346:1 gene:PPA17360 transcript:PPA17360 gene_biotype:protein_coding transcript_biotype:protein_coding MEVINAVAATGIVSLILYEAYKLLAGAYRGLTEIKALRRSKKIRILIAGAIDGLRAGIAREFRDRAESLEASKRIPGGTLHKFKVDFDEFSLVELTGVPKECCDNNESFDWIIHHIGFIYFFGYDYPMEDNLFTQMVEGIEWIKSAVE >PPA17362 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig365:14674:14967:-1 gene:PPA17362 transcript:PPA17362 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDWTNTPDGAAKQFRREWFQGDGMVRRKNLPIELTACSSPTPTSLRHLVAYPHYAHYPQRPDPLPPDP >PPA17359 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig365:1346:2472:-1 gene:PPA17359 transcript:PPA17359 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEATTTIVLSVSIYCLMKVFFQYKIVSVLVAGADEKLRRAVTDRMLAQGKKLEGWPEIMTDSIRHKVDIGRDEYTFFEIRGHPANHYHILEYFKVAIFIIDCAAEDQNDSLELFKSAMKLAPLKATKFLIYALRPDAETVKNISDDEIKEIYGLQQFHQEWRIQPVTYQWHSLHLSGVENGVNWIKSIV >PPA17365 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3655:33:329:-1 gene:PPA17365 transcript:PPA17365 gene_biotype:protein_coding transcript_biotype:protein_coding YAMQPPGSIEQYTKFLSPDWPPAVLDRTDCLYPDRFLFMCILSVICIIIFFVLAVT >PPA17367 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig366:3638:5023:-1 gene:PPA17367 transcript:PPA17367 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLLLLLLPIAFADDEHPIHEHCILCPPPMTCTLMKASKSYVTCKCTAEDPKFHPPALPSNWTDADFQQLLNRLRGKLNKTFMIFNQNKRAGDDASQHVQFIVGIAQCYELPESANFEQCAAHMAHLLIDYEPSHPISSSGISILAFIIVILIAGVLAAVAYVLYHFKIHDSKLARNSIVERVIHVKKRPIDDSTISL >PPA17370 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig366:14970:16731:1 gene:PPA17370 transcript:PPA17370 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIDLFWEIGFCLPASCSSAELLSIFRPGNGSTIDNPICMIEKTGEALPELDAWFYITVSLMGLIFSVCLIAGIVDYFFGEALKRHPISKTLTYRLFISCSMYTNIASIFEIETTSKEGRISSFHCMRFFSMLWVVTYHLYMTYMAFAANPVDVINISTDLLSEVITNGFFFVDSFFFMTGVLITKECSLNSKFKFYQRSPKETMCIRSWMLYYAHRILRLSPAFYILVLFYTFVLKQLMRDSPLSMNSIVIGDRCRESWWMEMLYLHNFVMRDVPCLSYSWYLAADMQMFIFTPL >PPA17369 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig366:12743:13746:1 gene:PPA17369 transcript:PPA17369 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAFNRPAWGLGMSWILIACYYGYGGPINAFMSWHIWIPLGRLSYSGYLIHIPMIQLVLSQTKDEVYFSNFLEFFITRVISISVMTFFFATFWSACFELSFGRIEKLLLGGIRAPKTTEKMEIIKAAEEPWGADTTDVEGNIRL >PPA17368 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig366:8656:12127:1 gene:PPA17368 transcript:PPA17368 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKAMTNPSANMDFVKSALIKMLDSNGKRGPAVLRGHAYFAGHYSECEAVHYAVEGRERHFNGQYFRINIDPFFRPNTNNNSCNVVLPFQGGIPIFWEVGFCLPASCTSAELTNLLRPESGSSINNPVCQLSRPGDFTPDQDAGFYITLSITGVIVAVCIVSGFVDFYFSERGGQIGPIHCIRFFSMVWVLQSHLFSSYLAVVANPDDIMSIARDLTSEIITNGFFCVDSFFFMSGVLLTFLWFKIYNKKPKEAMSVYGWTMFYIHRILRLSPAFYILVIFYTFVVKQMLRGTPVSMNPIVTFDYCSETWWVEFLYLHNWVDHEKLCLGYSWYLAADMQIFLFTPLLIIPLAIKPILGFVVAALIFIISTGTNIFLVYHYHWPTGQNWFHPADPEETNRENYNMLMYDSPLIRCQARF >PPA17366 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig366:2102:3249:-1 gene:PPA17366 transcript:PPA17366 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNVIVGQVICQCTDDTPIPRFIAPELSKDYAPEFARNKLILREVLAKSWGIFDLKENTVSPDISPDDNPAFYIDIRMCYDMPHPYLNFNRKVMHMMKLIIAYNDELNQESCKLGFGGVVLVVALVLFAIMVGCVVYRRRLIRNSPVFLTDDEGPLDAAADTGNNDRRIVADAFENQFFDLDA >PPA17372 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3664:18:633:-1 gene:PPA17372 transcript:PPA17372 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKAMGEGGEMIGGGGGDLDWMGKQQGGVGFREGDMRWGSGAAVAPLTASSSLENAIYEITTQSTHMARANLDAL >PPA17375 pep:known supercontig:P_pacificus-5.0:Ppa_Contig367:7002:8655:1 gene:PPA17375 transcript:PPA17375 gene_biotype:protein_coding transcript_biotype:protein_coding MIEYLEEFLEAVIVHARLLVIIIIGVVKACEICLITGSGSGIGRLMAVEFAKLGCTMVLWDVNTAGNEETKAMVKATGATVYSYTVDLSKRDQINVTASKVANEVGVVDILNTQVNNAGVVTGKKLIDSPDEQIERTMAVNASACLYVDYCASKFAAVGYHESLVAELCHLGADGVNMTLVCPYVINTGMFDGRKNKSPAILSNLEPQYVVDCIMEAFLTNKGELQMPKSLYWMALLNFMPSEAKHILCEYLGQYDAMDHFKGRKEIFI >PPA17376 pep:known supercontig:P_pacificus-5.0:Ppa_Contig367:9133:11244:1 gene:PPA17376 transcript:PPA17376 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLPRKSVKGEICLITGAGSGIGRLMAMEFAKLGCTMVLWDVNTAGNEETKEMMSKSGVTVHTYTVDVSKREQINKAAKRVAKEVGTVDILINNAGVVTGKKLIDCPDEWIERTMDVNASACLYTAKNFVKPMIERNHGHVVTIASVAGKIGGPGVVDYCASKFAAVGFHESLSAELQHLKADGVKMTLVCPYIINTGMFAGAENKSQKLVSNLEPEYVVDCIMEAVLTNKAELVMPKSLYGLAILQFLPTEAKHILAEYLGQYDTMDSFKGRHQIST >PPA17378 pep:known supercontig:P_pacificus-5.0:Ppa_Contig367:14456:15129:-1 gene:PPA17378 transcript:PPA17378 gene_biotype:protein_coding transcript_biotype:protein_coding MTDCPIRLISAISTLLFLLGNTVSGAPMFDMEQNKIGHQRMHSMKTIVTCFQHYNKLSEGLEPSERKRYYASTSGATAVLYDEDGNEMDCSPHEKMIERPKSQYDQHCFFSPLNCFFSKYRLSPSDESVEYDVEKKRRN >PPA17374 pep:known supercontig:P_pacificus-5.0:Ppa_Contig367:3217:4738:1 gene:PPA17374 transcript:PPA17374 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVPSTLYLISIVRSFTEESVKTYILALYNKMDEMKKMGCEVYLLTRGPSIGTQGGSYLKLIGVPFRKVCDENQAQSELKSHRQRAKRIAGWNSLLQVVEATISDENRAKSRQSSRDGVADPTDAAAFIASQTGCILVDRAGNVHFKFVEDEFTKAWPDSDILLSEIKKKGIPPPSSGDNEKEKLEKPSNADSSSSESDKKGCCTIL >PPA17373 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig367:2108:2744:1 gene:PPA17373 transcript:PPA17373 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKVEDFQDQVTQILALPFINNNTKLYDNANDQVRRASDFHLQLDTMKGDSETTHLMRDFHDATARWDAFLDEIDAIIDDKIGPSDVAPTSELSFIPPQ >PPA17377 pep:known supercontig:P_pacificus-5.0:Ppa_Contig367:11763:13487:1 gene:PPA17377 transcript:PPA17377 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYRLFQTHGEICLITGAGSGIGRLMAIEFAKLGCTMVLWDVNTAGNEETKGMLSKTGATVHTYTVDVSKRDQINGAAARVKKEVGTVGILINNAGVVTGKNLIDSPDELIERTMATSKNFVKGMIEKNHGHIVTIASIAGKVGGAGLVDYCASKHAAVGFHDSLAAELRHLKSDGVKMTLVMPYLINTGMFDGFDNKSPGIISNLEPEYVVECIMEAVLTNKEELIMPKILYYLALLNFFPNEAKMIIYEYLGQFDCMDSFKGRRKITA >PPA17379 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3670:212:612:-1 gene:PPA17379 transcript:PPA17379 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMGMEMETEEIGGRRGSVEPVRNLDESCAYKMLRLSSHFHSIRENSSNNLIHFRISTFFFSYLNIVHSA >PPA17380 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3671:49:728:-1 gene:PPA17380 transcript:PPA17380 gene_biotype:protein_coding transcript_biotype:protein_coding DDKTFRYPSYMQDIMGDIFSMGFGPFRWVCTSNKDECLKKSDEIAVKVFDELLKTDAPEPVKQQYRDNRKWISEADKHQLVVGTKVGNQFNSKHHDFVYRSRHAPSIQARILYSDQAGRVALALAFNAAVKSKEIDARSIFIIQPFPSNRVIVMMMSFQAPVVISRDHHDVSGTDSPF >PPA17383 pep:known supercontig:P_pacificus-5.0:Ppa_Contig368:9217:11672:1 gene:PPA17383 transcript:PPA17383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rfc-4 MNSFFAKKTAGGSDDAAAAAKRAARAEHEPWVEKYRPKRVDQIVFQSEVVSVLQKVLEGADLPNILFYGPPGTGKTTAALALCRQLFKTTDVIKDRVLEMNASDERGIQIVRTRIKDFAHRAVSGSSVGGIAAGLKIIILDEADAMTGAAQAALRRTMEKYSKNTRFFLICNYVSRIIDPLTSRCAKFRFKPLPVDCQVDRLEMICETEGVTAEREALEKLVQESEGDLRKSITTLQAISSATKRVTMDDLSNSSGRIPEKAIDAYLNAIKSLDDAHILAGVEIFRREGFSVDQLMHQLYEKLVKDEGFTDRQKAAIFEKIAVAEP >PPA17382 pep:known supercontig:P_pacificus-5.0:Ppa_Contig368:3036:7409:1 gene:PPA17382 transcript:PPA17382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hke-4.2 MTRVCLLLSLVGVVLIWAHGSEPAHYKYSKEANEAVYEEEEHGHVHSHGHAHDHGHSHDEFDHGHAHDHGHTHESHGHAHAHHGHAHEGGECPYAKAAREEAAALKKKAAAAPTHAHGGGDQFTGFLSFLNCPHTRLWVYALGSTLLISIAPFLILFFIPITANTAESGPLLKVLLAFGSGGLLGDAFLHLIPHATPAGDGHGHSHAAHGHSHGEGGHAHDMSVGGGVLAGILVFFLVEKFVRIVRGEGGHGHSHGHSHGGKKDKQSDDESDKKNDKKEKKDDKKCVEEKKEENIKVERQRPVNEVAAYLNLVADFAHNFTDGLAIGASFLAGSTIGFVTMITVLVHEVPHEIGDFAILIQSGYTRRKAMGMQLVTAAGAMSGCVLSLLSADGSLSDAAASSAILPFTAAQGFQKSEEG >PPA17384 pep:known supercontig:P_pacificus-5.0:Ppa_Contig368:12024:14795:-1 gene:PPA17384 transcript:PPA17384 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHTTHENTEVTHKSDVVFLAVKPPHVSKVTSEIAPSFSRDQLLVSIALGITIRNIESLLPPKCRVVRVMPNTPAVVRAGASAFSMGSACKESDSDIVKELLSTVGFAVEVPEAYIDPVTGLSGSGPSYMFAVIEGLADGGVKAGLPRDLAVKLACHTLLGAAKMALETGEHPAKLKDDVQSPAGSSVYGMHKLESGGLKGLLIDAVEAATNRSRETGTKALPREYLRNSNDISIYLCVNAFNTSTQPLLESNDL >PPA17381 pep:known supercontig:P_pacificus-5.0:Ppa_Contig368:1153:2107:-1 gene:PPA17381 transcript:PPA17381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ncbp-2 MALFDARARDKARELSAYRDQRYSGSLRDQEQQLKNSTTLYVGNLSYYTREEQVYELFGRAGDVRRVIMGLDRFKKTPCGFCFVEYYTRDEAENAIRCVNGTRLDDRVIRTDWDAGFIEGRQFGRGKHGGQVRDEYRKDFDPGRGGWNKIVATKNNENL >PPA17385 pep:known supercontig:P_pacificus-5.0:Ppa_Contig369:2084:3737:-1 gene:PPA17385 transcript:PPA17385 gene_biotype:protein_coding transcript_biotype:protein_coding MTERLSRLTNTSLACYLSMSNGGAVCFVVRRRDGQIVHLDPIDLKKLQIKPLTEYLWDIGTDEKYADDVALVAKTRPELERMLRKLMDACRRVGLEVNATKTHLLTSCKTTRAPITIQNLTFNFVDSTTYLGGRISLPLDHTDEIERRIRLGWLAWSKLSHLLSSRLLPMKTRRRLFESCITSTVLYGSEVWALRSSDKERLSITQRKMERKMLGVALRDRWRNERVREITKLRDWNREALRRKARCALKVRSMQMEQWTRATTFWTPYNRKRPPGKPRVRWRDDLDRAIGNWWNTPHEDFAPILI >PPA17388 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig369:18063:18413:1 gene:PPA17388 transcript:PPA17388 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKRPPHLSPSSFRDETESAAIPDPTNILRGIAKYLDALSPEDKSSPLALLLGTCAMQINDLMARSTMSIEDAIEKEKRDRSVVVMGLTESVAVKPSERVADDMAKVVSMLDLAE >PPA17386 pep:known supercontig:P_pacificus-5.0:Ppa_Contig369:4378:14001:-1 gene:PPA17386 transcript:PPA17386 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQRAYSNLAWMGLLVESRLSQLPFDFVGPYVERRQISFLFPGSPSLFRFDRILTRFVELARPIRPPNLHENVDEWTRFTNYYTSVTRTLSATRKEKAKIPSKAMMEGLGMFCEKELHPCIESYRTLAYNHSNRLNARLRRAEIDRLAGLPSDVDYFENAAIAMYHNMMTTLCCFSIIIKDFRNDLHKFYRIHIDSIPVTEEHVYLLTTMENLLCDCLFSPQLHMNPVLVTNNLFSFSCISLARSLLYKEFTVHVISEETAKELQDQMGPIKLGSHGKGSQLKVTSAALIAIKPVSGVKRNNATVSPEGGNTAHKKSDVNSREFVPLEPTFHEEKLCWTANYPHLLCTTRQKDQEPKNMILASKNAGRRPIFYFFICGAFYSTLGGVNYCQTFGQAFAIATRRNQDCQVQRMTSSYTATCFWMYGASQLKGSILDFSEGTITWHRFKMLLQKHLRIAGEADREFSDDELEMLQEKMHCTECSDSGKEKDGTPLIAYKNLLCPHLRYEQCQPSTSTEVTDDDMNESSNDTPAQARDLRISVWRGILENNNKK >PPA17387 pep:known supercontig:P_pacificus-5.0:Ppa_Contig369:15774:17711:-1 gene:PPA17387 transcript:PPA17387 gene_biotype:protein_coding transcript_biotype:protein_coding MREWLSPLHPIFHHFCNVKRLIELADAFSAGHAPTKEQADHVRQLLHDITAKYADKMTEDACFPEDTEAYETETNT >PPA17473 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:510261:511140:-1 gene:PPA17473 transcript:PPA17473 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQGSGFATCDAAAQPPSQTPPAGTQQPDPAAAAADPAAMRSEELTKPPVVEYAETRTSARSAELPDAAAAAAAAEPAPQIATFDEWTKAKLQQPPPVIVVAGSAEGAPTGATAPHAAGHAAPETPRVLVAVPPLPAHPAAATRNYASRECGAKVIYSNPEAENTKAVLNDKARKRHMSQWFGD >PPA17424 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:224165:224922:-1 gene:PPA17424 transcript:PPA17424 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDTKTSLDDLPIEILVDIYKYFNLPETLKLRLNKRLDQVQLSTRHSIKSMQIQSQDDVSQCIDAMFNFKPEFMGINVNKEIELPEITFSQLLNMAYHVKRVEIDANFSNITAEHLCQIRKVVEQIGWVEV >PPA17449 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:395689:398570:-1 gene:PPA17449 transcript:PPA17449 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nuo-1 MASGMSQLAARLLQKSGTPTAFRSLSAAAEKKPEKTSYGNLKDEDRIFTNLYGRHDYRLKGAMQRGDWHKTKEIILKGQDWILKEMSTSGLRGRGGAGFPSGMKWGFMKKPFDGRPKYLVVNADEGEPGTCKDREIMRHDPHKLIEGCLIAGVAMGARAAYIYIRGEFYNEAVILQEAIHEAYKAGFLGKDCLGTGYNFDIFVHRGMGAYICGEETALIESIEGKQGKPRLKPPFPADIGLFGCPTTVTNVETVAVAPTICRRGGEWFAGFGRERNRGTKLFCISGQVNHPCTVEEEMSVPLKELIERHCGGVIGGWDNLLAIIPGGSSVPLMPKHVCDDVLMDFDALVAAQSGLGTAAVIVMNKQTDIVKCIARLSLFYKHESCGQCTPCREGCNWLNKMMWRFVDGKAQKKEIDMIWELSKQIEGHTICALGDAAAWPVQGLIRHFRPELEARMEQFHKQVLEEKSIKASA >PPA17504 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:662599:664180:1 gene:PPA17504 transcript:PPA17504 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLVCPYLIYRAISTRCIDFVPLAPVAFTWVMELHAIIYSVGIDDFYMLLANTTFFCMDGSLLAMFFIFPTEKKRESSTRSSIPSDLVPDIVRFALADYGKLVGQDIRLDYRICTIPQHTFKW >PPA17512 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:701666:702010:-1 gene:PPA17512 transcript:PPA17512 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIILLILVAFAVATVALERDDFETWQYLYKRNMHGAKRTELNQLNSVLKKPVYNFMRIFGYRDQ >PPA17550 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:947687:948396:-1 gene:PPA17550 transcript:PPA17550 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVSSSRGSDLHSTMLTLSIGARLSYFERRKEVMDRLQSLSIKSRKEFDKTFSRLSHLMEICYLNSTKSKRSLVLAERIQFAMSYFFNTEDKNRRNLLRKTELLRKILNDISTELFGGVSGVHKKK >PPA17448 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:394152:395400:-1 gene:PPA17448 transcript:PPA17448 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dpy-3 MNEKGEVLPSPVSPSGKKKTSLRFAAFCAVTFATVSLLACIIALPLVYNHVHSIQAYMQNEVEFCKTRSREMWRQMASVHVSSGAVKHRVARDAYDAAPLTTGQTGQAPGSCCQCQVGPPGPPGPPGRDGRPGAPGRPGNPGPPGRDGTLLPGPPPKPPCQKCPPGPPGPQGPPGPKGLPGPQGDPGIPGKDGIPGLPGPPGPPGPQGPPGDIGEKGAPGEPGKVINGAPPGPPGPPGQPGPQGPPGPPGKDGQPGKAGPPGPPGDPGEKGADGLPGPHGPPGPRGPPGQPGSCDHCPPPRTGPGYVRR >PPA17482 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:552480:554503:1 gene:PPA17482 transcript:PPA17482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acbp-3 MEAKFQAAVDIIQKLPKSGPLQTSNDDKLKFYSLFKQATVGDVNTERPGFFSPVERAKCLLVNPSEESVSSFLVLSRHSDWDAWEKVKGLSKEEAMKQYVDTLNEFFDKAAKDLDIDGWLNGPDLDPSIKENLAKIAA >PPA17556 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:984881:985315:-1 gene:PPA17556 transcript:PPA17556 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSEQPQEPQEVQVDYTKYDEDSVPESEKEIKHTHPGRPDLDYDDTPVGPAPGTGDDA >PPA17389 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:957:7442:-1 gene:PPA17389 transcript:PPA17389 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ostd-1 MYCTVITMRVVGLIATLIACATAEKIFLSSYWSAEDTKRISESFQNVLSSKDDLASLHYATSGLRLLSQKVDDAKAKRVCSLSQKVNEKDADALFHASSIAGDLPSCALTAPSAKATVEAVAKEVDVNSEKLFDALTTADRLNIKVDQASFDKALTAAIAKDASPKSLSNALAAASLLDKAMGAKYFAKIGSLVEQADEVDGKYLQLDGGLSVTATAVYGIFQLAHQQGKAPAITKDQVLQFSNHLLTRRQVFADRAAYNVIRAIGVLINNGHFVPASVSLESSVDLAKPIRLHVSNVFGAALPGAVTVRVEKVTLGDKVVHSANTATKVAGDNTNTLFEVSGVAAKEAGNYVVYVVVEAADKKVIGTSSISFPVKVTNEATIEGLEVIVADKEGKIEKRQSIAAFAKAASALTVDSAGTLSVSFTVKSKSGEALKPHQAFVIFEHEKSGEEVVFVADAQKTEGAYKMDVNLAKEGKDFGGHSGAYRLRLVIGDALIRTPLNWIIAEATLTVPAAPVEVVKKSEKVDYSVLPEITHMFRQPEKRPPGVISDAFTLIALAPALVLIFLWVKIGVNLSAIPTSIYVPIFHVGLAALFTLYFIFWLQLNMFETLKYMALIGAVVFISGHRLLRTMADQRKGSNKFVAILFVFSSSTTIGTMAVPNLAKNLKKMADLPVKAVCTWDKAARIRRNEEIWSNEESVVHRLPEHYKKRYWNNVLADAKPVHYRPPTDRLFWDETRLEQVEVEENPIRPIFPPESDEGLWGGEGVVKGWIESDPFVKKKVLPRRWVPHLWFPNLKTAVIYSEILDKYMNMTVTERALRLIDAHHGLDYYILETPEIDLGSKLALK >PPA17501 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:654085:658287:1 gene:PPA17501 transcript:PPA17501 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAATKTVEIDQALGGFPTQYREVQGHESALFLSYFKNNLRYSAGGYDSGFDNIDDLIKNFKPKLYKCKGKRNVRCTEVKLGKESLNLGDVFILDVGEKIYVWMPPESGRLEKIKGMSRAKNIADNERSGRTKVVPLDDEWNKSADFWSHFGGKDVIASVAKAADDDANFWERNKDQVTLWKVSDATGEATVKWLAQGEDVKQNLLDSNDAFILDAVNGGIYVWVGKGCSLDERSKAFHWGQCYLNQQHLPPWTAVTRVLESTEPQLFTQWFADWMGTIKTGEFEPRLFQCSNESGKLIVEEIVGFDQEDLDGDDVMVLDAFNTIYVWVGAGANAEEKKYAEKTAQEYLSNGTVKRPKQTHIETLFQGQESPTFKKFFPAWDDKMFKEQARSVANMRKLLFH >PPA17422 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:217150:217928:1 gene:PPA17422 transcript:PPA17422 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPCYCTSSNATFGEARAGLGNPPTLIDQAYQTRVGTGPFSTELFDDDGAKLQSIEQEVGVTTGRKRRCDWLDLFLLRRSAHINGYTHIALTKLDILDSSPNSRFTWLDVSLVAVGYKMDEVQLAFPPAQTSAWDKIKVEYLTFEGWMLKY >PPA17406 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:145795:147213:-1 gene:PPA17406 transcript:PPA17406 gene_biotype:protein_coding transcript_biotype:protein_coding MWSNGLCLLYVYADVFLCSASIVHMSVISLDRYLGISQPLKTRNRTKTAIFIKIILVWIITILISCPIVVLGLIDHRNVLNDQQICAVHNRTYMIYGSTFAFLIPFIIMTVTYFKTTALLNKQAMLLQQGNSNGAKNGLRRAAPPRKLGYSNEKSRRSSGGTSTPTISAVNHAKWSSSTATATSLHNINGAIQTPVRSKRSLPPSIWQKSNGTTTPAKDTLSDDLDLRPRRQKPSRLQRWTTRTSSYLSLIVSRVQRKSSYATSVELLSEHKATRVLAVVFICFFFCWTPFFIANFIFGFCGQSCEPPVAISTLFLWLGYVSSTINPLIYTVFNKRFRKVAYIVMRLSESSVVDVSAREVRITPMWDRTPIGRGHTQ >PPA17463 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:453434:458602:-1 gene:PPA17463 transcript:PPA17463 gene_biotype:protein_coding transcript_biotype:protein_coding MRREYCRGAGDCLSHLARRAKKDKKKKKFIFATQDPTLQDKLRAIGGIPILYIAYKTVLLDPVSEATKTEMETEKGELETIRELKKELLGEEPEKKKRKKKGVNPLSCKKKVMKKSGVKPVEGTKTANGKRRRRKKGGETGGAGGGGGEEKMETMSLLMPSPSAPSAPAPAQMGGLDFLPSVCLSTTLTHTCSALLLIPSHTMVDAPPIVVCGKENGETVIFSTDFNQRFGKSEACTSPITAIAYGRATNQTGSELVTISADGQLTLFKVIEGATLSLQPTFHQLMQANITAAVIADVDRDGLMELIVIMTDRVVRTFQWCTESNRFLPRNKWEVPSHIAGVSIGESATRQYEAWLSQAGSRQYVAIRFSGDHSVTVCDSRMDAATIFMPYRADYVRTFGSLVTGIVVHVNQKERRLRMIGGDIIGLGTVRLPSKVVVITVDVNGLCLVYAYNERNIKKMDIDPLMSFGGVVMTSCR >PPA17436 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:325019:327603:-1 gene:PPA17436 transcript:PPA17436 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKRRTPTNRQSPIDIRTSKVIPDPEKCSPLIIRFNPGDCVEVAVNEDGCWKVSARLHSTSVIRGSHLTGTYRLLQFHAHWGGSEHTVDGKRFDGEIHFVFWNTRYFSPEEACKKSDGLAVLGVFVEKGPANQEFNILLKAIEESNKTGKSVRIPPSFDPMRLVPRSGLSRYFTYLGGLTTPPYSECVVWTVMQTPITMSEAQLKTLGTLTDENWRECQRLHARKIMDKVVA >PPA17492 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:604536:604813:-1 gene:PPA17492 transcript:PPA17492 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKLHVVEMSEELIRNITREGKGVFSVDSLSFKKEGSETTPTNIVLRNLSELDQ >PPA17548 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:925950:929532:-1 gene:PPA17548 transcript:PPA17548 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPMQGGDESMESESAVPAATAAPPPVAVATTAAAPPSPTITFEASGCDMVDLLHGPDAAAPPAASEADAPSRRAHDTRARLFGELMKKKEQKPAVQPAAAAAAVADDLRNLTVAATPAPKAATAPAPTQTGPCDERFWAAWSEDPTGPPAPRFAILPYPERPRFEGGWWFRPSRARPEPVESRKEARKKRREAEERWRAEQMVDDADAASRRPDDTRERLLAEMMRKKAMKSVESPPVSAFNVEKKKDVVVERTKEEEEDAASAPVAYSGSSRAPADDVRARLLGALAKKKQKPFEPAAVVEEKKEKDADVENVLVDLSPSSDSPSPSLFAAAAASPTPLLQSPTDLLHDDLKDLF >PPA17534 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:854899:855862:1 gene:PPA17534 transcript:PPA17534 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYCFETLSARLDGRRQPAAPATIPAGAKFPLFVTWKKGGAKHLRGCIGTFEELRLAEGLAEYALTAAMRDSRFEPISAAEMPQLHCGVSLLVQFEPAVNYLDWQVGVHGKTNGRGYESRPTMGNGSRSIDR >PPA17455 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:418432:419503:-1 gene:PPA17455 transcript:PPA17455 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQRQQDHRRTLGVLLLLNEILRGAPVPPITLAAVAAQVAVFLEMVPFLSSRYTQSLCLLPSRIMKRKEWIRLFAPLIMHADDMHLYYNMVSFIWKGRRLEPLLGPIRYALTLLSLAAASAVITVGVSSFLDDAMPGSNYSSQCAVGFSGQ >PPA17559 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:1012076:1013388:-1 gene:PPA17559 transcript:PPA17559 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTILLVMLLYSLEGAPVTRQGVCKWKQQDPTTVQPKETTTHAPANPTTVQPKTLTLFTKSSYAGGLNFIKEDSEEELNSSKMISKTVLGRILPYSSLNSLSDLCPCAMALTPDNYYQLNLAPTNVTQKAAIRAGNIFHVVGFVSPVKGCCNSSVPIYQLSREHKHAYRAYDYGVDPSVPAGWTSDGAKFYVWM >PPA17394 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:25433:29247:-1 gene:PPA17394 transcript:PPA17394 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKMMMMMVMMRKITMTMEMITSASERETYVRRAITNRDDHKIRAELDDIDRLLDRHHLDDALRKINTLIKRHPDSPRAIFSKARAYDLIAEAEEDDDDIDEAIKYYEEVLEEDSTPEVLFRQAAARLADRARFRGQLHVTLNAQRAMIDRFPTELKLQSDMALTFLVMGRYDDARKVLTNVLSVDSSDGYALVYRGLVSKLIGDVDEGVLEMKKGLRALSMADIELTDARVYYHLGEGLMQLGRRAEAYAVYEQGAELGLFLSAYQRSSHNIDGLKAQPWWSLEQSSVGKHLKGVERQWVSIRHEALSVLESHPREFEPISIPSTLQRSRDTVEESLSEFELHDEHCAMAPLSCQILEDFTRSSNASKSSNEERRRMTTIKQMWNEVKETMPSSDKPKEAKETTVEDLDPVVRRAKERVIEERNLRTAVDDEKPRKHRK >PPA17523 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:760006:760656:-1 gene:PPA17523 transcript:PPA17523 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRRPIHHSVEIWYPDQMGTTTDFIACTDTLDSPHCSNSISPKYNWEDHRWYFGVYVYYHGQRDYCEVPFPERDQQGVKKSSASISLLSLSLTAYLTTLIT >PPA17486 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:566017:568893:1 gene:PPA17486 transcript:PPA17486 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFIGKQEDLSNTDLRWSIQVFVANIINEFLFGFQYPFDDCETLMNFVLGLNKAIEGISRSLLMPIIFMLPWARHIPFVRYFWRQHQQRFQKMINYVSVQAKAIKFDPNEEPTCYVQAFQLNNKDKRFEQLLSCCSELFLAGQETTTTTLRWGMLLLAKHQEVQDKLREEIHSKIDRDEIASMTDKMKMPYTAAVINEVQRVANIVAFNPVLMHRVTVDTTIGGYHIPANTLINGDFHQMMKSDPLFVDPTRFWPERYLAEDGVTLRKELVERTIPFSIGKRQCAGEGLARTELFIGLVLHGAVREKNTCLLVTLTVE >PPA17511 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:698275:699833:-1 gene:PPA17511 transcript:PPA17511 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLCIKNAANPFTNAFGQSINCYAQNRISTRFEVIGKGTVQRKRGCEVLCDSKSTCAAFSFQDMGLTSSCVLLSSKASNDTCTVQTTIFKKKKSGCAARTNATAEFGDDPSRALLLVKAKSVRASRLSTYARDKPNYPATVDRINPCNSTKSIIWVDVDKSPTYGNEDLAAWDTTRAGMWILYKESLESNWGITAAACYG >PPA17543 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:906470:907383:-1 gene:PPA17543 transcript:PPA17543 gene_biotype:protein_coding transcript_biotype:protein_coding MECEAAWRRLRPDMDASRVRRFDCVSEALQELQEGGQEEEDVLVTGSLILVGNVLSALGYK >PPA17540 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:890055:892564:-1 gene:PPA17540 transcript:PPA17540 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDRSSYAEVSADMQVSSEYYDHALAWLVLRLGCTIFAVDYRLAPEHPYPAAVEDCEAAVTALSKRKLSEYGLTPNHICVMGDSAGGNLSAVISRRLREKKLIKCQVLIYPVTTVFGFSLPSYREYFSECAGSALLNPFSMARWILFYLDIDAKAANVQKLIAAEHTPSRGSSKVLDGVLSRYERVVDKYFPDERSKIKLQRSNSRDQQAHYRLAKLFYSRGLDPDVSPLLAPDLDGCPPTMVLTAGVDVLRDEGRAYAKRLAAAGVEVEDRHYPKAYHGILNMPYSETRKTMKKDIAAYLEKNL >PPA17514 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:706922:709188:1 gene:PPA17514 transcript:PPA17514 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEMDKPKEKDKDPKKDVEKEIPKETKAKDGKEADTPKDSKLNQKNESKPCELDFDCPAYPVKYSEGRIGRESLRLSDDRKRLTHGSIVKSPVGSYVVERTLGTGAFEHVYKVSSLNNKYEVYAMKTELDQKEKLTNLRVELEVLKDVNDENHKYRQYFTKLIDRGRTNTFKFLIMELVSHTLQDIFTVMCKREMPLPSAAYAADRCAIAIDALHHRDIKPHNFAVGLGRSQCQIYIFGFGIAKRYRDENKNIIIPEGKRARFVGTLKYCSRRCHEERTLMKRDDLESWVYMCVELFNEGNLSWDQMRTREEAAL >PPA17537 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:872299:876162:1 gene:PPA17537 transcript:PPA17537 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVAGRNTETKAAKPAVDQSIVDQAPKGSKAKPLVNGCLLSFSLELYSNYEEIVDHFESHGGPSPDERAPGRMRARVTPRNRGGWSGRRLLISHTLSPDQNDERAFEMRNDDPALAEPNSIRPEYGTPEDDLSLRILDLNPGIMHKCPIKSPAVVELSMEREGMDATKLEAEIEKQFGPIVQVVVDGRTKKKGWVMFADESSAARALAVGSLTCESTEGSIRFETVDEKKNGEGMWQLKEDRTWISHRMRAQRCRCMAATSKSNERTKWNEPEMRKVIEDQIRDEGDVLLVGGPIVGDLCLADVKQYFKSRFGVIAYEVEKPTVDDPGTFDINVKGGFKSHSVVELLTVDHIISGHSIALGLVSSIDIVTTPVDPKLQRMMVIEFQKQFGAVIGFLEKGREEGRGRYRIVFMHLHHAARAHETLSVLVDRPFLPGMEQGKY >PPA17565 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:1060680:1064691:1 gene:PPA17565 transcript:PPA17565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-elpc-3 MGLSEFGLRVCRVRTALGNAGGHPIGVTDGDTTQSLSIDGARRGQRSVVELGAKQRVDGGEGERHGAGSVMLLYGCTRLEIGVQSIYEDVARDTNRGHTVKSVCETFAMAKDTGYKVVIHMMPDLPNVGLERDIEQFVELFENPDFRPDGLKLYPTLVIRGTGLYELWKSGRYKSYPPSVLVDLVARILALVPPWTRVYRVQRDIPMPLVTSGVEHGNLREHALARMKELGYACRDVRTREVGIQEIHNKVRPEKVELIRRDYVANGGWETFLSYEDPEQDLLIGLLRLRKIADNAHRSELNQTHWKDSVHREAASAPKCHQRELNGDELTEVEYVNSLF >PPA17400 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:47330:53543:1 gene:PPA17400 transcript:PPA17400 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pam-1 MQKRGNDDVEGFSLHGKVEIDVNIEKETGEIKLHSDGLAINKVDIKTTAQNFSDVRTDLGKEMITLTPTSPIAVGKATVSIEFTGELRTNMKGFYRSMYKGEDGVEKVLASTQFESTFARMAFPCFDEPAMKAKFDVELVVDKTLTALSNMPVISETPNGDALKSVRFGTTPIMSTYLLAFAVGELEYIETTTTGGTIVRVYTTAGKKAQGEFSLVLAKRALEWYGEWFAIPYALPKCDLIAVPDFHMGAMENWGLVTFREVALLFDPVKTSNRQKSYIALVVAHELAHLWFGDLVTMVRKWKEEQYWWTDLWLKEGFASFMEYLFVGHNYDEFKIWLRFARDEITSGMNLDALRNSHPIEVQIDNPNELDEIYDSITYAKSNCVNRMLCEYLGEETFQNGLRIYLARHSYGNATTVDLWNAHSEASGQDIAKMMSGWTQQMGFPLITVKEVKREAGSRVLSLSQKRFIVDGSNDEKDSKWMVPITTLVGPSGKRGTKAILSEETGTITVAVEGDEYVKLNASTGGFYRVAYDAPMFDSLVKEHFAELKKIYETNGFSEVRKKEGKKSSSRKDTVVSSFASLSRQLGRRESVERNAATAMAQTSDVSQRDNYFRYAFKEDKVRSQDLAFVVAASSTTRDGQEYAWEFFKTEQPLLLKKLGGTQDSLYQRAFKYIAEGFCSEAKAKEVESFFCSCPSFTPESVAALDRPIKQVTETIRVNERLLSSNAKSIDEFLSAKGY >PPA17418 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:202077:202483:-1 gene:PPA17418 transcript:PPA17418 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKRGKGDSLLFLLPIMRFIFVLLALFVAILAQTPASHAGAASVSGHAHNSTGAPHHHGHHHKEGSHEKNEKNEKATTVKAK >PPA17562 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:1029535:1029823:1 gene:PPA17562 transcript:PPA17562 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQDAAIAIDNAYFLGKGLNGELKCNWCFIIDRELPYIMLTINGVTKKYYNLIARLIEQYSLTD >PPA17414 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:194445:195205:-1 gene:PPA17414 transcript:PPA17414 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLIKGYLGFWLQLIAIGWSVLTILWLIGFLVLWMAWQRLQEFASEKKFKKKMKTLPKKEPKNSTNQYWRMIIQAIREEKNNKDKKEKMIALRRLFRTQVHNQLVRCYTVKDEDKEK >PPA17399 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:42147:44051:1 gene:PPA17399 transcript:PPA17399 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNAAVKTEAPAVPKPGVTETVSRGIRAILIGPPGAGKGTQAPLLSEKYQACHLATGDMLRAEVASGSELGQRVKKIMADGQLVTDEIVCEMIEANLNKPPCAKGFILDGFPRTTVQAEKLDALLEKRKTPLDSVIELKIDDELLVRRITGRLFHIASGRSYHVEFNPPKLPMIDDITGEALVKRSDDNETALRKRLEQYHKMTFPLVEFYGKHHLHHEIDASKSMASVSQQIDAIFSKYTKPHQKDRVAHI >PPA17572 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:1123556:1134670:-1 gene:PPA17572 transcript:PPA17572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rgl-1 MEEDAGASTQALVTQNSIRSILVCWLDMYPEDFYATDDDFVILSKLVEFASKFRLVELRSKAMKLRQHFKGVKADGGLMARVSSLDQQAIKFGYENPDVVENAGERAKQFDVSMGNCVQIAEQLTYWDATLFKEVIMHQCQSSVWSRRHKLGAERYYTVKATIDQFNAVCQRVQTSVVLPECKTEFRARVITKWIEIAKELRAFKNFSSLKAVLGSLQSEPIHRLKATWALVPQKSLATFRELSAIFDSDDSGDEKRILDQEGTAKSSPLRRPQLIQNCRRTKSDVNLAECQGTVPYLGSFLTDLTMIDNATPDYTEDGLINFEKREREFEVLAKLRLLQSAARAYRMPRDAAFCAWFHYLPTMSDKECYTRSSEVERLPQSTPQPGAGAVSGGSSVSKSATIGRLFPLSSRGSGGAGGGGAPTANGGGEGSPTLLAKGLPGQTSRDSGIHSDEADGVNGNSSLRGQSLTAPSTPINSVSWKGGRSTFYHSGRAGTSSSTDFNPLLAGLPPHQRTQSGDSSASTPAHHSSASSSSSSSSPRSSMSSSAHPTAANGIAAAAAAAAGSNFRLARVGLDDELLAAKATTESGGAANYKCIKVENGDRMSALIGRCLEKHLLTGEEPAKFCLVQRVATAGQVQSVLRDGSGRDVSDGESAPSTASRREYRFRE >PPA17475 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:519569:521940:-1 gene:PPA17475 transcript:PPA17475 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pbs-6 description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:H3F5P5] MALKYDAKHDPLGAMRAFKTAFADVEENPDWRSRRLIERQRWNPYSMEGGSTCAVAGDNFVVVASDTRMTAGEINVINREVDKIHPLSDNIVLTTAGFHGDALQMRKLLETHLHKYRFDYRSNMTVDLCAELLARNLYYRRFFPYYTGAILAGIDEHGKGAVFSYDPIGCIERLPYSASGAAEPMLVPFLDCQVGHVTLSEGTERPKLTLERATALVRDAFRGCAEREITTGDSIHLVIAEAGKPIRHERRKLRED >PPA17467 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:478417:479325:1 gene:PPA17467 transcript:PPA17467 gene_biotype:protein_coding transcript_biotype:protein_coding MMWHPDVYFANARIAEFHTVTQPNFLVWIESDGSILYDTRISLVVLCTLNLEKWPLDSQRCNLRILSYAYDTDQLEIEWMASDPITRNSGIGMSDMHIIDLYPGLCDGNYSTVVQYCKNGSGMQRTQWKLQRW >PPA17465 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:463937:468009:-1 gene:PPA17465 transcript:PPA17465 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-prx-3 MSWWELAKRHKGKIIAGGAIIGGAVALSMNMNQKRVESPQEESGLQARRHYVFDANQSACDESIRELAPSVFRMIQTRFNVESITRQLQEGNLSTEKKIELWNELKITVMGRLVAVAHALSLLTLTLKAQISILAADIVTITSGATKNNGWWSWAPASMSALVGGKGEMSADEKRRNDTARQVFLRSIEFFTQTGVLELAEVVDDVVKRELQEVRLDEMRDSDEVLALLNSLSAKVAAETGSLSAYVAPIDKDDTSRDSVTLLLRRLILLLDGDQCRETNGRLVQFFLSASTRSISAGPLANQLSALSSSFSTLSRLGIDSPLENSLCSSDCPRVRLMPNERRILKYTTYLAACAPEV >PPA17494 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:624047:626953:1 gene:PPA17494 transcript:PPA17494 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGTGSCRIAVGAYHKPLLLQKTAQIAELRLKSGSSADISTAVEADFEVFSDQKDVDYKRSIAKAAVIDMLSVLAGEERDGLDVARNALEPLQLYKAADDSITPVGRPLRHAAADRHTTGEAQYVDDVKIHDLKHAALVHSTEAHARILSIDPSEALAVEGVLAYVDATDLPPGGLLRPCQQPFIHLQDNAPVFAEGVVEMVGQPIGCIVAEDVQTARRAAKLVRVEYERLPAILTMEVTAINAIPQNGGDSAQLSGRNGRKHASRDL >PPA17553 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:957818:959649:-1 gene:PPA17553 transcript:PPA17553 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDRNRRYRARPIHVGPSTVIKEIVPEDSAGLFFNTWNDVTHNNATMTAPDPLGLDGFNVPYSLNKKERWTIPDRLKEEIEELQDPRHNLRVSAATHEFDRADRTMEKAMGKEIRNKPARSYHTVSRDGKKIINNYAKKGQARMQTLINPKGLKPWDFRKDQWKRKKQKENWAENMEVQAYLPEEDVEDQQR >PPA17539 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:882063:889247:-1 gene:PPA17539 transcript:PPA17539 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVLINDVYYPATIKELAGAKIKASLSKFRNARFELLVAYKTSWRPDETVELAKVRLPPTNKIPERQYNTDDIVEARMSQVADEVEYFGWQRAKVVDIKHDFYKVRSLVLTDRTDVVRKEAVRPDGMNYIKGASITWKKDEILVPEDMRSYFKATDRYTAEFAKGIPGLHAEFDGSRLQIASATQEPLKRANMVSELHFKDLRQRLQLEQRAAEVRKRVSEATGAAAGPHVEDGNFVEEFDIPGDLVGLAIGAHGSNIMAARAIEGVVDIVSPPVLRNQSGAGSFKVVAETEEAAAKARSMLEYAVDQVEVPADMVGKVIGKKGSTIQEIIDKAGVVRVQIANEERTGGDDDGEPLSEVGQVVPFIFTGTRDALGHAKFLVEYHIAQMRATDEMRQVVDDLSRTAMSGSPTHYHYRDNNSRPWRGRGDSRGGYNNRGDDRGLGPVDWSSFLITVAMAGERLLLPWFPIGLLMELRADRVRILAGGRVMRGGGGFRGGRGGWRNNDNRDREGSPDRSGRDMDAPYRGGAPNRANGGHRGGRGGSGDVREDRDFGGKRNGNGGAGDYDNGFPV >PPA17497 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:638017:638314:-1 gene:PPA17497 transcript:PPA17497 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTTPVPHWVYYPMGRGAYYPPQQPVRKKIYQSKEEELDREDLGGDKHWHKRRKHRHEE >PPA17554 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:961714:962643:1 gene:PPA17554 transcript:PPA17554 gene_biotype:protein_coding transcript_biotype:protein_coding MPASNGPVSGPNALILLNAFMSPHSSGEGTADDIVVSNLFILYTIGYLHNLWMRYADGKSADRTSEDDPDSVKWEELPAPPLQIICSYLVDQPARIFGNYRQIEQVCKSLDAFRKTCRHFATAVNSFLKNSANLPLIGFIRVRDTRTFLDS >PPA17509 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:689563:692795:-1 gene:PPA17509 transcript:PPA17509 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gcy-35 MTLVDGGKYLLYLCSVNVTTVRELIERKLHISDMQRHDGTRDLIMLNQSRMSQVELNRTLEETMKNMKKMAAELEIEKQKTDTLLCELMPPSVAESLRQGKVADACEFTDCTLLFTDIVTFTNICAECTPYDVVTLLNDLYLRFDRLHEVYKVETIGDAYMIVGGVPDPCDNHAERVLNVSIGMLMEGEGHESKLVGPTTTCLHPAVTTSKLVHSPITHKPIKMRIGVHCGPVVAGVVGMKMPRYCLFGDSVNVANKMEACGVPCKIHVSEPAKKNGSATNTSFVFSTRGLTEIKGKGLMFTYFLDRNDRRSVWELCSRPRSSEQTIDGYMELHDLGIYGEIEDTPQGSIKMKKNGKKKREPTAMEDWLNRQRDSRGNRNEQRNHEMTLAPSRL >PPA17528 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:798507:799125:-1 gene:PPA17528 transcript:PPA17528 gene_biotype:protein_coding transcript_biotype:protein_coding MGPMPWVFNAEIYPLWARSTCVSLATFTNWTFNLLIALTFLSLGEAITKYGAFFLYAGFATVGMIVFYFFVPETKGMPIEEIENLFKTKREREAAAIAPAYEMTTSEKK >PPA17563 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:1031965:1041031:1 gene:PPA17563 transcript:PPA17563 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGHKETADASGRLRRMLIGLRFWALSNGLFDAQEKEHKGHFNAYMLNLLAIAFLQANGALPPFQHTETPEYGGPGGKYRIDFVVPPYTLERVEIHAFMKSFFIHMTSGVSLRESVIVGRTGEQLSLQQFIARFPAVIEATGQEAPHVDGKFDFALMNVQDPIEQSHNVTSTLNEKYVRRMRHMMMRSLHAMKGDRDSFASILSIEKRLARDATTPVSEGDVSMGENGAARERGTTTFTSVGRPLTAMVEPVHADATVTICYPRERAASQSALMRACAFVFEKILLAEPLEDPSSSSCSNGSSAAGAKRRRALSDEARERADQSRGGAPMREGRASGEGASLVSATAPPTEPALYNWGMYALPARLWIGRRNVKRKALKEHAGKEEIEIESLVSLELLNGGDGGEKAATVSSPLSPILIVRVEFGFHSDAMMMRFHRLEGAPLDLMNAAHFLEGNGLLGKYAHLLLQMLMEERFEAPSSAENVENKRPASGGKRKREETDEPMEMMVKAPSSLTPGSLSMSARERMQLTVNEIVAALIAANEEGKDVNLNRLKCDISQKHGLSSQPKLVDIIAGVPAQYKNMLVPKLKAKPIRTASGIAVVAVMSKPHRCPHINFTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYLQTRGRVQQLAALGHSIDKILDIQCARRWRDD >PPA17520 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:737908:739067:1 gene:PPA17520 transcript:PPA17520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sir-2.3 MASTASRFVPSFTRPAEKVIRQFIRELGSVDRLFVMTGAGISTESGIPDYRSAGVGQYARTNHRPVTHQEFMGSQHTRQRFWARNFLAWPRFRDAQPNETHRTIAKWERSDRFHWLVTQNVDGLHTK >PPA17532 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:844215:850498:1 gene:PPA17532 transcript:PPA17532 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKHNKQAIPVRASSRRSGVVTQFLSLNLYAKGSFERSITSFDPNENHNGVPLDTPRTVSAVPSQVVHTAKKRRQSTGTTASKKRAKRAVSAASSDDSGSSRAASPAPSVNVVAPPPTAASASATKRGRPRNAPAASPKTPAKRTPKSGAKAKSPKKAKATKGRRGADENEATSSSKKDRATRGDDGEAMAAVPKKAGGRARQLRQSMAAVALQIKQEPPSSDDDENNHRQALQAVSSLRTAIDAALNGNQDLTSEQIDEMVSVLHELCERGSTVNAVDYANMMDNLKMVSSAVKQLSAANARATAATTRATAHHTKVIELTIERDMLKQEVDQLTEQLRQNGGGRAAPSSSRSSRLGRQPPSSRGGGGGDAYDLPAGFAAASALVPVGMQPLQPAAPALAPFDVSQLPRGSTIGRSQMAGRANDLISGLSNVAGLGDTLASVAVTAATMPELDPDGNMVQRTIRTRVERIAVTDDMDVDELRRLGFAVVRLEGSDNDDDDDEGGDSARIEAIVEEEVVDDGEAMEDIEEEGDEPVVEEAE >PPA17570 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:1115660:1117875:1 gene:PPA17570 transcript:PPA17570 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGLEDGYPMSESNKSEDEQHLECANTWWEYFLDMLEMYKTRNTEKLLGPGKSRSEAIFELMYIKVFESEAATAKCREVMLQNLKSVEYVYCAECDVICQSDKQILLHIYAAHSNTLIEEECKRLKLEELKTVADLHANATVKSGSSPVQDECVIRYSKLDASTYLFPKLGFVDEITQKYGDAVCDYVGNINSNRAQIVTKMMPRLKQDRLGRKMMGELHEHIGSNQTRCYDCKVVTGTREEYYKHVRTHAHLVKAKAMDLITLVVNMYKKD >PPA17390 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:7862:9977:-1 gene:PPA17390 transcript:PPA17390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transaldolase [Source:UniProtKB/TrEMBL;Acc:H3F5G0] MASVLDQLKKVTTVVADTGDFKVMKQFLPTDATTNPSLILAASKMDEYSALIDEAIAYAKQHSQGQEQTKVIEAAMDRLFVVFGKKILEVIPGRVSTEVDARLSFDTDGSVSRALSLIAQYEAEGISKERILIKLASTWEGIQAAKILESKHGIHCNMTLLFGYEQAIACAQVGATLISPFVGRIMDWYVKNTDKKSYDRNDDPGVKSVTRIYAYYKKFGHKTQVMAASFRNTEEIKGLVGCDLLTIAPSLLKQLAEDKEEVPVKLSTALASDAADVKEIAVDEKVFRWALNEDAMATEKLAEGIRNFAKDARLLEDLIRKRL >PPA17552 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:951757:952139:1 gene:PPA17552 transcript:PPA17552 gene_biotype:protein_coding transcript_biotype:protein_coding MERSFRPDEAEKRMRERNDILPAQRMTFDQIRNRITTLLSQKKEHQRKEHGNRQRRYVKLIDDFERDLAEEGMSLDDIEEEVDLERALDEDDLIITSDEIYDLPVFSDNGEFEQ >PPA17568 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:1104303:1105071:1 gene:PPA17568 transcript:PPA17568 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRIFFRGRQDEMRGPYTERELQQWYRKRWLTSDTVIYTTFSPTTVTALRSDGSIGWRRKRRTKEN >PPA17478 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:532371:533162:-1 gene:PPA17478 transcript:PPA17478 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDHSRRNQMPTVGARRKAALKRPPFKPMKSLPKGVIGIELLRVTIIETPGLTVDRVAIVNCNLRKGKLPIRSYVAFR >PPA17419 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:205377:208895:1 gene:PPA17419 transcript:PPA17419 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKSKKSRKSRKVEVQLSERDRIENEVHSLLPDNRKVVRSEAKKLFEEDESDLITDRTPETPYNSDKELDEDVDLTTSNEVTLNHNDLLAALNMVESNTNIESNKEAKKSQSSYEPIDNATLQTAIPLIPFPPPTNGPPLSQKFSLGAVVAPPHGMSVQPSDFKSPLSSKTGDARSHTYSSSLEFNDFCFIFFNNFSQFEDGSDDRKDTRTDRSENKSVTGIPSPAVSTTSLVSQTFSPMSSSPSTGSSSLVSQTMSPLKESTMKEDKKIISPSIPIIKMPHSIPSTTRSQTGSPIRSVNPKMPIDGNTSSTRSPIQFTPSPDTRQDIDPSSQTPVREKPQDFSRRSQRGQPIKNDSSLYQPSGIYNSKGEFFPQDQTLDDIPDIPSEKSERSPPMVTAKESMSSTSLSITSLPNRIYGDTDDEIGAKSDKQFVGRMTLKKNKKNEAGFEFEAKEPKEMKSKPRTPRKLKITNIFGGADRKYIPPVRRKKTDRSKQPTVSSHPPPLPDDSRAQLSTVVNTPKLHRNTDLLLAESLPLSVTKKSDRSIPSSSFNPTQISFLRTENSLLPSIPQSPSLTPSITHSPTPTTSPAAKSPVSIKKDKKIERTLLSPVANSPIQSKKKSKKRGGKKESIGRKNRKTEQKNTPQERVIYTRKPISPTIGTPKRKWQDVHKKKKEREDKSKKEREITKKSDKKSKERVEKNGSEKTNGPFYQQLVYSSYVTNGLNVSCYNYDEREEEKKKSPAIT >PPA17571 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:1117239:1122854:-1 gene:PPA17571 transcript:PPA17571 gene_biotype:protein_coding transcript_biotype:protein_coding METGEHSMSGRKRQLSETKEDSPAPRKKGKQSKVPSVSAEKEWAETDERLLDTTKLIMFATGDSNEPSETNARMLLALMQQQVRMLMTAAQQTATNDGRDSVTLRDLLVHFARHKLVLGRLLNHAKAALVVTSLTRHTKDEEDDNEKEEDGVPYEGESEEDDASSESESSEEDSTPGAVAIKAPKNSALAQLEEAVDSLDAGFTAADLMDASFEDLTRQARDRRMATRVRRLREDVYKEFAEARQAAFVSRQKRKMFKGQPESSLFISWLGLPPLDSVLTYVISWLAKEIVTQVVDDAYMCLLKENTSGVNRGAAASLLTAHHYEEALRKNLGWRSRGDVLFGMKINEAIWEGASGMKSRFFTMNSLLKRKTAQEIKRIQSWNKLDYLEPRHHLRYNLRTIRVDV >PPA17397 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:37299:39173:-1 gene:PPA17397 transcript:PPA17397 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSLHRCTRLLLSTSRSSLLRFLPSREYAATAHTENTTDSSKKANTVDNRVQSLLEAPESKMPHAFSRISAALKLKFAPDPVDVNLKVLLDAASSQLYYNCADNYDYDRLCEIFGLPDYFSTWYKLTLMHTWMLLLRLHTSLEADAYLRLKRGILSSLWLDVDKRLEIIGDEHSKVLNSAKDMKRMHGLHLQTLFEYDEGFLADDTRLAGALWRNLYLEREVDPANVVNAIKYIRSTVAWLDTRDLMDVLTEGVKEWKQLSP >PPA17507 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:677864:678847:-1 gene:PPA17507 transcript:PPA17507 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTRTNEEAFSLSSFSSLKTARSCELFNEEANDLVYHPVSWYSCRSFGDTLLKRLGVLTAHPDVRRIDLAENSLRFIIVATDGFWDVVDNEDAVKLAQEYLR >PPA17442 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:377841:379040:1 gene:PPA17442 transcript:PPA17442 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLLIASTVLIQLMLSTIFISILCGKKKVSASSIDIPLLYRTPLQTPPPATTASPAAANAANADPALKTCLLAPDSKVDLAPPPNESKDKSKEEKKDEKKEESPAGKTDEKKDRNSKGPPKRRKKDEKKEESPAGKTDEKKDRIRRDLQSNRLKDEKKSEDKKEETPKADDLSNKNKPYPEVKEPTKSELQRKKKALEKDKKEKINKGQYQTRSDEDDTLEKVKSLNEEQTEKSVKKKKT >PPA17454 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:416318:416588:-1 gene:PPA17454 transcript:PPA17454 gene_biotype:protein_coding transcript_biotype:protein_coding MCLENVRDVKVRVVCDRGTTNPSSTVTVHYKTVEDTANAGTDFIPVMEFLLSSKEKLSKQ >PPA17439 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:347652:350558:-1 gene:PPA17439 transcript:PPA17439 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tbc-4 MIIEIREGIRNNALMISNEEDARSVASRKSGGSSGGSAPKREASVAAEEEEEDLWTVWGDLIRNWETEIRKRPAYIKTLVKRGIPQHFRTIAWQLLSNASVSAIHETYSDYMRQSSVYEKVIQRDIPRTYPELEFFKDAGRGQSSLFNVIKAYSIHDKEVGYCQGSAFIVGQLLLQMPEEEAFAVLVRLMENYRLRELYKPTMTDLGLCMFQLECVVQEQMPDLFTHFNNMGFDTSMYASSWFLTLFTTTLPLEIANRIMDCFLVEGMEYIFCIAMAILQQARIDLLRLDMEGMLKYFQREIRERYEHDAELLFTVASMTRKGLSCEANERAGGSCRIEG >PPA17464 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:459834:461833:1 gene:PPA17464 transcript:PPA17464 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWNFNAPMPMFGWNGANHGAARDSGVAAAGQPSGMQQLLQQLRGGRGPVPAAPSSGGPAAAPGGGPARRRRTRQGRLRSPSSSPSLPETDQTGEALRLSLANEMDDRFARLRSNQHLAPTTKRTGPVHFEEIPSLMDERVAAERALVDRYVRILETAVKEGIPEADLAGGEHEMRHELRLWRDRVKQEKLDNADLRAYLLARRESLCGVHANLTSALAEVKTAKDMVFGEHPSPFYSSLSSRASARSRAAVPCPPWMRRDGKKLAPSQAPPLPSSSPPLIS >PPA17445 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:389646:392292:-1 gene:PPA17445 transcript:PPA17445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-kars-1 description:Lysyl-tRNA synthetase [Source:UniProtKB/TrEMBL;Acc:H3F5L5] MTTDAPVKLSKNEEKRLKKQAEKEKERAEKDAAKAAAAPAGTKVEKEADPSDPQEYFNMRVRMIEGRRAEGKNPFPHKFNVSISLTDFIEKYKDVKNEEVLENVIVSVAGRVFSKREAGAKLIFYDIHGEGKNLQVLANAKYHKGEDDFATVHGRVRRGDIVGFRGHPTRSKAGELSVLPIETEQLTPCLHMLPHTHFGLKDQETRYRKRYLDLIMNPQVKNKFVVRSQLITFLRRYLDNMGFLEVETPIMNQIAGGATAKPFVTHHNDLKLDLFLRIAPELYHKMLVVGGIDRVYEVGRLFRNEGIDMTHNPEFTTCEFYMAYADYEDVIKITEDLLSKMVHFIHGKYVIEYHPNGQGTEPCYQAIGY >PPA17457 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:425104:425992:-1 gene:PPA17457 transcript:PPA17457 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGLTKDKTDLMANLWPSHYGTLYDMGIAAWDKLFAHNPGLKKHFGFAENDPSSSWKNDERIKKMVLSLQQLLTEAVNTLGFGDTEALTSFVNNLRELGGLHRAIADGVNPDAFTLLFAILPEVPEIRCIRY >PPA17575 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:1159654:1160343:-1 gene:PPA17575 transcript:PPA17575 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTSTQKREWTFASIDELTERRETANQEYRSRFAPYVQPEEADGYLTTEEEQAIIRMVSERGVA >PPA17491 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:595037:596043:-1 gene:PPA17491 transcript:PPA17491 gene_biotype:protein_coding transcript_biotype:protein_coding MADLQIVTGIEAMPIEITIMLIVFSFLFIIGFSGNLTARRTDETQFHCSQLRDPKLFLHPYGKEGNRRMTTQRYVLFLACVDLFVTMIE >PPA17529 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:799446:805003:-1 gene:PPA17529 transcript:PPA17529 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAVIAPVSRSGRTPPAKPPKVTFYVILLTTLACIGGLLFGYDTGVTSSVMLFLPNNNGMKPLSTVWQEVIVSITPGVAAIGALAAGKTSDSFGRRPVILVASGVFFVGALVCAGAPERYTLLVGRALLGIAIGFASMIIPVYIGEAAPSYMRGTLITIYQIMIASGFVLSNAISVGFAHIDPDNIGWRLMFGFAAIPSAIQLIGFLYLKDTPRYLYKSGQKEMCEAVLSKIYGGDTEWVEYDLNEIKEATEEENAAHKAYGKALPFSQYHFSAKRSEVDIVEGLLHDLSRQQHTTVVARIFKTPHVLRALAVGCSMQMFQQLIGINTILYYTSKIIQSAGVEDDITTIWISCGISAVQAVSTLIPMKLIEKLGRRPILLISIIAVIVTLCMMGGTFLLINKDSAVIDHSRDNDGINGTVRDLDFCIQKNNCDSCVTASECGFCFPTGDEMIGQCLPLNDEDNKKSTIGYCQSGTALYNSSIPYVIKTTCQTKYTVLPIIVMVLYLVAFSFG >PPA17461 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:447823:448047:-1 gene:PPA17461 transcript:PPA17461 gene_biotype:protein_coding transcript_biotype:protein_coding MAILIGLLLLPAVMSQALYGINQLDSYSRIIQSNNVAGLDSSSSLHSKLFIQ >PPA17433 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:316992:318419:1 gene:PPA17433 transcript:PPA17433 gene_biotype:protein_coding transcript_biotype:protein_coding MMYVVIGADMLYSIFHPFRYLRVQTFPYLILIQIPCILFGIEHPLHAYFNMDPDDPIIFACNPPLGTSGPAMQMWNITNIIFLARGTEENTAKAKFTRGVVKSATVLTIFFSASWFLSKINDRFAVLFPDIKPEVIPAVASFAQTYYVHFMMSRDYREAFKKCGLFGLFATRSTTSIRKVTVTTTMSQAN >PPA17402 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:71940:75047:1 gene:PPA17402 transcript:PPA17402 gene_biotype:protein_coding transcript_biotype:protein_coding MRMNLSMAIVCMVKHEGSVHSHLNISLPKGCVPQTNVEYSGDLEWTSSMQSMLFSAAYYGSLFTSPFAGRLADKYGPKLTFMLCLLPYTVGTYLTPFLARSSYTAIWIDRFIMGIGDGFLMPSLGSVISRWFPHSERSTVAGFSSSGFQLASLISALFSASLCKTEWGWPSIFYVFGTMGSAWMVLWCIFASNTPGESKFASVVEKQFLEGKVAKRATKQVRVPYRKIIFSPAVNTVYFCFFAHNFATGIMTALLPTYFKEYLYLPLHKVSTYTTVFFFGQLIAKYGGGMLSDYLNNTNKLTFTNTAKLMQGGGSYISFFSLLGLSFLPTCEDPWWALLFLGFFGLGASMTYSGYFTSLVSVAPPYAGSVIAVAMVYANTGSLLGPMMVGLIGHLTGNSPSKWIYVFLLGGCLMAISATTYLLWGSADVQPWGTVEANTIDKDTSSDKDSVEGEDIAGYARFEDSKI >PPA17573 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:1144681:1145695:-1 gene:PPA17573 transcript:PPA17573 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGGFAMAKYWGDEKHPDAVYAVYLRKVRYVPPAGYEGVPAPDKHDCRRLEGLYLRFISRSTIDII >PPA17564 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:1059108:1060000:1 gene:PPA17564 transcript:PPA17564 gene_biotype:protein_coding transcript_biotype:protein_coding MEGILYEKGREGILYEKGLGQASNKCPVLDASSKVEFIVMGGTFMSLPEDYRDYFIRNLHDALSGHTSTTLAEAVLYSERSKIKCIGITIETRPDYCLPRHLNDMLKILQDNRVTHGLEK >PPA17393 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:23011:24293:1 gene:PPA17393 transcript:PPA17393 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFLVIFFLSLKEITGSACLLKCKDNYMNGMSFVMADARTDWSTEMITPLQLLNRPLQHLLSSFDRRSALRGKKRETGNQSNRFIEFADRILPCWITNGSVIAYRCSIAAHELQSEVVKVVSGGRDSIMGQLPRFCKTTYNYDRCFTRANADVCPSEHASKLIIYLAHVTSNTLMDLLDRTGKLDPILPEACRDWMNQKG >PPA17530 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:811344:815145:-1 gene:PPA17530 transcript:PPA17530 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLTHTVTGISIWSWLLLSSLRYLAICHPLYHLRLWRMPYRALAFIIAMSALLNAWLLVVVESTPGGCQQSPLLRSTLAVNRLFHFVEACWSFCIPCVVIVVMDSSVVLKSTSFPRLRRKSPHKKSSALDEVIKLTRTSSDKLKMLQRRHQKALIKWLAVALVCILLNTPENLYRLIQIFGFGDSESAFNIACRMLTQVLYFSQFAFNAIYLAIFVYDKSCRGRATAAAETSVMNSHHYIARSRRPSNSEHPGSMREHFPASKSSTALSPVYHPLCHNNNNNNTNNSNGIVNNGTVGGSPTGSSPSVVISTKHPLSGLAAPSISRSVPRLALTLSTSSPTRTPSSLSNTSSNNLLTTGTFSLPTTPRGSSAGNGILKVFPREPKPVANPCDLPATVTTRIKIFFTNVFTKTLY >PPA17547 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:924280:925544:-1 gene:PPA17547 transcript:PPA17547 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWYQRCMAARPLLTQMITSGTISGTGDVIAQFAIEKRTLREYDAVRTARFVVLAGGIIAPVLNRWFFVLEKIRSGPAKLVPLKRLAVDQWI >PPA17451 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:405620:407646:1 gene:PPA17451 transcript:PPA17451 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTNEDAAKSKLRLEAFVSELLSHDDRCLANFLHTAMDYACHEPWLFTVSAGFADSLPAMIESMREKEELDRATILKAATHMYLAIEGLHRAKIIHGNIRPENFVVGSRHNNRKIMLMDFACASGASAEFPRAQQLTDFTYASRARQRDFEPTRKDDLESWTYCVMEMYHKELVPWLDEKIVDKSAPVYLTNMLKLKRAFCTGKMWKSMQHVVPDEFKRIIEAQKKVKRYHTPDYSLQWHLLVTACIRYEVEPFAYFSWNRGNTGNPVVHSKYQTAPVALQHTDIV >PPA17431 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:305191:307779:-1 gene:PPA17431 transcript:PPA17431 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCWKHFTQSICARDERSTREEILFTFFRRSEYSSVNLILILHSYDYYLLPKERNLRLFLAALHSSTRQESSDVGSEELVERTPRAKTPPRPSRLNDEELMALAKSGGLLNIDKAFDLFGAGSVYFRRIILVYSLLFLLVDCSWQLVVDMDRKIDEANKRSLSPLCESYCGCTDLHEKTITPLFNRKTLGDAMQWGIFYLGGTTLACLTLIATESLGRKKPLLISILFTAVEMFSTIILKYEKISLKIVVLVFGITSTITNVCLFVNALESLPYKLRLPFAIFFFSARRVSHGIISSLALLTDDNFTSLIVCTVFLVLAAIVTYLFIDETAAHLLLQEKMYELELLFDKIGRNADLLPSRNSQQIADELGFREEDVDMKTKKYLKKIFKSKAVLYIVNVAVLVYVLH >PPA17524 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:768949:773671:-1 gene:PPA17524 transcript:PPA17524 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-kin-9 MRFQDTWDWETALRNGYTTPRPPDVYPVNRPPYGGPNSATPYSNVASSSSSESKEVYPPGPPWDKYDDHKPKNDDGANVGLIVGVVVGCIAIVLFLLIAFICWRNRRKRAVGDQRLFPIPPISSSNAYAKYEQSPTFTANDIWELDRSKLILNYDKKLGSGAFCNVFKGRIIGEAPVLRISSSRVRGSSSLVDCDVAVKMLPSFADDIARSDFMQEINFMKSLQYHPHLVSMLGWVSDRKSPLLLVEYCEKGDLLHYIREKKEEIVSGYQNTNGLKLKDVLSFSWQIANGLEYLTSAGCIHRDIAARNVLVDANNVCKIGDFGLCRLTETLLYQARGGRLPLKWMAPEALSQLEYSFKSDVWSYGVLLWELFSFGEVPFASLQTTELLAHLQRGNRLEKPEWCTPEVYSVMLRCWREDAADRPSFTVLSTDFAIMLEHVTESYGYLVAVPPQSDTSAAESDAEEIDNV >PPA17561 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:1019076:1024519:1 gene:PPA17561 transcript:PPA17561 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRVWRTRAQRRLKHTPGFVFDLQWDSIFVQLRQQFNREPREQDSFSSHCDCEAAQFREKKVQAGEVKRLSGPDRVRFVSKMLNEVRKECSWMGVQRPVVDCRLPIVRFLLDREVLVDLSVDNSIGVAKSVYIRDLIKWEMLSRLSPRPLQHLLQGLQGANRGLSSCSRRSADCGGGCSCSGGGNSNLIERAGITREREATAIVYDDHSVLTYGDLDDAIGRTARVLAEKYKLEKGDRVLARTGKSIDSLRLYLATLRLGAVYVPLNPTYTQEETAHFVQDAEPRVFVTSSHGEDSMTFKDRVESIVDEKEMAREGDKVENGMTEIEYVTAGDPACICYTSGTTGLPKGAILTHGGLVSNATALVNAWQFKQTDRLLHSLPFYHVHGMFISLNCALFSHSTVLWRDRFSVEDTLEWLRDATVMMGVPTYYSRLLNSSSFNRGSIPKRLRLFVSGSAPLSPAVFEKFREVTGHTILERYGMTEAQVISSNPYEGERKCGTVGQALPGTEIRVNKNGILETRSNSVFGGYWRNPKKTAEEFTVDGYFITGDVGKIDDNGYVSILGRGKDLIISGGLNVYPKQVEDVVDHIEGVAECAVIAVPHSDLGEAVVAVVAIKEKMESDETREKEERRIIETARTKLAGYKTPKRVVFVDSLPRNTMAKIQKNVLREQFA >PPA17411 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:181444:182979:1 gene:PPA17411 transcript:PPA17411 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vbh-1 MEGIVHFRGPAAQSPTPTTTTQGLTGDSPANLGVTEHPQSDSSPMGGQVIPNMHMPPPGMTGGGASQMMMGHWNGAPTTHGIHQQSHQQNGYHHLPPNMQPHLHPYIAAQLTHHYHQQQHQQQQQYLFDRAGDAAVATMADWHHQPIANQSAERMLFNQANSGINFDNYENIPVEMSGEDTPVAIDRFTDADLHAWIQENIARSGYTKPTPVQKNSIPALIAGRDLMSCAQTGSGKTAAFLVPIINNILLQGPQGIMQNKEHP >PPA17476 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:522030:522851:1 gene:PPA17476 transcript:PPA17476 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHKSACVDWSKACGDDEVSFVVNLAQKRMGSDPPLRIFFKDKQNELKGPYTERQIQEWYREKWFDGSFPFYH >PPA17538 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:876862:879517:1 gene:PPA17538 transcript:PPA17538 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGDYSSEQLLQIHRYIDHSFNVLGWSIVHGVIDAREVHNWSHDVVQHQYMRRAAAAAAHDPAAAAAAAEKLKKRIEELREKDENCHTSFSRECRLCLIPNPRSRVCLSGCGHITCLACAEQLAQGATSSAHFDETRLPTKREKSKSQGHRGATCPYCQQRSRIVKLFEDEEQNLKEENDVSVDRNPTALGVMDALREADFDHFEDRVETAFDDQEADDVEDEKSLEDNEEESDGSIDDLVLFLNEGVKEEVSDLSGEKNLYENSDFWTLRFDGNELIDDPTDSIADDACQIQL >PPA17484 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:557438:560789:-1 gene:PPA17484 transcript:PPA17484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3F5Q4] MPPPAISPTVNGSPAVPSPQLPILPPSSGSSGERDDETAEDSEALLRRQFRLLVRKCTSKKHSKRGHYYAFTYNDVVAVIKAVKKVLIKEPPLIEMKVPCAVIGDLHGQYTDLCRIFDMFRDDKTPGYAMTRYVFLGDYVDRGRQSLEIVMILFMLKYLYPTQFALLRGNHECRAINKAYGFFAEIKERFLDPKKAVELFEMFNECFTHLPLACLVAGNILCMHGGISSKIKTRDDIMKVPKPIKDVATNQIATDLLWADPMEGLPGALIAWAKKTEKFP >PPA17527 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:794775:795542:-1 gene:PPA17527 transcript:PPA17527 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKPPVGVGHRVSSPIKKEIKPMDPFNPQASVYKTCCAHVRTATIVCGIIEIFAICFILVAENDDEGELNKTPFE >PPA17438 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:340532:344233:-1 gene:PPA17438 transcript:PPA17438 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFHRDEGRQKISPSRPSDTQKKKKRLRTENRLLRQRIDYLEAESSALADRLVKGQVNLAQEAENCISISHELNILRDINSEAHKKLEDAYESIRELSSKRQANMVESEAQVDDTSMIEHIHSLQQELMERVNKQADAENIIRDLKQRIHELELSNKRLREHEPEEGIAGLQEELISVKMREAEAALSLKEIRQRLAELEQQWAKYIHMRTFDPSATPSSDSAPSSPTGESATTPGTEKPPQTSPLALTSARAKLAKFTATIMGGGGEGENTGVSIRELEDQLMGVRIKEADTLAELKEMRQKVMELETQNRVCSNQLKRQDEELKRLKEEKEVILKSARDSDESFSKERSKFVARESELTEQNMMQRIKYSEAVQSIQDLRQQMNNLELKSAEKWTEGQLRGASVCDDDDGTSLNELSMAGSHHSVGSASIASEDMRTLLSEMSPLIHSVPVWSTLSLRKKTKEQKQKIHEGR >PPA17415 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:196170:197845:1 gene:PPA17415 transcript:PPA17415 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPFSFTIATPSDVQEMFDFLLTDFLFNTSLNGAIGMTREDAYDRYLAITESSVANGTSVVVRNEEGSVIGVRLSGYEDRQEVFPPVDLSAFAPRILKIRKILTVINEGKWDLIPADIDRLFDVKLISVAEKYRGRGIAKTLLTFGLDEVRENGAKGAFAEAVAIASQVLFEKAGYSVIREIIHEEWKDEEGKPVFVCPDRTKTVQLLSVSPIPFHICRDQKPKNQ >PPA17456 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:421429:424101:1 gene:PPA17456 transcript:PPA17456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dhs-25 MATSLLTGASAVVTGGGSGIGRAICSRLAESGARIFVVDRSVSAARQTISSLPNSSAHVAIECDVSQSASVATLKSEVLKSNEVPPSILVNCAGITKDSTLLKMKEEQFDDVIAVNLKGLHLVSQSFIRASVEAKRSLAIVNISSIIGKVGNFGQTNYAATKAGVIGWTKSAAKELARKNVRVNAVLPGFVKTPMTDAMPPEVLKKICEGIPMNRMGEVNEIADVVLFLSSPLASYVTGTTIEVTGGLHMFRDVYEYV >PPA17471 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:491877:494335:-1 gene:PPA17471 transcript:PPA17471 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:H3F5P1] MLTFCPECGTLLQVGEGNKVLQFACPSCPYICPVTRKVSSKVYPKLKDIDEVLGGPTAWESAQCTDERCPTCGHGRAYFMQLQTRSADEPMTTFYRCANAFLHFLASPTTRLSLDMVHPLLLHFRSSSVIVCCPIPQPPTAAYLPALCCCLLVHRWESLHSSSQCSSFLFFVLSCKHVSMWSLNPFQVVASLIGDIIVLIASVMGRSCSKFVSSFFDDLPWLLSIPSFFLVSFLLITFVLSLQGYQLSLAYGFVTIKPTHHRDSAPNPSNETRKAPVYTPIIKKMDELYLKSAK >PPA17447 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:393364:394113:1 gene:PPA17447 transcript:PPA17447 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFSVLRLASYLGRKKDLYQILEVESSATHDEIKRAFVRLTARLHPDTRGVDKESERLQWSNRSLTEQFMEVKEAYDILRKPEKRKEYDEERRLAQGLDGHLVEATSARFEKNTVINLQRDRNEMYTGPGKKRDDSASGHFRNPEEEYEKERQKNRSLYLIGALFLSIVLTNIGLSVTC >PPA17395 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:27795:28717:1 gene:PPA17395 transcript:PPA17395 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQEEINQAFNKFVYDRIENDFIDEIVKLELWKSQEISIKKSESNEAAKVEGEQRKKLVEAIFLETRLWHISYHAKARPLDKGRRNITQFLGDLYLHSLISIGEIRASLDELLEYRKESYNSSTATACVLLERVGKKFALEERAQYAVKETYESQSAHTKLEKAKFGTDEEVRNEIDTQLKYQWMYLKDYNTV >PPA17545 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:921371:922065:-1 gene:PPA17545 transcript:PPA17545 gene_biotype:protein_coding transcript_biotype:protein_coding MWNGETVVVRVFFAPGFNAFILVCLRVLEGYSPSDAVAQCKRDWFGIWSTSIKVWPVANLFNFYLVPLQMRVVFVQFIALFWNSYLSYVTQAKLPNAPHPHEADY >PPA17516 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:715026:718056:1 gene:PPA17516 transcript:PPA17516 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEDRKTLAERVEKQREEDQKKLMLNVLNGSSDDSDHDGFSVQNRQLVPQSDHPELEYADPAAHSMVYPPGSPPAYYSGSDEEDGANTRNTHPTISTYQPQGASPMSNLMMTSINLPITLQKMQKVAAEESDDEREEDDEDGKFYPGGAAPQMKRRMMQTNEDSMGDRKWLDALNTDEKMLIGYFDSFTPEEMILDTLYTYIPVEYLPAVGEPDPFIKIPRPDMIDDNTGLLFLDEPAVRQSDPVIVDMQMRTTVKNADKKHVEQEAVPMKNELHRSRPTMSVHYSRGMPDVEKLMQEWPDNVERKLKDLTLPTAELDVPLETFVDLVLNTVDIPVNKA >PPA17557 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:986473:987769:1 gene:PPA17557 transcript:PPA17557 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLGIDEWVTLGTTGTILFVFAAFCTCYWCNMGKKVSSQVLREEFAREHGLDSDRLAKGRLYRAVNSNTLPSIEETEIT >PPA17500 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:651633:654040:-1 gene:PPA17500 transcript:PPA17500 gene_biotype:protein_coding transcript_biotype:protein_coding MRHKLQAAIAYTFNAAGARGIACTRRHVDGTLWSLRGPLRGFRRRHLAQPAKSLFSPPPAPPSRSSWSSVASCGPRCGRPTVSLRQILFVHIQARGHAADSKRHKKVELVILTKELFIKSSLATATFLSLVVSTHHVTY >PPA17513 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:705024:705894:-1 gene:PPA17513 transcript:PPA17513 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDTVRNEAVSVPAIGPPIRCMSDRGGRDEPRGCGRGGPLDATILLPLVSLLSSPCSTSPIALPKQVQVFNTWATITRIYYMIIR >PPA17488 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:575519:579961:-1 gene:PPA17488 transcript:PPA17488 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLAYGTAPSMMPRHHKQASAPELNHHQYDVNAFSPAHSAIHQHTTSLHAPSSRPVQIPQIPQQMPYGSKSVSAIDQQFGLDGPVAPPMQPHHNRSTSYDVTHAAHFNAAQGFGGYQSQQAHSHQELYGLGMHQQQQPQQHQPMQQTKEKSQSMDPIIINSVQQQQQPQPMQQPQFETPSEIGLSLPPNWSIGHTEHGEIFFIDHSNQTTTWYDPRIPQHLQEERIRVQHGMAAAADPNAAAAHQQVQQQQAQQQAAAAAQQHAAQQQQHHFAMGQVAQQPPQPHQMLEQSNARIQSLDSEVNAMHERQRELMQQGLYGSPQPMQYDHNMDQQQYFQQQQQQQQRPPSMPTYQPQDEPMEYAPSYVAPAHMMNRYFLEEKEEIHSCSRILSAIGKKRSGQFQL >PPA17518 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:724276:727865:-1 gene:PPA17518 transcript:PPA17518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ptr-24 MNNGMKSNVWPDLLHIIFFVESPPDFGNPEEFGAFTRFLNESSSLPYAMGKDADMNWLSDFPLVTNTPANVDRFNMSLFRSFITHDVYKAWNSGIKYKWNKDGTPDIKEMIVMTAFNGTRSLAGKARLINQCRELAAKYPQFDLIPFDTEVAMVDVLNELPQYAIGFPIALSVFIFALFLIFSPNLASAAVAAATSFVLSYLILGCTLLLGMELNPFTMAFLLLSISLVGRLVVHITFHYHESGLYKDTKVGETPKFSKSRVSRTLQRSTVPTLLSSLLGVILLLPLPFNPIPMFTYFGLLGSIQLGLGLLFR >PPA17469 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:483959:486168:-1 gene:PPA17469 transcript:PPA17469 gene_biotype:protein_coding transcript_biotype:protein_coding MYHERQRKQLCLVHALNAIMQQEEFTEESLNEICYSLDERKWFNPHKSWLNLGNYDVNVLMAALATKDLQMMWFDSRQDPARIRLEFVKAFIVNVPGRYIPFMTNRHWFTILQRDDGAFVNLDSKLEEPELIRDIVAYIREKFEKTEDKVQVMIVSSMDSAELLKAE >PPA17420 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:209636:212000:-1 gene:PPA17420 transcript:PPA17420 gene_biotype:protein_coding transcript_biotype:protein_coding MNEMDEYLAEEKFDATENGTMMDDETDMNFYADNEQVLNSMVSCTLTRDRTSAMYAIRSNVVAHNQLLVVNTFVWAFVGILKWNYWGKKFNVAVDEKTFRFKSNMFEHRSLHSGFTPHSCPYCGKTCRLKGNLKKHLATHVSTKEELEDAWAPFSSNINRRTAEIAQNTLIVSGNRDRLTSDYTAVARPRKRKLALGIDASIWTDKIRKGELFPYINVDQKMARVEETIKAAEGSGLMTLDELVELLKPMYFDRFDCPLCKSMFMSRSECTEHLNCEHPRARVDRPLFCEICLKSFADRKSMDQHESYHKRVELMIEHGEIEVDIPDILLPDSIVFTDSEETKCVV >PPA17536 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:858747:864711:1 gene:PPA17536 transcript:PPA17536 gene_biotype:protein_coding transcript_biotype:protein_coding MVESPVDSSDFVIVKDTVSHLDESIETSQPPNDSFDFAIVEDTVSRIDESIETSQRPIDPSDSAIVEDTVSCLDESIETSQCPIDSSDSAIVESAVSRLDESIETSQPPIDSSDSAIVEDTVSCLIDRIEMMESECGTSKDTADVICTKAAGGERSGSVVSIDSDLEIVLVTRRVARGRGVQKWNTGKNGWMPEDALGWRRCGLIPFGNSEEIEMEGERENRPGGLRRSPSVLSRGHSPPPPEEACAVRERPMEVSTGPSRGSFSPRGRWSPRGGRGGGRGGWQRSRERSMQRPGGDSNIQRPRTPIGGPKNGQQRREDGYAGDRSRSPFRGRSEQRAGGDFDRERSRSPSRDRSNNDHRRNGDNYNRERSRSRSRGYNNNDQRRNGDNYRRDRSRSRSRGYNNNDHGRSGDGYRDRSRTPGYNNDDQRRNGDNYIRDRSRSRSRGYKNNDNRRDRYDNRDRSRSRSRGNGRSRDYDRERSRSPFQHRHNNDKRRDRYDDGDRSRSTVRDRSVQRGDDRRGRSRSPNDVAPLFALPRNEGDRR >PPA17487 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:571711:574122:-1 gene:PPA17487 transcript:PPA17487 gene_biotype:protein_coding transcript_biotype:protein_coding MLSALLYPPRNYTPAEMAYEADARLLQPLWDKVYKGNEYWLTSSLFPPFYALSIDWGFVLIFTFIDLVLCDWPIFKDYKIQKDRKVTWSLIKKSVYLQFWNCMLWIFPIAAAQWCWVPPLDLPELAPTVFEMVSQIAIYFFLFDMTYFWFHYIHHKNKTLYRWCHSVHHMYSSPFAAAAQHLHPFELFFVGGFITVIPWIFPTHPLTYWVWFLIAQLVSYEVHMGYDFPFALHRFFKFYSGAPAHDMHHLRPLTCFQPWLNYMDRLMGYHITYDDLKKMADEKNKRYGQYAKEDEEGLDKIN >PPA17472 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:498553:505533:-1 gene:PPA17472 transcript:PPA17472 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSNEAFDRRLTVLHLQPRSIEIANFELFSSGPAAVRFSAAERFPTQQWSVLGEWSLADTRTVQTLPVAADALTYAKFIKLELLAHHGAEHFCTLSTVRVLGVSMVDEYEAEAAVAARIAAPHAAVPPLTATEAVVTPPRVQHTQPKEQQQQQQQVKKEEPPQAAVPTESTPITSTEVPPIAVVEPPPVAPRPPASDASPPASGSGLVQDVMSGTLLKKIIEVVGGGKKKTADAPAAPPRLSAYDACDRTPTDGWPRATCARRAFFCPPGTTPAPAAARPSHAEETAAKRAAARRQFIAATQREEAARAAAAAPPPQLPHTQIDAPPQRKATTTPVVQPQAAAPTPPVAEAAPKEAEPPVAAAKPQQEQQPPPAAAAPPAAQQQQAAPPVGIFEGLPAGTNSHKLETIFIKLTKRVSALELNMSLSSEYLSELSKQYIGECGVLFNLRKEVDALSAWLATLRAQAGSVALTRRISGAYAAPQDGHHETSPAEERPSSAEVGHHRRHQTVDIGGEDYASYEEAYESTCPYSEGGGRRQPQQGRPYGPQPRPPREPNASDADDGEEEEGGHADADDAFDLRHFRHHSDGIWTTEQVLYAVLGAQALTVALVLLMQACYARAFGRGRQPADPPAPAAVPAPDTAELERLIAAALERRAQREAAPPRVPVAAAAAASADASPRSSASSTASSSGAAPQPLQQLSGGKKKRRQRRSTAEQQQQQQQNHHHCRQCGEGEEGPEFGLGLGDGLVLAVALPNWKRGGIRGPAPFRRFRPLIAPGCSRFLHCQTRV >PPA17459 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:437684:441574:1 gene:PPA17459 transcript:PPA17459 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLLGEVGGVEEYRIVDALKKGEVTKPLVAWCIGTCADHITSEVQFGHAGASAHGQGETAAAKNAALAEAGAHVPASFDDLGKTISAVYGDLVERGVIVPKPEVPPPAVPMDYSWARELGLIRKPASFMTSICDERGDELLYAGVPITRVLEQDLGIGGVLSLLWFQKRLPAYANKFIEICLMLTADHGPAVSGAHNTIVCARAGKDLVSSLVSGLLTIGDRFGGALDDAARQFSEAFDKGWSPMQFVNEKRKQGTHIMGIGHRVKSINNPDKRVEILKKFAMDRSVFTQETPLLDYALAVEKITTAKVEIGALNGLFVLGRSLGFIGHYLDQRRLRQGIAVKLSLRLLY >PPA17515 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:709448:713408:1 gene:PPA17515 transcript:PPA17515 gene_biotype:protein_coding transcript_biotype:protein_coding MKYIDGLAFDEGVFCILPALMKAVKKEAGFTENTRLVWETENMPMSRPRKKGAKNRVQNDDDSSERKRREEKAERMAKKKKVEEELLKVMREIDEGDGTCEKKEESRRFIEDRQRRRSPGRRRSGGRRRRGAMSVEEEDDDEEDDDEDDSEEDRHRRKAKRSKGSRKPRSRLSQERTFEEKKERKRSAKSKKSEKKRTMRKANSRLEREEKLLKLEDSPPRNKGKKKEEEKGPSADKIEEGGERKETGMGKNKESSKGADQSPAVTPIEGSDKGKKK >PPA17427 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:268199:274736:1 gene:PPA17427 transcript:PPA17427 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gap-2 MAVYELASSPSESSSSSIETRSYQWNPDGFITPSLHSPSSRIVKGRRKKPICSVRVKPNLISIRSVFGAYSRYTVTSTADGLSTTSKISSALSKSSNEANDVPSIRIKARWQTVDILPRAAYADLLSFVVHSYLPLCVQLEPILGVKAKEDLSTSMVRILHEQGRARGFLVDLVLAEIDLLDNEHLMFRGNSLATKAMEAYMKLVAEDYLSGTLGDFVKNVLEADENCEVDPLKMPGVSSSALEKNRATLMRCVETAWGKIINSTHLLPVELREVFADLRSRLEESKRGELSNNLISSSIFLRYLCPAILSPSLFNLVTEYPSGKAARSLTLIAKTLQTLANFTRFGGKEHYMEFMNAFVEHEWQHMEDFLNKISRRSSVRNSTEVIIDLGKELSLLHSYLEETWTQQVSDKASSIDSRVADLSEILYDISLVRRRGMDHPMDMNASTLSASSDYDNSAHRPHRLNENLPAYRATPPTGHAHLGLHQSPSTTPSSSSRSPAPHLNTADDYVLPTAFHDETNVMRGGTINGQANRRLPRSSHQSTSSSSSSSPGMAYHPRQPVGLSSSTLRGSGAPIGGPHSGSSPSITSGDLHSSRTSSLKSALMLSSSSGMGERDEETDSDEDGGPHGSRRPARKPKRRSVNGSTLMGGGPSSSSGGSHPDPRTYGSGGGPSTVDRSYDRMGGVFERGGAYGSTESGASGQQATNTLSSSGYGSHNHSSYSSSSSPVDRSLPPPYPSHSALSISNPLYGATNGATTVSIGCSSMPSNSSGSMTRGADYRNNHTHYSCPPEMAAGSKSSLPRTNPRVSRPTSVREREQKEMLRRETSLPQTGVSSLSSSSSILKPTLIDILDSPLSSPPSSSSLHPLDRSPPSLSSATSTSSEGVSPLVNEINHVTIEDLDDQDDGVDVRSEDTSTLNTERRLQMKQQETIDAQRAEIARLIRENAELKRAQGITKKRETNKFVDSGASEDSYDSLSSLERGTALKGITEC >PPA17437 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:328613:330373:-1 gene:PPA17437 transcript:PPA17437 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cah-4 MEWQNVFAARHLPAKFKLEQFHAHWSEDGTCGSEHTLNGKALSGEVHFVFYNTTYGSFADALAKDDGLAVIGVFIKEGSHNANYQPLVDVIRRAAECGVEQEMPKDFHIRSLLPPLDERDFVTYEGSLTTPPYSEAVIWTVLTHPVQVSAEQSSLHSFLSS >PPA17440 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:357109:358016:1 gene:PPA17440 transcript:PPA17440 gene_biotype:protein_coding transcript_biotype:protein_coding MWHRNVKNSMFEGVLKPDQLIKRRSKYGLIHRGGHEELMAAFVRRKNQYVEIGRNCGHLVSFIVEPFVAHKQKDELYMSIESFRDQDVILFYEQDGSAARSLAIPVLLNKDGQKPNEEELKDLIGDLGEKTG >PPA17452 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:407891:409345:-1 gene:PPA17452 transcript:PPA17452 gene_biotype:protein_coding transcript_biotype:protein_coding MHASLTLSSAHGVIFALKVLSSTYTPEDVWLMGWLPVPSRYACWAELILIQILSPNASFVGHLAGILAGLLYTMGPLRWIVNGVASVVEGVIGGGEGRQQRDRDYSSASAPPYEGGRTWGDGREERRGGSNYERYTGGLSEEEQIRHAMEESMRQRRQPNYGWNID >PPA17398 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:39515:41753:-1 gene:PPA17398 transcript:PPA17398 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFPTIKLQNGVEMPIIGLGTWQSTDDDVRKAVEVAVAEAKYPLIDTAEIYQNEEVIGDILHKLISEGKIKRDDVFITTKLWTNNLNPARSLAAARECLKRLQLEKVDLLLCHMPAAFAENGTDQEKDVSVEDVWRGLEAIYDAGLARAIGVSNWSAEQVDRVMKTAKVPIHNVQNELYLYWPQHELTEVCKKHGISITSYGSLGSPGRVNFTLPTGQKLDWAAAPNALDDPKVKELAEKYNKSPAQILLRYVIERGIAVIPKSVTPSRIHENLKVFDFKLTEDEVKALDFAPHRQRLFLQPFMEGHPEDPFKSERV >PPA17493 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:615779:622868:1 gene:PPA17493 transcript:PPA17493 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKITFFLNGNEVTVDNPDPELTLATFVSANACITPLYLVDGALVLTVEGIGSQKRLHPIQERLSSGNATQCGFCSPGFVMTAYALLRNNPSPTADEIRSALVGNLCRCTGYRPILEALESFARPSGGCCMGGKGGCPCKDGKSENGVPEKSPDVACGLVNYEQMQKFDETSEIIFPPTLIVASEQQTLSINGKRITLYSPTTLEELSAKFKSLPNVDNIVSTGILARFNHSQVPSPAARSTWLSIQRIETLKKVDVVDGEILIGSGLSISEFLSAIRSNCKTAQYVDTIEELYAKYSSDQVKNTASWSGALATAAAKFDICTLFLALNWRVRLYNLSTSSYRTLTADQLFSGVNGSKTALASSEVITALLVPVAPTHRIASFKHGMRFGADDAVLNAAASYQAGKSETDPQYENQMRSIDNSLNCSTKADSHRKYVCYSGRTWCKIAEDVVYRRRRGVSPKTWCIAEDVVYRRRRGASPKTWCIAEDVAYRRRRGVSPKTWCIAEDVVYRRRRGVSPKMWRIAEDVAYRRRRGVSPKTWCIAEDVVYRRRRGVSPKTWCFAEDVAYRRRRGVSPKMWRIAEDVAYHRRRGVSPKMWRIAEDVAYRRRCGVSPKTWHTHYLLSPLPSSLFSACPLTIKEQSCKL >PPA17510 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:692974:697597:-1 gene:PPA17510 transcript:PPA17510 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSRWEYDSCSEKHREEDTVVVRPFDRLSFLPSSVEQLFGWIHESFRQLVNRKYGREVWLKILELSRFEEGTESEISHYYNDDETLRLVNAMANVIGIPIEEVWEAYGGFLIQFTMETGWDELLRAMAIDLEGFLDSLDSLHYFIDHVVYQTKLRGPSFRCEPQPDGTLLLHYYSKRSGLYPIVKGVVREVARRIYDTEVVMKVQERKQEHLDAFVTEHVVFVIVQVKTSNTASAKAITSKISELPMAAITAGIGMFDISAEDFCLAYPYHICFDKDLLIEHVGAHIRRSYPQCQRQETRVSDIFELIHPEMPLSYESILAFKNSLFVFKMKGSGDVVHEGNDAAEGKPVHVKGYF >PPA17407 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:163188:164967:1 gene:PPA17407 transcript:PPA17407 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAEFRKTLTKVITEKGEDSMTKFGAILAQGIIDAGGRNVTVALHNRNGHADMGGMVGMFVFLQYWYWHSFVHCLALAFKPTALIALNRDLAMPKMEFRSNQKASLFAYPPALEEKKKEEHEKVETAVLSITNKKRAALKKKEAEKKEEKMEVDEEKKDEKEKKEKDEKKEPEAATHTIENPARVVRLQMKTLALTENSRPEEKEELVAMVSAGGQTSTDASAPEPQPHATFEFSLANY >PPA17430 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:303574:304450:-1 gene:PPA17430 transcript:PPA17430 gene_biotype:protein_coding transcript_biotype:protein_coding MNETNGEQARTFTVALLYTVSAACAAVTNYFITNNKVSRFTLEMPIAASLYLFFALLSTLSYSAPERMAIRTYLFLIDQSILFFHVDYLVDIIPKQKSVPRMRKAPKKKKTQAELNSPQLPSNRTEPTNLMEESAPSKTKEDTIDDEKKL >PPA17483 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:555133:556129:-1 gene:PPA17483 transcript:PPA17483 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNGFNFFHNKLITVFSAAAYYPDKPNRGAVCTIDASGRVGFQVVIPNKDNHPDDKPKLFRGDHDVANEFDTGYVKVAPGIKKQGATQEESSGEKGEKPKQAQKSD >PPA17412 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:183773:187236:1 gene:PPA17412 transcript:PPA17412 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQGRRCHFPSALVLSPTRELAMQIHKEADKFSYRTNIITAILYGGRENYRDQINRLRAGCHILIATPGRLIDIIEQGYIGLAGIRYLVLDEADRMLDMGFEPQIRKVIGLNMPDKTKRTTAMFSATFPKEIQMLAQDFLKENYVFLAVGRVGSTSENIEQHIEWVEEHEKKKGLCNILEVTDVNSLVLVFVETKRGANELSWFLQRQNNRGIARDLQGLLAEANQEIPEFIRRCAAEGGVSRGPRGRFGGTDHRRGRGGGGGGGYGGQGRGGGYGGAATNGFGGGMQRSNSFHAVLGTTAKKKKYKEVIVRWSNGFGQQQNGFGGGGAPMRTGNGFGGGYGNNGGGGAPPPSFGTFQAQTAPQQSFRGIQMAIVATD >PPA17477 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:525270:527553:1 gene:PPA17477 transcript:PPA17477 gene_biotype:protein_coding transcript_biotype:protein_coding MERMLERVSTGKIFEDRLPLADRHSTSSQDELDLGCRADKERSHRAISLYFV >PPA17432 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:311423:315798:-1 gene:PPA17432 transcript:PPA17432 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNGSAPIPPEALAQMGQQNNIQNFQLKAVKKEPIESNPVGASVHQEPPSNNDNNVVPEPVNLRIVKREPVEFIPDEANAHQTPPSNNSKTAAFEAMKKRVAKNPVGASVHQEPPSNNDNNIVPEPVNLRIVKREPVEFIPDEANAHQTPPSNNSKTAAFEAMKKRVAKTEPIEPLPVDANVHQAPPNDDKEAVLEPVKKQKREKKKQNASRSYNDLNISFTSSKRGRPKSNKPPAAPEEHHDIIEPNADLPQIDDNHQPAVADVNPEDAASITGDNAPPAKRGKRGSWEYVPSEPRPAYPKGRRQEASNKRQSRELAKLAMDIVDSPHDLTKPPEEWYAGSEFDHNKPISMADRIKNNRRCRDFRHYSPTMKDPELKKVKVTKVAKVAKVAKVVKPPVEKKKRTRKPREVKPMKVAKPVKENVKRRRPLKKEETPPREVTPIDDTESQPSEEESDEEELSTGYDQQPAYYRRRGYDSSDSSSWSDDKSDQWERRTGGGERSRGGADPSITNLYLSNGITRRDMDDDPSLAANLSPMHMPNGYYGSDFSNPTSNEPITYYCAEELVYVGDDGEEMLNSYPNALVERRLPNLELIKTLEGRYDDSGVYYKPRLIIITPIKEDTSMDALFSALERQRDAWFLPTLRPSDTLSRGKGHAALVLPTPDAAQMMLEGRLLNIGAGFFPIDIHQPGAIWIKPLEPNDFDQMEDDFVREHIEHIVGRILHFHKHNDGWIVLLPEMEYAKELLKTGSMDGVEFLSFQSEAVYERRINWPVVDMEWARELGMRKLI >PPA17429 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:297765:299830:1 gene:PPA17429 transcript:PPA17429 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYNDDYGHKAYRIVAYSSLLFAGVSVISIAVSVPLLSGYVNSIRAGLREDVNYCKSSVSDMWAGMNSLRLEAPLNRTARQAYAGGGGGGYSGGGGGGGGGGYAGGAAAGGGGGGGCSGCCNPGPPGPAGKPGRPGRPGAPGAPGAPGPMGAMPNVPCAPMTPPPCMPCPMGPPGPAGPPGGPGPAGAPGGPGAPASGGGAGPPGPKGPPGPPGNPGAPGGPGQDGEAAAPGGYSGPPMPGPPGPPGPAGPPGPDGQSGGGGAGAPGPKGPPGPAGNPGSPGNPGPPGPDGSHGSGGEKGICPKYCAIDGGVFFEDGTRR >PPA17522 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:756006:756490:1 gene:PPA17522 transcript:PPA17522 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEELQRKNDALVQCKEPSASPSLISVAAPPATGLPTTSSASVVPLQIESIPSPHSSTSSFSPVIPSHQPQMVYQQAPAPQAAAPTLADLTMLLALQQQQQAQTKSMDALLTKDLATLLSLNSLKDHSSHFMTPLLF >PPA17498 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:639589:641607:1 gene:PPA17498 transcript:PPA17498 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFEPTSSVPCPVRRLPTLIGLDDRDRAIVRFGTEIETQALMVAKVTVGAASKLSKIRVVRKNIARVLTVINQTGIIYKKTRAMRRALAKHDASIKPIVNTAATHMQSDKLDSLVKNSLRDYMMC >PPA17542 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:896681:897538:-1 gene:PPA17542 transcript:PPA17542 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSLLASITSLLPSLLLPIFAVACCPVLLIFVVLHIPLPDCIADRKKLYVFELILRVTGEYGGELVEMLAGPEWRNWYLRAVMAAGFYLPIPNNQEVENNMIVNVENEVL >PPA17495 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:627931:633527:1 gene:PPA17495 transcript:PPA17495 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRIFTVTSTNFQEAIAARSYLSEKPEIYGKSEEDIEAALKAAPILLEGECSIGGQEHMYFETQSSVVVPMENDEWTVYTSTQSPSDAQYLCAAILGIPANNVVIKVKRLGGGFGGKGTGDRIPRGPAMVAANKIRKPVSCVLHRYDDVAATGKRHPALFKYRVGIDGDGRLLAVHVLEYLQGGYSTDHSLSVATVIQYADACFHVPAMRGECWALKTNTTSNTAFRAYGRPQTFFFMETLIAKVAQRVGRPLNEVKRLNLAREGDIALCGSRFNNFCLPECWNEVERFSQFDKLQKECDAFNKNSARIKRGVAISGTVQGLTIPGFMEQGTALVQLLLDGTVRINVGTVEMGQGLNTKITQIAAAALNVPYEKVLIIEMATDKTANTVESGGSIGTDICGHAVKKACEKLLEGIKPHMEQCDGDFVKALAAAWMAKVPLQASETVSVERKAHAMPDNDHPYFTSGAACVLVEVDCLTGEHKLKSVDIVMDVGDSINPALDIGQIEGGFIQGYGLTTSEEIGYDESGRISNGSLYSYKVPIFPGLHSQRSPAALSEHCNSCDIFQLKKCPTNCKIPTVHMVPEHFRVKLLEKGRNYPGQIYRSKGIGEPPLLLATAVHSALRIAIDSYRGKDDFLRLDSPLTAKRILEACQQK >PPA17396 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:30126:34140:-1 gene:PPA17396 transcript:PPA17396 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRREFTRNGTTPPSTGSHLPDYVAASMIAREGHSRFGHRLYGTGSAPFAGSTQSFAGYSNGSAMGSPMLSRRPSTTSLASSCHYCTCDVQGGHPLAVAVLHQNPVDYSVTKGGARTWIVLLVFGLLCTSLYSLVQTEDNGGRGITDFDDDDDSPLHFRSMTKEVEQTEDDDDEGDDEPVHEKTRHRVVEQKRKNGPPPSVKKKKIEEEEEVKPSEDDDEDEEVDEEETMLAGLRRKVEERLRNLAVGKKSEELASSQPLKRAMKHGKKKEDKEEEPPKTSRRQQKKEEEEEDDEEEKKNEVKEEEEEEEEEEPKARRGHQKKVESRRSRRSKRMEKEEEEEEDEEKENEEEKEEEEAKVEEKEEEKVEEMKEEIQLEEDDEDEKKKDEDDEDDEEKLNSVERRKQKRSQSHITSEAPNRPCKRKHCPPSYEAAPRKNLLLKKRKQASP >PPA17502 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:658661:659548:1 gene:PPA17502 transcript:PPA17502 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSLSSLQDIAEVDISPSRLLSIYSTNLLWNLFLTSTALHAIALITSPLQAVYKWYRRQSSDSDTFLPYVCTIIPSSLWLRYALFIHDAKLIILQTYAVAMHSFFLTMLIYYKTKKVCSGSV >PPA17468 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:481543:483266:1 gene:PPA17468 transcript:PPA17468 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ggr-2 MKAIDVWMGACMMFVFGVMIEFTIVNYAQRQVNAEEEEKEREGGKISVSERARNLITRIRGHNEHHEQLVEESTPAPLRHFDPACSRFDMADSSVDDDEEEESNPSLEAGSETGADDTPVKVRSTAEKLANWNNGETKTTRTEGTTTSVWSKLQMQNGSANYGSVAIVAEEGLKHRNGAALTGNGETPKKSGGGRAAIGRAVSKLKNDRWTNVVRQIQKNK >PPA17391 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:11590:16671:-1 gene:PPA17391 transcript:PPA17391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cul-3 MSRTGAAASSTGNNRMRIRAFPTAMDEAYVDQTLTMLQDAIKEIQRKNNGGLSFEELYRNAYTMVLHKHGEKLYNALRDVVREHLRNAIRKRVGATMDDRFLETLNSAWSEHTTAMVMIRDIFMYMDRVYVEQQKCDPVYNLGIGIFRDEIVRQDRVSEHLRKVLLEMVADERAGITVKWSGIKSACTMLVALGIEHKHVYEGEFEVPFLKETSEYYKAASQKFLSENCASVYVKKVENCIAEETDRAMRYLDKDTKNKLLSVLENTLITTHMQTVVDMENSGLKVMMRDDKVADMRALYLLLRRVPNGLTVMTSCMSEYLRAIGEKLVDDVEGVGAEGADAAAAASKKDPVRFIQELIDLKERFDHFLKDAFDNDSSFKNKIQSDFEFFFNKNKRSPEFLSLYIDDKLKKGQKCLNDNEMESMLDRSMVLFRFLSEKDAFEKYYKQHVAKRLLLDKSVSDDAEKQMITKLKTECGCQFTQRLESMFKDKEIWGTLAANFKDYCTTNPMGLQMDVGVRVLTAGIWPTQSAAPQCVLPDSCQAAFDHFKQYYVGAHNGRKITLNTLLGSADIKAIFYGATPNADELSQQESEAGPSSRDPAPKRKEEHKILQVNTHTMILLMRFNIRHMFTFNQLKEDTQIPERDLQRCLQSLSMGKPTQRILTRKGKGKEIEDQDEFTVNDLFTSKLTRIRIQNVTNKSETEPERKETRNKIDEDRKHEVEAAIVRIMKARKTLQHNDLVVEVTEQLQSRFKPDPQLIKMRIESLIEREYLKRSEDNHRVYQYQA >PPA17425 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:233144:233889:1 gene:PPA17425 transcript:PPA17425 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNGNGHVRFASDHPLPWHGGFCVARTDERSLICYKNEQSSNRVGRRLPRTRLDGGIRSFLRHWKQPGQTSDYEEGSIASSSSSSNHSNYSSNNYHSKTLSRLTALREEGNSVSDFLPQNRYGTSNYGTVYRANSKYFKI >PPA17435 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:322683:324699:1 gene:PPA17435 transcript:PPA17435 gene_biotype:protein_coding transcript_biotype:protein_coding MKWVLAAALIGLAIAAQQAQPNKTTEGATQSADGRRSHRRHRESSESFEEEDVYAAHFYAAPTPKYRPPPPYAHLPANSDVNYCSIHASFPMAGGYEDDSRDESDLSDVYGRRSPRRSRSLRGRRLPRRHGYRHRWNRQTCRFTATFSQETCQSCCRVASRSNDADPAAITGSLFFFAPWDNESREKSPLRREIQCVCCSPRRVVSATLPPTPSYGEREDYGEKEEEN >PPA17474 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:516450:518326:-1 gene:PPA17474 transcript:PPA17474 gene_biotype:protein_coding transcript_biotype:protein_coding MIFYGDKRNTSSPNARRGVLDCRRKKKRRSKIAEEIKDQAMSRPKRFSVSLDGDPRGQSCSRSLVPVLLLTSLFFASSAAVLSCPADGGPCSPMDLRAALNRSRHRFTILSIATDCLFFY >PPA17551 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:949324:951407:-1 gene:PPA17551 transcript:PPA17551 gene_biotype:protein_coding transcript_biotype:protein_coding MWAMYDIIPISFSDATLRVLGRAYPICILTKELGEGEVGLFAQEDCLSCADRWGRYAVLLEEKGEIKDQRSVEIKDQRSTACIVCCREMAADTVFEQSRPFSLSCGHACCTGCWLQTISDGMKKGRVPTECPEPSCSLTLSITAASALLDSASLRRYTEAMTEVLLRSQTIVRCRDCSRLHRVVSSKPSVRCVCGTSICARCSSVAHAPVSCKAFRDYCSYMQKNGLSTNHVSFADAPIIRNLAQCPKCGLLSEAEYDTAD >PPA17416 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:198261:199866:1 gene:PPA17416 transcript:PPA17416 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTNDDVVSHLNETECELDMEKRKKQRRSKINSLEALIALRERGEGEEDDILLWECSPTADICEVMVGERCSGDLIRELEEQKREGEAVLIEMMMMKGGIRPVKLSDWADVVDVDDYYLHTYARETCKLLPPGIVKMKDGNFVMGDALTEYVKLAKIIFTQEVKVILEEEEKKTEKVQGFTVEIASNLGISSLEGFLMMKTIKDIFYGEKKQWRLKTVQF >PPA17423 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:222438:223293:1 gene:PPA17423 transcript:PPA17423 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPEGLNSYPLNGNETIALGKKGFNKFEEAGYLLSVEEYENLKEKCTDLRAIIRYATNFGGKILYRLSPTYDLTSKSVIGFAVLSLEERTATCGATAAVREFSAKIQPDHIYMLSTLKKSFPPYSKYVMSGTPFYVWTKDYTGDFTDRK >PPA17533 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:851132:852307:1 gene:PPA17533 transcript:PPA17533 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAEMEGAEDVASIIQEIISQHLTPCAVAEDLALLKRMESACKQAITNVLDRKARFTRAALEFDVVYSQTRKHWEREEEEMAFTDPLVHSIATGRLFQSVTRMEHCDESGDSDEFSRLALEFAHGLSGKARFTPSPTTHQTDDDDWEGTKMEFKDCHEFPRLFNDSLEFHDEETKLY >PPA17566 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:1077468:1078289:1 gene:PPA17566 transcript:PPA17566 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRVTFDICSMSARYLRIDLEPCSIVRELHVYGSVVSVDSKDPSKFQHQGFGQRLMEEAARIAKEEHGSTKIAVISGVGTRDYYRD >PPA17410 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:172678:179368:1 gene:PPA17410 transcript:PPA17410 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEAKAYLQEHYIPQLFEGLMTGLIYNRPDDPIEFLEGALMRYTHFHEPNRNRRSIEKQNSVVSKKGTPAPAVSRSQTVERTPTVQRGSSVQREESRNSRPGTREGMVLRRQPSVIKAAEVAEIPDVPVILFMGGPGGGKTKIAAKVYSSLAEKGLVHVCMPDIVRNALSKYKDKYPDWREANEKYLRGELIPNNLALALVKAEMGRHKDASAFFLEGFPREARQVEDFEHQVKKVNMALILDYDERTLRDHMERRGLGMEIIDQTTRLPKEMRINIQKIKEFKQKTLPSAKYFDDHKLLHLIPGEKDEHFIFDRMKHLVLKAIEGGLSAPPTAHHNREHTSNGRVSGGAAVAVAAAAAASNHDGVSRQNTIQKSPSMERASKTPQQGRRSRTPRSRADEEHVENGEQNHQRADTSSSKRAHSRGSRRSQREESASGRETSSKNHERQESKENDTKTTGDERPTAPSRTSVQKAQSVEKPSTRHATGDRNSQSFKGLPHSAPVVLIIARVAKKYDGYVLLSMSDLLRKKVAESKGDELWERIGKKMDQGEPVPIKACRELLYTAIEQHQGTSWGYVIVGYPRNQTQIADFESVIGRLDVSILIDCTEQYCTKSVNDRYQKGLTTGTQRADDAADIFKARMGFFKQNTLPMLKYLDDKAKLRVVRADADMVDGDADEDKIFNDITTAIDNAILIDGEASGSSLESAKQP >PPA17481 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:547803:550533:-1 gene:PPA17481 transcript:PPA17481 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKASQPLLRASNKVVAAASRNLMLQEHHGIALLKKSGIKVPDFVVARTAEEARNGAKSIGGKDYVIKAQVLAGGRGKGRFDSGLQGGVQVVFSPDEAAEKASQMIGSHLITKQTTQRGKLCQEVMVCQRLFTRREYYFSITLDRNTNGPILIGSSRGGVNIEEVAATEPDAIVKMPIDIQKGLTKEIARDVVKKMDFKEDCAEQAADIIVKLYNLFRSSDATLLEINPMAEDVNGTVKRNDARGRIGNT >PPA17413 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:193382:194238:1 gene:PPA17413 transcript:PPA17413 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIARAAAYWAALPRRMLQEYPEQTIFWSTFGTAAFALLAYRISYATAEGPRPWYRGYYSVVRPDDPIAINWRKPEEYPAPYLSTKMPGAKI >PPA17450 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:399552:404417:1 gene:PPA17450 transcript:PPA17450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-paa-1 MSISTEEGGGDESLYPIAVLIDELRNEDVQLRLNSIRKLSSIALALGVERTRNELIQFLTDTIYDEDEVLLVLAEQLGNFTPLVGGPDFVHCLLPPLENLATVEETVVRDKAVESLRKIADKHSVPALEEHFVPMLKRLATGDWFTSRTSASGLFSVAYPRVSPAIKAELRSMFRVLTRDDTPMVRRAAASKLGEFAKVFEKDFLVDELHQMFIDLAVDEQDSVRLLAVEACVSIASLVSEEQRVELVKPSMLALIEDKSWRVRYMVADRFTELQQAVGEKMTQEELLPAFSNLLKDNEGEVRSAAATKIAKFCESLPLATREQSIINTLLPVIKELVNDPNQHVKSELASVIMGLAPMVGKDNTITHLLPIYMTLLKDTTAEVRLNIISSLDKVNDVIGAAQLTQSLLPAIVDLAEDGKWRVRLAIVQFMPLLAAQLGQEFFDEKLLSLCLNWLTDHVYAIREASTGILKDLTIKFGGEWAAKNLIPKATTLAKDTNYLHRMTCLFCAATLSEALSKEQVVRDIMPIIKDLSEDHVPNVRFNVAKSLARIAKNLPTIGYQADARQAVRRCRLRREWGGNPPSNPEQLMS >PPA17404 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:78526:82382:1 gene:PPA17404 transcript:PPA17404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpn-2 MSTYLLNEWKKRPGGPQDPTAFMRTLDSDRASLKEKEVIVKAFDDWDVLTPTWFEVADYLPAIERLHENNDFPERLRAALLASKVAYCLGDYKGALMLALSAEDLFSLTPRDGSAAVGQQDEQYVNRMIEEALDTYKTAKRNGAAIDTRLEALINRLFERNLNKKDLRYVIGLAIDTRRVDMIETAVKAADDQAAILIETVASVLEGQIDTGLRHQILTLLLRMFCNLDQPDFVNVCQCLIKLEKPTDVADIIDKLIGQDMKTDGALLAYQIAFDLYENATQQFVKKILAAMAKIDNELPGSSSASPVPPSTPPLNPSPTSDSPSPVNTGLTPVPAPEQLEPLARPTTPSPENPKTIIFRRIRGILRGEETIRHHMQFLIKNNHTDMLVLKEMKDCVRTAMAHNATLLANGLMHLGTTCDDFLRENLEWISKATNWNKFNAVATLGMIHRGHEAAALKLLDPYLPKAEADQFGFKEGGSLYAYGMIHAHHGNALVTKYLRDQLSTAQTAAVRHGACLGLGLATMASHDDEVYAHLRETLNMDDAVTGEAAGTAMGLTMVGSLNNTAFEEMVQYMNETSHDKIQRGLRTGIALLGYGRQEEAEVWIGRLLEAKSCAVMRQAGVCLMAMAYAGTGNASVVKRLLAKVASDPNNDVKRMAVIAIGFLLSK >PPA17453 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:409705:412777:-1 gene:PPA17453 transcript:PPA17453 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSVELLEGTPTSWRKESAVYLTERRSSLVDRNNTSWPLALSSLLISFGALLTVFLLLLIRAPLPPPVDPFRIRVFEDNKRIDLLGRKLQQGISGGRILDSIKWLAKDVHVAGTNENEKLLDELGKMYSSWGYSVRFFDYSVLLSYPNYTRPNTIEVLDDESGHWLTVSEGFGRPKGPKELKNQESDARSGVWWSAYSANGTVEGSIVYANYGRSADFDMLKNMKIDVRGKIILMRFGGVSRSVKIAEAESRGAIGVVLFSDPQLSGPPSGNNSFPSDMSLPGDDVQRGGLLRAVGDPETPSLPSLSFVPRQSTKKLRRTGALPKIPATPVGSDVAARLMRLLDGTLSKEHRWQGGLSADYRLSGSRRFRLSVHSHLTRRPIRNMIATMKGDVEPDRWVMVGNHVDAWVKGAIDPATGTAAQLEVARVIADSANLSPPRRSIVFCHWDAEEFGLIGSSEWIEEMGKILDARAVAMINVDHVAGNASLQVKAVPLLYRVLSEAAQRVEQNDEVERENGRLTLLDSWKYHGGRSPIEGDRNLPHIGLPGGASDHQRFISLAGVPSVDIKMTAKGRSSYPLYHSMHEVPWTVEKFISSDGAALATVARFVTEATIRLANHVVRRVIICDYPSQCNITQVIPFSAADYAQTLSQWLTLLHAKLDHLEVPKYLSNYELRFRALITASSKFREAAEALDERVKAITSLSKGVSVPMLNAINDRLMRLERVFLDGGAFSSPLHKHLVFSPSKIATARTPFALIWETAHDWSTAEHSDKESLLETLSESIARLTLAMQTAAELLVLPQEE >PPA17421 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:215232:216622:1 gene:PPA17421 transcript:PPA17421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylosuccinate synthetase [Source:UniProtKB/TrEMBL;Acc:H3F5J1] MRVSPFLPPFLLLFVLFNGVNVEPGWEKRLLISAEAHLVFSVDATVDGAQDNSLAVTAKIGTTNRGIGPTYSSKCFRNGVRVTDLMEDLETFEDRYLRLVSYYRNQFPNIQVDEDAELAKFKLVGDSLGEGTNGALLDIDFGTGFDPALRFNISQCKKQKCN >PPA17521 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:742672:743920:1 gene:PPA17521 transcript:PPA17521 gene_biotype:protein_coding transcript_biotype:protein_coding MESPGELNPDGDVTIEEGAEKTFKLADCPSCDGVLKTDVVFFGDNVPRSDVDLCYEKASVEESDGVLVLGSSLSVMSGYRFVHHASLRNLPIFIVNIGPTRADHLATHRIHCIASMIVPRI >PPA17496 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:634426:637910:1 gene:PPA17496 transcript:PPA17496 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKLPKSASQAHLFKKIANLQDEIEALKEKLNKEDKEDEGYRPARTGFVGSHLVDKLMLDGHEIIALDNFFTGRRRNVDQWIGHPNFELVHHDVVNPYFVEVDEIYHLASPASPPHYMYNPVKTIKTNTIGTINMLGLATRVKATMLLASTSEVYGDPEVHPQPETYWGHVNTIGPRSCYDEGKRVAESLMVAYAKQEHVSIRIARIFNTFGPRMHMNDGRVVSNFIIQAIQDKPITIYGNGNQTRSFQYVDDLVDGLIALMNSNVTSPVNLGNPEEHTIAEFARIIKEAVGSKSKIEQFDAAVDDPRQRKPDITKAAELLEWQPKVTMSDGILKTIDYFRQEIKNEKGEL >PPA17544 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:913509:919701:-1 gene:PPA17544 transcript:PPA17544 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAISVPRGTVCFSTLPPSTPCSSKPTSSSTRYEVEAVHKLNMLQSNSATIQKLKADARRDQMQLLNVPETVSCLERLGITVEDLDALRAIHVTGTKGKGSTCAYTEAMLRAAGLRTGFFSSPHMVHVRERIRIDGAPLSEERFADALFAAHDRLEKIPPSDPNIPPMPAYFKFLTVLAFECFLREKVDVAVVEVGIGGEYDCTNVIRSPVACGITTLDYDHVALLGSSLEEIAWNKAGIFKPGATALVSAGQTPESAAKIDERARERKVASLSTAPPLSAYAFPGGAPRVGIDGEHQKTNLALALQLARAWLRKERPEVERQLWRDEKTPWCPGAAFAVPPQWAEAIGRCHWPGRSQILERGARRFFLDGAHTVKSMQSCVEWFRTASSLSGANDENSDQKKEGGARSIALIFHCTGDRRPETLMESLKPLPVSRVLLCPPIASLRTPSSSDAANFFRPPDEQTK >PPA17417 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:200739:201922:1 gene:PPA17417 transcript:PPA17417 gene_biotype:protein_coding transcript_biotype:protein_coding MDAITPSIALPSDIPEMHQFMLDDFLPSLSLTAALGITREETDQRYLRLTRNCVNTGTSVVLRNSSGRVVGVRLCDIEERGHPHSIEGLELLSENLRKIYRLVDLLNEDKWSSIPSSIDKLWLVEVVAVDKDYRGRGLSRVLMEFGVEEATKRGVRGAAAEVVANASIALFAKYDYILLKEVVHSEYLDEEGRPVFECPGGERVAQLVFKEL >PPA17408 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:167040:168448:1 gene:PPA17408 transcript:PPA17408 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQSRDNLRHEVFDAMGKYSETHSGGRVIENITQTRRLIPIMKSPRRSFTISLAPGSRLLVSTHIPFGKGGRK >PPA17505 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:665616:667336:-1 gene:PPA17505 transcript:PPA17505 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVYIIIFLTLSQYMAVCRPFAYGMRSRKICYILFAIAYVCNFCLNAPWAMKKTYMLIPPEARARLPYECPYVICDSVPPVWYKPYEVVREAFCRILPFLVLVFLNASILYTYRSTKQDRLKRLTNSQKRFVTEKSEKEEKRLFTLLFAICIVFFVCTIPAAPLAIFVSDTLSKNLNFQIFRAIVNLLEFTKFALNFYFYCLINPEIRSICSHVITCKKLHRPARVKGQPMTPISMYTR >PPA17434 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:318639:321606:-1 gene:PPA17434 transcript:PPA17434 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFKMGQIIGEKWKIVQKLDEGGFGSVYKVQNMKESKQLAALKVERANSDEINYLKLETAVLKELHASGIRAHVPQLFRSAKRAHYCYIIITLLGENLKRLKVIDDAEKSDTVPTPSQDKHFPKGMSLRTWSRVGVQCLYGIKTMHDRGYVHRDIKAQNFVLGFHATPAFARVVYIIDFGLARAYAYPPSNNCLKWIPRKARARLEFRGTWRYASPSMHEEKEQGRKDDMWSWLYMMMDLYCGLPWTETDNKNTIEKKKLHMKDEHLMIRMPEETKFIPKHLRDLDMYQCVWRSKIKDHRSILRRPDYTKIHAALDTIRKKCKVSYDDPYEWESKQAIEFNKKAMVDKLAPIGYTAPEAFFKSDPIAIEKAPTSKEEKEIQERFTVKIKKPELIMIEDSPVRPMTKTQVEQKKKKKHSTASKNSKKERSSEDSTDFVPSCYMNFDIPLHYLQAQDQQAKDFQKKVVSMDWGHVEPQKHFKDAVSPLKAPPPPPGAAPPQQESSGANSSDNSRGRHSSNRSEKIAKPPGSIIQNTVTDDPTQLKNDAKSEAPATEKKKEEQESSNSNKSVSLAGVPVHYQQTPQKKRNK >PPA17426 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:266343:267585:1 gene:PPA17426 transcript:PPA17426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gap-2 MNPQRDRQRRTENGLQLWILEAKGIPAKRRYFCELCLDKTLYARTSAKPRGDSCFWGEHFDFSMLPRTDDICVNLYREADPKKKKDRSTLIGFVHIKVDQIMARHPIERWLISQGSSEGGRRVRSISLLRDE >PPA17506 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:669153:671266:-1 gene:PPA17506 transcript:PPA17506 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGSPDDYDFLIPPSSGSDEVTKINEIVYGVISPIIIIFGIIGDVLTVFTLTNPLLRKSSIIYTYLTLLAMTDLLTQVSVIPMILFLQGRVACSEAAAFYYAHIGFPLSSYGLQLKLT >PPA17541 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:893376:895869:1 gene:PPA17541 transcript:PPA17541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3F5W1] MYLVLDEIEPPVVIFGDTHGQLNDLLRFADIVGEPPGTQWLLLGDYIDRCKKGLEVIMLLLCFKIKYPSRVNMLRGNHECQKTNRIYGFYTEMKLKRTTAMWLRFNKLFNELPLCATVSRRQLCMHGGISQHIKDWNSLTELKKPHSIQECDDGIALDLLWADPTNDACEFRFNANRCTSVIFGDTAIKDFCKRLGISMIVRAHEAVQEGHQMMPGNRLCTLFSAPNYCGNDGNCASVMHVSPTFKLGFTTLKPRIETSTVPSELLKKLRSETEAKSPNPQPVRRLLEPPSSPKSAGPSPAPPPCLAPPTLGRARNVVYLEH >PPA17462 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:452744:453248:-1 gene:PPA17462 transcript:PPA17462 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLKDTFHMALIGTPDDTVATMIRVRCDLRDSGDNTRATGQLLHSDGHNRSSILEIQHVTYRRARQTEKCTHAPPSSC >PPA17555 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:963462:969230:-1 gene:PPA17555 transcript:PPA17555 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-egl-36 MDWTTERNGALPMDEKIVLNIGGVRHETYTATLKKIPATRLSRLTPSLANFDPLLNEYFFDRHPGVFGQILNYYRTGKLHYPTDVCGPLFEEELQYWGLDASDTEPCCWMQLLHAKDTQETLAVLDKMDADIYRDDDDPDLREQEIMKKFGWEEDYFQGKRTKWMHFKPRLWALFDEPYSSTTAKTLSFINNSNLSYPTMQRTSTDPHWIFGHVELVCNIWFTFEILVRFAFCPSKKGFFKSPLNAIDLIATLSFYTDSVVLRLFDNAPKDIVEFLSMIRIFRLFKLTQHHRGLQILIHTFRASAKELILLVFFLILGIVIFAALVYYAERMEVNPDNQFQSIPLGLWWAICTMTTVGYGDMTPHTSFGRLVGSLCAVMGVLTIALPVPVIVSNFAMFYSHTQARDKLPKKRRRVLPVEQIKLQVRRHAQVLDANVTLGRRNALLVTVEDRKSDENDDEGEAEEETALLADESNDSNGNVEDLPSKETMNGEGKRSSRDDEGKTYLHFVIRIDEIPVELEHGKSLICQSEEDIQRIAITPGGGRSGSYQMH >PPA17517 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:719807:720187:1 gene:PPA17517 transcript:PPA17517 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRWTGHVVIIASLLPLIASRPSLTPEMRDSICTTQCDAQFKSDFRLSFSRDYDLDFFDIPLDPLLVSSLQNLTAFCYLVEQKQECYKA >PPA17446 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:392590:392864:-1 gene:PPA17446 transcript:PPA17446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lips-10 MAAQVTERFHVLAQLEHLQSKYTGTGHADCNRWEWITNQHRDTHASNMSKPSGNALTHRRCGE >PPA17480 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:544972:547207:-1 gene:PPA17480 transcript:PPA17480 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKVLLDSNAEYRQQELFNLKDSKQEDELEIRAAKANLNYIRLDGNIGCLVNGAGLAMATMDIIKLNGGDPANFLDVGGGATVEQVTEAFKIITADKDKVHAILVNIFGGIMRCDVIAQGIINAAQELKLAIPIVVRLQGTKVDDAKALIANSKLRILPCDNLDEAAKMAVKLSNIVQMARDASINVNFELSI >PPA17489 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:580420:582940:-1 gene:PPA17489 transcript:PPA17489 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVFHPRASLASRVCLGKMAQKKSKLKKELLSQLPPPGPMANRISSSTSSLQNSSSVLVRTYVDEKMSLEQLMEMGSRSGQSRYEKAPRPTCPMALFNGDRPRK >PPA17519 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:727885:731904:-1 gene:PPA17519 transcript:PPA17519 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFAEPRNRSKGNILNTKEYEEFAGFYKSIKKDLTIMSNERGNFTFMDYCGEMCHLNDPLFKTMGVYNMIGWISGMKVQWPVTQGEGHESKLVGPTTTCLHPAVTTVMQYNANIGKHLFHRTEDKHGELTDVDLGALYFMLFDNGTEMSQALKNFETAAYIEANRHNTDPTKKTNLIIHSAVGMEGEIKRGLGIVGNYFAVGAVFLLAFLIAAIAIESFVHSRLSLLSFGLVPCAFFLPIFASVSAFALCTVLQMPFNIMMLMTPVVAIGLTLDSVLHVYNSWLHVDRDMQYGSNEAQLGYVFESCIASVLINGLSFVPLAFGIFLPTEAFGNLFLTMALTAAFSTFYVIFLFTPLMVILVPCKSHMKINEKTSKPLEAFWNVMSLSFSVSIALRLVSLIVVAAGFAATIYDSMFLDNATTQEK >PPA17546 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:922360:924276:1 gene:PPA17546 transcript:PPA17546 gene_biotype:protein_coding transcript_biotype:protein_coding MANIEDVAKQCAQKNKQVKKKKDYDDYELSLGSDKDDEKKGSKDGSKEKGGAEPKTDKKGKKTSESQDKDEEKKEGGGGEDGEGEAKKSAKGGTKTRKSLSAEKTQQPTTNTLPSTNSAAAAGGAAAAAAPAKKAAPLLVNCSS >PPA17409 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:169652:171283:1 gene:PPA17409 transcript:PPA17409 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNFFQGKSIIVTGSSNGIGRETARMFAERGAKITITGRNAETLKKTKDLCLEAGAKEENILEIIADFESEDTPKKLVDETVKAFGGIDVLINNAGMALTDPQGRRHMDMPIEYFDRTMNVNIRSYVSQSLPTPSQSFFSILVLTTLATPHLEKTKGAVVNVSSIAALPFGQQEFYYAISKSALDQLTVQTASKLIGKGIRVNSVNPGLVETDIVRKMGVPEEMEKALFSLGQNKAFIPLGRVGEPADIAKLIIFLSDRTQSEFIVGQRIVIDGGSVLKNNLLSFGEQ >PPA17485 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:563820:565234:1 gene:PPA17485 transcript:PPA17485 gene_biotype:protein_coding transcript_biotype:protein_coding MLAILIAIPLLTFIVWEVFLFYRRRSTLPPGPFAVPLFGNFINEITPPLFHVGLKRLSAKFGNIFTVHMPYPVVNITDFETIRDVFKSNDATGRMHNVLLETTRLCENGGIVNSDGPEWQEQRRFAISTLRDFGMGKNLMEEKEF >PPA17549 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:930451:941684:-1 gene:PPA17549 transcript:PPA17549 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRATTAAADTMAHEAEVARMAADIRTGKCPRRASCASKSARTTDTDTRHRDVPVFTASAAAAAVQLQQHLPPSDRQEFNEPSTIIWCEGGIGEVMSPSVWRNQNLHLYFDASRTVLEGPGGWDGLKTELEAGVEMDITFSISNGLLEQLRAMQMETTSSSADTHTFIRIATIAELRASRYASVRTHEQAVVIAKRSGGATFLINNSGERAVLHPAFMPSDGVRHAILEKTHGMKTIETREFDDSHSTLCVLGRSLAVRLAPAPQWLPTIYPTDHGVTRWALSAEAYGEEKRGRAVVKHSTCHAVILVPVEGDLVGIKTTLHAPIIQMQGQIKQEVQQIGSCWRFRACRELPGRMAVWRAFEIMEELDTDVPIAEKVVDSVLTGNRLIELEPEEEYWVDPLEEARRREGERKERRVVEERKVSSVLDDLAELQFAFTQPAAAASQSATAAWGGHDDQPLVCLSDNVVSSSPDVLVFSPIDDLLDSETFAADVFRAPTILPDEHLLLGVPPEEAIKTEQEMLLANPPRTTAVERKWGVYETGDERPDMRVRPKMKKKKKSRSRVDSIASSNDEDERKMEKKVASPILVDTTGDSQLRVEEKESTESDAHSTERRREIKEDSRLKKHLASINVGFVTSGSDMFDIHVMKRASRDF >PPA17490 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:591663:594877:-1 gene:PPA17490 transcript:PPA17490 gene_biotype:protein_coding transcript_biotype:protein_coding MPYFYSGQWLIGKFMCKFIWAAENVNKILSIHILTAMAIERYFIVLNSVKTGRGRMPRIATSMWFLGTILSVILLSPLILFVDLDVMLIGNTTVTTCVSPMPDQVFISFLTYMFICSFCVPALVVSFCYIGLVRILKTRYTSLALQYKESTKTHLIQKVSKSILCVTAFHFACWTPFWILSFVPFVDKELLPSTRPEFMMILQLIPVVLPYVNCSFNWCFYVLLNKRLRKQIFRSSTSYASVVKYQPTVPISTFPCDLYDVLSCP >PPA17403 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:76214:77742:1 gene:PPA17403 transcript:PPA17403 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFNGKSVIVTGSSNGIGRGTAKLFAAQGAKVTITGRNAEALKITKNECLSVGAKEENILEIIADVSDETVPAMIVKKTVEKFGGLDVLVNNAGICLMDPDENRLYIEAPIPLFDQMMKVNLRAVILFTQASVPHLEKTKGAVVNISSIAGFPFAMQKDIYYAVTKAGLDQLTVQCAADLLKKGIRVNSVNPGIIDTDIWAKLGLSNDQVQKALDSWGTDTTQIPIGRYGTPEDIGKLILFLSDRSQSEFIIGQRIVIDGGTLLMNTLLSTFAQNM >PPA17508 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:684744:688179:-1 gene:PPA17508 transcript:PPA17508 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGHDKEEEHSIKVRQYLYATVVFLFLFLVYYVQWVIEKVGPQMKAAHLAPFDMQHTNQFDPPSFIDLCFSDLGKYDEFWRYKHKNFSFCASQGFRDYMEDRMHFMHDPNNNLSIFGMFDGHGGQFISDFLETNFARSIRDRILRLQNRRKLSSDGLLNDYDPVVEGLVTEVHNLDDSISRLDPEKTSLTGSTLIAAVVEGQRYLTVINVGDSRAVACDGKGKMLPLSFDHKPSDKAELRRIEAAGGFVTYEDVPRVQGILAVSSGHATVFSA >PPA17577 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:1181472:1182775:1 gene:PPA17577 transcript:PPA17577 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPIFGKSHKSPADIVKNLKDALIQIEKGDKKADKAAEEVGKWLQAVKGIIYGQEGQEPHSEQVAQLAQETYSANVLPMLIKNLSKFDFESKK >PPA17567 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:1093970:1098246:1 gene:PPA17567 transcript:PPA17567 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGDSSTPLPYPILQSVVGAAAAAIEIVNATVVEVAAGGDLLQNVVGTTMRPPVIRDETPWSVSLLFLAVAVSIIIVGIAGNAFVVAVIFTDRKLLHSSVNLFLLNLALADMGNIIFCAPDVILTLLGLPWVLPDVLCPVLRFLQHYFLFASVLLQMSIGIERFMAICSPLQMQRFSRRTTVFFLFVAWLLAALIAAPTVVFSRAQPVPRFNNSNICAAHDFSGKIRSMVEIVHFAILYCIPLVLLTVLYFIMCRRLWGKECMIAGETQQMAILRLCAYRLRRSVVKMLVISMLIYFICYTPIQSLGILHLKPPQWLRLSINLLVLLSSAANPIVYIMCCRHFHQRFVSMCGLNPLIELSSKVERMFSNQILLKVNRTIRCCPRSPEKYQTVITDADKYPTVAINRSLIDLFGTSLFLAWLEQP >PPA17479 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:535238:541431:-1 gene:PPA17479 transcript:PPA17479 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVRSGLTATPRAPHHSLIIVGQNKHVKNISFDTLSAKLEGHIDEAGWTEALARLPPAGSLPFYLNQAKVISISDTASRHNSPANPHAVTKEVRAAGVVGKETTSLSIVIVTEYAHALANVAAVARCFPLYSRKTTPPTLKEVIVEVVCIDKDVCAEDVAALDSVAASVRTTARLIDTPANELTTDAFVDEALAVAKHLGAGILTTVIKGEELATRGFGGIYHVGKAALQPPAFVVLTHQPEGATSTYALVGKGIVYDTGGMQIKGKTGMPSMKMDMGGAAALLTSFATLVASGFKQNLHVCLCIAENNISPVANKPDDIITLLSGKTVEINNTDAEGRLVLSDGVFYAKETLKADVIVDMATLTGAQAHMTGKHHAAVLTNCELFEEKIVRAGRFSGDLIHPMVFAPDLHFPDLNSSVADMKNSNLGKMMGPPSAIAGHFIGSNIAFGEGLRWAHIDMACCAMDGERALGYGVALVSAMLGEHTNAPVLTEAKSVHNRE >PPA17526 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:791223:794180:-1 gene:PPA17526 transcript:PPA17526 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSVCKPLLSPSRQPLPLTQLQLQQQQQPNVTAAGAAGSNATSPRPSNKDALMMSSLTWIRSVACDLNVVWLVWAIAQIFSVNLMFYGIKNVLWYLYLPHLLFRTACFLLLGVLCYVIFIRVTLNSQTTGAYILASVISIIIMGVWLYIMICELRCMHFTKRSADTGFSVSQTRQFAPPTTSLSDHAHAAGRRGKEALQQLPPLRHGFGVKRTDGPPERERRMSLKSVKGNST >PPA17503 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:660700:661747:1 gene:PPA17503 transcript:PPA17503 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGHVLRFNLTAASARIQALDPHDAVNIQRRLGRMMAGLAVGLFLFFYLVGSMNDEEGKVSYFRKCSPLLIDRENIVLMHVIEFFNIF >PPA17535 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:856409:858173:1 gene:PPA17535 transcript:PPA17535 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAGHRRSGVFLPEVASEQGWNHTETLDHLLRKAGYKNEITEQLRRSVEVTRFQSEKVGMSFKEWQAEYHNKRVLSSWMNLHWPSRM >PPA17428 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:275768:278359:-1 gene:PPA17428 transcript:PPA17428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sto-2 MGSYYYIVVDDVVYLSTVNDLLYKMQEYERAVVFRLGRLIGGGAKGPGIFFVLPCIESYNKVDLRTVSFNVPPQEILTKDSVTTSVDAVVYYRVSNATVSVANVENAHHSTRLLAQTTLRNMLGTRSLAEILQDRDSLAISMQAVLDEATESWGIKVERVEIAEKNSTIIFPLPMELVRHLLN >PPA17558 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:991467:1005477:1 gene:PPA17558 transcript:PPA17558 gene_biotype:protein_coding transcript_biotype:protein_coding MITQIISPPFALPPKFSAPRRAHIVVFASLVVAVFFLIVGAIMLTLGIIKFNEAPPCAACSLSAKTHPDGHEEPAGTVVCVVDVSQFCIPSQISTWCARATNTNAESALFSSSHVPDVQWKNELENTQSPEYRKLAKLLENKINKAIEEGRKKRKRRESFTSLMNEMARKGKRDTPTTPTGFRKPRVIVNKIEKNEEGGVNVYLTMIFPDGIKDQQQLEDVVKSLKEGGVNSNLFGDPLAQCEGQPKAPPGQDSQTGELITTPYPMTTVSQSYSTVCFTVSPEGWPVTTPSTGEGGEKVTPGTGLPEGVTCDVGSRQVATIFLIDVAHPTVGTLEEKLANISSILSILPFSVHLSVDGSAWPDGVTFQIVTFVGDKAQSLGEPCTDSSCWMNLVAQLTPANVNPNNAPGHTLANGMEFVLRNIAPVIPPNQARSLIVILDSIDASKDALVAQLADTLKHQHYFVISALQIGIADSVKARLQLAISDGTHYYMIPSIDWINNPTVVAQIDHWICDARLPTPQPTAPTSPTPPGLITTEPPPTEIPPTPWPERKRAHACALDVLFLVDESQSMLDYGYHISMDMVRSLTDYYSDNHNSSRFALVTFNSALVFSTHRFMPKTTFAESLNSVREQTGATGFDAARSIVKGATSRATKDDVRTVIVFMSDGANGNGTVEKVVGIARDLRTTYQTQIIAIGLNATQDGQRLTKEVIGFGTIDSAVQSSYFNMKSVEERPQGVSEAVQYAGRTVHCKGEDVCGVDLTFVIEVSESELAENVDVQKAAVASTINHFRQSFGPFKARVSLVFFSAPQGLQLSEYERSGVLYSQLDDAAVAINATMKRHFILGGASDVKLAMETTRKLLDENDSGNDQMVIFMARGEFRDGQAINCCDDPSDASAAVRAKATIQGVVIGGFPNKPLLDKFTGSNSIDGNALVSVNNAGKRIAAELIPIIEEKERNAQCAVVPAFVLPCQEIVDLVIAMHASTPESFNTTKYFVSRELLPDIFGGRFSSLPQSEHPLNIALIVYSTLGATTIVRFNDAVGQEQLAEKVERLVFPGAGKSRSSQAFVETKRVLQRARVGASSVLLMISDDIDLADVPKAADFKRKELADLSYTFGVFVARDRAQRDQIKQLVDHGILLSTIDQLNLKARRSARNYANQIARAVCKYKQMPSNIVLALCDVIEKKANDSVNTTPSTFRRMANPPEKREKRSDVRPREIWPDVIILVDTAVNNTHEEEGMSGRRMDKIKHFLKTDFLPKFVVDAKHSRFAVASFDRELRLHCKFTDIASFSDVEKCLDRRLAMPDRTSEERDWERSLKKLESVLLDDLSSGFRPLKKTILLVLTDHSNPSALFSISRRGLRPVVLKSAQIEGVKRMTEETSEMIVRGITESSPQSLSRMVASDVYLIVDGPVRSEDQEMVRSFLHELLAQFNTDDLDVQVTIVNSGQRRSAESEALHETLDLLELGAVKENHEESILSTVRELHREFPQRPSYAVVVATGKDPVELQKALGSLGARPFTVHLVPDAVQSSGYRFDTSLDWGKGEFHRLVADLEKELIFDLFSAHDSYLRSSPRLADLAADIVVVLDVSAGGLNLVGLSSFQAHQYVKIEHCIKATDALLNLTSSLTLSKGATQLAFLPYSDRPHEDQSFLLSHDVEKIRAKLHALPQMKGAGRVARPLEQWRPFRALTHVSQSILHPMRGWRQGPTYVVLISTAKEIDVSDPVAANAAELLRENAHVMIATNYESTAKVLRPFGSYHVHLPKLSLHSGEFRAVTTAMLKDYITSLTHNAIGVTADYVFVANEGSGLKEERERAAKVASTLIAHDSSSRLAWIVKGGENTPATGSLPRLQKYADATAFTRSIADGAGKKPTEELVINASRPLIVLSSGVEKELHLAELGIPRPHEIIQIPKEHLANNQSNRSRDPSSQTLNLIERIERYKRAWKSTIA >PPA17576 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:1161768:1178710:-1 gene:PPA17576 transcript:PPA17576 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDCIWPGYEPHPALYISFLRDIRHRLSSNIFLRFCYVNDTGKASRNDIKAFIRSYRRDSGATAGKGGAIRRTDNDGDQRGSCRPAAYNAHRRRGYCRNIFRSLINFVSHKRTYCRLAYVKVAAQPVEDDGKAETATKKRTGQVLALTKKMQETQFTPIGDHPAIMNLYSLPRVWREMPMTTYENGMQKVGLLKSSLHFTPSITAQRFSVVPVINNGNATIASKEFLPKDRVAIVMPQDNSSKYKEMSLRNRKVPLSDKPQYREFSDSEVQSGACPEFKIIEIFEKYDVRCANFVLLQCEHPLCAHIRPFHTLQALAYHCSLRHTKAFVNEEGDDRWPCLLCNRNVYDLDGVKVHMLRIHSNVKEDHLRKRIEEDKSIKGRKSTSTIRRARSLSLDRDQIRREKAASQEPQDNDSMDELAVEEEDEGRGRRRGTGAARRGARGGSIASRRASRVLERQTTRVPSGNSSEDYGEPPVLHPIEPAVEDESMEDEEEAPVVLKKVHKNKQHKKKKQRSPSPEQSESEEKTDEMVEEREVKMEEEDEAYYNSVEGCLADVIVTVCASFGTPEKQEEPEKGVITMDKMSRSVSPIFSPPVHADSGSKKRGRPKKTDEEREREKNETPKVGRPPKRAGESTAESVKAPKRQYNKKKNIEKTDENNVNTPDMDVVVMEPTSSDVSRPARNRKRPAWMINGDMEYEGVEKKANEQSVIEESEEDDQPKEEPKKKKVERTVTPKVVTPIITVKTPAKKAKEDKTPPKKKNDRAVEKKERETEILLFTPKSGKVVMERIAAATAAANGQDEASGSRKRKQNLGKLADTNEEIMIVNVADPAHGPKRSDLSSVPVYLSEQQQTIFFAGLVKHENPTAVNAEMRECYECIYCNTKLPNIRDGRRHMVAHLRVMRLRCGLCGAGAFFCIDMRNHLQLRGCPELAKAPAHMVRAGIPCMTKEHADELTFVAHGGAPGRALFTSGKIVSILNNHPYLPDLKIEESILGPTRVPPRSVSSSPRKPQHKATMSASVLKEAEKGTMSVAAVTRASSASASVASAMPTLQPVDDAAVRYYEDTSDPENSPGRARTSASSPPAHRRSLDGPDTKLVREMMGILPARRALSFTAASSASTASTSPDRQMSLSQQVQSTTRHVSETKV >PPA17569 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:1109540:1115563:1 gene:PPA17569 transcript:PPA17569 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVQAIDEHRERIAGFDDLMLRLEAAEKDLERLSARAPPPPPKDSEATSPPVVVIATEQTIGTHPAWTEDQSDSETETETETETETESSEVETESASEEDEEPEMEYATQTAESVSQTASTGGSEMDFGMEGTELIAEESASERAESVADTESEADMTLAYSVPDPSDNTLRQRTTAAEQEEDALKKESKGPTMPLMELQLLSVDTESNTSEESPRAMVTSGLPQIQTRLNEVITDLLHDLIETRFDNAKLRSFIDCESVEKWHIVAFVEEWSAKHPFDHSIWRDAVRAAIHNNDTRDWMNCVQHNRFCYGIDHIVRHLCEHLSQVRKPKLRTRQLFTLLLDIFKERLDEWEQIESASLIPLPKEKRGKLIDVPFPIGCGSIFNKLHRDYKSCVIIITCQDASTIGRHKHNTVVAMEKLKKYLVKGLATSIEIIGNKETRCDVCGVATGKVKQYFLHHLSYEHASRIEFDPLSLAVYLHKDRLSSIIITTKIMKILTSDGAKIAGFFVAFIVVCAVIMGTETPNNFRIFFKDKKNELKGPYNEREVQEWYREKWFENSFPFYFMKDDEVPNDKTPFLTLGKACRSQANSELCVRSTESAVHLIQKKQNRKPKDLADLSVTCEGVKELEKRLAEVEKKLETFLSQSPASKTDPAPAAAASPKVMSI >PPA17466 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:469235:472476:1 gene:PPA17466 transcript:PPA17466 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pas-6 description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:H3F5N6] MRSKEPVDGATYAQKSSTVLKAMFRNQYDSDVTVWSPQGRLHQVDYAVEAMKQGSATVGIRSNTHAVLVALKRAANELCSHQKKIYELDTHCGVSIAGLLSDGRILARNLQTECASWRWDYKQPVPVAVLNERMQLKLQANTQYYGRRPFGVGLIIAGYDKDGAHIVRTDPSAEVAEMHATSIGARSQSARTYLERNLDKFAHSSPDELIAHALLSLRDTLPAEDNLSAKNTTIAVVGKGTPFKIMENDEVAPHLAAIAGVARTTAVAGGGAGGAPPPPPAPQGGAPAPAAPAAPAAPAADDVSNPHLLIGMTIDP >PPA17405 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:144219:145116:-1 gene:PPA17405 transcript:PPA17405 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPTDNNHSALGHRSSNGMSPLTNGNGAVPRKKESVANGRSVAFDSRQWSPTSSSTSTTVRKESVASRTPSIRKASRFTQSTTRTNTEEEEIDAALYMGGHSIGTDRESERPLVYRGGGKASRTPSTEDDDEQGIAPSTSISSDGGFAPSGRVRVSVTEKAERSTPKHSFILLSSPSIKRSLTNSAILESPTRLVPTSSDMLVAHPLLLRGGSAGLSASCHIARGIVCTTQGETRQLNEPHPNAFLSRLQYIA >PPA17525 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:781891:790826:1 gene:PPA17525 transcript:PPA17525 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAARVEPLDGLDTIIDKFRALLASAESNQDDWKEQALALLDRSISETRQDSHKPIFTLAEDDSDETNSESAPEAVVEGKARPSAMSEVRPTLERTIDELESDWNKGVQLLPGDVLRLLNRGKAKSRELEARLPDYETAVAVRRAFVAPLDKLAKLPYTDYDYKFVTNACCENVVGYVPVPVGVAGPLKINNGTPLHVPMATTEGALVASTNRGCTAIMKSGGVSAHVYAEGMTRAPVVQFDRATEAVRLKQWLDKPENFAKIKGEFDGSSRFAKLDRLEMRLDGRQAHLRFTGKTGDAMGMNMISKATSQAMKFIQSSFPTMKVVALSGNSCTDKKAAAINWILGRGRSVVADCVLPASVVRSVLKTTPRAMAEAGRAKLDSGSAAAGAIGGANAHAANIDAAQVVSSSMCATRLEETEDGSLYASVTLPCMECGTVGGGTVLPAQRACLEMLGVAGPSPSSCAPGTNAATLAEIIASTVLAGELSLMAALCTDDLVSSHLKLNRSRLNLYENASGAASQPTVQLLHPQNTIRGKSAERTIKVNCSMS >PPA17574 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:1153274:1156242:-1 gene:PPA17574 transcript:PPA17574 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cyh-1 MNFCLFGDAFRPQLWPAIRWMAYAYFKRFFLVKSAMEYSPKVVMTACYYLATKIDEFFVPIDDFVENLKSGTPEQNKARILALEPEILRVLKYHLTIHCPFRPFEGHLMEMKRSLLLLNFNIESLRPEADKFFREALLGEAMLLYAPSQIALAAVKYSLINNGKSTEVLRDFVQKLLGVDDAANSNDSRSASGAEAQIVVEKLLSRLEEIVECVRAGCAAVPSPPEQQQLQNRSMVWGTLQSVLETRKQANGSGQREEPVDSDDE >PPA17441 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:363158:374073:-1 gene:PPA17441 transcript:PPA17441 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTTMGVLQTLLVIGAAWQTASSLHRSMSPKERHHIFGDESVDKVPEYWLDKPRKTSHPEHDQHFLYNISYRGRDEECTYQGESIDDPSVKIALVGCGERMHGMILSTLDQTPFLIQPHSKGDEHVIHKRSIDHVLQKHSYPKDDPYPEDRLPTTRRSALFGGLHANRLSVMKEDDLTIELAVFADDAMWRHFVELYGGHADAEMHRFILAAVNNIDILYGQRVINPSVNIKIVRYEVIKTPPASLTKVEHKYGDVDRLLDAFCDYQAHLNPQGDDDPKHWDHALLFSGYDLYRDGLKTVAGYAPVKGMCSETRSCTINEGLDFGSVFVVTHEMGHSLGMYHDGDNECDLRCCIMSPSVGTGKTQWSSCSVKEFSLFVTKLGTPARAPNCLRDLPTPGEADKAFFKDRESPGQQFTLGEQCAVFHGECWKHELRDGQRLDEVCEMVWCGNGEGIIRTAHPALEGTNCGPNLWCRNGQCVPASHSLRQIDGQWGTWNESPSACREKCSDCEIEGQMQLRRSTRLCNRPAANNGGRECMGDDARGIVCDSRPCGGQKVDEYASSTCSKLRNDPDNPNPQLSGVGLQFEQAPCKIWCQLELSNNIRTVSNFPDGTPCGDGNYCIKGECRALLCNGKAVAETENECPYGVRYRVPSTPQTTTPYPTTTRPPPRTTARQAPQARRISNLQRFMTPHGNMPAARRLQLTTRQPPGQTTRSHRVLSGSTTPSRFIMSTLPPASTNTRRPPMWSLWSEWSECLTVGCGERGIKVRIRRCVGDQNQYIRDDTCRGHNRETARCMGEPCVATRRVHRDMSETESTTEVSSTTSTSSAPTSASTTKAAEIQNATTTAPPHYDEDESIVIELQDDVREVSMTTSSMTPPPSATTINPKLVPLLPKGKSTTTLKPVQPLVIEDEEEYLEPPSTNNEEFVRRASVKRESKREIYDEPPSKISGESSQSDEVIDVPEPSKKKKEEKKTSTSSGEGFHLQEKYEDEEGEDDVIDVPEPRSNRGGRRIKEWEENEGRPANSKYPKLIDVPADYRVRNVPIRQYIPDILNPSLIRERNMAIRQLLPYVAATGSIEAMPSPNPGLNPAQINPRDATDPLNIRSGIENLAALFKPLFHGKVPTPSPSLIVSTLPSDLIPIAAPPPPPPPPVGREAVGPRAVVGNPVLPTRGGAVIPGRIRVYPGPPPSEDEIIALFNAETGASRVKEVDATPKVEKVEELEILPSPIADDRLPLEDLNDDVIEIPPPSSTTVQTTTEKRTLPPIYYEKPADQQAKTDSAPSPQGSVRIPKAIREGEQLRKDFIILSNPSPVLPRYVPLYGTPPPAQQQPAYIAAGNGHQFASGQPTGVRTVYVVKGGTYGNVLANGAPSPSFTTAGYNRPVTPLYPVPRYRTVGGNNTPAKVLIYGTSPSYRTEPYATTTPSYPSPVYSTSPTYSTPYSTPTYSPPYPTTPLYKPPPPEWGPWSPCSVTCGNGTRTRQIDSCGGESSIVVSSTPSSTTARISVVDDIQSTTPSYLIEPFCVETEICVLEPCFRYSEWSDWNGCSQECGNGFSLRRRTCVLGVCPGPFSESKRCEGTSS >PPA17560 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:1015266:1018424:1 gene:PPA17560 transcript:PPA17560 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVASPSSETSNPEKKVKKEKQVCSLCNVEIPPGPDSLQKHEAGKRHERMRAQCDAVEALAKRSVFIKIAPNRAPSPVEMETDGSLPARCKSDVRLRLQREEIERVMSRFGQIERVLCRAERGHYAIVEYGRDEDAQSALGAKSVHMAGTTIGDDGATGPIKMATVLVTERRVNFSAAAPIEKHRINVDEIVEAVARLAVGPSDDAYTVAIDEVIRYMVLSEEQLRDREALATRLETELKKFAVIFDDVVLRGAYREHFRVVYNVHRHRRFGHKH >PPA17444 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:387976:389566:-1 gene:PPA17444 transcript:PPA17444 gene_biotype:protein_coding transcript_biotype:protein_coding MYDGLEDVLKCKLPPPNTLHTPEAREALDALCKKHNVDCTEPRTAARLLDKLVGEFLESTFISPTFLIGHPQIMSPLAKWHRSIEGLTERFELFAVTREIANAYTELNDPIIQRERFEQQAKDKDAGDDEAQCVDETFCNALEYGLPPTAGWGMGIDRLSMILTDSNNIKEVLLFPAMRPEDNNKEAEKETPKDSTA >PPA17401 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:61145:61506:-1 gene:PPA17401 transcript:PPA17401 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLITLIVIQCSSRKKKSTEGSKGGPSIKKSGMSRENGMTPLASSKTGTTNGGTSIEPVKKDKTADGVVGGKDEKKDEEKKEEKKDEPPKEEKKEEKKEGKEDE >PPA17392 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:18559:20776:1 gene:PPA17392 transcript:PPA17392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rab-14 MSNSAPYNYSYIFKYIIIGDMGVGKSCLLHQFTEKKFMADCPHTIGVEFGTRIIEVANQKIKLQIWDTAGQERFRAVTRSYYRGAAGALMVYDITRRSTYNHLASWLTDARNLTNPNTVIFLIGNKSDLDAQRDVTYEEAKAFAEENGLTFLECSAKTGENVEDAFLETARKIYQNIQDGSLDLNAADTGVQPKQQLPRSTLAPGSANGEKKDCNC >PPA17499 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:646348:646902:1 gene:PPA17499 transcript:PPA17499 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVFLSYPALARFSFPSSVPIDLHRTSLAEPFDKTNSPEWFFRPPTMYVQQIVVHSNVRPWTPYNLSAENVK >PPA17460 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:441533:445574:-1 gene:PPA17460 transcript:PPA17460 gene_biotype:protein_coding transcript_biotype:protein_coding MASETDAPPSNVWGVNPIPELPPRHESKLGGYYDEDGEFHAFEKKGKKKEMRRKISDDDEIPHIITPIGRIDEPAHPISSSRRVSTAPSSPPQQLRPTPLERRIRRVRQQPHHRAVPRQVTPAPPTPAPFVYRPKPKAFNRAPEDNNLLAEVEEYDDWLDQREAYQALFPGRRVSNPYENVPPGSILFENVPPRPENPPPQQQQPQQFQPQQQQVQQFQRQAAPPPPPLNPQFLQQQPNLNLGGPFPGGPPFPSPAQLFHSQPLRPGQFPTLANPLFPPHPQLNPTGTHFVAARAIPQPGQDPKRPPAPVQVKPQMMIGRDGRPIPMPPFQGPPTVPPGTIVSIPPGGQIPPGFFPIAAPPQLSRPPPPPTIDHILETRDRLSPKTPFENPLVTFFSKLFGKPSGAESVVTYGSPSFPLSSDDDSETTAADWEDESTGKGKREVSEVDEATKPPPPSHPLLTHHHHHHLLPLLPPPDFHHHHHLLHLQQQQQQQELQKHQQHQQQQLQQQQQPPNLVDLHNQRPVTKGQLHRNT >PPA17458 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig37:434249:435372:1 gene:PPA17458 transcript:PPA17458 gene_biotype:protein_coding transcript_biotype:protein_coding MREHRVTIYVRRGGPNFQEGLRKIKEVGERLSLDLHVFGPETHMTAIVGAALGVRPMPEATVEPHATGRFLLQPERNDLTSPVPPPLTTNGSPVKKQRDETSALLHF >PPA17531 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:815750:816637:-1 gene:PPA17531 transcript:PPA17531 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYDTPFMQSIGMFTFLVYIAVFTIGIPANIYVLFRMRRLASSDNERYRNGTGIALCSMAAADLCSILLICAQNVHQMTYTGHNDPSASSFTANLMCKGAEETHYGCHRKRLKIGP >PPA17470 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:487076:490746:-1 gene:PPA17470 transcript:PPA17470 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hsp-3 MKTIFFLGLFALAALSVYAEEEEKKQEKYGTIIGIDLGTTYSCVGVFKNGRVEIIANDQGNRITPSYVAFTPTGERLIGDAAKNQLTINPENTIFDAKRLIGREYTEKTVQEDIKLWPFKVLDKSNKPHVKVSIGKDQKEFSPEEVSAMVLTKMKEIAEAYLGKEVKHAVVTVPAYFNDAQRQATKDAGTIAGLNVVRIINEPTAAAIAYGLDKKDGERNILVFDLGGGTFDVSMLTIDNGVFEVLSTNGDTHLGGEDFDQRVMEYFIKLYKKKTGKDLRKDNRAVQKLRREVEKAKRALSSQHQTKVEVESILDGEDFSETLTRAKFEELNMDLFRATMKPVQKVLEDADLKKDDVHEIVLVGGSTRIPKVQQLIKEYFNGKEPSRGINPDEAVAFGAAVQAGVISGEENTGDIVLLDVNPLTMGIETVGGVMTKIIPRNTVIPTKKSQIFSTAADNQPTVTIQVFEGERPMTKDNHQLGKFDLTGVPPAPRGVPQIEVTFEIDVNGILHVTAEDKGTGNKNKITITNDQNRLSPEDIERMLNDAEKFADADKKVKETVEARNELESYAYSLKNQIGDKEKLGGKLDEDDKKTIEEAVDAAIAWLESNKEASVEDLQEQKKDLEGKVQPIVSKLYKDGGEGGEGAPPTEDKDEL >PPA17443 pep:known supercontig:P_pacificus-5.0:Ppa_Contig37:380921:383215:1 gene:PPA17443 transcript:PPA17443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3F5L3] MVLRETKRPKSSGSNRGSNKQTQSGKSTRKPGASSRSGSSRRDPKAVGAAGVKKSSRSKREGGSNKSGRSSKSATGKPIAEKKKVGSTAAPVAVAGGATKEKSSKSAGKSNSSKRRSEKDKLKKEKSVDGSEKSVSKKEATKELQLDKRQEMSVEDGPTKQKDSGAKTALSPQAVSVVKLELAPVEGVTKQELRIEPSELRWQSTGGIQNVAVYNHSNSRKALKIKCSDNLLYRVNPVYAFIPAGGSVRVDVLRQNGTAKVDKIVIVAADAGKDDPNAREVLSRSMNTDMMVLPLIATAVN >PPA17579 pep:known supercontig:P_pacificus-5.0:Ppa_Contig370:14135:17378:1 gene:PPA17579 transcript:PPA17579 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTGANDYEISIVMGSQVSCELLGAVFVPLSPIPPALIPSSSSVGTRELHCHHDKSPPLNRHHQRQDVRLTGQADRTGALPRPKQEARIVGCASFNLTSSGTQVVHSGEPTATHGVAFLLRPHLAGGAVFRGLSPRQATLLLSNQRIFLVCAYAPTSSYDDKEYDDFMDQVEAALRSAPRGHMPVLVGDFNCRVAREPGNEKFVGESASPTPNSRGWTFTEVCVRNRLRIWNTFPKKSHGRIWTWRSPKGPTNHQMDFLTAPPSARVVNCDVYSIACKAARSSIVTDINNRKDAQKRHAETMGRSVTQVMQNLQSSKKRLLVPDPVTGELSQEVTKAAVQRSYEDLYTPAVQLPLGVPSRVPDPFPPFLPYETRHAMSLLKCGHFPGSDGILPEILFHSRDHLAPTIALLLNRLVAGDLVPISLLHKKGNPTNIENFRPNSLLTVTLKVITRCILKRFKVVLEETESSTQTGFLKGHSTLDNLHTIKLVAEKSSECGIPVYFAFVDFRKAIDTVEWSACWQSLGKYGAHPTLISLLHNL >PPA17578 pep:known supercontig:P_pacificus-5.0:Ppa_Contig370:501:783:1 gene:PPA17578 transcript:PPA17578 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTMESAPQCLAYAGDLCLLDTDVARLEKKVHAVQMRLEVGGLTLNTGKTEFMMIGGGQASMADVKGESIKQVKEFRMEDPREI >PPA17581 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3704:436:645:-1 gene:PPA17581 transcript:PPA17581 gene_biotype:protein_coding transcript_biotype:protein_coding VNPRTRQLDFYRKISARDTTHVISGMSWSADSKLLAVCGVDNHPFGVRLFSHHNRFTERKLENWLKCVD >PPA17583 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3709:715:867:-1 gene:PPA17583 transcript:PPA17583 gene_biotype:protein_coding transcript_biotype:protein_coding KTPAFVVYGLWLLSVFPMMLFMVHALKGFYYDGSSPVATRCVREEKDVDY >PPA17582 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3709:137:627:1 gene:PPA17582 transcript:PPA17582 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDDFGKIVTCAEDENELDLVPVIVSCILAGLVLFTLVAYFVYRARLPIDILNITEQEFEEDEYELHHEKQQLHENGQGQINNGFHEQEQRY >PPA17584 pep:known supercontig:P_pacificus-5.0:Ppa_Contig371:997:3525:-1 gene:PPA17584 transcript:PPA17584 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLVDQRGQQDASKMRKTHPNLRTISDGSKLVRMDLLATTTRAHRATIGLHEHAGKFGILELKHQQTTTTIITDVDQPLTVEAYAAIVIGVLILLLVVAGLICFCRRGKGGRPAAAAAAPASGPASPEVRPMINKADLLRQAVLQINCLRGLSLTEAVRIGEHWAELRYGRGYSRISSSCSRATALAAAADAVVGHAGGNSQKYEDDDSNDDEPPLPKK >PPA17585 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3718:534:1215:-1 gene:PPA17585 transcript:PPA17585 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNPTNGSNPLVQRRLRKCARCGKPSSTTFTFPANSKLALQRLWISSLGLDSSGTSRELEAVRARLTAKDDVRWCHLHIGVDGLPKKKRRRIGEPEEEVVDHFEDGEGEGAYEANEQDGNDDWGLGDNDFHHDSINDLPFEQHE >PPA17587 pep:known supercontig:P_pacificus-5.0:Ppa_Contig372:6106:6540:1 gene:PPA17587 transcript:PPA17587 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYLLVLILLTGVATAWNYKTGYDSHPCNLKNVWVKRSESTEELPQPPVREKAPTISYIHKRNSASLRDVETTVLPQPTSAKTPRRL >PPA17586 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig372:126:487:1 gene:PPA17586 transcript:PPA17586 gene_biotype:protein_coding transcript_biotype:protein_coding NQFTLVALLACAFTAYSLTPCEDFCQGTILGLTPYCYCNENFLKFNRTCFRKCIANCKAKPDYTGCIPSDGIPNAQLWICCIRKVDWQTNLKCDSECWSTALPV >PPA17588 pep:known supercontig:P_pacificus-5.0:Ppa_Contig372:7241:9873:-1 gene:PPA17588 transcript:PPA17588 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKDGLVEDDAPHSGIRSDVINPTNRHRDSLHVNRAAPLTPLLIWQCKEAPYDATTIASANATENEVEQINKQLYPNVPRDVEKNADKQIEFNSESLVSAKTSSYSAPAEADLLGSGVWKITDSLYIGTELFSMNYHSICRLDIKHTIELQAANEETNPPYQRCFCEKNHSTSRLILKPIPNEQGFSGQRKKNDETMIFEKLGSFCKAVNKAVSKGEKVLVVSKKAVNRGPAFCSAYLMVLKEITKQAAIFEEPGSHSTSTKELSRSSSHSSLDLRGEGTTSKTRRLKRSLSRTASRIFRSPSITIKK >PPA17589 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3720:650:1330:1 gene:PPA17589 transcript:PPA17589 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVNEWSKAIDEHRCVDVIYFDYAKAFDTYYLNGTQIDPKSSVRDLGIQIDKELTFKEHFDIVIQKAAIRSNLIFRGLSTNNAAVM >PPA17590 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3726:31:1008:-1 gene:PPA17590 transcript:PPA17590 gene_biotype:protein_coding transcript_biotype:protein_coding TASTNCWRGRQVPPWIATRRLIAARHLLRATPRDAVSFSIACKSARVALCDDIRRRKEKQATEAAILGRSIVRIMRDLQSTKKRLLVPDPVTKALSQDATKKAVQSFYNNLYSPAVPLAVPLSTEPLPPFLADECRDAISHLKCGHSPGSDKILPDMLSLSREYLAQPLTDLLNRLVAGDLVPGGLVEAIVSLLHKKGDTTNISNYRPISLLPVTLKSITRVIMKRIEANLDESESLTQSGFRKGHSTLDNLHAIKQLAERTHEYGISLYIGFVDFTKAFDTVEWSAVWQALWKSGVHPSLVHLLRRIYETSSTLIRVNEEVVSVN >PPA17592 pep:known supercontig:P_pacificus-5.0:Ppa_Contig373:7898:15914:-1 gene:PPA17592 transcript:PPA17592 gene_biotype:protein_coding transcript_biotype:protein_coding MYPARVRRLQLLQLLLLLCSLHRRTLAMYCPNHTPNWPEKGEIMEQAGALFDIEVCGPDGLQWSCYIRQECVHPKGGSPDFLVAEDSAEIKAHPCPAVFAIQARTENQWRVVMQAKDVAPGEKVVFNANCWDEFECWAEGTKIEMIDGPRTFTGIVTPRKEGGEGRELSSRFGRDAYNKIRAGCDLSIVVRALPVASVNDEVEYKPVNIDYNNFTCPETYTMRWRDTKLIENNKAPFNTTPGIFCIKGANGGQGVIKVVESDPGGVTRSAQLDVHCARKKCKHCLAPNCSNSNLEACPQLIKFDDFDTCPKLKCKDKQLQLRFGGKVHSGEALCNDGKWMVDGDVMQDVDCFKPAPCPNAAETIRTKDAENFNFTDDRIACKNEAEDIKYLDGKDTDRRMTAIVCNFSTGGTSQWYEKRKDSEFAPEPYMDGTIDCYEPPSKPEAPASANVLLFTGIGFVVLAAIIGVILVICLMGRQKRKKKAREAALKMAATGSKSKESKLLSPRSKSTMSRVTSTRSATGNTKKKTDGKGGKTPDDKTPEERSRPVGRSSIVDTAELEKIEEERRKTAAATAALLESSKVEDEPQPVPATGAGSGEVPVEMSKGSASLIPDATQQPEPPIETPKLKTLQKKRLKKSKILEYGRLAYRELKGLRNTDFTQQATVSVDKTQMPTASTQGHSMAVSKTQLGGPESDDEPETPLWHNQPTVAISADKKEEPPAAAASDRQKISDLPTAKKKDSTKKAKSGKRTSSAEVCTLVYQGGPIRFERGCVSISGWASKATTEATALLKRGRSFERAEHRKEGGAFQKIVRPNYINDTSDWEADFTSSSKKNAGPNGEEGGSKESEKDERSKHPGRPDAAPRRGEQQLIAGSAHTSRLPQFSI >PPA17591 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig373:5532:5887:1 gene:PPA17591 transcript:PPA17591 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRSVVDVDDVAPDSSVVHFDPNDPKYLACCCCHAQSSAEIFNNLWKCVFIAKLSVDIPWAWVVRGVEIPLVQVTDRVHV >PPA17594 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3739:155:555:1 gene:PPA17594 transcript:PPA17594 gene_biotype:protein_coding transcript_biotype:protein_coding HRKYYVNGTSTFEIEGTAGNVKTVKPLITIEHSCGKNYACVCKEFGDVNADIEAIVDIHLENSYLKACPSCSEARKMRGWE >PPA17595 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig374:13763:14272:1 gene:PPA17595 transcript:PPA17595 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSFRARVPIAVNYNPFMMYAPDPDTTLMDQLTRESILVISYARLKRALDKNVLSPEASLWIANRNVMLAFHLNPAKSDNKFRTVYRP >PPA17596 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3747:83:799:-1 gene:PPA17596 transcript:PPA17596 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTNQPTVHILELTDEIVKFSLEDTDLSVANSLRRVFIAEAPVMTIDWIQIESNTSVLHDEFVSHRMGVIPLTSENIIEEMVCTRDVAGSSQLNPAIARETPHGEVMCLVCNMA >PPA17601 pep:known supercontig:P_pacificus-5.0:Ppa_Contig375:18267:18681:-1 gene:PPA17601 transcript:PPA17601 gene_biotype:protein_coding transcript_biotype:protein_coding IRPALGVFMGRIEGDKEQLLKDVAIPTRDKYFVILERFAKENGSNGHFVGSSLTWVDLLIADHVSILLKYLPDFLEKYPTIVQTVKQIESTPKLKEWIGKRPDTAF >PPA17599 pep:known supercontig:P_pacificus-5.0:Ppa_Contig375:6487:7644:1 gene:PPA17599 transcript:PPA17599 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLQLFGTWIFLISLAFMFLPMAQVKDWRARGTTDGFSSVHLILPVFMMGCWLRHGLMTSDKVNIAVNLMGIVSCSFYIVAFGVYTRNKTNLYKQLGALGAIFIAIFAYVGTLSAEDAPHAMGKIAAVAQNAGIFGGIYQIKSVLDKKTTEYMPSSMQFGILFILIQWTIFGLLSGNMYMVASRIPGLIVSFISISLYVVYPPITWRVPILGTQQAPEKKNE >PPA17597 pep:known supercontig:P_pacificus-5.0:Ppa_Contig375:816:3087:1 gene:PPA17597 transcript:PPA17597 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGGLYSTLDYNAVWRSTNANMDLTPTMKSTLIFLSLIIGSALAAGIDLFKKIEKIEKEMSREVEEEYADKEHEDELDLLKKQFAAALTRNETFINIPTEIDLNGNHTGLFEGDIQLTPEQWRVALDSDPDNPMKRRQGLAEMTWMWPPSGAPVIPYSFANGFPDQYKQVVKDAIAFWEERTCIKFRASTSADKSAYVEHSPFRIKPCQKHAMRNEFSIVFNQNADGCNSVIGRRTTPQNVNLQMPGCMTTTIVAHELSHAFGTLHVQSRVDRDEYVIIDTSNIRPGMEQNFRMEPNGYSTYGLPYEFGSMQHYFPHSFAVDESRPTIYAKPKYQKFQSEMYKCTDKCAKQMVCKNNGVTNGGKLQQPANAQVINVAATAQIVKVELPGEFRGFQEALVVLQAPAGKKIEAVVKSFGPFRFTMCRSVGLEIIATDTRTSGLRVCARPTADVIVYDGNTMLIWLYRDYPVVTEVSVRAI >PPA17600 pep:known supercontig:P_pacificus-5.0:Ppa_Contig375:16393:17907:-1 gene:PPA17600 transcript:PPA17600 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYKLTYFPARGRAEVARELFYLSGVPFEDVRIPMEEWPEFKPRFVGDNAFESAWVDAIADQHKDYMNEMRPALMVYMGFAQGDKEQLVKDVAVPARDKYFGILEKIAKENGNNGHFVGSALTWVDLLIADHASVLTKHIPGFLDGFPAVLDTVRKIESTPKLKEWIEKRPETAF >PPA17598 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig375:3568:5471:-1 gene:PPA17598 transcript:PPA17598 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLLSSLFFIVNSAKIAVFLNAMSNSHVIFTIRVAEELAADHDVVIVRPTVLEIRTNGASAETFASHKEIERNMVWTDASYKDIAAMSASYTKIFSEYCTSLINDEDNMAKLRAEKFDFALAHHIDLCPVSVINALEIPHFGFIMSIPLNRMWVNIAGVPMLSSIYPMIMMDATNEMNFLQRFKNFVLDVMMGTIGGPMQAKPINGVMRKKYGEAFPDAMELAAMAKFVLVNAHPDIEFPLPLTSKIGYFGGLGMSNESKPLIEPYSSFIESAKSIVFVSFGTVADPAMMPISWKNAFLELFEKNPDVHFIWRMVDGVTVPKNVLINTWHPQNDILAHPKTVAFITHAGYNSIGESIASGTPLITIPLAADQFRNSRLVEYRGFGVRVDKIRSQ >PPA17602 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3750:234:1080:1 gene:PPA17602 transcript:PPA17602 gene_biotype:protein_coding transcript_biotype:protein_coding PNKADEWAIDGVNEAVDVAHAFELESDLPEVNFADISLVDYIAVKEKYAKYPLCWTLPGQASCLLSDDARTQRRKEAHDCPHRQALLRDHPVSTPKR >PPA17605 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3755:40:240:-1 gene:PPA17605 transcript:PPA17605 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDCGNPTTSSNGNNGRERPQRKNGLFYSANDEIDLEVLRKDSWSSSTSVK >PPA17606 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3756:201:494:1 gene:PPA17606 transcript:PPA17606 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDGEKADMNTEAAAVRKAGPPRFSDKSEVMSALKKHMYKTHAILQKRLAIMEKALKDMDEKSKQFFHT >PPA17610 pep:known supercontig:P_pacificus-5.0:Ppa_Contig376:15124:16452:1 gene:PPA17610 transcript:PPA17610 gene_biotype:protein_coding transcript_biotype:protein_coding MTATKERRVTNSFYRTKQHNATSPLNPAIKQRARDTVSSRTTASVVCVPQAGLAVTAVQRMIPAPPSPVLMTASAFQQRTNHTPIVSVQGVTRPQIALSKTDASSHRVRRTEALALRRIDESTNHIVLPEGGGELMQHLLDISKRIQALDSCFTMGEWLNVRYLVTDITPVSTLDECKYLCVAMAECAACSFSKSYSCALLGPDTNRRLSSCFAPAVLYERDPTC >PPA17609 pep:known supercontig:P_pacificus-5.0:Ppa_Contig376:3010:5226:-1 gene:PPA17609 transcript:PPA17609 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLRIFLSVLAIIKTVSSSTTCYSPVNLTVQYEVLSKTIAASQLDCDKKCTDIDQCSSIVVDRKADHVVCVFLGKQVPATVCIKPTEAYKKMECAEPDGRVVGCFGPAGLDACRTQLTGPSRMATGGAGLADLDGPVTLEVLVRPTCEMHLLLHNGTSGYRKFVAVHPVMPIPTNYTFSVGGVRVKVDW >PPA17611 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3765:28:421:1 gene:PPA17611 transcript:PPA17611 gene_biotype:protein_coding transcript_biotype:protein_coding VKIISPEAVEKVTEEALGENHTPKAGVRLLPSNNLEWTVNIGKADFGRQRGSDDTEEEIIVDDRELEH >PPA17612 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3768:109:919:1 gene:PPA17612 transcript:PPA17612 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWNEDVPKSAKGGEQAPPTIPVKKTKANDNGRKKVVAINTTADSDEQSDGDTVARDPSVGGNNVGLSQVEMSRKEKRRVERAMRQSSILTMDDDEDEVTVAPTLSRSFDAAARKLTSVPVLDAVRMMEERLNGGLQNINKNLETMNRRIDRLEDQQDEIADSMETQRTLVS >PPA17613 pep:known supercontig:P_pacificus-5.0:Ppa_Contig377:1638:9752:-1 gene:PPA17613 transcript:PPA17613 gene_biotype:protein_coding transcript_biotype:protein_coding MESNNDRKLEFKSKAILPVDTDLFQLENGTIFYLQHLDSFYRLYVKLEGKDVEVYLNGASQMGAHENAIYWCEHRKRIVRAAPTDNGEIKVEYVRGLLEASTIEQNTEREKPLPRAYCAWRRDGVKYVYRMCDDPFTDGLQALPKKEINEKGLYLHGICHRRLVYTTSSGPEAPQVSVRRKANVVEIIVDSGAHIYAVPSSPFIYVCNGQLNNHSMKLYTLDMRTVQSFPPLAINCEMDPMLGIMNGVITARGILNRSFDDNRYCLATAQLPLEYNPNSPRPLEVPVEEMEDYRQQIEFAQMMSNRVQHPPSQEIANNGDIKLEFKAKFVLPEDTDVFQLENGTIFHLQHLESFCRLYVEIDGDEADANLYGASQIGAHGNAIYWCERNKRIIRAVLIGEGEIKVDFVRDLLENSCTAAPKEISMDEMEEYRQLIAYAELMSAKGNGEKRPHVPHSRGPASIKAVRASNSAGGGRGDVYRDGFTVRTLYEEAPDPLHQLQTTQDTTQTSSGNDESSSDFSSKFLSEFTVRRILGEGGFGCVFQAVNDQTQYAVKRVAVDLLNVERALGEVRAMAQLDHPGIVRFYGAWIEQPPEGWQHGADERLLATIDPSTTPPKLDYKENCVFIYIQMQMCKRSLAEWLSDNNYGASRSVSTIKRWFKHLVTAVGYIHKKNLIHRDLKPSNILFAENDRLKLCDLGIATVRRKDGGIQTEITRTVIGTALYMSPEQIAFTSKYGSKTDVFSLGLILAELCIVMTSEERAKIFDNYRHGKQSELIKDRKTVDFIEKITKVDPMFRLTCQEMLDHPARMSANSGYITLDDFGTIPLMGTYPNKPKRDGSLPICSMNCAEKRWQCEIALNMATLTSLKQSMFTDYDSTLAPMQRSESNTGFRENFSMMITYAKLTSVDEKSTQFSIVLGMMLMWNDPRLTWNPQQSSITYLSLENNQAAKIFPNGDVRTILQAEITYSCAFDFTYYSFALK >PPA17615 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig377:14942:17453:-1 gene:PPA17615 transcript:PPA17615 gene_biotype:protein_coding transcript_biotype:protein_coding LVGAKITSNEVTGNTGYGRRAFGADEREELITGLLIREYDFEDSLLTERRRINNQAVKRERILKEQEMKKESRQRTILPLVHLLAHQLSNAESQRIDGMNSEQDELAGFSSRFINDFTVNKMLAQDSFGCVFGSTNKIDGMNYAVRRIPVSDRQHDKTLQTLREIAKLDHCGIIRYYGMWCERPPEGWQYIADMELEKRINPSFDSNHRIWNGSLAMWLSENKECRDLNKMKSWFSQITSAVAYLHMKELIHRDLKPINIVFTENDLLKICDFSIAVKQRVIDGVEEEDEQDMICNRLYKSPEQRILIDI >PPA17614 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig377:11986:14014:1 gene:PPA17614 transcript:PPA17614 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNNDSERGNLLRSSIPVQEHRPQPTRLVYIQMELCEYSLAEWLRRQGTAERDLPRMIGWFKQMVTAVAYIHDHGLIHRDLKPSNILFSSPDHLNICDFGITTHIQLKLENETEVTATYPDIGSALYMAPEQSGWRYTSKVDVFTLGLILAELCVYMTVFDRKEAEFVSWLSQVDKSKRPTCREMLKHSFLE >PPA17620 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig378:21077:22395:1 gene:PPA17620 transcript:PPA17620 gene_biotype:protein_coding transcript_biotype:protein_coding MRECANRGDAALPLPTPSSPFPPRCLRRRRLTSVAVQSQQLPPTPRRPLIEVIEEDVAPPPHTQPKMTVVSENDRVVDLVEYQNQQEDDDKSKENAPAGGKGSKLTHKRSVRFKKNLEAGPCEQKSIDSVDDVLPSLDTQPNPRSILRNKSEESPIDKIAFSEMEDQRSTTILPSGDAFSGCVVERSAELPIIFKPVDEPPRRVSRFKLQRMQQAT >PPA17619 pep:known supercontig:P_pacificus-5.0:Ppa_Contig378:10672:11657:1 gene:PPA17619 transcript:PPA17619 gene_biotype:protein_coding transcript_biotype:protein_coding MNATGGKMASTGKFRREYKRLLARLDQLDTSEEEDEDEEEEEEESDDVIEELQEEEEVDSDDLSDSEEQKHARFDAMRKERSAEDRRAMIERELRERYSIRGARKLG >PPA17617 pep:known supercontig:P_pacificus-5.0:Ppa_Contig378:3392:3814:1 gene:PPA17617 transcript:PPA17617 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTRVRAHFVAAGIVAAVGVGAFGAYKAGMTLKRWVNSQPRHQHEEMEFYLHMKEQQALRQQQQKV >PPA17618 pep:known supercontig:P_pacificus-5.0:Ppa_Contig378:4036:6817:1 gene:PPA17618 transcript:PPA17618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-uri-1 MADRMPPSTIVHDNPAAAAAAGNEAAVANFARLQKWIERECAECDAAIDEHRKQVDDYRTQKGRLLELQKKISHPIMVPFGSVGFMPGKLVRTNEVLVLLGANHFAECSVHDTSKIIDRRIRGICSLIEKLESQKRNASERLNFAQGLFGAATTGAKDDLVEIREDYDEKKEAEARRKRAARAAAAREDKKVPQATFEDMMSRLDELEKQEERAETRGGRSDSDDEDDDEVEEQVKEVKDEEEMEQGEDGDEGKSEEEEEEATTILKPPKGVNVEVRIGFTS >PPA17623 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3793:30:218:-1 gene:PPA17623 transcript:PPA17623 gene_biotype:protein_coding transcript_biotype:protein_coding SARRPHTIARPTTCAAAAKTAVRQSPGNRWHSQLPSRHACLQADRRGSPGTESKFVFKSEPCQ >PPA17624 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3794:49:734:1 gene:PPA17624 transcript:PPA17624 gene_biotype:protein_coding transcript_biotype:protein_coding PTQEESDMTTTAATEAIEEPVQLTQEELDHIAYIQKMAEQSSFETIAAAPTRPAPPQVEEVIAARERFGSMQEESVDKFDIEEEHSSATSGADAERSSFEQESFDKGYQFSYERSSPLLETTQEESDMTTTAATEAIEEPVQLTQEELDHIAYIQKMAEQSSFETIAAAPTRPAPPQVEEVIAARERFGSMQEESVDKFDIEEEHSSATSGADAERSSFEQESFDKGY >PPA17625 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3798:56:496:1 gene:PPA17625 transcript:PPA17625 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVLEENVLPQHLPQLGIVVRAYGTSIALTVQFDAEKPGESYLPSMDKSSPRLF >PPA17711 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:628984:629742:-1 gene:PPA17711 transcript:PPA17711 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSTIIMFMRRGFDDEIGRKKSTSIMRTGATIPSRKSSAYLPTPPQCPYSSPQPTYSAQQAQQLYNSYAYNEQRKHSMDQHQRSRSKSQTPY >PPA17767 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig38:948787:949758:1 gene:PPA17767 transcript:PPA17767 gene_biotype:protein_coding transcript_biotype:protein_coding MTILHLQVVWLLILAWILSILCAIPYANHHTADYFIRAWPYTSDGPPIVSSKMCMLNIQHMATDANLEQEYFELKVVFHFSAMVFFVVPLGIIIVMYLLIACTIAGRRHTVVYSRADSAINNNSDDGNLRITFILSKSENIGKVRKNGTEQELHM >PPA17735 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:741966:742778:-1 gene:PPA17735 transcript:PPA17735 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKRKIVSTSNSGAPPTTRPPPAVTPELLVASLVNNKTSTTVPATTTTTPPPTTSTLTLPVTRQPTFPPASQGDGGTADRSRGPVVPEARLQTIARSHKHMHTESLSHRLNRIEANIARSLTETARLDQDRANLLAQVTELRTEVRELQNPPNHSLLCTPPRRPLGTRVHLDGTTVPNTPAKELGTENPTDDLTEETKDDNEE >PPA17668 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:343575:353789:1 gene:PPA17668 transcript:PPA17668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mrp-4 MPSSSSSCPSTRRVVEAKWNVHGASVDGAMWNVHCAPLTDAKWNVVQCGMLTAHHHHPLLPCVHALFTREVPAAVEFVYPLMLCLSMLLIGIFILACKHAGKVTAGGLFLSALLFVICGLPELYYWIHVGLHPSKMPLSDVPRYVAFLVWFPCCVALLLLLSWADAPAAERDGYKELGNEKASPEKSSSFLSRQTMWWFNTVCRLGIRKPLEVQDLYALNEDDSSAVLVPKWNKLWGKAMEDFEKRRKLSGVRSRASTRARSDSTDETPLLAGADRDAYGSTGGAAGPATRVQTTAASAAAATQDVYIAPPSIIACLFVLFRVDIISAMLVKCVSDLLQFANPLVLDSLIRFTEELHRPLWQGVVLALTMFTASELSSLMLNHYYYLMYRVGTRLQSCLTAAIYKKTLHLSNASRREKTVGEMVNLMAIDVDRFQQIAPQTMQYWSTPLQIALALYFLWRQMGIATMSGMAVMLFMLPCNFLISMAIRKYQVRQMRLKDERTKMVNEVLNGIKVIKLYAWEPPMEGVISNLRDRELSLIRKAAALRTFSDMLNSASPFLVAFSTFATFLLIDRKNVLTPQIAFVSLTLFNQLRTPMSTVAELISQTVQVIVSNRRLKEFLVAEELNPASIDSSAVDNDDVITVTDADMHWDREEPRANLSGLNLTVQKCQLITVVGKVGAGKSSLLHALLGEMERLRGYVGVRGRAAYVPQQPWMQNQTMRQNITFGKKFDEYFYNRVLDACALFPDLQMLPLGDMTEIGEKGINLSGGQKARISLARAVYQNHDVYLLDDPMSAVDSHVGAQLFNAVIGPEGMLRNKTRILVTNELSYLRHANLIVVMKGTE >PPA17709 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:618014:619813:-1 gene:PPA17709 transcript:PPA17709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cutl-11 MVNKFQCAANRNALLRDANAHVLVYDSTLRMASPFSRDQLDGPILRYARVGDQVVHRWECESEMYGVLVHSCFVEDGQGEKAMIVDERGCHTDHTLLGDPTYVEALNMAYRESFVFKFADRVAVRFQCEIRLCLKDEGGCDGITPPICFDATRNRINGINTTRVLRRRRSAHHIREGDLISQTVYVIDKDERTLLPDSENEAPDVLRSISIQGLFFTNLSR >PPA17629 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:56742:64677:-1 gene:PPA17629 transcript:PPA17629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:H3F640] MSAAARSTQGHSKNRVTYYYNADIANCYYGEGHVMKPHRIRMAHQLIMGYGLYQHLQVYRPWPASAEEMARFHTNEYVDFLQRAAPDTLRAQYSKASLDRFNINNDCPVFHGLFNFCSLSTGGSLAGAVKLNKKQTDIAINWMGGLHHAKKSEASGFCYTNDIVLGILELLKYHKRVLYVDIDVHHGDGVEEAFYTTDRVMTVSFHRYGNFFPRTGHIKDIGAYAGHRYALNVPLKEGITDDAYQSIFQPILASVMERYRPNAIVLQCGADSLVGDKLGTFNLTLNGHGDCVKFLRSYNVPLMLLGGGGYTPRNVARCWTYETAIALDRDLDDQLPFTDYLEYFSPDYTLHIAPSARPDDNSRKYLNRIKEEVLGNLAALPAAPSVQMQPIPADALNCLSDAGARRDAASPDDRLHSSIMDDVTVDQGEFYDGEEEEAEGGDCRNEHCATKALGNLVNGRCLTITWLMDDA >PPA17679 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:434008:438578:-1 gene:PPA17679 transcript:PPA17679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-clc-4 MCSFITQIAYGIMMLITLGLTAGGLFSENWVQSNGVNKGLFCSTANIARDGKQSNVFCDGWWDNLKAYERTVVVCLFIAIVFQVFALVWNFITICACCCKKHIIHPLSAISVLNVIAIAIAVIVFAINSSSAINDFSTFLAGGNRNPDGSIGSSFVMECVALGMAILCTIVASLAICFAEKNV >PPA17749 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:849096:849457:1 gene:PPA17749 transcript:PPA17749 gene_biotype:protein_coding transcript_biotype:protein_coding MKEESWAYWPDEIWVHDSTRNVVVDPKRVLEETKELRSGLNKVRMLMENNKILTGVGI >PPA17648 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:223245:236484:-1 gene:PPA17648 transcript:PPA17648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mcm-3 MSGYNLIDPQDEQRARETILEYLNFLDDSAENKVYVTKVEEMINEDNNRLLINLNDLRQRLPERAARLLTSFVEEIVCLEQATLEMVQRADADFAKSKIFHVGFEGSFGDRHVNPRSLKSTFLGNMVCCEGIVTKCSSVRPKVAKSVHYCPSTKKTLERNYTDLTSYNATLSSNVYPTEDENKNPLETEFGLSTYKDHQSFSIQELPECAPPGQLPRSVDVIADDDLADRVKPGDRVRIVGLYRVLPNKQNGASSGSFRSIMIANNIQLLTKEEQPNFDPEDIRNIRKLSKNKNIFDILARSLAPSIYGHPEVKKAILCLLLGGLEKILDNGSRLRGDVNVLLIGDPSVAKSQLLRYVLHTAPRAITTTGRGSSGVGLTAAVTTDSDSGERRLEAGAMVLADRGIVCIDEFDKMSDIDRTAIHEVMEQGRVTIAKAGIHARLNARCSVLAAANPVYGRYDPYKSPMENINMQDSLLSRFDLIFVLLDEHDPSRDRTVADHVLKLHRYRTPGEPDGTVLPMGARVETMSTFDLDGDEGKTTDIYEKDRGWTAVDQGETILSMAFMKKYIHVARAVKPKLTAEATEFISDCYSEIRNFDTSKTDRERTMPVTARQLETLIRLSTAIAKARLSKTVDKIDAEKAHQLLFFACYKEKPRERMEAEERKKRKAGGGEKAAAEGDEDSGMEEEDEEVLPKSKRATRGKAADSSQSQSTAGDEEMDTTEATDTPSSQAAPAGPSAKRARTEPGSISVDRYKSFKKFIRKAFDTMGAEDLHPVADVSAFIQKEAGKLSFSEEELEAAYSQFAADNMGMPRPHQPPQDARTPHLPSTQFAPT >PPA17682 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:451913:452732:1 gene:PPA17682 transcript:PPA17682 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLAADCINITNRPSSDATHFTRLTGGRDRHASVSWTRLMSSIRWEAAVPPLARLSNETVRLAENWFESTV >PPA17655 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:273233:273944:1 gene:PPA17655 transcript:PPA17655 gene_biotype:protein_coding transcript_biotype:protein_coding MAWACPSKSVCCGWECCKEPEEESGISTVGWVFIGIGIFIAVCLLLCCIWWMIRCCRDRRDAVYYNTQAAATAEAAENRPPAYNSVITPPMCGPGYGPGYGPGYGVVAYPAEPVF >PPA17755 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:878903:882056:-1 gene:PPA17755 transcript:PPA17755 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKDRRAEIPDKKARKNAAAAKEEAKEQKEIPKIKGCHVSIDVCGGCIRPKDPVPEANSQDGVKMSCSNHHCPFSITHSVHKDCFQKLTDKIVGMLLRTGSSSWTDEQRVENAWRKKGLTFVGKFITCPCGHGTQTLEDSENRWSRNGEVVLDPEAYEKHREQKIKKALKHEKPVPTQPKTLNTTFGGKEQAELARKLARKEAEMDSKYSRGDGPAISFPTSSQTAKQKKKETKPSWNPFSSPNQPPATDDDGFTTIGKDGRARDLAAVSSGAPEKKARGPVPNHSAPSTFLLLRNGPLPWLRGCLQAIGLVS >PPA17759 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:911015:912430:1 gene:PPA17759 transcript:PPA17759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:H3F6H0] MFFKNIGTILLYAVVGTCLNILFISGILYLFSPYYQNSIPYIDLLLFSTLISAVDPVAVLSVFEEIHVNQILYINVFGESLLNDAVVIVLYHSLAHMATIEKIESEHVQEAVGSFLTVATGGVIIGLLFVFFTAFATKFSVKIEVVQPLICLLLPYCCYLACESVHMSGIIG >PPA17733 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:734763:735726:-1 gene:PPA17733 transcript:PPA17733 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMLTHLNNGWQVDQAILAEEDRAVIIRFGHDWDPTCMRMDETLYKIATKVKNFAVIYVVDISKVPDFNKMYELYDPCTVMFFFRNKHIMVDLGTGNNNKINWAMSDGQEFIDIIETVYRGARKGRGLVVSPKDYSTKYKY >PPA17638 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:173989:176241:1 gene:PPA17638 transcript:PPA17638 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKAQLSIDRGPYRTPTTGDDNYEPIGNMGGGTPPAPPGAAADDPNNIYESIGIGPEGSSAVPPPPPPPPPPQKLTQSEKKRVQPIRDDDGIDLDSDPEKKSKKKSKFGKKKSSSSSERNESENDEPQSEKSCEEGMGLGCKLFIVVVAVILLVALGIGGWLLFGEEDSAAADASAQSGGAIDNTTTTVIPDALTTTTVPWNTTTTTGNSTHPEPANATTADPTDDAGNSTAPTGNGTTLLPPPALHNGTEGPTQTAQESDGSEKRPAIRPVPDIPGLLITRATTKPAPGPPEKKTTTTKKPTLEDPEAPAEEPGKGGPNPGGKAAEETTEETSDPTPPTEKDTGNKQKPEVVKDKEANTPTKKESKEGTTADKGDEATKNVPEGDENGQAKSENSKDAKPGPTADASKTPSEGDSAKKAEAPEESKPADAAPAAVAADTTVSPN >PPA17757 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:899621:904368:-1 gene:PPA17757 transcript:PPA17757 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDEQAPRLMRASSAHRDDDVDGEALAGADSDDDHDEERRPDHPEQLQEQMKAQHNKMNSRHCTAHMRVLVLGTGAVHVEYCVSHLGHDVFPIPPASRSTDRAERTKIVRPMTKIPREVEEDIERAKKRRKGRRETEHRWRTERRMRTERDEFAQLCNRYDDIGANVELYEAEDMYDDELPVEEVIEEEIVEHDEMVAVDEDKKDNLELTNYVFKMAADGRRIAENHLKRLHPVVNNENIDICLQAASAFADGKLTEINVQKAAGAAGLAVEQLKAAVVLLLELHVILSYQKSLAALLSHSDLLESIIEWSEMERERLIIEREDGDRRMRKGALIRCDGRIDFEVLS >PPA17651 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:247324:260525:1 gene:PPA17651 transcript:PPA17651 gene_biotype:protein_coding transcript_biotype:protein_coding MWDVRPYAPAERCTRVFLGHQHNFEKNLLKCAWSADSYRVSCGSSDRYVYVWEGRLSGSLGKKAKACEDQDGRNRMEVEEEGSDEDQGDKENRRSARLLDDRTLPEQTTGSDIDEIVEVDGREEEHLDSSQLQQPQDDPLMGEEEMEVAEDVEVVAESNDEEEEEEGAETTVIVNPDNSGAVESPASPPAAAAATAAPATIATPVEPLAAADSNAADAAAAAPPTEQREEGEVQPGDSGEEEQSQASLVLVVKTEEKEPEADEPPPRMPQLQHELAVRLNTTYDMTMEARAIVRAQFDLLLGLTTPQTPIEEWRQLMAAYAASEEEKTAGDEQVDTEYPREDMTDDERRAAHRKLARMVITRKMQQESRKTQDS >PPA17657 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:283584:291423:-1 gene:PPA17657 transcript:PPA17657 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ndx-7 MASATRALPWGEAASIIMRVVHTQHEHRETSREAALRELFEETGVLCTEGSGLRTVEDPRTERWQTEVNEKGASKSKLREFLASAKESLAVSSLIPWSTWLTPNAGHYKRRYMTDFFVLPVDGEPVIRHCAREMAGAEWSDPSEVLAAAVSGAESVPPPQQYELSRLKELDPCTLPFHHIRKVLFPQLIKCEEEKDGGEEWLCNVLPGDQDYISEPAGQFNQGTRKMKRERMMRPDESKPLHRLTYLASPLYSKCTIRVKGVDPLALEEPHVSRFRSWARWQPRMGCTCRWSAA >PPA17693 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:517484:528594:1 gene:PPA17693 transcript:PPA17693 gene_biotype:protein_coding transcript_biotype:protein_coding MSALALGRMMRLGGGARAALKVSARRAGHDVAPHNPGPPTTYDHMPIPCMPYAKVHSELQAKFNAMMGFGLGMLALSLACAHKVNLFSTECMRAPGSWFERKFKSHSDKIGLEIVPDLSSSPSLSRKRLRFLNYANGSIVLFWLNYEGRPIPYANIGPKQFIDITTYCGHPWTGRFEDTGDLCSFRLGNGQPNYDRDGHNVYVGSRPVPAFVVDRAAISIYRPRAHSLLQTAIQEVAVLINYDLRTVENLPVPESVKMVALDNSVSNEKSLVEEMRKALGGPPEKLLGALASLGAWADEVIMAVIECVEKPEEGGEKKKKEVRGAIVIEHLLSDGIKRFLTEHVAQLGAEGTARIVRELQTRIEDEKREEASTRIYAHILGHVISGGANVLGYEKLRAVVKETLARSAVGLWKIGVRDASYTLARALVYILLAERDYIEGGAEREGEEWWRSQVMEKEKKLRKDAFFVTLTALVESEKELKEWAKVDLTAAEDGAITGAEGVLTARWIVGFIT >PPA17721 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:685818:695379:1 gene:PPA17721 transcript:PPA17721 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGTTRQRYAYSPTEKSAILWAVAAGTIVGTFPINWAYVRWGARYPFFLSGLLSAVATGVSPWAAHAHLYLFIVVRFLQGIAYAADFAAIGIIVVRWAPLTQMAIFISFLTCFSPIAQIITNPLAGAFCTSSFGWRWAFYFHAIVTLSVSVKELGVIQEGKTKEHIERDSFVPYWEICKNKTILVVWLNALTELIGYIIMLSYAPTYINKVLGYSVQQTALLATIGSAIHFPLKVFCGVLSDKITFVSEKTKMWVFNTISVGVAGILCGLIGVSPTPTLAVVCLIASTSIMGVNAGAFYKCGSLHSRQYGHFVLAMIQFSKCVALVIGPLMVAALASSDEDADGWRTIFIVNAVLMLIANLAFYFTATDQPAEFTKITRHTKEKEKSDHEMDKTYEFVGDELNPPPPPPPPPPAAAAAAASAASSAAGASSQQSNAAPSQSGGHGLHPRASPRSSAHHPPPPPPQPPAAAAAAPFSAPAPPVLPPPPPPPPPSPPPPLSLAPQQLQQLQPPLLPASGAPPQLLPQPAQPPDPALNEYFAPSPIGQGPLSSGHTPVPVKPFPVALPASKEALNDYFAAPPTGAAAAAAQPVTRAATGTNVRPSSPGSLDNIPLPGSNLPERGKSAHSSIHGPSAVDDKDGKKSERSNKKRPSVWTEAQAAHRKDFKTPDDYKLKSQKDTLRPLTSEPSSSNMKEEEEATDNKTNKKDDKKSGESLEEKKRKALKALKKGEKEQGSIEDTTSWENGRLLKDPSKRESEIMHDPRLIGHRTRVCVIR >PPA17702 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:587202:587943:-1 gene:PPA17702 transcript:PPA17702 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRVNGTLHDSLDGRCGDVVSVVPRFVIHLISVIFNFVCLFKYRHQEPQFPKWMICSMNVCFIFIGLNGIPELFRDCKMLEFVNGTGIT >PPA17691 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig38:512492:513298:-1 gene:PPA17691 transcript:PPA17691 gene_biotype:protein_coding transcript_biotype:protein_coding MFTYVLVSIALLAIGLFETRGMHAHFIDAKRDRTRESAVAHRNTYPSFDASGPEPVLKAFRKAPEPPVVEDEKTSPVAPKVAMDRKRSPSAEDYLDFESPKPPKNPENTKNEKRNGSFDDVLAELAKRQAEMQEY >PPA17676 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:404157:410255:1 gene:PPA17676 transcript:PPA17676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-320 MKYNLRMVPLSEELDLGKVALELEGGSNIHGLVNLIDCPFVAHSVEDFVSGSSAIEQPKMKLLLVALFIGASTAMYTKNDDVVELTAANFQSKVINSDEVWIVEFYAPWCGHCKSLVPEYKKVATALKGVVKVGAVDMTAHQSVGGPYNVRGFPTIKIFGADKQKPADYNGARTAAGMSAQAMQEVKQMVDARLGGKSKSSSGGSSGGSGNRGSGDDVVELTDANFDKLVLNSKDIWLVEFYAPWCGHCKNLEPHWKAAAAQLKGKVKLGALDATVHTVAANKFGIRGFPTIKYFAPGSEASDAAEYDGGRTTDDIVRWASDKAMENLPAPEVVEGVSQDVVEGTCKDKQLCVIAFLPHILDCQSKCRNDYIATLKELSEKFKKNLWGWIWVEGGKQGALEESVDVGGFGYPALVALNSRKGKYSPLKGSFGKDGIAEFLRDLSYGKGRTQSLRGEGFPKVENTEAWDGKDGEMPVEEEIDLSDVDLDKTEL >PPA17750 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig38:849989:851790:1 gene:PPA17750 transcript:PPA17750 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHITQAKCRAYKVVAASAITFSVVAVVAVFVTLPMVYNYVHSIKRSVNRDVAFCKGSAEHIWSEVNAVKEHPAHNRTARQNKNATAPCTTVTRTVSSHQAYASGGGGGAAVAGGGGGGGGGDCSACCMPGPPGPPGMPGKPGRNGELD >PPA17728 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:717872:719441:1 gene:PPA17728 transcript:PPA17728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ife-4 MAEMDHAVAQPIVDIYVPKTEPTPSENPLEFTYIFSYFVRPAGKFDPEEYSQYVQPVAAVSSVQQFWNVYSFLSRPDNIKEKLDIHFFKEGIRPVWEDQSNCKGGKYILRLKKGLASRIWENLIVTIIGEQFMVGDEICGAVCSIRNQEDIISLWNKNACNEGVTNRLRDTLKRCLNLPDNAVLEYKRHDDCLKDQSSYRHAAVDFNRR >PPA17726 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig38:709013:711055:1 gene:PPA17726 transcript:PPA17726 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKLGKPTRKEKFLLLIALAGACCLAFIIRLFSVLRFETMIHEYDPYFNFRSTEMMVENGVFPFHNWFDAKSWYPLGRGVGSSVYPGLMWTAGSIYHFLRAFQFKVDVRQVCVFIGPLFSAFSVLATYALTWEVSKGNNGAALFASVFIACVPGYISRSVAGSYDNESIAIFCMILTFALWMRALRTGSMASATTAALGEQLQ >PPA17662 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:311174:313188:1 gene:PPA17662 transcript:PPA17662 gene_biotype:protein_coding transcript_biotype:protein_coding MWCIRILQIRESLRVLQIRAVNRRPKGARPCGWHAACGCSGARYARLVSCVRPLAIASNEKNEE >PPA17672 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:378613:388042:-1 gene:PPA17672 transcript:PPA17672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tbc-11 MPAQLIHPAPDDESDSDEPLLSGSGLVSQECAEDVMSAWRACMEEWRAKEDGARPDTLASLIANGVPDMLRGEVWQLLAKVHLDKELKESYQGLLEKDCLAEQVILRDIHRTFPAHEYFKKTVVENILDNSMCGLVLDDWCAIRLPAHEYFKASGGDGQQKLFRISKAYAIHDEEVSYCQGLSFLAASLLLHMPEDEAFCTLVKIMFDFQLRDLFKLGFDSLHLRFYQLNALIKDYESELFAHLDKLGVETHMYASQWFLTLFTAKFPLQMVFFIIDLFLSQGMPTIFHISLALLRDSKRDLLQLDFEGILKYFRVTLPRRYRTEANARSLIQRAVELKISHKKLAKYEADYVEMKEKEAEAEDPTKRLQRENDRYCKTIIRLEQENDDLAHELVTSKIELRKKLDTAEDELESSKNAVERLSRANADVMEENKNLLAEYEQLKEMCRREVERLEIEGETKERVANEYKGFFAEQAKRAEREREEFDKQKKAIMSRISSCDSCWSSVQEWEANRSPARSPQEELGISDLITRLEEREAHVRAVELELAQTKLLLVEEQCRNQDLSHQMTTSMSANGAAGMESEGKKWLKKTMASLKDVRTSLKYNERTNSAVSICV >PPA17704 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:590337:591876:1 gene:PPA17704 transcript:PPA17704 gene_biotype:protein_coding transcript_biotype:protein_coding MLPESLPNLPNEIWSSIIEYLSADDRKSIASVDNKFQALEGKTGYRRFVNVKIASINDDDISATARSTVIEEKIPSNEIIPFLIHATTDKLEIAGRMNEQAEMKVKDSTKTLKFKTLDLEICDDRSYGLMNELIRGKDNTNEVVMRLNIADGDAKMDNSFLLDLPSIDKVNIYETMMYISREKKMVICYAQFMEFFDFFEALRQDSRFALLSSARVAHIATGATFSYDQASAIPQPEESSNSVPELESLLPN >PPA17640 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:180630:183902:-1 gene:PPA17640 transcript:PPA17640 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEVVAGDEGEMAGWWNNAEKRWWHPLAIKLLSRGPMPKHIAFVMDGNRRYARTNALGSVVRGHECGFKQLTKILEWCSELSVVEVTVYAFSIENFKRSEEEVSGLMRLAEEKFNLLLAESDKLAEGRVRFRFFGDLSLLSARLRALIAKITLATRDYEKVARERIAMTRWSDIFRKTVGPNFLNEARKASWNAMHIRALT >PPA17699 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:572704:575654:-1 gene:PPA17699 transcript:PPA17699 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPHHANHTNGHATELFEKPPSIKCCCGKIHLQKGAGIIGGLSLVSVLLNALLVLLGVGRLGLNPYFEVLILIFDFFSVVCLLRGLHKRKSGLLRPFLFFNFLWNAGLILLFIWCMIRMARGTDLSKPIMNQLGRSRPSPHHANPFLNLGRPPEESGTHWLGFLLLGALAVLICVGCSFIHVIYRMFRVFAYDEEKEANRLTPPI >PPA17665 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:328666:333757:-1 gene:PPA17665 transcript:PPA17665 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSTLLALLATAAAAAAAADGPFTSHFVEFLKKDTNAAKYVVELAYQPHNDDFGSFGGKKEDAEKTTHRPVIFIHGNSDYALARPERTKETGWTKSVEYFKSKGYTGAELYGLTYGSGDVETGALNNSVKCDYVKTHRYFIESVLNYTGSEKVDIIAHSIGATIARMVLQGGEGEGHESKLVGPTTTCLHPAVTTFTMAGEKCDLGASLKNNSRTLIYISTRTRILVSIAGAHYGMCLCLMLPGVPSCGDEGFSAGTCSGSAANATMAACQEYKSQREDKIDYSALLQEVNKRYYEQGKEAERVAIIFSKDDNVLGVGNLAWGRQTSLMPGTDSGLVKEFSGLDHFQAKDKTVEAQYELISG >PPA17689 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:507709:510085:1 gene:PPA17689 transcript:PPA17689 gene_biotype:protein_coding transcript_biotype:protein_coding MISFSVSCPPPLIFTSPFCLLSRMPSGSPLLALFFCHFALVVGWRWKFTPNATTIIIAMVLFIVIAVVIVVGSAYMIVRRIHWARNKNKIDLMAVANAQAHVINVAEEHNNRANSPPIDGKGSQGGSMRLRPVVQQKNQ >PPA17736 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:746997:747738:1 gene:PPA17736 transcript:PPA17736 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLFADLAGLDPGLTDDSVQFVLVEVDGDAPENQQPKQKLESEEAIEVVLVECDKVLEYVKSSRLFT >PPA17660 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:305582:308060:1 gene:PPA17660 transcript:PPA17660 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCLVSSAIRTNPRVLFANVKLSIISVPSRPFTNSSIGHRSTSVSSFSADCARRAGTDWSESDHSSKKKTLLMLAATVSITSAAWLAIGYWWTKKNDESQCLISDRFKLTPHEIADWKRKRLAKPHPIEGGRVEFTVAEPLNSSVLNGTEINIHSKVKLHLPNGTLRADHKEIWLSNRGIQVACTEEGARTGLIQYALLHKQKGAFEHAVKLSSAPDSAHSQAPPFFV >PPA17632 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:90761:103098:-1 gene:PPA17632 transcript:PPA17632 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAASENSKPARNKPYEMTRQFRASAEKYEYFESDCSLPGEHDPEWVLRAAHAEQRAQPVEDPLPGRELVFDLYKDDKTDKIFIPHFFKQLFESGIRRDDPRLAKVFERVRVMEKLDVDNPFESDHLYLDKETFKECVGSSIGVIAKALKRQLVIPDWTAFCKTMGDIFEQVRPAHAGSVATYIPQLARTDPGYWAMSICTVDGQRKSFGDSNQPFCLQSVSKPFTYAMVHSELGADELHSYVGQEPSGRLFNDICLDHDKKPHNPLINAGAIIVSSLALQQFKKFGSSSGVIGFNNAVFLSERETADRNYALSYYMREHKVMEETPRLALFRIGLLAVAMMIVGRNSWWLVLSVFAVYRIMRTEFAQRARKTIKRDLTGLFLLIRVKREIKKRLNANRPMHEIFLERVREHPLKEAAVEIETGRRMNYRELNQLMNKYANYFKFFTDCFMSKIKVHGNADDMYHC >PPA17684 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:469182:473191:-1 gene:PPA17684 transcript:PPA17684 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRGRTVERKPLRIVNYCKLKIVSKPSSFHSIGLVESVVSSLLLLFLTIPGGRATGYDCHWLGTAPACATPECPSGYTHLATTNSATDTEMFGEFGAPCLTGTKALCCKHSEKPVWEKSCEWFGTSPVCGTSDCPAGKTETHYEVTTSIVYLWPPEVVY >PPA17760 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:912586:914412:1 gene:PPA17760 transcript:PPA17760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nhx-1 MMKPYLDGNLSEKTKTTTKVFMKTMSNTCEAIVFLFLGLSIFSKSHIWDFIFASVTVSACVLVRFVVVIPLTFLANRRRVKKIALVDQAIIAYGGLRGAICYGLFSDDVMAGIEAVIGKRGGNYYRRRLSAFNHDILEPNLMIRPTSRGARLVEKVNLSMKNNRTFSPYRRCAK >PPA17748 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:841268:845430:1 gene:PPA17748 transcript:PPA17748 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDEEQESEAEDEASSRKKSKKHKKKKSVDESPRKKRKMTVDEEGEGEGAVPMEEGVNEEMEEGEQRNGDVQMEEEDGAAGTVPAEAGLSAEKEPVADNEEAPVETEAQRAARKLQEKRDKKAAREQDLKNGVMPNWSRKYIKRRDQGAMAEAVERLEKSLAASKKNLLPHVLEDYKSKMERFRKESDLLSIVKDKHDAHRVKLEGLKKLRLAEFMGGFLSISTALRELYQMITLGGDAALEVKDNMDPFIEGVQFMVRPPKKSWKQIANLSGGEKTLSSLALVFALHKYRPTPLYVMDEIDAALDFRNVSIIGHYIKDRTKNAQFIIISLRNNMFELADRLIGIYKGSIELEIRDRRQPSRLSGR >PPA17674 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:400499:400759:-1 gene:PPA17674 transcript:PPA17674 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEPGIDQRAHFSSRFPLSVDNFQKLLENQGTVGGPKWVQKIMNSSVHEN >PPA17738 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:761574:767544:-1 gene:PPA17738 transcript:PPA17738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ima-3 description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:H3F6E9] MKTSVKYGLANQKFMSVMADSTRMTQFKNKGKEADALKKNRIDEASRFNSQRQTSEEVCSSPPPAGGYDTGVLMDIVAMAQSDDVDQKLAAVQQARKLLSSDRNPPIDDLIGSGILPILVTCLSSENVNLQFEAAWALTNIASGTSEQTQAVVRAGAVPLFLNLLQSGNMNVCEQAVWALGNIIGDGPHFRDYCINLGIIGPLLKFIDPEIPIGFLRNVTWVLVNLCRSKDPPPTPEIVKMLLPALALLIHHTDTNILVDTVWALSYLTDGGNEQIQMVIESGAVPNLIPLLGHNDVKVQTAALRAVGNIVTGTDDQTQLVLDSGVLQFMPQLLSHYKEKINKEAVWFLSNITAGNQNQVQAVIDAGLLPLVIHLLEKGDFHTQKEAAWAVSNVTISGRPDQVDKMVQAGVIAPFCALLSIKDPQIIQVVLDGINNVLKMAGPTADVICQQIEECGGLDRIEHLQNHENEDIYKLAYEIIDTYFSDETDDDGTAPGPAGNNGVPPPGGFSFDPSGAAPVPDEGWSFN >PPA17741 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:774215:780403:-1 gene:PPA17741 transcript:PPA17741 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-toca-1 MRKMLVDQTDAVANHTSKGIEFLEKVGTLCKERAAIEEEYASKLRSLVKRNIGKKKDEDAGFTYITAFNLILKEMDALAGQHEVLAERVKKELLPFIATKSAAHRTARKQSLNDLNQINANLQGARENMSKQQKSYGKAFKEAEAAFLKYNKAEKNMDISRLDLERAKNNASLRADQSEEAKQGYAHALTIANQAQQAHFGTALPTILDRLRAIDDERIRDTKAALEMAINAEKEVSVIITRCHDDMRRALETIDPPRDTAALVDQCRTGYAHPAPAQFEDLGRPEDVLNGGGDAMDGNGSTLKRGILGGGGNTAKKDGKGVSRKQSMHSKIFGGGSATSIEIKKNPDGTSDYSSLPPQQRVRRLQAKLADMEKEREKKISSKEGVVKMQQVYRDNPKLGNAADCDQQIQSYAKEIDVLTNTMNKLRVQLDDAIAHTAPPIGGNDTPPSSRSESGASSHYSSASAAGAGSCTPAPPRPLPPSSLNGTIINGVGTPSNTSHRTSYSEESVSSEGSRMTNNNGRDEVYDEMTMPPALGTCHALFDFEGGTTEGTVIMREGQEFLLVERDEGDGWTRVRAMDGRSEGFVPSSYLRCKWYPSD >PPA17730 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:729158:730987:1 gene:PPA17730 transcript:PPA17730 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKQKKKGSHLIAGGTIVRVGVLVLLMAAVLYRPLPEKMTHSTIDKVAMHIIEPVLRLSYYYPSRLCPTAYCMMYWTRGTLNTLSRLIGPMFDWDPNLLMETTHWDGVKVRVYHPRNNATESDGAIIFIHGGGFVLGNTEMYESVTRTMAKMMSTRLFVSIDYRLAPETVFPGGLEDCEKVLEYVIDNGPSMYGVDPRKIVVMGDSAGGNLVAAITQRRRARKAEPKILGQVLLYPLLQMSDLQTYSYRYFRREMDGLAVVGERTASATALFRV >PPA17706 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:597600:599386:-1 gene:PPA17706 transcript:PPA17706 gene_biotype:protein_coding transcript_biotype:protein_coding MERLPFTGVCSATNAFAVSDDARRAYLHDPKADVVVSIDLEKGNKKFLKWDDKNFAHRRWVCYSLFALTKDDQEYLSILFFNQHKKVFCLVHFMISGELLVKMQTNALNTSSINKERLAYSVSKDGTDLQIIFYERFSSSASSPAYTIPPARLRFILCSFAPSSMRVTTTSGLLPATGQWELPFISKHSLHFISTASTPHLFISYPRSEPATWETSTPQPIGIMTNDSGYPPRKALWCNAWAAGIGWFSVTEKV >PPA17708 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:604997:614919:-1 gene:PPA17708 transcript:PPA17708 gene_biotype:protein_coding transcript_biotype:protein_coding MCQDEMEEVSRLSYFFNSPVISRVVSNAGLVNLDYFPNVIQVAASSVQAIGVAIAEVAKQLEEDNLIIVGPILNGVKPTTIDLSIALDAYYKQNKLLTVRKLYSLPIDKLSENAQKIRNLQSKLIVLTDDFPAFAGPLTSLNVEFLTSKGHIVLIVCREPFGSCIGADTVTFTKAGALALVPEDTDINRRIDQFKTMLGDGVYKQDKFDQYRTTYDACFAYCWAAWKGNTNNGKTFSDTFANASWTNAMGTTRFDGGYSLMQTYSVVNLPSSTKTPQNLLTLIPTPKTCVNSTCLMMTPNITIPAFWINAANTTVDYEGVNAIIQTNMWLFITIGAVGVICCAAAVFYYYWSKGRNNMFRLTWRVMSENMKIIEGPKRDRGEGAAGRQRKRAVPYALIGTVKAEFVALKQVRRIRFSEEDMKFLMNLKRVSHDNLAPFIGVGFNQGNVFYVMNALVERASLEEFIKDQEFNMDETFKSAFMRDICRGLSYLHKSAVGYHGLLNLGNCLVDGNWVLKLSQFGYSKMLHKLIQGGHIEVVSGSSPGGLSSTAGLSQNTYLYTAPEYLQNIEIMKEFPEGDDKADIYSLGTILYCILSEQHTPYNIAGAGGLANLPKFTTAISKTLEDEEEGKVGQPKASEPKSFTKIIEDVITMNLRPSFGEGMAVKQSMQTLLEKCWDQNPEKRPNIRDIQTTIQSAFAQSQGNLIDQMIKMNERYANNLESIVANREVLLRDAQEQTNRLLNEMLPESIAAQLKAKEEIIPRSYEYATVLFCQLVDFGLLIEKTSAEYIISFLNDVFNRFDEIIKAHDAYKVETTGETYMVASGVPSENDGRHVFEIADIALELREKSYAYKVENMDDYKVRVRIGFHCGPIAAGVIGIKSPRYCLFGDTVS >PPA17637 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:169783:172827:-1 gene:PPA17637 transcript:PPA17637 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQAIVQIFSLRLIPPPPPPPPPPVKLTQSEKRAVQPIRDDDGVDLESVKTRKGKGKKKKPSAEDEESGMLVPDESKATGKGKSEPSSSQGKKKKKKGGMSLMCKLIIAAIIIVVLCAIIGGGVVAMSSTSDDDDAAAETDHPATRTNACPDHPTSTNPDPATRTNSGANHCNTATHRSPDYGCHTTHCCAGGYRTPGDHTTTAHAAAYRTTHCTAITNAAAYHTITGHTTAYRTTAASHHCDCTYRTHGTRNPTYRSHGVRNPTYRTHGVRNPTYRTHGTRNPTYRRHGVRNPTYRSHGVRNPTYRSHGVRNPTYRTHGTRNPTYRSHGVRNPTYRTIDCRPVESWYTVSQHSCPDKKV >PPA17642 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:191454:195767:1 gene:PPA17642 transcript:PPA17642 gene_biotype:protein_coding transcript_biotype:protein_coding MFEYKKKLRMADLDDDLLAVGSDAGEGEQLRLEDKRRFGRVHVHRQLLLAPLDVDVHHLSKFSKTMFKLASLAGPVRAVSRASLRNFAAAPEMIKFELVGEKKNVALVTLNRPKALNALCGQLMTEALCNPCDDTKPETRLRDVLIQLDGDKSVGAIVITGSEKAFAAGADIKEMINRPFHETFGGRFLEEWTAISDINKPVIAAVNGFALGGGCELAMMCDIIYAGDKAQFGQPEINIGTIPGAGGTQRWARTAGKSVAMEMCLTGERMGAKEAKEVGIVSKVFPAADTVPAAIKLGEKIAAQSPLIVQICKEAVNRAYETTLREGLHVERRLFHATFATKDRKEGMTAFAEKRKPEWKGE >PPA17681 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:444603:446507:1 gene:PPA17681 transcript:PPA17681 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKKNRKTATSKKDEKKKTGITIKEEIENDDDEDQNGKKNGKDDKSKKDEKKRKRLKIKEELDDDDEEDQSAKKKRKDGRSKKEERKRIKEETDDEDDDHETNATIHGAKRVKVKEEIDEDEEDEEMNEEEQERMKKRRNEWKNRNDTRKRFKIKVEEEDSDDMTLIVDTVTSPESGMARRVRKKIIRDFAHCFDMSFEDPVWLKDEDAVEFSEWMEYWESPEGIKKGGPPKAHETNLTIDMKKESQSTKAKLERDYADCGMGFDDSFWLKDEDAMKFRDWMHYWESDEGKRLGGPPKIVSDKTIIMN >PPA17764 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:937076:940282:-1 gene:PPA17764 transcript:PPA17764 gene_biotype:protein_coding transcript_biotype:protein_coding MNPITNIKNQNKLNEREIAMGIAGDLTKSWHQVYKDSAWIYIGGLPYDLTEGDVISVFSQWGEVVNINVVRDAKTGKSKGFCFLCYQVPKYKEDADEETKRLWEEGCAPKPIELPDMGGFWELPDMCSYSS >PPA17743 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:803070:804164:1 gene:PPA17743 transcript:PPA17743 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGKNAVLPEDLALLRQLRREAEFFGLGGLKELVEEQIHIVKQRRDERDEVIQSLKATVSSISQSLYVTNFSRLAK >PPA17720 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:673557:682677:1 gene:PPA17720 transcript:PPA17720 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGNFPYSEIKRREQSFLHKSANAIGKKERPAIIVGDAHDRSSTTVESTKGLGEGYVNSLILANLTGIHPVASSDIRRADPKRRPGDPPICFRTKKRRYLLGGSFRHVPHTTIDHCRCLCANSWRDSARCESLNYYTNGMCVLNTGSHKGRFDLIDDPSATYQYVACDISMLIGIADIVCDNSDSELPPKPMEQVFTKVWKESKELKESKEASVEATTPVAEREGKKVQTTTAAAVLTTTTASTTTTSASETTTVQITERTTQPEVTEDDDLAQLRKKLEEVEKEITEEEKREKILKEKEAEMRRIGERSKKIEDERKRKEDEEKKIEEEKRRKEEEEKKIEEEKRRKEEEEKTIEEEKRRKVEESKKIEEEKKRKEEEENKKREEKEKEEEEIARKEKEEKEREEKNKRKEEEIKKNAVSDEEDEEEEKPKERKKERRGKKEEKDDEEEEVTVKNEVLTKHSKKEKCFEELFDYVMTSTAGGLEHDVSIDECKCLCANSMTSGRYAFQCRSATYYHNERDCVLNVESRRQRPELLERHYRKTYNVTYLGLQCSIMKAVMDLVNPRLEAGCIQPFAATAPPTTTTTTEKAPSAFGKTESGTDSCFLELPHFVLEGTAQAVETEVSVEECKCKCADAERKYGEPCQSAQYFPEERICLLNKKNRFSNPESFNYVPLSPQSYFESKCVSKEDNRAHYLESKCAAYVKVERRKLREDESPEKLDTPEELIEEKKRTGKRDQIKEAEEKKSKGGGKTASRNHQKKVDHQDDPATEKTNTLIDRDGASAYDNHVDHDIDNHNHNYHDYSRTHNHHYCSSTHDYNEESREETYREGYEEGDKNEERALDYNNYDNDDDAEADHDYDQIQGHGEDQPRHPGGGRIRFRRVGGVYDARGTIDEQGSEVMDDAIEEAMKNSKEETVAAADNSLSDDEKPLSRDYMPESEEDDEEGSSAEVTNTIMEDEAEMYASRTLHEPSTRPPSTTVAPETTVVSTTPTPQTVATTTVPPTTTVSMTTVPPPTTTTVASTTSTAATTTVNDEDEEEDEVTTTTEEPTTTEEPTTTTTEYPPAGPCTYSAIYQTTFHGNKLLKVVSVKSPADCFAACYALGCRSANLYSAGTCELFKDALIDYRRPDVVSYEHGAVYFDGIQCQGPPKVVESA >PPA17724 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:699502:703504:1 gene:PPA17724 transcript:PPA17724 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLANRLCLAHDIFAGLLQQEDAMGVSTYSRQQHDICSCATPCSSLGCTSCVSPALSACSSSSSSNQSADRFTVISADSAAPLHHATRIRTTVVLKEFNKVWRGLQPVPDYRLWIYSVNGLIITFQCIVGYLAYSSWQHAFWRLIPLPSSSALYIVFACTFVVQLLACVCGLVGVFISSRDFIKIYWCLMIPLLFIDVMQFIMAAYQLMKIHVSFASPPPLITNSSLCPVWIEFAKEFSCSTECEPSNFDSDCAFVLLRWMHGRLDIVGVLMCFVLFPLKIIVILALREDIQELFEEIVYSDNERLYKHWALGDEEEDDCDRDREKTERENRQTNAFAA >PPA17769 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig38:965835:967400:1 gene:PPA17769 transcript:PPA17769 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVNWSIVDDEYASLQPRSIDEYTPMLRNAIRAIRRIQLLVARRKFKEALKPYDVKDVIEQYSAGHVDLQGRVKSVQARLDLIVGKPIDKNEVKIPLMHRVMHVERQMDKLDKKLDVILELLLGRAASGVTTSGTSSSTWLSRGSITKQITIAIPKQSSGTVQMCNAQYTTIITSPTVPPLGEVSPSTETLDLYNTAR >PPA17746 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig38:819488:821688:1 gene:PPA17746 transcript:PPA17746 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKNARDSDSVSDPSEGEMDSDDSLMRGRPPKKKVARKEQPKKKISPKRKASPRKQKHVEEEVSVVSNQLEEDEDDEQRGLIVDYDEEDLLNLQVPDAPKPCMATEGGERLIITDIVVENFKSYHGVRRIGPFHHSFTSIIGPNGSGKSNVIDAMLFVFGYKASKIRSKKVSVLIHSSAGKENNTFCSVKISFQKIIDKEEGKFDVVENSSFNVARTAYLNNSSEYRMDGTWAPEYALVACTGRQ >PPA17666 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:336774:339282:-1 gene:PPA17666 transcript:PPA17666 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYRILLVALLVGAAAAEEFGPFTKDFYKFLQTHRIRNEYALSSYDQYGSTGTFGGKAANEISLPITHHPVLFVHGNSDSALRFSDEAPGWDNTVRYFRARNYSLSELYGLTYGSRNLTHSLLKYSITCRNVVGIRRFIEAILSYTQTDKMDIIAHSMGVSLARKAVQGGVMHLTEEQCELGPSLSHKVDAFVAISGANYGMCLCLDPNTVNMPACSQEGFAPGTCGKAEATQASCAAAQNSCEKDDYASVLRQINQGPKEAQFVASLWSSSDIVLGIDNTAWGRQTSPVPASNYKFAYDHYDHAKTKTETYIDQYNLVTSHSRHGGRSPKISNTLALYILNS >PPA17687 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:481928:484034:-1 gene:PPA17687 transcript:PPA17687 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLSVLCLLVVSLSAIAAEGLPEGYFGSFKLDHSDNFDEYLKATGIGWFKRKLISLASITKTFTKTGPTTFNFDNETTKKSLHYKDVVLGKEFIGEGIDSSKQKITFSIRNGRLYEKHVPTDPNAEQKEDEYAFKLEGDTLVQTLQSNGVVAKRYYKRQ >PPA17771 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:970678:971980:1 gene:PPA17771 transcript:PPA17771 gene_biotype:protein_coding transcript_biotype:protein_coding MGLALQFGGVVALVSTLAALIAVGRIVSDIDNMHDEIMGGMGEFRVVADDTWVRILALHVNPNGNSEAPPNFASLFARQKRSAGGSTCNCHERNRGCPAGPPGPPGSPGARGENGAPGAHGRPGASGVSLAATFDQPGGCVQCPPGPPGPPGPLGPEGTIGFPGAAGEMGPPGKDGDQGEPGLEGNTGKPGDAGFDGFPGEAGQDGIVAYPGAPGPVGPTGWPGPDGQPGKAGEPGRDGTPGQPGPQGPKGFPGKAGCDGEHGENGENGAHGADANYCPCPDRRS >PPA17731 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig38:731623:732499:1 gene:PPA17731 transcript:PPA17731 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNVLPAAFRDDANGTDLPQPVQGNQELMDYVTPFLTNPDFAPMMQSDLSDLPRALIATCEFDVLRDEGAIYAHRLKEAGVPTQWIHYEHGFHAMLNFHNELEIARESLADIKEWTMRVLREAEFE >PPA17716 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig38:658348:659628:-1 gene:PPA17716 transcript:PPA17716 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDDEPTVCCSRFRYVILLLGLLCLVVLQANVLLINLAFICMSDDPSGVYDTGNGTTRNRFDYDPKEKGLIISIVAVGTILGAFLFNWLHQKFGARLPFFAAGIISAAVTFATPTLAESSYYAFIAGRFLLGVSFSADFAAAGVICVVWAPLAETATFLGVLLSFGPIAFSFTNPVVGAGKSMKN >PPA17627 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:14510:17070:-1 gene:PPA17627 transcript:PPA17627 gene_biotype:protein_coding transcript_biotype:protein_coding MYEQRNLTMPRPAARPTEPAEDTVAADEVVGGTVEVLQRQTLSARFHHRMPAGISLWPDRCWIVNERRRSRRLVVDRGCPLRAGENNEAIVSVGSESSTEVSGDVPHLFFNAEHALFENEDPSDLPPFHVQCEVFPCKGDEMFMQVIGVARCPSMQWCDDSNRKLSAFQPKDVFEQLPPPQINLPFSIAISRSAAVAPDEYRHLGMPVYPSHFWWYDMVARHKMELAAAENSAKEQQSAAAAEGGCPLLIISIVVVVFLLICVLCALLCRWWSRNSQRAKAFVTSDDSPLPLVGNEPIIILERDWKCIKEKRAALLRGWTEESH >PPA17636 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:162751:169745:1 gene:PPA17636 transcript:PPA17636 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFVKRDKRPSMPVIKEHKKRKEQDDFLSVASRKFEASNKDVGVDIIGELKDNLENVVDYFKLSKEFENIKGEIQQFQFVSPFPAKNYRLIEMPHALLEAFERGESFTLRGGADDNAVLCSATRTYGVQETETSNTLILTPKISIGDQVCGGLPSLTVREIPTMARHYLELKEQLFVSKTRLRDIFRVNELTDCLTDQCDTKWTLDELLDRVGISEGELMELIDEWPVVMIEGSYRWLSTSLRAELLDGAVEAIDDQCLAADRSITHDLLRGALPDRVKYPDVLLEWVLQSHFNPVDREEGASGPPSYLAAPRPFCRSRARQLLPLVKNYPAAEFEQKLEKIVPIGVLLSWEYLDGLALRTTSITQGERVEYFAADDLPEAPRERLGLLFSTRAGWSIDEIAPYVTPILPRGTALQSFLHQHCRADTGANGTKTYCALKPL >PPA17766 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:946937:948060:1 gene:PPA17766 transcript:PPA17766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nmur-1 MPLFEHCTTLKSYDDQCKCQSMECLKIWNSSVEAAEACYMQNCFLSKRALDDKPLYQVTAAYLAILLIGVIGNAGTCLVIKRHPLLKTHSSSYLLNLAVSDLVTLCVGLPFETFLIWHQLCNCSHNSPLLD >PPA17646 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:215073:218518:-1 gene:PPA17646 transcript:PPA17646 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQQPPTTRRINTVNPIMGDQRMLQHLMQEMSVETKENELKLKAMLDKYQQEVTGVVRSDLPQPPGILQVQQQQQQQQQLPPPPPLWTPSMNSGGNQLHPRTSTDGLLYDRRLSTGPVFIAPPLRLVPLAALSRVNCRGSEGGSGWSGQQLGVVDSGGEATTGLHERAEYGTELSGGGRGPTLPIAVTSVHPSAYAPPPVTMTTPPQPPPSYALPPPSSQGNDVGLTWEEILDFRCQHHHIIIFILVEAKS >PPA17690 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:510313:510651:-1 gene:PPA17690 transcript:PPA17690 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSFKGDTKAPKEDVEPVQLRSFAYPKEDTTVRNDDRIEEKSMTNSDSEISDRASGDQRDSTVDETDVRDHEDIYDHSLYAVEKK >PPA17772 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:972510:978333:1 gene:PPA17772 transcript:PPA17772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-wee-1.3 MNISLSSTDSARLPDYPMVSPDNRQQLTVEPLSTKRERMESSQKSRFGSDERFFSRVVRSAPVVQRLKHRVAPHAHAIIFHTPPNTPNSPSPVEMEKSDSAYCKTDHECISVPIESEHYDTSKRATYFEQCFIIQTKLGEGSFGEAKSREDNKLYAVKRAIEPYRSTSDRALKLREVQKHEELTPHPNLVYFHRAWEERGRLYIQTELCTASLADICKYDAPPKNEIWDIFYDVINATDYLHSKDLIHLDIKPENIFLTDKKVCKLGDFGLMFDLKHDHMATVEEGDSKYLAPEVLNNPPTQAADIFSIGMSILEVSTNLDLPTTGENWHALRSRRVPERFLTILDPDMRRLILWMIDPVPERRPTTKELINDPAVYWPFLKRSSTAEISQMVSIMSIRFTFLSTWACLLWRLITSPIHRLGQMLAHARRKRTRAAPAVMEVTSTPEQKRQRNFETSSPIDYDSNYSDEEEDPHHKLMSARCIADQFYSESPLRLQPSLSPFKEYDANRERKERQRLREERCASPTTFASPASPLFVHEGGAASAPVIGRRRAARAAAATRDSAAAAVQLRARLQLDSDSDEGDRRKKEPKTPKTPQSASLSAATRQFRRSALRTLDSPRARKLDFSILDDDDEQV >PPA17673 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:391481:396566:-1 gene:PPA17673 transcript:PPA17673 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCSTATPSEDTAATAAGPSNGTVVRENGSARTENGSSGPKEKRRKEPPVDELPPSVVYLGCSKMDSPSDEKEMHRLMKFLDEEKTEAAITVKLTVSRNSSGTAKLFDGSGGLLVMFPLFRVRYCVRGENGTGQSVCFALSYTHNTPNSVSAAHQCHVFRAPTAELAGRALFAFSEAFRHGMEASAENDAPAGSSSSRFHTCPQQHSTFKMRRDLDRKVLVRIKQVTGKRLTISKCFGILIAAGRNLRQCDLQLLELEEAASAADDPTVYTIRAAWDPRGPNFTALNTETPRDTRVFITVAMDVIVCEVNEPIRFSMEAKARVFHQHERFCHGEDSAAPVVEGFFLNLSAKAAKILLCSSSSFS >PPA17670 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:367994:373077:-1 gene:PPA17670 transcript:PPA17670 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDDLRQVRVELREAPATKYIPTDSPYIMCSKLPAHWRCNKSLPDPFLVLLLIPIPDGTQVSVSAANDENPCGEVRNATAVVRNQIAKFNDLRFIGKSGRGKSFHITITVHSSPMHVGTINRAIKVTVDGPRDARHKKDSPLFPFSSPHSSPSLISTLSPVSEVVDWSRLFGNAEVQTALFVYASVIPALSLFVIVISYKVYLYYSSILTMESRLLDRKAKKLAQRVGKSSESEGAKGLIQVPIDPPLHTDSTNKNHMGDRIPVHLVHGRAPPSPATAAAIGPTAVAPAALSSSGPPSEEPLSARTPAKDPATNEEQTEISGYATQTASDYVNVSPEGSRPKLPDMTDLPSISTIEQGSDIGTTVTSMASASQATSATTTSGGTASPIPKKDDDRVDTMKMLDGDPVAGCNHRKRRAEIKDQRSTSHSFQRSELTNDPFQTYAVPVSMLRDEKPPQHSNLIPAASDPDTTTLHPVTNPHLKTTPAPPSARSDLAEFTPPDYSPVTARTDPPAPAPRPAQETPVAAQRTPPAYRRFPEEPSTDPVFTPPREESTTDRRHQTTVTHHQDVFDRPPRSSSHQSPRYFSQRAKAWLADNRDSDSVEEN >PPA17739 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig38:769785:771071:1 gene:PPA17739 transcript:PPA17739 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDSRLAIYDTADRTDLRYWNEKTSAWESTWDDIFFPLGSRFLGVINSRRAIIGEVDQETHEIVDVHPFFIRRIDEDGSMHIDKQPILHFHTPIRSIHLSFDTDYLFALSATHVYYWNVSEH >PPA17752 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:861619:861976:-1 gene:PPA17752 transcript:PPA17752 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDFQEEYIGVACHICSAPADGMHYSAISCRYCILSPRDVFQHRAMPSSVVRSPTSTSTRVDSAATARSPCR >PPA17713 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:649392:651853:-1 gene:PPA17713 transcript:PPA17713 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKLALLAIVALAAADHSRYGHDDDHDHYEHDGGKHGADHHAAEYGAKHGEKDGSYYGGHDAAHHDSYYDGDKKKHSGHEAHEDGGEKHGGGYDKHGKDHKHKTFGFFDYRYVQPQYHMEQFHTDEHHNKKHGEEGHHYDEKNHDKGEHGKEGESYYGNGYQDHGHKHGHHGEHDAAHGGEYYGKDAHHNKHGDGYYGKGYNGKDNGYYSQHAEPHYHGYEPQYHA >PPA17710 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:621570:622931:-1 gene:PPA17710 transcript:PPA17710 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFLGNELIGTPEIICNTDTIEMNFRTKQPFSGKVYVKGHYSRPECRVDYAERGPDGRPKGGIRLNHGACDMDRQRMVTEDGMMFSTVLVVSFHPLFITKVDRAFNIKCMYREASRRVEQQLDVSQSNCLKQ >PPA17650 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig38:242234:246433:1 gene:PPA17650 transcript:PPA17650 gene_biotype:protein_coding transcript_biotype:protein_coding MMDVDTFKRPSLPGAPTGAKRGRFESNALVSVVPQQSHARTSNMQAPIMLLQGHEGEIYTARFSPDGQSLASAGFDQNILLWNVYGDCDNYSTLKGHLGAIMDVHWSSDSDNLFSCATDKMVRVWDMATGQCIRKMRGHNDIVNSLHPARRGPQLICTASDDGTVKVFDTRRKEAVKSYDCAGFQQTAVTFNDTAEQVMSGSIDNDIRGTVGSIDNDIRVFDLRRDDIAYVLRGHTDTVTGLALSPDGSHLLSNSMDCSGPVLGRQPSPVEQHELLGNLIYCQTSWIARKCYFVLVAFYYA >PPA17644 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig38:204472:205803:1 gene:PPA17644 transcript:PPA17644 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVSSVLLMRMNVPLEYRLIITEVLGDLQFNFYHRWFDVIFLVLISAVQISALSSILFIYLAHMKSPIQTDFHYSMRKAENVAIRCGERKIVRYYV >PPA17630 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:75432:76528:1 gene:PPA17630 transcript:PPA17630 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIKRMMENAALCKLKFFDYRDQILDKTVHVQDEITRSISERIQKKFDLELDRLEPLSQRDVELRVHKARKTAKAFEKLMNQMEMTKNSEIETVAKEVDALMVIVNEISKRNTKRKEESKKLKRMKQEKRDEMEEERKQPLRRMGIGDIKEEPLDQYVFDLNQNDGWRGERSFL >PPA17669 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig38:360960:365636:1 gene:PPA17669 transcript:PPA17669 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHRMVWWHRWFRRYYIATSRQLKRLESITRSPIYSHLSESIQGAATIRAYKLVDRFCKISENKVDTHVQCRYLNYVANRWLSVRLEFVGNCVVLFAALFAALMRDSVSSGVIGLSVSYSLTITFVLNFAVRQISKLETNIVSVERVKEYSDVPTEAEWRNEDGSGPPPEWPNEGRISLNNYSTRYRPGLDLVVRQLNADIGPHEKIGIVGRTGAGKSSVTLALFRMIEPAEGQIVIDGINIATLGMHDLRSNLTIIPQDPVLFSGTLRFNLDPFGRYDDADLWRSLEMANLREFAAAQSAGLDHEITEGGENISVGQRQLVCLARALLRKSKVLILDEATAAIDQNTDALIQKTIRQEFATSTVLTIAHRLNTILDYDRTVSSGSLFSARSPIARLIDRQLAFF >PPA17649 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:236969:241879:1 gene:PPA17649 transcript:PPA17649 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHGKNATASSFYSYAERKKDAKQSGWGTLHERLGADSVKQFDCCSLTLVPVKDPVITPQGHIFEREAVLEYILAKKKEIAKATKAWEKQCEAEAAKEKEDANAHNERLIKKFNALEGTPAHPGAKVDLSQFETPRASATSALISNPLKRKSEGGLHTEPVEKVRAKGDEGAISNMSEGRSKQLPSFWIPELNPTAAAAKLEKPNQKVVCPISSKPLKLKDLMPVKFTEAKADEEVGHHAIKSKVRFICPVTHDALTNTTKCAYLKTSQAIVAYSVVEQLLKKEMVDPINNKPLKESDIVEVARGGTGYASTNDVKAKLYRPQLELQ >PPA17664 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:323438:325878:-1 gene:PPA17664 transcript:PPA17664 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFLVQIVYGVVCFVATAMTITSMITPGWTAYTFDVNYTNADISQGILPFTCAYPDEVRAASRFDLRLERLRYVNLPWTEKTVVACMCIGLAFQLVAFVWNIISICACCCKKLWRPVTRLTDRSFAMLTSGWSSCMTASSSPNSKSRCCLNRPRRTAIQLPAHYAPMTDERLDKYFGGGVSVDIAILIDPSCSPVLIAVYEGENAVSSEVRNAVEKLAEYLKTSGY >PPA17762 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig38:929959:930417:-1 gene:PPA17762 transcript:PPA17762 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRYSWITVQSEADLYGENKHFDFGKKVEEKGKEWNERPDFEKADWRLIEQWKIIREQEKAAKAAKGESTEAWAEDKGYLPNRIRRNN >PPA17639 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig38:176389:177472:-1 gene:PPA17639 transcript:PPA17639 gene_biotype:protein_coding transcript_biotype:protein_coding MWPAFGFVDLCKALAAYQYYNGDIRKTRDRLDSAVPSASEQKELQPFFDWMEDEKWAELEKRILASNGMLVACCQ >PPA17758 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:905835:909055:-1 gene:PPA17758 transcript:PPA17758 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRFLFLDVEKAWLVGLIVSMNSTNVRCPECPYDKPRNMGTHLFFVHGYSKNKISEWRMNLKADILSRSPTVYDIFRCSFCPKTYRRQNSCRAHERVVHCVASNMKQKCVPCPAWRLQYEENTQTVLATESVNSNAAAGTTLRYLRCAQLEAQIRKLRNQMKQAEARGNARRPLPANHFDDEEDEVRENQEREEAGTSTAPLNSPGRHIRKGKFDETAGRVKQEKHEGFVGVLEKKEDEELQEGDNEEEEIDVEQVLIRLI >PPA17770 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:968054:970057:-1 gene:PPA17770 transcript:PPA17770 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDKAPQQQEKFDRVGYLTEEQFWEPIQDKYVSELATLTPEEVFPSPNPGPSNPDGSVNFECHCVGHLVASPCGWEFREAISCQKAATNDEDDGRCAEQLMRFMDCAIRTQCFKKNKDTEDKDESKEE >PPA17656 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:278466:283648:1 gene:PPA17656 transcript:PPA17656 gene_biotype:protein_coding transcript_biotype:protein_coding description:GMP reductase [Source:UniProtKB/TrEMBL;Acc:H3F667] MPSIINEPKLDFKDVLLRPKRSTLKSRSEVELTREFKFKNSKRTYSGIPVVASNMDTVGTFEMADKLAEAGLFTTMHKHYSIDQWKDFGATRPVSIFDHVAISSGISENDWNKLTAVCEALPQLNAICLDVANGYSETFVDFIRKVREQFPRHTIFAGNVVTGEMVEELLLAGADCVKVGIGPGSVCTTRKKAGVGYPQLSAVLECADAAHGLNGHVMSDGGCTNPGDVAKAFGAGADFVMMGGLFAGHDQSGGELIERDGRKFKLFYGMSSDTAMKKHHGAVAEYRASEGKTITIPYRGDVTNTVLDLLGGIRSACTYTGSRTLKELPKRTTFIRVTQQTNDMYNPYEVATAPKSG >PPA17654 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:267233:269369:-1 gene:PPA17654 transcript:PPA17654 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVLLLFCAVQVGSRRSKATAAVDLQEAAPVEGEPAVAEKNIMEAADIVPASDITPQRPTWASAFDHRTLMDLHFICVKHSLYGWHYHYAPVYWHGGYAPIVVTPFVHTTYVGCLGCYYVGCATCYYRWSQIPSQWLHVRLLLEQGLSAAEE >PPA17628 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:18388:19293:-1 gene:PPA17628 transcript:PPA17628 gene_biotype:protein_coding transcript_biotype:protein_coding MPFYTVDDDHKDGNLDRLMTICEEGRMHIHLRRDFNNINLRGSEPECKPRWTNFTDYDGTVVRVQTWTLPLVEGANGPCGLRFTPGNGTDAYNVTLYGPASDFKRDAQCIRTGLLDKRGVS >PPA17633 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig38:105516:106047:-1 gene:PPA17633 transcript:PPA17633 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSRPMTEAAQRSTANLASYAIPVFFRICNEVEKTGTYKLKKTDLQKNGFDLAKVNNDPIYFFNSAEKKYVELTAELQRQIDSGEYTRI >PPA17659 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:300343:303319:-1 gene:PPA17659 transcript:PPA17659 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ceh-45 MMGGLPPLALSSYAMSRRKRRHRTIFSEEQLTLLEHAFNSTQYPDVSTREQLATQCCLKEERVEVWFKNRRAKERKRRKDDKKDGKKSSSREKSIDRSDCELLSDEEIDVKSSSIRHCRNSKCDDDDKD >PPA17747 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig38:832767:839911:1 gene:PPA17747 transcript:PPA17747 gene_biotype:protein_coding transcript_biotype:protein_coding MVNYYRVETARTAKDGLVEPVKKTINYLTMQNKLSAINCKQYLFQKYKAQDEADSTKEKQLQVEEDLQTVTTKLDGVIEQLSEKRAAIEDMTGKAAEKQAQIHNKANELELLTQKDKKRQNDLIRVDNELKRLMAEVKKEKEKLEEATKAPMEARAKMEQLDIQLNEYKDELTTAQEMFDENFPKYNKKTEADRAKKAKLEEEYSDIAAKLADAQAKVKLADSSLRNMMEDYEKKKKQLSDLEESLKGNKEKLEKDRLALSEIRASIALHTEETRKSRVEMDEINERSTAIKTRLNELAPILVVTEKQQEAQAKRNSSKITDCFEKAVKKGLMRGYIGRLGDLGSIDPVYDRAISNNFGGPLDMYLVEDGRTAAEGIELLKQINSRAYFLSLDRQQKSWGQIEKLEKEAKMGGPSRLFNLIRCDEKYKPAFFYAVKYTCLRRVHERPIVTMDGNQITGKGAFIGGGHAKEGKMGTAKVVRRISTAAEDAAHAQKVEQARTEKQALDTELSTNLARYHELERQLFSAAERSKSESSAREIERQIKSTEERIRVQEAQRTERVREMDKARVDEKELKVKQEELDRLTKERDDATTASNEFKTKIKEIDNDMAKIYNKLVKPHEMTLTSARESIEKAELDRAKQQAIINGGDRNIEKQRKRVSDVAKDADQKRTKGSTLKNEEDTFMKNKTEKENELREFEAALKSIDRKLKAAREGTSELDMEEVRLEKEKKLLAETLREMRAKTKVLLRAVEEYSAKAADLTLHNLSDLLAGIPPEDDLDSTSIGTGEKTTVLDLMRAVLTSLNP >PPA17722 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:695773:695939:1 gene:PPA17722 transcript:PPA17722 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTRPVAQADGRGFSKLEMEESGESTARRERKNGECSRNVTVHELSVTCDVS >PPA17737 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:751748:753156:-1 gene:PPA17737 transcript:PPA17737 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tin-9.2 MCAVYGPHEATNRAKASEEGCSVSVQLSTAVFATLERKNRRRGDRKTTALARMLEKAFESVIVTSLFPRAQIDICCEIIQEDGSRLSSCINACSLALADAGIPMRGVVTCVTCSSVDGRPVVDISAREEMDTIPRLTLATLSGHDEVVLVEMENRVHMDHLSALMAASHAASSSIHQCLHAALQQHLSQTRAIL >PPA17719 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig38:671723:671942:1 gene:PPA17719 transcript:PPA17719 gene_biotype:protein_coding transcript_biotype:protein_coding MRYTEDNPIISNQTLLITCIGKCTEHRECTFTSLKIRQAREHGKMKFAGRKRLVE >PPA17692 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:514485:516429:1 gene:PPA17692 transcript:PPA17692 gene_biotype:protein_coding transcript_biotype:protein_coding MRETDLHRKNVVSSLLLLFLTVPGAQAKRTYDCHWLGTGPICSDGVCPSGYTTMVLALDASYNHLFGDFGSFELGSFDTVSLQSYHIQQYLESLFLPYLLLARICLHYPNSTGKTLCCKDESAAQINKYFDKCHWMGTAPMCQSAKCSVGFSEVSRSSSVASAPADSIFRNFGERCQYGDKALCCKQAAYPNETCAWIGNAPVCMVNVCPVGTSEVVRSAVPLDGSPTTFGESCMIGKKSLCCGLKKAGFTPFAGLVEA >PPA17685 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig38:473625:475019:1 gene:PPA17685 transcript:PPA17685 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVERDGKLLCCSDNDAAKIKDQFNVCEWRGTAPVCGWQECRAGFTEVLRSGDVTVASKDGIFPTAAGFGLPCMQGYKTLCCKHSANPQWERSCEWAGRAPLCGRNDCPRFPPKVEFGRATGEENGQIADFGSSCMWGSKTLCCNPK >PPA17634 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:153304:158410:-1 gene:PPA17634 transcript:PPA17634 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSQNGTSSAEEGGSGSNGIKKSRSSQEGLSGGGESAPHKDDDDWYSFTPAVNSRERARAYEQWRESEEGGTFEACFGPSGLVSPKRRPENGYANGTGSGERPKYELALGSTAIPAKGLANLGNTCFFNSVMQCMLHTHQLAFYLERFGRVSRLNFLRPPKPIVVNDEKVDIEEATLAIRDMTTPLNDALKSFVVEFRSGLSPSPSRLFTQISAKAARFRSMAQQDAHELLRYLLDGLCSEETARYQEAIANLVGAPLKSSTKADPETMRKAKGTVPHVH >PPA17652 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:262712:264528:-1 gene:PPA17652 transcript:PPA17652 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTWAGPQADPNQVPKQVVPDSQSGNKYVPPPPGYSGSGSYKKGNVYNWNYNYPPVYVYASAPRIRNPLEYPGNYHGGAGSFRAGTGYVTVHNYNYYASKRHLPKNSAVKCSRKTKGIPPGEQNTTSPVETEMAWACPSDSVCCEWECCVKPQNENKKSQSSSDGSRWVLIFFLVLIIGVAVVSCVWWMYCCYRESTQEKHAEQKNDDAESTASTVYSPPPVYNLPPVYPPAPDYGGAYPAGPAYPPAPATAYPGYPPAPFACSIINEHA >PPA17658 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:292522:299244:1 gene:PPA17658 transcript:PPA17658 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSKQTTKDKIKSLETSIFNHFDQTPTETAIKVRHCHCKIHTVSFKAKEDRGHAPIVLIHGFGTGVAYWAPNIEGLSQEYDVHCFDIPGFGRSDRPEFSSDETLAELEMVSCFEDWRREMKLDRMYIISHSFGAFFSSSYALEHPHRVIHLVLVDPWGFPEKPLLTAKQVDPQVYMHVVSRITSYFNPFFLVRCCGPYAGRLLSFLRSDIRLKYHKEGKECNENIYEYLALCNSRHPSGEKLYKNLIFTNGFAKRPMSKRFCGMDEDIRATFIYGSKTFIDPGPALEIQYQRSDTVGVHILRGAGHLMTSALKKVMRKDIAQLLSTLSKEEIKTRSEAALITVYRSGCFLNAQHIGIYVSTDGEIITDGMIALLFTLKKDVYIPNFTRGEPDMTFVRLTPEEWAEGLPSTMWNIRQHGEVDPSQLLSAPLDLLLMPGVAFSAHADRTIKRLGHGKGYFDRFLHQHQAHFGRLPYLMGLALREQFVQEVPIEDHDVSLNKVVVENTLIGQ >PPA17768 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:961466:965606:1 gene:PPA17768 transcript:PPA17768 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-kqt-1 MVFVFWLAIEYVCRVWSSGCRGFLTSDIIVIVASIIVLCIGATGQVFAASAIRGLRFFQILRMLRIDRRAGTWKLLGSVVWAHRQELLTTVYIGFLGLIFSSFLVYLCEKNTNDKYSTFADALWWGVITLSTVGYGDKTPETWPGKIIAAFCALLGISFFALPAGILGSGFALKVQQHQRQKHLIRRRVPAARLIQCHWRHYSAAPESCSVATWKVHVAPPPPIPRAHAVVVLFQEACAAVAEPLLAPVILVRLTTSVPSHRIGHRRMLPLPKVRIRMKNLSLHTTNSLGNRSRGMKRRIVHTLKFVVMHHDVASNLSMVNRDVHHSLLVPKASDNISIVSVSDMSEVDGLGALGFSIGNWRAKPSSSSKMRSRDDSVIRNNSAPLELARVRRSKSLSRGGAGEGPNGGGNDDHGAPAQFDPLAASVDGYYSLMVAPFARWADQMKRGGGDAAEGTPDWMACAPLQRSSADYYSGRVIRRSATTHTCTFFCMTTFRNARRMHTRTHHTPSPSLL >PPA17698 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:570125:572243:-1 gene:PPA17698 transcript:PPA17698 gene_biotype:protein_coding transcript_biotype:protein_coding MSDCKNPYARAYRARDSRVFAVPFDEMTLNKRAFSSASHFDLSALPDAFARDNETHNKKLFSRRSLYNIHALPDPFVDNEETQNKRAFSSRSQADLRALPDFVYPAQTPRSSLSNTSLASNYSNYSLQSNDSGYARTFQVLPPLSATVNKNAWTSQSACDIRALPDPFSRAAGGGRRGPVTPSRALSSYGSNLSIASEYQPTALEQFMNAECSIDLDDSTQNKRALTRESVMDVRLLPDQFAIDSAPRPLPPQLMQPQLQQQEMQLHPVTPTKALNKYALSAESQHDLHNLPCPFENVNPYRQVRSLNRRMRDFSRQSMEDLRRCPSIEDVSTARSFTQTQADRFRQGRY >PPA17675 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:403643:404969:-1 gene:PPA17675 transcript:PPA17675 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEWAVDEVNEAVDVAPAFELESDLPEVKLFGKWNLQEVNVADISLVDYIAVKEKYAKYLPHSAGRYQVKRFRKASCPIVERLACSLMMHGRNNGKKLMTVRIVKHSFEIIHLLTGENPVQVLVNAVINSGPREDSTRIGRAGTVRRQAVDVAPLRRVNQAMWLLCTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDELERVAKSNR >PPA17677 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:425934:428411:1 gene:PPA17677 transcript:PPA17677 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFLVQIVYGVVCFVATAMTITSMITPGWTAYTFDVNYTNADISQGILPFTCAYPDEKPQAGLVFDWSDCETWFNNLPWTEKTVVACMCIGLAFQLVAFVWNIISICACCCKKYILHPLSIFTLLAVIFLAIAVAFYAIFNGVDWIDLNSNNPIGVPDLAKGYSFWLACGALVLNVVNMIVASAALCCAKGCC >PPA17727 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:711506:716272:1 gene:PPA17727 transcript:PPA17727 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSWGGYIFVTNLIPLHVLGLILTKRFTYRCYVAYSTYYVIGTLLSMQISFVNFKPTESADHMPALGIFGLIQLLTFYNYLKTKVSSENLWTLIGCGVAGAIGLVVVGATLTGIVASGSLSILSDGERFLLIPLDSTNDSTRSESPSKPDWSPCDGLVSLAQRLTFEFGGLEHTEDSSTCQASFSTYSGIQSACNSANKIGHLSGRLAFLFSSKGAIPIIASVAEHQPTSWAHYYYDLHLLLLLFPLGVYRCYTNFHEGTLFAMMYGLTTLYFTRIMVRIVLVLAPAACVLGGLALSGHYYAFLPNLTSSSEEEKKEKDVTEKKKDIKKKAEGSYPFKSEIAAVAVFIPVLIVCSFVSHSIYMAREHYSDPTIVLRAGNGKLYDDFREAYKWISENTEENAKVLSWWDYGYHLSSMANRTVYVDGNTWNNTHISTVGQILASDEKTSYEMMRELDVNYVLVIFGGKIGYPGDDINKFIWMIRIAGSTPNGAHISQKQYMTKYGEYRIDSRAPKKMRNSLMYKLCYHRFGQLMTHPRAPLGYDLSQNQEVGDKNIALEHLEEAYTTENWIIMFTPVVKYS >PPA17694 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:529385:542073:1 gene:PPA17694 transcript:PPA17694 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLATLIGRLSSMADLPRSTWTVVISEAVACIQRILGACSESRERLAVDGLTVEIAEEERGRKHRACCGCTAPVASIVRGIAALPRTSVDEATRAFLERSLLLSLSTMDTAVAHDGPLVEGAALQQWAVEEGARRHFVRVKKEVKSEGEGGGSSTGRAEWPTEGVQLLRAIKAAVVRERQGETHTALTSLSRCLLLHFGATMDYAARLFADVGHVASRVLVGETSTSALAAMKPSGPAKSIDKLAKSLLKWARAVREEEERDEEKEVELLSEWSRTLLTTATAHGLSTVVLHTMVLMGRERREELLPRVWADKEAAKKMIDDCLSLLLASTAPDETFERNNEEHSTSVVGTPAASVDAHLARVVCLFLLNCTPYLARKISPADLATWERLRAMDADCARLVLERTKPDAIQSLFAALPALIDSFTPTAVTSFKPEEQTDAPSDAEGGKEKEEKEKEKDEKKGGKKGKKEGDKKDTEKTTVKRKREPASDPASTAAALALTRVARLAATAMSERAAVTADDAAAALAAASVCLATAAPAAVRPAAEAAAAAVYELATRCLEVYGEAAAHSGMLAVALAAAATRAPPSMDYERSRAELLQHARLLATAARHCKQGLLKDQVSLYSQLLGRLLQAAAAHAERQQWSEDDGPSSSKRRRRDQQRTLHAAAKAANAVNDEAHFAKVMPFVLADCLLPLRTAPPALQYPAVLLSQAVDRYGRKT >PPA17734 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig38:736194:738595:1 gene:PPA17734 transcript:PPA17734 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASSPIETMTGESACPYTLWGETTVFEGRWLRTKQVNFRAQDGKEGVWQSSHRPVKQPGIDVDGVDIVAILKRDGKKYILH >PPA17678 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:431236:432323:-1 gene:PPA17678 transcript:PPA17678 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEDAPPAYTSIADTSDTDASKPTIRTGNIAKVHILVMASWCIFFALLILYSDLSSHVFWAVLIPLIPLPALASGYYGIERKKILFLLPLVIFTTLFFIVSLLICVAGVPILFFGAIKSFKDSGVYNGIGFVLVALCVMLLWSAHFLKSTIDVTLTIKKGQNWRPVDV >PPA17696 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig38:556168:562720:1 gene:PPA17696 transcript:PPA17696 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSARKMKFNGTTSDDLATEIMALSKDKQRMAVEIRELKNENEKLTSKARPDPSLLEAERRSFAETIQATNERCARLEAETAHYKDVAARVNMDAFNAKDEQIGELLKIRANLEWALGEKTQEINDAKWRIGELEGQCTQLKAHPVSSEALESKERDLAHLRDERAHLQQQLDNERWRLGELDAECARLRHTHSSEREELERLRRQCNDQKWRIGELESGYAHHEWLLSQAPSQAEVQALRAEKSSMEHRLNEAWRAADDAKWRVGELEAALASARQSPANSEELQQVRAERDRLQKEWHDQKWRIGELEAGYAHHEWVIEDLRKRERRDDGSSLHERGLEWKLGEAHRECDNLRGRIAHLESEVGRRDAAAAAERPGQLEESLRGLRTVKDHLERRVRELDQMYNDTKWRNGELEAGLAHTKWLLEEEKMRVSRLEDCSSETTRDGSFIVRHKRPGDARLWQLAMRTSKDDTSELRAVTLTIDEPRDTDAVFLIGSFSTWECCARLVRSSPYSAKRELTVHLPRGRHEFRIMHWDSSSMAAGPIPPRTLPYPIPSAPPTTGLP >PPA17701 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig38:585547:586801:-1 gene:PPA17701 transcript:PPA17701 gene_biotype:protein_coding transcript_biotype:protein_coding MCIVLVPRALTFLAWQCYTTTQLFILHFLYNAEQAKLSDKSWRIALGILFNVIISLSIFVGFTAASIFDFPGYVIAMVLPVVYAWIGVILSYAVGWHLDRKYPKVMRLQAEIAVETKTNAISSLRLFNRPGGFIHCVLMLVGLFFNLLNENTVVRKIRVIDSHSHALAHLANTRDLERIDK >PPA17763 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:935614:936318:-1 gene:PPA17763 transcript:PPA17763 gene_biotype:protein_coding transcript_biotype:protein_coding MIICEITDESEIKKAKKRLKKQQRAIPRLETEEEEVLSVMQARKKAKKEKKKAKKEKKKEKKRLKKELKARKAENPDGDWNVDSKLLDVGAKVR >PPA17683 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:458253:462654:-1 gene:PPA17683 transcript:PPA17683 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLAADCINITNRPSSDATHFTRLTGGRDRHASVSWTRLMSSIRWEAAVPPLARLSNETVRLAENCCARAREVRAQLIGGEEGKARREGRELRLSLSLDADCINDTNRPSSDATPLNRLTGGHGRHARL >PPA17700 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:580770:582473:-1 gene:PPA17700 transcript:PPA17700 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTLRGIAIIAAVFNIVVIGVLINGRKKLMKNHFYIIASNLIVCTSLKAIAEIGFNIPFSIFSDYLPWGHFPMEYEMFIFYTSIFADYGVLFISMALAVNRFWSMARSDGARKFPVWISILSCVISCQCSITVAFLFFLCQCQYNFSIAAKMYFHRCFIDTELIQIMMGCLIYLPHACALVYYHETNQLEIKSRKP >PPA17725 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig38:703162:705881:-1 gene:PPA17725 transcript:PPA17725 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFQNGLEAVVRKGGAVPATIALIDGKIRVGLTSSELESISQDKKAVKVSRRDVAYALSKGLTGGTTVASTMWAAHHAGIRVFSTGGIGGVHRGAEETFDISADLTELSQTPVTVVCAGIKSILDVGKTLEVLETQGVNTLVYGPENVFPGFFSPRTPFAALSASLGLPAGTILAVPLPAHLAAEGKVVEDAIQLALEKANNKKIRGQAITPFLLSHVAKTTKGISMRTNVALLENNARVAAQLANILAKKEVPSSSNRVHATEKQQQKNAPDKGTKQPKVTVVGASILDFEAITTENLKNSSFLLVCSLTGHSDNR >PPA17661 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:310049:314781:-1 gene:PPA17661 transcript:PPA17661 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGSVGGLVIAAHRHRHDDWNLAVDEPRLQGKVRKIGSTRKDQGNLGVTRAIELEILSIHLTFSVQIEQKEGEESREAGPYFELYRPEKPKLEPPKNLLAMTEIRPYLFLSGFGCITQNKIKQLGITHAVDCTNLKNAKRFTDLEYLEVPVDDTAICKAAQYFETVANFIDDANRKGGRCVVFCAAGISRAPTFTLMYLVQKENMSLKDAYFLVNELRPIISPNAGFWQQMIDFEAAKNGESSVKLIRGRMARPVPDVYLVRKPAIA >PPA17695 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:546856:555191:1 gene:PPA17695 transcript:PPA17695 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGTARPAKGWLRLLIESVDVPLDQKDPHRLLFSTVQSLIPGAHGLYYRGADGARKALSFDGYGNVNAPDVGWEEQNIFVHLAHGHRHHGGGVFGCGGVVRGGAPSSGDVSETSSSSPLDAYTTATDRFEKTVSLVQKMMTASTIRSPRKTSRAATASHDSTSEEDTISKRYKEENDGLRKRVSDLEAELSTAQETIEDTKEQENREMSMLRESASIAEARLDEAERMAEAATRRACEADHACDAERQRADKAEWSLGEHRAWLANSNARVTQLEGTLEEANSERSRQQVRADKAEWTLGEHVTWLEQNKTKTEQLERTLVKAQDQISELRDRAERAEWNFEEQTLRLKEEREKTRAITEAAESETTHVREKVASIESELCHRAPPVIAPEHAECDTTVASGTHSEDEEREEKEEEVDESIQLVTVSLQQTAAPTLQREDTVEQLESRVRELETALEASRRAIEADFEARLADVSQRADASLAEVVAAKKRLEEESAAEAITKIQELTEKEDELNNLHSVIEDLREKLKSLERARADAEWHLGEHRDWLKNERDKVAYLEEQLRRVANGEPTQATSRPVSRKNSTESGFGGSTSAQTGAEDSAEEKRKGSERVCACDRTIRMENVSCLSLVPLSAERL >PPA17686 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:476642:478054:1 gene:PPA17686 transcript:PPA17686 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFHSANSQKELVKQERARLFYTIVINVAHLLKSAQQIFWFIVMKLNDDKLFDLSIRMVRKCSDYLGSTRLAVNHAKNDNK >PPA17663 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:320388:321234:-1 gene:PPA17663 transcript:PPA17663 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGIKLGLKEFAEDGDKISLSCEYILHPLSIFTLLAVIFLAIAVAFYAIFNGVDWIDLNSNNPIGVPDLAKGYSFWLACGALVLNVVNMIVASSALCCAKGCC >PPA17742 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:790562:798342:1 gene:PPA17742 transcript:PPA17742 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPPKLKRQLGSDGTYVVGLAHDGGSSLACSTTAKEVTILDILTNQVIHKHCIEKEIVGIHFVNQVLYYLDRSLQVHVVDKRMAEYPSCNRLSIPLKYDENGVTASAFNENYLAFATCCTTTTAGDSTAGQVGQSDDDDEDVLVETDAHSDTVSSLSFKGNLLISGGLDGLVNVIDPTAAEGEYVVATVPIDTAVDRVGALNYGDAANILYVTTDDYRWNLLKMNSAEDIDNILTRRVRKERKLVDMVGLPREDFPVASVEYNVDESRMHLIGSSLDGTKSSIIGEYDFHKGLPRVAKYVDGTLFTGGEDGFIAGFQVELRDADGKTLKERPQCDQKCEMNCNTGLCYWRNETEVCICEETKWTGANCEEALPCATAKCNNGRCEPYNHSIIPYICVCNDGYKGDTCDEPIIDLCIGQSKITCYNGATCADNKCICLDGFGGTFCENRVPLLSEYEASSCNASCAALFANNRCNKECYTAECFFDGLDCHELPEYDDEREIWMDLGSATQCLNSYGNDICDEDCNLYMYGFDGGDCEKELRGMRKAKIQISLAVKPDELYISHKFFIAYLAQIIRAGVSISKGEKDNHPQIFSVVNSTGIEELIPLDGSKPLDKYTDFTKIILDIDASHCYNETWNCFRWNGTGNAIQEAATAAWLEIFLAVTISMLVTLIIAMVIIGCWILRRRRQYSKTVKDGGTRNGWIDHQKNRLVAAVEEGNVSSLTALLTGMTPLEAANAEDVAGNTVLHMAGARDDKEVVEKLLDSRMFDVCSVNAIGQSPLLYSLREGKPGLETLTLLVNAINEAKLRQRTTSQSPRAAATLLMHTALTEEPGWGLTDSVGRSALHHAALAGRPRHIIHFLVSHGASPGLQDASGNTPLHLAAINGHAETVHALLDERAGVTVANSLGNTPAQVCSLNGHSALAAMLFEKEDAIISAPIRSRNDSQRGTMYSTVESVFSDDPDELVLPSLLSFTADLTPLMTPQSPGTADSGGGRLSLSGLGGTLSSGKGTLSGSVDALLSTPTPKLPHILTPPSQLVEISPERLDTTPSTFPRKCLYGVERSPSDPRPSQLTSPYGAVYGKRRSLPDGPSITSNYRDVLLNPGHFTYATNTMSSTSERSQSSFGHDSLVSSFRPPLS >PPA17705 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:592056:593637:-1 gene:PPA17705 transcript:PPA17705 gene_biotype:protein_coding transcript_biotype:protein_coding MDILQLASSSSFGFIHADWQYGEAALFRVKLDSPQGPFRQTARISDARLELNESTSSADTDMEDEDGLVLRRRTHRGRYANRTPSREHLADLQCPICLDTYEDARTLNCGHSMCWECIEQMRISVKNETIRCPNCRTPTTIPPGGLPINYGLKDAITALSRLVVTRRGCLREKTETDKVWLCITCYREEKAEVR >PPA17745 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:813988:818989:1 gene:PPA17745 transcript:PPA17745 gene_biotype:protein_coding transcript_biotype:protein_coding MFRARPLETSCGNVIILLILLALILLICNSHSCRSVPVVGLSIVFVCSIYIRSEFYQLASVGGREHLDGVYIKDAYRTADNEIRFLYFTNTAFRPLLKYWNGATWMVIKERRVDPIMRLFLRCQVEAHLGVVRYQNGTDAANSLWLTSGITHEKHRIRVRDVRPPEKFSPFEYEYPHKLGVCLQPIYYHADWTVFVQYFEFWLASGATKFYIYLHSASQPVHEILKFYKKKLGPDLEIISWSDLPVSQRHKGDFHHDPNTRLFRVGIHAAINDCLLRARYQVKFISMLDLDETLHVPNGNSIVSELEALDTDFPRMGTASLQWIYAEHEAVLTDIHSTLINELLDPIMFDMQDKKPLNAAKMTDIASVAGEGDPRASQYRYWEVKVPATRLSVVHLRRFNPAFQGSDRAYSNNTKEFRIFIETARNMNTNYMGRIANETICDTDMGAWQAMMTNTIRTLEECRYYPFNYHQVIGKGACQSVANCEPLISDLHHFTKVEQIWINVAHRGRNTIANC >PPA17641 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:184644:191627:-1 gene:PPA17641 transcript:PPA17641 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-usp-14 description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:H3F652] MVNINVKWGKEKLSVDVDTAESPLVFKSQLFALTGVAPDRQKVVIKGRTLGDDSWTGITLSEGMMCMMMGSADEIPQAPAYSDSFEAMDTADAKLEKMPPGLKNLGNTCYMNSVLQSFRSLDVIRDAVAKFTPPEGPKGPATKITQAMRNTFNELASPARGNTSEDAVLPISMMTVLHQAFPQFATRSPQGHLQQQDANECFTEVQRHIFDGLKPTETGTKTSDFFRGTYAVTLKNTESAEEPEIKSTEDFFQLSCFLSQEVKYIQTGLKEKMTEEIEKNSPLLGRDCKYSKKALIDRLPAYLSIQMVRFFFKQKDSVNAKILKDVKFPMTLDLFDMCTPGLQEKLRGNRDAFKAMEDAKIEKMRQAKIEGKDVKKAEVEEIEKEENLIPFSFENDPGSNCHGFYDLQAVITHKGRSSDSGHYVAWVRLKGDQWAMCDDDEVHPVNSEQILKLSGGGDWHCAYVLVYGPRKAYKIDVPEETAAVQDENLASSTVAME >PPA17631 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:77917:89175:-1 gene:PPA17631 transcript:PPA17631 gene_biotype:protein_coding transcript_biotype:protein_coding MENNIDFFALWLGLSKIGVVSAFINSNLKLEPLGHSINVSKAKSVITTTSLLPTLEKAKAQGLIAKDLKAFVNSGDAATAENLEQSINGASEEEPEKCPEVDFQSVLCYIYTSGTTGNPKPAVIKHFRYYWMAMGAAEAFGIKHEDRVYITMPVYHSAAGILGVGSTITRGTTIVIRKKFSASNFWKDAVTHGCTCSQYIGELCRYLLAQKPTPEEKQHKIRMMWGNGLRGEIWPEFTSRFGIERVGELYGSTEGNSNIVSNTDLPFPTSLWGYRTPAVNIDNHVGSCGFFPIYPFISALYPVRLVRIDEETGQLIRDQNGLAVPCKPGETGEMVGLIKNKDVLLRFEGYVDKGDTAKKIYRDVIQKGDQVFASGDILHWDELGYLYFKDRRGDTFRWKGENVSTTEVEGILQPLKSIVDCTVYGVECFPPNTNLQDTLDLYFQLCSIETNSDNLAVMAATLANGGVNPMNGERVINNRACRDTLSLMYSCGMYDWSGQFAFRVGLPAKSGVSGDLVIVVPNVMGICLYSPRLDSLGNTVRGVKFAEHFIQTFNFHNYDSLVYSDCRKLDPRRVVVELEQEHTTRFMYAAKTGDISAIKRYLLLGLNVHARDYDDRTVLHVAASEGDQHVLEYILSKWNEDPLPVDRFGQTPLDDAQRFEKPACSTLLQKAAQTYEEGAPGRARAAAAAAYGGGVSSSPSLQSLTDDFSAALAAKSDTMHSIKEETEKN >PPA17723 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig38:696178:698332:-1 gene:PPA17723 transcript:PPA17723 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNHHGNVLYGISCVEQIIKEISPEYISVNEEAIATADYVISDGNIRVETLSKVLEIAKFHGKKVWFEPTDIAKATKFLDSSSPSSVTAVSPNAREFLSILKRLGVDADPSSIASPSSLLTLLHSLPPSLPHLDLLLVTMAEHGSLLVSECALGTVHIIPPPRTQIVSVSGAGDTMNSALLSSLLHSRSLEQSIDFSLQAVSHTLGSTDAVAEGLSEMEQSDTARCRQREHHTDA >PPA17753 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:863575:865551:-1 gene:PPA17753 transcript:PPA17753 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAESAPSFTISGHGAPPPPPYPPVAGGGGGPPPAGNNAASGAPLPFPPPLPPGLPGMAADPFGLAAAAAPFNQLAAALQLQLQAHAIQQQQEHQQLHQLALQQQAMLDGAAAIQVAQMQQQQQQQAQLLAQLQLQQQVDAQQLQQQVDMQQLQQHVDVQQQQQQQQPLLNNSWSQRLKRSNW >PPA17697 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:564493:567932:1 gene:PPA17697 transcript:PPA17697 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQPDPYGLDKFRKMSSALSLSDPSIGNIFERMNHVMTCVINKGNDKSIRSALISLKNQKEISMRDESRPNAIRNLIYQMVDPFASSLETLMERERRDEMDDVVVDPSSPQQQPSPSAQIPQPSSSSMPGGNRTGGMLGNIKREGERNTNIVPEYDDTNRFPANPQMPESGFNATATSAARKRLETRAGVGDPPVES >PPA17754 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:876251:877510:-1 gene:PPA17754 transcript:PPA17754 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAWRKKRGRTTSCGSGTSWLTSSSNLPPETKKGRGGAGKDERKKGRNKENHANGSSSAMTKKRTFGFAMLSGSEDEQEDEEKEETLGPPS >PPA17703 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig38:588744:589497:-1 gene:PPA17703 transcript:PPA17703 gene_biotype:protein_coding transcript_biotype:protein_coding MARRREEYTRRAANVIEDTRGRVGQMVEDVVCMPLLRMASDKLEELSDVVDAADAAEMERRSEAVLAKLQDICNRFTTEAEPLISELLECCNSEQSFSPRSSMRPRSNTSHRATEL >PPA17715 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:656016:657858:-1 gene:PPA17715 transcript:PPA17715 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKSELSLIEQDKTDEHVNRDGFVPYWEVCTNPTIIVVWVNSLIEVNTVIILLTYAPTYFNKVLGFSVAETSFYSSLGSIIHSPLKILVAVISDYVTCVPEKWKLCFFNTIATALAGLACLSIGFVPSKEMGVAALTLCCTLMAANSGGFFKCGALVSRQYGHFVMSQMQMTKCFAHLIGPTMVSLLTSSDHDHDGWRNVYMVNCILLFICAKSVI >PPA17729 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:720052:726433:1 gene:PPA17729 transcript:PPA17729 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIRMWLLAATAVSVSAYGEYKVGEKVAVYVNKVGPYENPHETYHYYSLPLCRPEKIIHHSLSLGQVLDGDRMADSPFDIKFNQPMPFQSLCGRQRLSAANATALAEAIEKMYYAELIIDDLKVHHFLGSVLEAAVFPHEHRVFLYTHYEFELEHNEGHVIAVHLRMNRSSRLELQHETEVAVDIGYSVVWRETSTPVASRSQSSSSSSSTFFAASRAGAVHWLSIANSLLLVVVLVVTVGIIVASAVRRDLSRYNRRDDEKDDYDELALDNGWKTVAGDVFRPPAWPMALSAVLGAGAQLLTLATALLLVGTTEVVAVHNHGLLHSLCIIIYALTSGIAGYVSSHKYQELDGKRWIANINVTSLVFVAPLAAAWAFSNTVAWTYGSTQALPWTTVVALVAIWALVGYPLTVIGGLLGRSVRAARGSSAPFRTRTIARELPREACTRRTPAQALLGGLLPFSAIAVELSYIFSTMWGRETYTLYGVIAIVFCILIVVTACCSIGLTYMQLASENYHWWWRSTLIGGSIAVYMFVYGIGFLLHSTSMGGPVQLTQFVTHLGLLCYAAALACEWCSLPVKKKGLDIGL >PPA17653 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig38:265959:266501:-1 gene:PPA17653 transcript:PPA17653 gene_biotype:protein_coding transcript_biotype:protein_coding MAWACPSKSVCCGWECCKEPQEEEEESGITTVGWVFIGIGIFIIVCLLIGCIWCCCRNSREAAYYDHHMVAAPTPVVHCGGYGSGGVVAYPVEPLY >PPA17688 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:485780:488709:-1 gene:PPA17688 transcript:PPA17688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-glb-20 MQRRNTVTRQKSIRRDIQHPITANGREIIVQCFENPHSEFGNKTCQRIFEKRPDFQNYVFALGKERAFQMTVKLKELVEEVVVRIDDVETIASMCRNYGEEHVELKSAGFKPDFWVALADAITVEGVILDMANHQPADTVAAWSQLVTLMFSSVRDGYYTALRAHRMNSRRSNGMKRQTTNESTSSGDQCVSPTTLMPDRPSPLIPGSMRMSTSLYAMENSMPKPTPIFE >PPA17718 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig38:670333:670889:1 gene:PPA17718 transcript:PPA17718 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAFFLFLLLRFSQARNSKCAEFYYTREITEEESIKFDYYYTAANVATTKECAVFCAERRFCRSSLYDQTMKACAISYEYTENCRWQIPP >PPA17765 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:940993:942944:1 gene:PPA17765 transcript:PPA17765 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPPSSRYASPHSPSVIASIVAAVVAVVSSIAFSIYYVRALRGFARQIEAFVSLGEWRVWLLQAALALFTCVLVVYLVVVHLASLHLFSSRVNRLGVSCMLFLSSAGSQMLLLLWVLVLCGVCSVGLLYFIFIGGIYSFCALVDTQCFDFKVLLPAIVRTVSKQKVDLMLLCSAENNMVWNFGITFALGFISCVGLLWVQNAVVYSFGKRQGSSPIRKAIPGKNGNKSQQYEMTDIGSS >PPA17635 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:159421:160950:-1 gene:PPA17635 transcript:PPA17635 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTCGHRLCEPHAIAHSKAPRSGDDHCLYYDDDVNSVRCFACEVVVIVEEAQHVKMLLNELKSFLKIKEGKGKEVKEGDKSDDKPIVLDKPEPKPDVSLFWTC >PPA17643 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:196488:204198:1 gene:PPA17643 transcript:PPA17643 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSADVGIVLVSIALFFICGWLFFMKQLFKNYEVHNRVVQLLFSITFALSCVMFELIIFEILGILEPGSRLLYWRLGLYSILFVLIVALPLYVAYTLLNSISFVKESFVFPLTAVLWLIYIYFFWKLGDPFPILSRQHGIFTIEQAISRIGVFGVTVMAVLSGFGAVNAPYTCMSIFAKKVDQTSILQMEKRLLQTMEMIASKKRRLAQHERDISLSAFSRGGDESVGLLNRLWGTVSSPITSITTSDNMKQLKSEIVPLEELSRHLFLEVVELRNMKDRIEYSKTWMGKYFNVLGHFFSIYCIWKIFISTVNIVFDRVGKVDPVTKSMEIAVHWMGIDIDVRFWSQHISFLLVGVIVVTSIRGLLITLTKFFYAISSSKSSNTIVLLLAQIMGVLMREWPRLWYLIIDLFQL >PPA17756 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:892988:896013:-1 gene:PPA17756 transcript:PPA17756 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAALTALALGKAAVIVGTEVLLLSTSLLSIIVIASTPDLYDVIGCYFMSLSMADLLTSLLIIPLSVYSTLNPNWRFMGESFRDNSFLCKCAAYTQIALFCSTVYTFAWICIDRRSALPN >PPA17667 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig38:341463:342347:1 gene:PPA17667 transcript:PPA17667 gene_biotype:protein_coding transcript_biotype:protein_coding MHWARLVPVVVGGARRLGNLAPPHHITPRTGRRLRHMAVPILNESRALRGLDAFCGERFWDPAVLNSPSLPSFSRCLLHTALVWLPCLFTFLVAPILTAQIVYERRPHSLPWTRLLLAKLVRSNRLICL >PPA17712 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:630370:633078:-1 gene:PPA17712 transcript:PPA17712 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDKFSSEISFPSKTSEFDNTKHFMPRRDRLLIVKSWRKTQKTGAEHIGSKIFLRVLTTQPDIKAIFGLEKIPQGRLKYDPRFRQHALVYTKTFDYVVKNLEFPEKLEHHFDLLGRRHVQYQGRGFDPSFWETFAECMTQSAAEWEQHRHRPTTSAWRSLSKGCGSMWNSVKKRDSRDGRKAPV >PPA17714 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:652688:653803:-1 gene:PPA17714 transcript:PPA17714 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFNAGDAEGAAEVYDPDGYFMPNGKNPVKGRSGIEEYFKSDMADGVATATIITEEVNGGDEWAFERGSYHLDGSRGPESGAYLQVWKKIDGCWFIHNDCFNVIQAAAK >PPA17717 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:661603:666275:-1 gene:PPA17717 transcript:PPA17717 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAGKRPSTSVNDLEASTVSPIKPKKSIDSIDEESKTDVIDDEEDDGRCCIPFRFIVIFVGLLCLVALQANITVINLAFVCMSEDDSGLYMGNNGTLINRFVYTPKQKGYIISAVAVGTFIGAIVFNWLHAKFGARWPFFIAGLISAVSTVAIPVMAEQSITMLVAVRFVQGFAFAADFAAVGVICVRWAPLKETATFIGILMSFGAIAFTLTNPIVGAFCTSQWGWRAAFYAFGAVTTLLFLLFLVVYKDDPHKHKAVSPRELAVIEKDKTAEHLYRDGFVPYKEICMDRTVLVVWLNSMIEINTAVLLLTYAPIYFSKVLGFTITETSYYASLGAIFHAAIKMSVGYLSDSMGCFSERAKLIFFNTIAAGVAAFTCAGIGFAPSREAGVFMLTLTASIMAANAGGFFKCGALVSRQYAHFVLATMQMMKCAAHIIGPTMVTLLTDYDGDAKGWRNVFILNCVLMLCVGQLPVLSIRNG >PPA17744 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:808438:809761:1 gene:PPA17744 transcript:PPA17744 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lim-4 MWPGLCVKKRLRLMMASLKMTFSAKCAGCDRSISPSDWVRRARIKVYHIACFGCNQCKRQLSTGEEFAIQENKLLCKQHYDELVEGDSAISKQKTKRVRTTFTDEQINVLQTHFNIDSNPDGADLERIALQTGLSKRVTQGPQAPPTLV >PPA17761 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:914885:926588:-1 gene:PPA17761 transcript:PPA17761 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-let-2 MLFVLTIEFVGSPGPQGPPGLQGIRGFPGPEGLVGPKGQKGAFGPPGLQGPKGDHGPPGVPGFNGNDGKNGLQGEPGPPGAPGWDGCNGTDGAAGIPGLPGPAGLPGFPGPPGLNGEKGEPAFGNPGAPGEKGDGGMPGMPGLPGPPGRDGYPGEKGDRGDSGSPGPRGPNGEIGMAGNPGIGSVGAKGDPGDRGMAGPPGPACASETRQPPGAVAGPPGEAGMKGEKGEPGENGQQGYPGQAGYPGLPGVPGMKGEKGLPGPAGPRGKEGRPGNAGPPGFKGDRGLDGVHGMPGLPGQKGEAGYPGRDGLKGMDGPPGPPGGGRFSDGPPGPPGLQGRPGQAGPPGTDGYPGSPGPVGPPGQPGGPGAPGMPGLEGLPGPKGDVGDSGIPGQPGPQGPPGLPGMPGPKGDPGIRGTPGQSIPGVPGLDGRPGFDGAPGRKGETGLPGARGPPGDSINGVQGAPGPRGPPGPKGYDGRDGVPGLPGITGTKGDRGGTCSACAPGAKGEKGTPGHSGMSGPQGERGYPGVPGVPGDPGDDGLPGASGRPGEPGPPGLDGLPGMPGQKGEPTRLELRAGRPGAPGFKGEPGFPGAPGNDGLPGAPGLSGAPGNPGFPGAKGEPGLPGMAGKPGHDGLPGLPGFKGEPGFGQPGLPGLAGQKGDSGFPGLPGGPGAPGAPGLPAPVNVVEPGAPGRDGMPGLPGTKGDAGFPGTPGLQGQPGYPGVPGAKGEAGLPGHPGVAGMPGTPGEKGFDGVPGLPGIPGPKGDSGHPGLPGPAGLKGEQGQSMTGPQGPPGFPGLKGDAGFPGQPGLPGLEGQRGNPGAPGFKGDNGLPGGNGQPGFPGSKGEPGLPGLPGTEGQPGQPGLEGQPGFPGPKGESGISGLPGLPGTKGEAGFPGPQGPPGMHGAPGYPGQKGLPGMPGVPGSKGDTGLSGQPGFPGAKGDNGNPGLPGLPGAKGLNGNPGLPGRDGMPGIPGLKGDRGFNGLPGEKGEPGPAARDGEKGMAGLPGQPGLMGPQGPSGLPGIPGEKGDAGLPGYGQPGLPGEKGLAGVPGKQGRGGAPGAPGNDGLPGFPGIKGESGLPGLEGQPGHDGMPGLPGLKGESGFPGQPGQPGLPGKDGPMGVPGIRGEKGLSGMPGMPGGPGLDGAPGLKGDSGYPGQNGLPGIPGEKGFVGTPGFPGLKGEAGQPGHDGLPGLSGEKGDSGFPGAPGRDGMPGAPGTKGDAGYPGAPGLPGQSIPGPVGNPGLPGSNGQPGLPGMPGEKGLNGQPGYPGTPGTKGDMGLPGFPGAKGEPGQSGIPGKRGNDGLPGLPGKDGFPGQPGFKGDSGLPGPNGPPGFPGLPGMKGDSGLPGFPGMKGESGQPGFPGQPGMAGLKGDSGLPGLPGRDGAPGVDGPIGPPGLPAPPAILQPGVKGEAGVPGYPGERGDKGLPGLDGPPGLDGAPGAPGLRGNDGYPGTPGLPGEKGGMGLPGFPGQDGPQGAPGGPGYPGPPGPAGPAYRDGFLLVKHSQTSEIPQCPLGQTKLWDGYSLLYIEGNEKSHNQDLGHAGSCLQRFSTMPFLFCDFNNVCNYASRNDKSYWLSTTAPIPMMPVNEAEIEQYISRCAVCEAPANTIAVHSQTIQIPNCPAGWNSLWIGYSFAMHTGAGAEGGGQSLSSPGSCLEDFRATPFIECNGARGSCHYFANKFSFWLTTIDNDQEFKTPESQTLKSGNLRTRVSRCQVCIKDTNSRQ >PPA17645 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:208712:213181:-1 gene:PPA17645 transcript:PPA17645 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPSPQYHQYVPMGASASNSLQQAVQAMRQENIFLRTEVDRLTAKANTLQRLESEHGAIEAEYDALLREKERMEEMEKHALSAYETRIKVVEQERDSLRDQLSQSQHAHHLMTAAAAKIEEYNKLSVIVTALSRQKDELSMVVDRQRREIGSQTTTLEEQRKHIAVLETALGKAQERVQMSDKIIEEFRSEQRLKEASNGNGHSNGGPVIRRSSSKNSSPSTTASSEGGNNELIAMLKWQLKSRDDMILKLEYQLNEYQKRAEEADRMKNAMTHTFNEKIEGLQREKYDRDRKIAELTEQKERLIADLQLDSDTAPYEDDSRRRERDLNRMEEVRQKIQEKKKRVNRPQTKYDTNDTSIFWPGLRPL >PPA17680 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:442651:444217:1 gene:PPA17680 transcript:PPA17680 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAKGSVVTAEDDEDPAADNLSTTDRMGAVAKKAVELMQTLIRSDADPQQVFFAADSLKAERELVMRWDEESKSPLRQKLYILFESLETALRWYAEREKKLKGEAGVKKEEPQEKSDTTGSSLFTVSAVGTSAVKEEEDQGMKKKGKNTSKKEEVARKIIKIKEEMEDDDED >PPA17751 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:855191:861255:-1 gene:PPA17751 transcript:PPA17751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nhr-71 MQRVGSGNGRNPFKLHLATRVPTWSNVPDSSCVVRVEPVAIVTVQPPRDPTGSQKEKRKAAADAKAEAEAEAAAAADAKAEAEAEAAAAAGAAKIPAAFSYPPGKTEREIIREQQIRDGAGTSSGIAAGPMRFSDEEMEGLDDLLADDHMSSTVSDPQPLAQMKPKRRSRGGPTSSSSTSRGGRKTVVRKRTAPQLPPLEEDAAAPMEDPSVKMEIDDPIIDNATPPLDDNARILGVIEKVGKKILHGMSRDKYVDRPWEVETEEHGYERFKKLIVCYREHTRMMQLGMTGIDAFLGQSETGIELREMVPADVNQLSTTELNGLLYWIEKLEPFSSLPPEDRRALFKRYSVRKLSLDHFYTASRWTEACKEGNFVMLNNTYVPPHKTGFELETDDDRQIKAKAEILLPTFEQMWRCVIWPFAKMKITDAEMVFLHTLLLWSPLNNMHVTKETKDRMAQLREEAIKMMFDHYTAIDQDEAPVRFGEIVHLLAEIEVICDRHCQDFQVAKLFEFCDMSQFWYEKWCYSAPIRPDYSKLLCMDLTEMMQILDTTGEQPGPLLRDEVRLSFVVVNTMQVPAAACHSPLYNEQGETMEQMYDRELAERMAAKEARKREEEAMALKAEEDGDEYVVPEKRANIGRTPSAPSTTPSTPRQRSPRGH >PPA17626 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:933:10118:1 gene:PPA17626 transcript:PPA17626 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVSHSSTSPRTDGTASSAASEEAATVPATSVYKETSEHDTPFRPLCCSTPVATPKPVQPIAATSAATTSPTHATAAGRGGDARWAAYTQTIAESPVAATSVIVRELHMGIEDAKSAFVSEAGAARAEALHTSIVLDEEAQWQGKERDWEDKYSKLEQILVQQQREADEAARQAAADIDGLSAAIECNLVAIESGFPPGIFGGTPAAPVAAPFFAPLPPAPALADKLAELTMLKKQLDALKMENKRMHDQYDSLHDIYSTQRQAIEHLQAVFIDKACYLRFANENEETKGSLTAANARYASLRQHAETKLDEASEEVEALRASMVLMEKQHESDTLAVRLFTWKRWCTLSRWAPD >PPA17740 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:771409:773281:1 gene:PPA17740 transcript:PPA17740 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFDGACGEWARWTLGRADDGLLPNLSGLRGRRHLVTFSAELITAFTVSVADNSLWSLDVYDLFWKLIGSLDEFSDENDGAQVEIVSADGRTVFVRAGEETAAVAVVPDYNSAEETTDDMEEEVVDEEMKESRIQLEEARAKRDSQLVKLRKIGVMLLQVGVDQKVLESIEIGFDQNRMKMQASDSDSEMNFEQLQLEIELFKRSELLYKKKLIKFMNMLSLKKVENKEEDDHSFSTNDTQNDSLVDSEEKW >PPA17671 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:375807:377730:1 gene:PPA17671 transcript:PPA17671 gene_biotype:protein_coding transcript_biotype:protein_coding MRISLSLAWLRFDPERTVGSALFPVSSSVFFHDDGSTGASASGVEGGCATGESLPDPFLVLLLIPIPDGTQVSVSAANDENPCGEVRNATAVVRNQIAKFNDLRFIGKSGRGKSFHITITVHSSPMHVGTINRAIKL >PPA17647 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:219267:221556:-1 gene:PPA17647 transcript:PPA17647 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAIKYKSFKKFIRKAFDTMGVEDLHPVADVSAFIQKAAKDAGINEFSGEEMQAA >PPA17707 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:602001:604127:-1 gene:PPA17707 transcript:PPA17707 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNCPPNQIQTSEITARKLMENPDYQLVQRGIVKVKGKGDVNCYWLNEHIHGEHGIVAEQIIVGGGRPPTAQRVFARPLPTTAAGRQSSMSVQHGAVPVPGTMMVTGTSEIMLIEPPPVRLMTAARPRSRRTSKIEEVIEEVPDETIDRSTDTQKPMKKKQRFIDDKKSQ >PPA17732 pep:known supercontig:P_pacificus-5.0:Ppa_Contig38:733187:734526:1 gene:PPA17732 transcript:PPA17732 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEEQIRIAEQCGTIRNLMEAVGESGINSDFVIPLPNVANADLQRILDWLRRFPQQTPEPEVETRHNSDNKYHGRTQIPPLERRFLEALPSKNALFGMLNSAMYLDIPSISKSGADYMAEKLKDMTVEEARDYMNLPNDLEGKRKEFRWMKPPVSQEKDDTESSSNS >PPA17774 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig380:6912:9259:-1 gene:PPA17774 transcript:PPA17774 gene_biotype:protein_coding transcript_biotype:protein_coding MEINISWAAFDLENEFTNLSVLEPDQFRISSANVNYAFCQSFPEKVIVPKGIGDDYLGHTAEFREGRSSPTITRPASLRPVADNAPWSTSGRSDESSMPNFLTWAAFDLENEFTETPHFNN >PPA17773 pep:known supercontig:P_pacificus-5.0:Ppa_Contig380:1334:6141:-1 gene:PPA17773 transcript:PPA17773 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLPRHYEPMTPPHGYLPQLNYASVEGGYGRRTAVQKQLSGQQSRIGSAIGKRIPNGGDRLKLSPAPLAAVEDDDKSRISYSQIDMLRTQALREFIELIFFSMLGVMRKKKCFLCGEEMIQARNFPPLSKPLQRKEWILRQDRDDEGTRALIEKHDAIKDPRWCVRHFADPSDSLPIDIIADSYHIPKSPTILGSKRDQPWLSLLALEEEYSWNDIDIDYALSKKFKKEGTEYRYSQDSQLEEEDIEIEGEEMENESSTEMGKYAIVEDSSLKRLFKRCEECGASLDTSAITIRRCGSARIVSYHCINEECNAFVTWESQEKVGQGRGKVYSANHKIPVAAFVTGMPFPRFIDFGKLLDIDLPCDSSLRRNMREYGGVAIERVFEGWQEAAREIAVNASETKLALAGIALHKDEDGIDGISCRMESEGALRGLVELIEDGIEIRTRVGDQNGMVNKKLREHPLTANIENLHDWWHVQRPLRKEWWQANSPELELIRELLFKPKFTKAFLSAASLIDTAINECYHTRRAVVNLWSVRRIVDAKDSGRVGHRERADTVLTVIGGGERYLNMQEMSVLPRHYEPMTPPHGYLPQLNYASVEGGYGRRTAVQKQLSGQQSRIGSVVFEAPHY >PPA17775 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig380:10177:11381:1 gene:PPA17775 transcript:PPA17775 gene_biotype:protein_coding transcript_biotype:protein_coding MSAENRAYASIVRALEVLNKSGKYRAALVTASVKRYPEIVLHGDVDVVRGLACSNMQETIGIISDDHLTVTLPLVDFSAVLEDGTGDDLVRAVTDGLEAESRPRRNLFQREKDNALPPPHWPSSVTFASLNSGNRKKYAREVLQHMQQYKEERWDDIMNGRNVHKYDRSEMIMADDDKKLSKKQREVRAKKRQEVVAAEVEGEEDDRMGVWNEERDQEEREPEENAVAGGENGDETGMNEEV >PPA17776 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3804:33:360:-1 gene:PPA17776 transcript:PPA17776 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPHSAGYVLLINTLRIPQQLLSVVPIFQLMTTMKFSILRCTSLDSATFRLVAPNFMGADGGAIMK >PPA17778 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig381:4317:8904:1 gene:PPA17778 transcript:PPA17778 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPGPSNRVRTVENEPLLEENENVEDEDDYEYECDGGEDIEEIYGEPMEDIVEEEDEREDTPQVINLLPPPSPKIAIPPSKNTEIVQNISASKSLKKKVVCGRSSRLEKRLGEDMRLMIEEEVIAKKPRQKNMKEKEGSTHNAVDYVNKIKSSSGRDCLKTERMVMGAIAQLFKYHTDLIHQFQFFLPNAAMVYESRVGKEKDSKTNRKEEDQIMKEVRRVGKANIVTRRPVQIQDAIKIVLNETWISIPSWASEDSSVVSAKKTQFEEFVYRTEDERFEFDIVMETNRYAIGCLQEMQFKLSKLSNSELMK >PPA17780 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig381:15776:16199:1 gene:PPA17780 transcript:PPA17780 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDDVRVKITYRVDELLAVKKQSAKIKKGILQPLEIKKDTASTLFFYFVFRKNKHKWRLLE >PPA17779 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig381:13465:14543:1 gene:PPA17779 transcript:PPA17779 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTHASLAFSIDKMVTTIVRQLHIFSSPDSDKAHTLRLYNKFKPEKPCYMWDRTPTKCVHEDAYGEEAKLDIW >PPA17777 pep:known supercontig:P_pacificus-5.0:Ppa_Contig381:2814:3581:-1 gene:PPA17777 transcript:PPA17777 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKVKTLTGKEIELDIEPTDKVERIKEKRSFPTELQRRARQDESNDMSALAYRED >PPA17781 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3817:310:885:-1 gene:PPA17781 transcript:PPA17781 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDPAVGENEKSGAKRDATTEARTSPPFSLSSSLPSLPAGPCAREGPPLCLSLAVWTSVEEG >PPA17784 pep:known supercontig:P_pacificus-5.0:Ppa_Contig382:58115:59361:1 gene:PPA17784 transcript:PPA17784 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQPEYCSRASSALAPVARHGIYDPSSLLEQQQQAEEEMEGRGGMGDGEERLPSPFHDRPSTSRAAVPPRPPRRREQVGGGGGAMLEKFQAGDLAEALPPAVMNGRRGGDK >PPA17783 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig382:15204:22236:-1 gene:PPA17783 transcript:PPA17783 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLHGGVAASGPAGGWMAAGGGGDEFVYFVDSLLAFRQIDREDATHISSLVGGTDWMTIASMCSTPPDPPRARPARIPMIEEREEDVVEETPPEDQHAAGDGLLQLPAALEGGGFTTPERRPRCPGTASAPAKLHTSRALFPEVFLDFSHPLNYIRSEEWTVAKRKRVDGRVFRKVAGKWQYNVEAAMENAKRRGAFRQENLYMSIFCDTYNAHQAQDDCEALMQICLAYGPSFLDMPPKRSNGKGNGVSVRPRDQPSLIVAFAKQISRKRCTVCSAEIPAGIFAAHRRECKVKSDDEDCQVLFSQTAEEKWAAGLITLDEMEEGRENSMRVKKEGETPTTSRGRQSSLSTRGRRATKRKMEERDSAEDDSDFVMASQKSPEEEETERKRDEPVRTRRSARGNECEVMCCSALPRGGGATVRRDTRETRIVSGVEDGVVLDRQQ >PPA17782 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig382:5306:11892:-1 gene:PPA17782 transcript:PPA17782 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNLSANKRRSLDDDEIAAMDDVGENLDMTMFDEEEVAPPPSRRHTRSTGGGESNMILTELDGPPTPDSQGSSMEVETGFVPDIKEGSEDREVEEEAVDLDATLAPEGIEERVTTRRRRGRKDTVENREEPVQEKRMTRRGAKEASQNSQSSQEQQVPKKGRKGREEERKKREGEAKKKEEEKEKERKKKEEEETKRKEEEKAKEQPIAGLTRIVLRALEDKCVRHERGLVPSQELVQAAVITLDEEDPEVVPHYVYLMCKIMRRVLSTRSSTGRVHDESFWGEDLRMVSRFLSLNDDAKLLFIRLFTRKRGWHLPEKLREKYPYLKRMDETLVELQKEGFLENGKTALTSTEETLRLCSTEKLKLIAKDFRVDANKPKSGLIEALLKSAISQRQLFMAGTPGNTGRLLMMSSTEN >PPA17785 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3822:320:492:-1 gene:PPA17785 transcript:PPA17785 gene_biotype:protein_coding transcript_biotype:protein_coding VVYVTGGAACKLSKIRVVRKNIARFDPINRTGKEPYYASRCHQARGIHHVGQAASHH >PPA17786 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3825:139:755:1 gene:PPA17786 transcript:PPA17786 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNCTNSSRQSSILNKVERPSDIPDLGAVADMTWSVYESDPDPNTVMYNDSPRGAAHIFGPKALDLFLNRHNLQMIVRAHQMVHNGYEFFHGKKLVTIFSAPCYGGADNKAAVMNVDKHL >PPA17787 pep:known supercontig:P_pacificus-5.0:Ppa_Contig383:3107:4568:1 gene:PPA17787 transcript:PPA17787 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQNTEQNTEGEDSQSTSKEKKLTIKVKDEEGSCTSKEIQLMKEEEAFEPSSKRRKTEDDKVQNEDVRSPLTAEILKRLEKIAKIGNIQKLSLDSIDLTEESIDRIVEIFGHVEEIEISFMDFQKISNEKVRKLFFGLGNNKLDISFTWVSSQLIQDSFWEKCGEKLEELYLDNMIVKDQMSGVPIIKDEEFVQLCNRIPSIRFGVANEIKTNTFLRIIETSRLKKKNVHYKIDYHNQNYLFPTPGN >PPA17790 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig383:13549:14632:1 gene:PPA17790 transcript:PPA17790 gene_biotype:protein_coding transcript_biotype:protein_coding MEARIYVTSVHGIKPIINIVFSQPKNMLDNAFLIVEGEKFNEFAEFLQIVFPSNKPVTGIVSNWAVRKCSMEKVELYLIKTKKVPIERKLLFADQYKLEQLKMLYLKSFKSAQSMKYIQKTPEFKEFSGPMNQLCCLNDYSHFSSN >PPA17788 pep:known supercontig:P_pacificus-5.0:Ppa_Contig383:5491:9163:1 gene:PPA17788 transcript:PPA17788 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fli-1 MASVYEDAGTKEGVQVWRIIKMRLTPIPMAEFGSFYSGDCYLILKTYGTPARSWNVHFWIGKEASNDEITAAAFEAVQLDRHLDGKAVQFRETQEKESSQFISYFRHGLRYMEGGADSALKKAVGEKEKRLYHVKGAKNIRVRQVPLSIESLNKGDVFILDTVDIIYIWNGPESNNREKIKGAEMGRDIRAEHGHKSRLEIIDSDEWATHSKFFGHLGVRSGLTIKEAAIDDDSETERKITRTSLFRVSDASGQLKVDEIKPPFSYKDLDTNDCFYLDLGHEMYAWIGRKCTKNEREQVWTTIDKYLTGLKLSSRDVRVTKINEGMETALFKAALNWPLSTPFENTDIINKGVARSTRSDSFSLPELKSSQLSRYMPDDGTGERKVWKVEKFSLVEVKKEDHGIFYAGDSYVVLYRSRSITNGVVYFWLGWTSTTDEKSSAAILATQVDQQECKGKATQVRVVQSKEPDHFLSIFDGLVVTLTGGAASGFKGRKDADTYDLDGTRLFQIRNQSAAQVEESASSLNSNDVFILETPSKNYIWLGQGSNTREKATAVACSTRLRLKTPLDLLHEGKETSDFWKALGGKKEYATGRSLIKNETRTALLFQCSNARGYFHTEHVPDFTQSDLEPNDVMILDTFDEVFVWVGEGANEQEKKKSLDFAIKYVSSEMGGRRSVDDTSMFVVKQGFEPVNFTGHFSSWDKMYWQVNYNYETLKRQLTSSDTVSVKDALSQYDKKYSVDVLRRPKDELPDGIDAVHKERHLSDDEFEKVFSMTRSAFENLSSWKQTDHKKAVGLY >PPA17789 pep:known supercontig:P_pacificus-5.0:Ppa_Contig383:11619:12388:1 gene:PPA17789 transcript:PPA17789 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLFMIPLFFILVSPSQAELRLGDTVDDGLKPTVNGLLGTVDKVLPLGIRLKRDVDVGQTLDSLTSRVKSPVNGLLSSIDQVIPLGLRKKRDFSRGDRLNVQ >PPA17791 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3831:114:648:-1 gene:PPA17791 transcript:PPA17791 gene_biotype:protein_coding transcript_biotype:protein_coding KQRCTNTYQDCFVRIPLPNIPGKASNKIVEIDVYKAKYNRGRMLTRNQVWVFGMIERGSNK >PPA17794 pep:known supercontig:P_pacificus-5.0:Ppa_Contig384:11589:12058:1 gene:PPA17794 transcript:PPA17794 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFDADCINDTNRPSSVATHFTRLTGGMQVSHRHWSREMRAATTLVRLI >PPA17793 pep:known supercontig:P_pacificus-5.0:Ppa_Contig384:3337:8155:-1 gene:PPA17793 transcript:PPA17793 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPLDKCTICLEDLSSEEIKMVQPLDKCTICLEDLSSEEICATSCDHLFHTRCLREWFDSVLVRRTCPCCRADVTEIVTVQPNNGTASLYEVPQNEEEAVEMAIALSISEAVSAEEEEESFQLALALSILDLSCTSNEAPPVTKKSARKQIKSLFKRLKRRCHPSSCRDFFHKRCLDQWLNTAKSCPCCRAVVTGTVDATASAAGAAAPSPPVPPHIEEMWTRHAANRHEQPQDLSEEEAIQIALALSLADSEEQTPIVDDDIQLALAISISEAEQTNHAQATNTAEVARVPRSRAPEPEHRTHSEPQDDESMQLAMAMSLSEQTAAADHKKNAVEEKRLRTDVVNRSMYEDIKSLPNFENDEDLQLALALSISLAQQIDTDQKKSAAEEDTAASHQQRYYDNTGYSNYTVYHHHKANTGSTQWARPNVASSNIDISVKVADFLQTPTSTTTAQHLTVAVEEPTIAPSECPPKETEETEDEAMRFVMEMAFGLSEGTAVTAPIMSDAEELF >PPA17795 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3840:87:755:1 gene:PPA17795 transcript:PPA17795 gene_biotype:protein_coding transcript_biotype:protein_coding MNTARDYRSVLLHYFLLGRTATESHRKLVQTHGENAPSLHTCFNWFTRFKRGDYNLEHQPHPGRPSSRVRGRVLRELKANPKSSVRDIEKTIHIPKTTVARILHDAGKTPKLPQVIPHDLTTAQLKKRVDVCQGLLHRRSNFNWVSHIVAMDEKWITYDNPERKLQWVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVA >PPA17797 pep:known supercontig:P_pacificus-5.0:Ppa_Contig385:7079:10807:1 gene:PPA17797 transcript:PPA17797 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVKLIFAFVFIIRGAQGFVFSCEEVKYRLINSVLEESTRFVCLVPQDGYTGLNQLEKIFAQTDKVSTSFAILLSAQCIERPNESPWRIVAGLPVDLDCTQEFSLIFTSSQPNINVIREQANSYSVSDEEAIFVSSATGMELNTNACSGDGNVTIYTGAGSGVAEFRYPMVSWRCADFPKWIFTFDNVITIVPDKGMRLFVGYDLHRTDNDTQMTVMFSGSFDARYDNYVDVAGNSSNGMQWARTFRNETSSMSTVATNFTALYVSTPVDAPDLWDSQDNFVVVMDLTALPQPPTTSAPVISADPYCGCELDKKFGMPIDWDPNGIWLDMVIILDCSEAMGKNSLVEASNLIQSFFGEGDYDVLITDPKAQLYNRIGLIAMSDVAEVFNQISVNIFAKIIWNVKTIYNLNMTKGDKVNVSIKTGVKQINVLDAFAAAQQMLQDGQTPGRSNARHVIYYMTDSDPKVDLTSLDSFKEEGVIIVNDFIDSHVIERPGLKDLASDGYYYTDIQDNYMSSIQLFCKGIVRLKCSANEMCWLASYRLSGREAGGRKGGGGHLIYRLLPVSSFLRPLEPNCFCSAEADHVPYAGHNKDEAGEAADYFTEHHKIHSGGCFHVAPAGVPYNSMIQICLELGGGQMVSIHDDNKAIFVNNLYKAAKLKPDYYWIGYAKSDDLWSWTDKSTNPYTQEYG >PPA17796 pep:known supercontig:P_pacificus-5.0:Ppa_Contig385:3724:5617:1 gene:PPA17796 transcript:PPA17796 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPIIVHKVILYSSAVVSVFGNGLLLLLLAHRNSHVLGKYRVLLAMFALTYIAISLFDAWFIPIFLLGEYGYVFFGYGSLFLEQTLGKIVNVTYCSSFIVPFIMLSIHFIYRFLSLTKPRVLQQHFGIFIFISFIYSTAYVAAIAFIAYTICGQKATDQFSYVMMDYANMSAIPAPNAFPVEYIDEIGSLRTVIVIGFIIPVIFSFVPFCIIVGAPLAGVSFGQLGNVCSITSSVFPAIDPLLIIVSISRFRNTVLDWFYRATGRKAQRNEKKAKEMSRIHTSMVAQKRTATLD >PPA17798 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3850:154:712:-1 gene:PPA17798 transcript:PPA17798 gene_biotype:protein_coding transcript_biotype:protein_coding MPAILVLSEESGIDWKKCSPAENELLDIRQSRNLFSAQKDNRRLQEHTACKTGEYAAAKPEKRNFGFTSVQPKRDKTGCTTEGNAGSAPNGDLQKKPAPVVVVRDENVEAIEP >PPA17799 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3857:22:193:-1 gene:PPA17799 transcript:PPA17799 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFPVLEVAQQAKARMEMREENEQRELIQKKQQIAPANAVLLGPLRSPTTIV >PPA17800 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig386:7232:8487:-1 gene:PPA17800 transcript:PPA17800 gene_biotype:protein_coding transcript_biotype:protein_coding KLSNGLALDSMRKGLGIRKTEKLAPKAEPEDILEDELIDEKKEDPCPDYDYDSENKNDPYNMPEFAFDIFVYYRNKETSYAVGDYLKKQSKLSMGVRAILVDWIVQETFELNHETLYLAVKLVDTHLHRTTKKVKPEELQLIASAAVFVASKYEHGTSCMPIPLYCPLSYISPSLFLS >PPA17801 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3861:155:662:1 gene:PPA17801 transcript:PPA17801 gene_biotype:protein_coding transcript_biotype:protein_coding SDHPARCTSSSRIQREKTHQPQTGGVRSEVVSGQAVSRVGRQRQSTARVESATTRTMPDIHRTQRRCQGACVVAPPPRSARVGRRNGRSMSQ >PPA17804 pep:known supercontig:P_pacificus-5.0:Ppa_Contig387:9725:10375:-1 gene:PPA17804 transcript:PPA17804 gene_biotype:protein_coding transcript_biotype:protein_coding MWFIESFHRRNWEFASMDRALLALTFADRFELLYLHSRVLPYLKKNILPKEDIKDTLILCSRFKRIDELISWVVNQCDNENEVILLLRECSRQISSTAMEAALKALLIMSLENDENEKRKTNLRDERIAKLLRTSCNGNVRTL >PPA17803 pep:known supercontig:P_pacificus-5.0:Ppa_Contig387:450:3226:1 gene:PPA17803 transcript:PPA17803 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRSLVESIAFNYACHLVRNYANVQTIVVSGKYDLLEISLVFCLLQLRLDVTPEQSAQLWVEEGEKAVLVAGLSLPSNRFADMLIIAIDEINRENVVAALCNAMNATIRSNSPKADMIQSSCDLLSILVDNVATRFDVTIVARASSAHFPLNILFNESLETIMGAEHENVIRKLLIICKRLIDDPELQQSWSQDREQFNSLNMCTYHIIDEHPIHRSCVRVTGLNDVI >PPA17805 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3870:156:812:1 gene:PPA17805 transcript:PPA17805 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMLDILQEYLKLRRFTAQRLDGSMRADLRKQALDHFNAEGSTDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQTKT >PPA17806 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3872:210:750:1 gene:PPA17806 transcript:PPA17806 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVHLLGIVGLLVVCAGKQKKASEDAKKKETFSSTKSSKTTTASRASSTSVQQPAIPGGDSTKKEDKKSSSKTSSTGQK >PPA17809 pep:known supercontig:P_pacificus-5.0:Ppa_Contig388:10475:14243:-1 gene:PPA17809 transcript:PPA17809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-icp-1 MPPKKTRVKKSVKEEVDDLPSISTFRDRGVDTDALFAPILHAAAVEFGELMNQNFQWIFERKEELKQICEDFGGSKTVPKTPKRETAFSKIKDTINMFDNLALTHQPQRLAVPLELDNAVDDQGEIPDEVFEEQTQNEATERDIKTDNSPAVQHKTPIVSRDIKEEIMSDGEEMEEYSEEVNPRRERSKKGTKTPVTAGSSRSKRQTREAESRDENQILHPQTTIHTPSRIRMGMKSPVRNGRSPVRENAATRVNAIKKTESRTGGNDSQSSSNSSPSKTVKNGTKNTELDKAKRAELMKKLQEKEKNADLNREEAIRERAERAKKDREEREMKVRAKKEEEKEKEKKKMEAIKERERQAMELKKRQAQSPVRGEKERNGPRTPTRMRGKSPPPEGRLMKRAINSPMTPRVPVKQHRVHSVKKGPTISWNENDEDDEMEREREGIKMEMERKRRMEEEAERLKKIKEEEDRVAKLKEEERRREEERQRREKEKRERDEIEERERKEKEKRMKEEEHKALKTPVLKNKHLANASMNESHNSYDMTPDKIFVPATETNYGVDDLDSGDETDDETNPRKKVPKWASQPQLARTLAGLRHAPPFDPDVFFGPILEPDLNVIFKPSSKYPKRTSSAMWNSPLANPTKGKSRFFNQ >PPA17808 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig388:5713:7281:1 gene:PPA17808 transcript:PPA17808 gene_biotype:protein_coding transcript_biotype:protein_coding MHRISVNPPERFVQIPHPLEKSIKKAMQDSYWKMLSEDVDKCPPVYGTAISLVNEIKEMLLNGLIPMRDEKRRNEVSARLDINTLQNEVEQNELDVQSIGSFILNIFTSICAPHRDPEISEIRSHMDNIPNLLRNLMEMTDKIKEDVISFKLEMRREEVLKAARDYEKHDLESSFVVVEGAKDKLVDWIKKIYEKTAEEGDSIENIEEIVGRVVRRGFIQNLSFSSISDIPFHWRLDLNEIQLFSLERRRIAIVAASLFLLNSIHPLSPSSQSSLIPRLITLCDDLSKDNLEVIVDSVYVECEKVLREAKKLNEETEDKLKRNG >PPA17810 pep:known supercontig:P_pacificus-5.0:Ppa_Contig388:15016:17988:1 gene:PPA17810 transcript:PPA17810 gene_biotype:protein_coding transcript_biotype:protein_coding MANVEGTGGIRQCYTDIVKAEKTEDWNSALKATNRLLKKFTKEGKIAFKCKLIALIQLGLWEDALLLIKKTPAAEMGAAHFEKAYIHYRTNENEESLEELSKCHPDDVRALELKAQLLYRLDRFQEAYDVYKHLMKNHSDEQDEERKANIVAVEAQLASIKQNTVSLVDSLDTFEQLYNSACVDIETDKLNDAHKKLDKALPLARESLAESDLTEEEMEEESASIALQKAFVLHKMGDIQMAAEMYKKIESVKSGDKSVQAALLNNLASISHRSNLNDARKKLKSATQIDKTKLSRHQRFTLLLNQALVLLHSNQREPAKRAIDDLVKEYGSLKEAELTEAALHWKGGDSKKAVAAIPSNDKVSILARTHILVNLGKWDDALNELQNLPVEVKKKSGIVSLIAAIQETKGDVQGAVNTLDTARKGWKGKELACVLDTLATLATTRERHTEAATYLEELNKVTPDDVSVISRLIRAYSYVDTAKADALRERAFPEGSSEGVDVDELEESDWILYGDKYKQRKEAKEGIEKADTEIVTGKLRKRKRKRTILPKNFDPNVKPDPERWLPKEERTAYKKKRKNREREVGRGTQGSAAAPSVEYKGAEGNTSSPRPTPNQSEPRQHHKTVQQKKKKGKGNKF >PPA17811 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3881:26:724:1 gene:PPA17811 transcript:PPA17811 gene_biotype:protein_coding transcript_biotype:protein_coding ELLTDETGQVIHPVTGLDGQPLPTDASGNFIKDDGTPIEKDGEGRPLGPDGNVLPTDASGNFIYPAVGPDGSPLPTDANNKPVYPVVGPDGQPLATDSTGAVVGPDGQPISTDASGKPVDADGNVLPTDSNGNYIQPVVGPDGQPLPTDSAGKPVYPVVGPDGQPLATDSTGAVVGPDGQPIPTDASGKPVDASGNVLPTDSNGNYIQPAVGPDGQLFLPIPLESLSTRRQP >PPA17813 pep:known supercontig:P_pacificus-5.0:Ppa_Contig389:4127:9793:-1 gene:PPA17813 transcript:PPA17813 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSSSVLADLPGFSTHRSSSLLSLSIPLLILLFLPLSDGCSPSWFREERSVPSIENSKDFKVEELPLTSSEEDDEEIEDTEYVPEQDCGPKESGFCAHGAGCKLHWAPLAKKWDPVCTCSVGYIGHRCDEPYDRNVFAAPLEVGGRSHTSLAAMSFFAALSLVTLGAALFCYKKLMRAEEWEMTETCTNTPFSNRMNSTLHRRNAFTNTSMFSPNTTCFNPALTKKGGLNLDESPIEIGLDATITSMVTEHHRDTIPSKNNSHRFLLTPADAPIDCFTRQYYPVYRARFEALRDRVEENAKKFIDPSLSVRRLEDLADEEMALVIGTIEKRIDSRPSVLKEIAEEEQKIQEADDNAPAASILFVKKDYMEFEDETQIVKLCGNIELTDVTTGSVVGLYGKVVNENYFEVQQWVWPSQVKQNELPDERPPYTMAFISGLNLSGKATATKTRAALELLIRWISGCGTEEKETEKALRIVRLFICGSSISAPDLVAEMKEMATSITREDPFVDQDALNTVDELISQLVKSIEVDLLPGLGDPSLSMLPQQPFPRCAFMKGGSSKYLRMHTNPSDINIGGIDFLVSSGQNVSDISSSPVEAVTLILKTQHYAPTCPDTVDGFPFEDRDPLVMDRCFPHIVVAGNQSRAESKRVEFEDGRSCLVVGVPSFSETHSITLLDLDTLEVSQKHFGFE >PPA17814 pep:known supercontig:P_pacificus-5.0:Ppa_Contig389:11124:11592:1 gene:PPA17814 transcript:PPA17814 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSLLSSSLLILLYTVAAEDKGMNRETRAFPYSVSFVKLLNPEGQLYPSAYYDELSQMIDSLNRPSVYNRQRRDMAEPVKSKSKTQSLDRSMVFQQP >PPA17816 pep:known supercontig:P_pacificus-5.0:Ppa_Contig389:17122:18019:-1 gene:PPA17816 transcript:PPA17816 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSIVPAFHLLVVDGVKWMMEHTSLGWLLLMGALYLTGATLYATRIPERCFPGKCDLWFQSHQLFHTFVVVAALVHLHGISSMAVNTLEEGSCPEQLLYNYGVDRNPNWLATKLGLDEPEGIVSWTPDMLQPL >PPA17815 pep:known supercontig:P_pacificus-5.0:Ppa_Contig389:12243:13352:-1 gene:PPA17815 transcript:PPA17815 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSSQEPMHQEVDPTEFLRLQPKKSILKYAPPPPQAKQTSSFESKEGRAHFDEMNIIATHHPADKDYGHMKIEEPKTPYHYSDGEHSEGEGIAAQPRARRVSLVGAVDAEAVVAGLAGDGKRRESIPFNDSSDDEGEETPEKRGNQEGDEGVDGWGL >PPA17817 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3897:164:739:-1 gene:PPA17817 transcript:PPA17817 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNAPSLHTCFNWSPDFKRGDYNLEHQPHPGRPSSRVRGRVLRELKANPKSSVRDIEKTIHIPKTTVARILHDAGKTPKLPQVIPHDLTTAQLKKRVDVCQGLLHRRSNFNWVSHIVAMDEKWITYDNPERKLQWVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVA >PPA17818 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3898:252:1180:-1 gene:PPA17818 transcript:PPA17818 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLPHPPYSPDLSPCDYHCFRSLSNFCQGKKFKSRYSLVKEFEAWINSSPPAFWRTGIETLPDRWRPVVYGGDGAMDLEEISYDTWLKDKLTHDRKTMENGFRSIGYSEQQGTTGMSRVGRNTRVLRRVSQ >PPA17875 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:382076:390234:1 gene:PPA17875 transcript:PPA17875 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-80 MSLLRKLILHFNPFPSNAAFHLLNNLFGYVMHYVRASCEGSQKAMSMALSLLSLLGPYVTELYFKDLKQGLKKEQCDQALMMTANVPSAKKVVVHGPDSASGGIVLPPNTFPVHEDTQFLQLMSDSIEYFSIEDSMDEGYEYVLADTKTGIVHSPSSYVRDFYFFHRSFYPQLTLVKQTIDTAQLRMKDAAFVHKFIDVGKVLLTYNILKHSPQNVIAQRIFFLHDELTHLPAFPRKAIDSCFGMYNGEMGEQLKAMDSMHKYVWARLMSDMFERMENAFMFADLHLFINVINGIIITHCEDVLILRRCAATYIAMVIHFNSLFASQGFFLIMPTLLRCYSQRQTNKVFCEVVEFLCRQFYILHRKPFLLQMCGSIANIIDNNDNDFEINPTRVKAKYWFALLKSMESMGDDVDRLDILGLVPYPKPLKALDLCYRDDANTFCLLKDALASCICVCAFAPESRRSHHMLLVMHALLPHLLRSMEDETAGSGNAAASVKHEITQYSTLCVEMKALVMSCETLARGPQRTFDLVNTVSERGKSFIADSPQFFDPPTINDDAENTKPYHLKEKKSTAMAWDSSTGVEEQQKELFRRPRDTMLQLIASFIEGATPRLKELTKLSPTSEHTKIPDVLDHKCHVKLSEIALALLKVAPYDLATLSCNGLQKYFLTILSATDWSIESNRPALTIILRRLDKTIVKIAKKQSIRRRVNWAALSNWLRGICDTLSVFPYIAHLHPLKTITQVCLRIVAGDPCNDEGAAPSALHPSTVLHASTPPPAFSQATLKLTSILMQALGQFVFSLEFVCSPDGMGTSAERLEAVLCHVLIPLFLRTASSAKGSDSSIIQAKDITFVLNLMMNAICPPVGKPVVAPLMSTSTIATTFMRGTQDISGRQGSVSVTDRGHSATVSTHRIVRDSVVQSIYLALKVLMLTFDKLLTPMWPKVAKIVKELVTRRASGALAASLGSFVEFLLTCNLPVALLALPIVHAKLKQKPAGEQEAAWLAEISEKLHNYEHVTFSNAVQLARCTAELAYLKEELACKPIENARSYTPTMVNDPHSDASSSTGAQKAKAAPGAAQRNSLDRRSSSHGRGRGAHAPARESEVPIPEGEEDDEGNGVGEASGSRVSASTSAHKDLSRKGSIRSGFGMWRSVRRKSRHVSSLDSVEKGGEAAVELVTIPSCTIEKTPAGGTPKRNSSRRSTDSSLQLLQLHEQPPPATSVGEHRHRFVSFSTPKRDDDGFHIIEQHHVV >PPA17903 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:573358:574082:-1 gene:PPA17903 transcript:PPA17903 gene_biotype:protein_coding transcript_biotype:protein_coding MGERTHEMAFNVTEFVEKRAAQIHDLLAVIDNSSLVAGEVTKGPRTAAQRLPRHMRRRAMSHNVKRMPRR >PPA17950 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:928128:931447:1 gene:PPA17950 transcript:PPA17950 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKMMRFVGNYDVNAEGKFLFEILAQLRDFGVGRMVTKSEWGRKWPDQPSYLIIKAVEPEMDRWLSRGKLWADWTYRGKQLGTYEFAHDLNRSDWRLIHKHEETTFSACEKPMQPVPFPDTFPLPPLQVLMARQAAAKRGADPAAVATRAPLQLCVDPELQMVRHMMVQSPPATQSQSVYDEVSKEALLDLYGKELPTKVEAWNVGPATISERFPAVDERLSDKKTI >PPA17827 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:74792:75310:1 gene:PPA17827 transcript:PPA17827 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDIAMGYAEEEEVLCEEDEHRYQYGGMEHELYDAIVEYKRTGRYPEMADQRVDRSAHCHWR >PPA17904 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:574348:576190:-1 gene:PPA17904 transcript:PPA17904 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRTNVIASKVSTEILLGRVVKMTTIGIERIPCAMVRAQMNEFNQYLKKYFARSFDFWAIDKHSIGGLGDTVLIRQLQESQRPSESCSHRIEKVVFKYGNIIDPVTGKRVIAGTFSDEMELKAKLVEQIVDEPLQEEALLFEERRALQSKRLHEARRESDV >PPA17843 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:206507:213338:1 gene:PPA17843 transcript:PPA17843 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pat-12 MWPTGAPDDGSMLVERNGGHDPSDRSDRETEEEERAYSVQQLRNAYLERDRRSGPPPHVESVHQLQPLQQISETQYQEQTQRYDQQSNQPTSYQHAAPHRGQYTPVSLSLRVDQSRQQQEQDYPVRLMSPTPSQQLQQQPIQPRPILKQSRPPQNPPQQSNGNYEMRAPSFRDLPEDERRAIMQENLLKQRMRPASTIPKPAEISFNGPFFELQEVNGHGGRRIPTTPSQSNAQNYEDELARRERLERSRQMSASEMELDRADRAASVVIWPPLDPRERPRSQSVMARSVTDPDRIEEFRRQRELEAFHLRREDDIKRRAYEKQIRATEIQQQYLYEQKHGMRSPVPLSGAPVGSATPSDIYHRPAQYTQQHQMNQAPTPSMSNGGNRYTPSHLMHHGDKSYTQQDPHAVPPDELYVFETRPLSPGMREGAMSPNGTSWKRTYIVHTPDDVAKNEILTSDDLLARDQFDIDLLKRREAFVPKPDQPKEIFRTGRRWQPPPDQPYVWPQLRQPIRVEPDMSPRDFKPGAPDGDEYNWEPVTHDPQFKRERKNFTPDHSPPRHPRKGGGTGPLDEPARRQTKYLVQPSPDGSHRPKPAFKAARNAPQGGFYPHAPNAIKVVKHHSVNDGLLQTQEEIIHEKPSFKVVNAEEVIHDWEKIYDLPPHSSTLVNKEVPRRVDVGRRLAAFENGIHHDIHRRNSAPASRSASVQPRLSIRTTAPSTRAESSRPDSAASSSLLSPIPRGDEPKAERIRHRMNSIAAISPTPPSYDRARSYQPPALPPGYRQTDMRKAEPRALSPSSGNTRRLVRSVADQASRLTPNHMAQHQMQQQQHQQSSQYQPHHYHSAHNLHHDYNSSSRQLGGAQPMQRVVSPPPPPPPPPPPQSTTAPSRRSSTSRRHSVVDTRLLSPSSQMPQPTTIVKKEAAKPKKNDELDELRRRQEDLLERSRRNRDSYVATDSELIKKGPEPVPAYFKEHVREMLESRASIDTAKTKEDDAKSGYVTDVSSATWQFSTHSFSPRSVVSVNGGTQDDGLLKVRVDTDEPTKSIMKRRELESREDMMGAGKEYRI >PPA17861 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:302910:307333:1 gene:PPA17861 transcript:PPA17861 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKAFTGGGGGGDSSTSFAAAKALFEAAKKPENRALAAAAAKNPTRQAMVSAASTYTANQNNAPSTPVLRPEPAPKPPTKDYSSSSYGSSVPSRPTPLIGASKPVYPDLMSELKALNIGRDNEPAPSYSSTPSWSQPAYQPPAPQPSYLQPTPLPQPSYHQPAPPQPTYHPPPAPPQPVMQRQDWTKFSDAPARPPPPKNIPLSPKSTSPTSEAYAVVKFPHTGSHFDEVTCIAGDRIVLKREVDDQWIYGLNQRTGKFGIVPIAFLDVKVPLAPSPTVHKKNASAGPVAPVTAAAAAPAVSAVSPGGVHIATAVYDYVSQEPGDLSFNSGASIKIIERVGTEWLSGELHGRHGIFPVSFVDCPTLSRVPLRAAAAAAIASPATVFTRHTTVAAAGGYGSYSSPDAVTATFDYNSGVDGDLVFFTGDVIEVLERLPDGEWLRGRSRGQIGLVPMTYVSQSSTTPSHSTSNNSLSGAAAAPSRFPAGTPLNPPITVTATTDYHTGESDCLYFSRGDQILVTEYVDNEWAKGKLAEFKTLPAGYFPRGYTFDQG >PPA17863 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:311879:312902:1 gene:PPA17863 transcript:PPA17863 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVNIFKQMDAQNRPAVPVSAPRSPNSIKDALLRWVQSRVAEYPVSVTNFSSSWSDGMVFCALTHRFAPQAFDFASLKPENRQANFDLAFRVADTVFRLFSRPRT >PPA17937 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:785416:808077:-1 gene:PPA17937 transcript:PPA17937 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-smc-3 MYIKQVTISGFRSYRDNTTIDDFSRKHNVVVGRNGSGKSNFFFAVQFLLSDEYAHLKSDFKLGLMHEGTGARVQTARVEIVFDNSDRRIVANDCDEVRVSRQIGNKKDQYYIDGKTVARSDVVNLMESAGFSRSNPYYIVKQGKINELATAPDSHRLKLLKEVAGTRVYDERKEESEKILEETSEITLPINCYMRCWSPDQTCVVVLTMKIKSMDAANTFPKSMVILLKMGFAPKALKEFRNYVEEIAKKTDVAAYIGSDILPMNASDVQVESVKVNQLWLTQWRLENPLKNLIIISAISAMIWSIHPLVSLFIIAKKENKELFEGAVNHIVNGAAFSNEKSMERKMEPVKRTGDGGAAGPSKKRSDFRSSFNPKKDLKEKKGKVESLITFIEDRLKTLESEKEDLKEYQKWDKTKRSVEYTIYEAEIREAKDKLDTLAVDREEVNKKQNQYATQLVEVREKGNEEQKNKRKLDQEFKKIKEEKESLSSDKEKLVARKAELSLQIDDLDEDVKKSRENKSRTKEKLAETNAKIAQHQEQLEKLRPKLEQLLARELALKTDINIAKDRSTALFGKQGDTNRFKSNDERRAHFARELKRTRGKIGETTTNMSTLEDEIGEHEREHEKITNDHDLMRRDMEQISDELGTATGELQKRRQEEQGLVAKLRDAELEEREIMESVESNEHERQRLSSAQKNMGPRGHVNGMANLRALIEEMKDDPRHQALVNGYYGHVIDHLEAEETYFQAIEAACGNRIYTCIVDTDRTATGLLKLFNERRMPGELNFIPLNRMHNPEVRQVDDEDARSMIEIINFDESVRPAFEFIFGKMAIIRTLEVGVRIAKTFGVDCVTLEGDQVARRGAMTGGWQDARISKLEARQSLRKLEEMRNQFKANLAKVEQRKHDIHKSIVKLRHAVNTIDDTIRKIHNSHRDKEDQKRNMQQQINELQKRIEMKKTELTRETERLRVLQREEREMADKTSELRTIEEERRTVEREKNKAENYMNTTLLRKKEEYESVVNDISTKEQGLQLTAEREELNVISAQIADITKKIGEIEERLEEYDAKNEEYVNAIENYSQQQAEILAMQDQQRTIEQRISDLSRQAETIVTKQSMLQTQKEEASKKARELGTLPVDAFSKYNNMSRNTLDKKLAECMKELKKYENVNKKALDQNDDTQPPLVESQELSRNEIDGYTGIGIKVSFGGQEGTREMQQLSGGQKSLVALAMIFAIQKIDVHSR >PPA17906 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:583965:584519:1 gene:PPA17906 transcript:PPA17906 gene_biotype:protein_coding transcript_biotype:protein_coding MLLERSPGGCLRAFKAFRRYSNRLTPLIVVVLLLSLLYCALCVAIPYYLKGTKHAFLYYLIHPILGFSLLHTCFHYYRLFAPKSPYRRFEAQN >PPA17949 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:927467:927923:1 gene:PPA17949 transcript:PPA17949 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFFPPHDNPIVTLGFSFGAYLLLTKVMVIGVDYLTKPMNRDNSLFLSQSPFGPPTGK >PPA17895 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:521583:523538:-1 gene:PPA17895 transcript:PPA17895 gene_biotype:protein_coding transcript_biotype:protein_coding MFEPVQDGPLPIEAPAAHYNNVSVAFSENTNVTMAASLSDKNRISVMQWDAKIEKKKFSMITVLDPNDERGPPPAVFQVKLVTPSSRNAPLIVVATTIGAIIYDSAQQKNRMSFNPMEQVLGKKEEDQRSRHFCRGISCVDNSIVVGTSTGALMVFNCSGGTSVSVKKPLNEHKFPIADIATCKFDEITASGDMRGNLVVWQKGMKSPKKYPTDLPITVLNVLRRQVLVGTVYGTINIYAASNGTLMANVAAHSRPVTGISVAPESAYVLTASEDSCVRVFKLHTRKPEAYQLEFRYGDQLDDRKIIGACFANDRGSSMVFTAYDFPWLLLYKIFKKAMPQPVELAPSF >PPA17939 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:810681:820971:-1 gene:PPA17939 transcript:PPA17939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-scav-2 MGLRATATRWAHDRWQRVTVAGVALAAAMLALFVLLFFMVIPAVVERLVIKQSELVEGSEVMERWKNPKFKMQFKVFVFSVRNPDEVLNGARPIVKETGPYVWDKVMKHEVTAAGNGTVTFKRKVLYFFNEKDSCPTCILGNRVWVPNIIYQKFVEVASKPAMRAAATTLLAQTPFLELEVGDLLFRGYKDPFLENFCDVPFVNVICQTVFALPERIGLFYGFNNSYVDGEYTVKDGTMNIRERGDLVSFEGMDRLRKSWWSNEEARVLKGNEGSLFHPFVKRDETLWTFSNQLCRALPLVYEKDTEVLGIPTYRFRVTPTAFDYDDNPGYCHATDKVFYPQQNGSERHCYPHGIMQVAKCRPNEPPAVLSMPNFHLAPEEVRQSVEGLNATDEERDSIIVDVEPTLGMPVRAHRAMQINIEFWAGKDILLPAYHTKQRSTLIPIVIVHDDAEIDDETLNKVKLELIMGQRVAAGACYLLLLTALALLAATGLFALYKSGLLCGRRSDVYAAESPSADSDKTMVSDRNPTKISKLGILLLNLKDGVTI >PPA17919 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:648232:651224:-1 gene:PPA17919 transcript:PPA17919 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRDESGIECRKSDDQQPGWFRSNGHAVEGAACAPIRNCALLSPLNATKCVNTTNDCVIISDDNVTCPAEKNLVYRELGGESFKLMESSLQCDFFTGIWYYGRNATDEFNTTFQLKCEDRSDGTNWTESGENWMDPVTVGSCIGVSVAILILTPIFISIVYCCKSRFVQELRKSHLQYRKRPTNPFKRAVTQWPPAYTDLTEVVDIMATPNADFYGIIVEWSMYSVVERVKFVTNLLDELGLTVKIDHYLSLFGHCVQMESDYRVWSEF >PPA17836 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:164150:167084:1 gene:PPA17836 transcript:PPA17836 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRRKSSSQPGGGETGGGTAGSRGESGGSKVARRARESIVAITEMINLDPNSMKKKKASELLEWSTWRDILRFACLCSMLSCCLHTPQTLSRWPPLHFFILTTDFVVTAIFTIDAIAIMRHQGNWQSSNLIGDRWARFDLFMLAMHYLSVGLHLLELFALFWPEAGVKYEPYYGIIRSPRPFVMIRFIRSVLQFKLPKNRIKQIIKRSSQQIQNVTIFFTFFMALYAIMGVQLFGRMDYHCVLPGTDPKNVTIKDLAIPDTMCSQKGEGMFTHPNISSL >PPA17935 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:779698:781255:1 gene:PPA17935 transcript:PPA17935 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSAALLSATVIPLPVFQSGFVHRDIKPANLALGNRDGGTDPNFIYVLDFGLSRSFVVEEEGVQVLRKPRKHALFRGTTRYCSADANEKKDQGRVDDLWSMLYVMVELRGKLPWSNLHDKKELAAAKRAVDDNTLFSKCPNQLRDFAAHLRILDYWTRPDYLLLYKSLTDGMTEGGYKFSDPWDWQGKIKDGSSKRNKSSKGVKKSAKDKTTDLPDEHPFNDDDFATNPLGF >PPA17893 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:516120:518997:-1 gene:PPA17893 transcript:PPA17893 gene_biotype:protein_coding transcript_biotype:protein_coding MRILVTSSPAATLTPNSAEGGGGGRGAAAGVGGVGGGTTPGRPRVGSMKDGGGAVGAPLPAPTTPTTSNVVLRSASPRSTLPRSRDPRRKTTGALLGKTAAAATSGAAAAATVGGRPPSMVLPRPSSRNARGGETAADKSEPDETPRASTMDVRGAVEHSGSSDEQNGTRSGSMTSSMHREREEEGDTMEDGPFSMGTLSRTMASVSGCKAKRVRFYRNGDQYFKLRLFVIV >PPA17883 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:453285:455371:-1 gene:PPA17883 transcript:PPA17883 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVHNYFNSHATVIYRKRTLRLESRDLVDFLRRSTSVPVARPYEIRLEQLVPRRFDPPLALTRIYTLAGEISWATLAIDEKRHVPVSVMKFSDLTPKERDYLQWQVQDRRHFGNHRIWRFWGLAMEGEKIAHLVMEDLTLGPVSEFVSACPRSNVELLSIATGMSEACRYLERHNFIHRALCLEAFLLTHDIQVKLSLFCFSPCTLFPLQNEYEDVDHCRWVPWECLPNESGYTGTPYDLVSMVYTHGNCLWSLYHSSLLPFENEIRLRPSCKTHLQTAQQITCRKYRETASLEISDDCMPDQIASIVRQGCWRSPKHRYTFERILSKLKFVGREGSHCSRVESVASLAQYGHSRHGAKMIFDLSPHLVPALCTFAISNH >PPA17865 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:316763:327361:1 gene:PPA17865 transcript:PPA17865 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYFTHYTTKFVSAHDKLQCGLEYIMPRKKSEDSDDDIQFIPNLRKSARIASKGESTPSRGNSVTGASSAAPTTSRSVSNKSESVESELMRAGTPTRRGTKKSGSIEPDANVALTPSRRTSARLSMSEHPDNSEEFLELPTPTRRRTTSTSSTGSVTTPRRTTRAAVKALPVLLEVEANEDEALKTPPIEESVLGIPASAQKRHFNLASKLVKMLDSPVKKPVRKVEDNEVVVLPVERESPRKKSPTKESPKKESPKKESPKKESPKKESPKKAESPAKGPASPKKDSPKAVDETPKKGKTPKKDGSAKITSWPNKDDESEDEPMDWEEGPQKTPIVFHPKSAAKSTAVKQRLQQLVPDQVDTPTSRAARRGRPSAAVIFGEDEKKEEEKEKQKEDEESDNDSVFLPNSPAKKTTDAQLSPEKTTVPPKSPTVKAEKKISPVKKTITPSKSPVKDVEEEEENEKDEDEDEEEHEDEDEDEAMDEEEEVEENEEEEEEEVEVVESPKKIEKKKKVVEVVEEEEEEDEEEMEDENEEVDEDEEEEEEGGEDDEEDGLFPAVSFKKVFDGSVDFIAALASVYAWAFARGSSRFDDLPGKLVNGTEAETVWQQIDHRNGQITKDNKKAKKAGLFNKDISLSVSEKKKGKKRRAKDEDEDEEQDDVQFDDEDLLMDEDEEEGEGEDDEGEDEEEEGNLFDMTEEELARLDEDLVALEEEGYDEEGEEERPKKKKKKKDGKGAEGEKKKFPKSSVDDRFFSLAEMEAAADELEKEGAIEEGILDDGEDEGGDAAYTYDEFFGAAEDADDVFGGMKKGKKAKRSAMEDNEDDSVAVSKKAAKAEARKSVSWADASGEGKLREERLIEASGKMRRLTSSALAGEEDEEQEGGVKEEVEEERILLGPADEEDKADKTPLKGSIKSIKKRIAQLEDENLEARKWDLQGEVGSAQRGENTLLEQHVEFDHGMTRAPTITEDFNEKIEAIVLQRFKDKAWDDPVRTVRQEERTEDYRNKLVDEDLLIKQSLSSVYEKEYEKAQVGADAAAAGQPAVNPKHAEIDEAWTELFGLIDPLFHFNVTPQQAKAEVKVITNVLALQQEEVGAMMSTEDMLIAPEEVKKREKGTLKGKEERDTTDKKRERRKKKQKQRAVVLSRGEDGLKRMLEKKEEEAGENGEPKKKKKKKNTDGAAAPTASAGAGKFKSSEFFTKLQATVQNELVEKTKKNKAKAGKLASNGNSAKFKL >PPA17920 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:652591:653061:-1 gene:PPA17920 transcript:PPA17920 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKLITEIKRLTPAEPNDNPHNYDNGFVEQIKSPDSIHKYITDLSKRVNRGLKKEKVQLIEVGMKDVEFLRNFTYEEVVT >PPA17897 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:530585:534707:-1 gene:PPA17897 transcript:PPA17897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ttm-1 MSERIKGNLQRRAERVLWVVTGLSVLFITVEATGGILAKSLAIITDAGHLLSDLLSFVISIVAIRTARHPASRRLSFGYHRAEIIGALISIIILWVLTTVLVLLAIERIVEGKYDVDADTMMITAGCGVLFNVVMAAVLMFGSGGHGHSHGGLSHGNHGHSHGGGEAGGHGHAHEGEENTARKNVNVRAAFVHIVGDLVQSIGVLIAAVIIKFTGWEIADPICTFLFSIIVLFTTITVLKDIFYVLMEEAPDQPKWFTSMPSPNRPPLIPATPVHIDYASLRADLSQVDNVAAVHDLHVWSLNMEKAALSVHLAVDKPELACETINAARQMILKRYSVHLVTIQAEQFDKSMNECDKCQPIST >PPA17947 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:917714:919379:1 gene:PPA17947 transcript:PPA17947 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVLLLGAGLAALVAAGGGGGGYGGGGGGGGCGCNIMYKSCAPKKPKCACMCVQCPDPCAGNGGGGGGYGGGGGGGYAMAGGGGGGYATGGGGGYAMGGDGGGGGYAMGGGGGGGYAMGGGGGGYAMGGGGGGYPMGGGGGYSMGGGGGGYPMMGGGGYAGGGGGGGGYAGGGGGGGGGYSMGGGGGYGGGGGGYGAAPPMPSYGGAAPAAPAPSYGGGGAAASAGAAAAPAYGGKRKRRSLTFYV >PPA17913 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:624626:625073:1 gene:PPA17913 transcript:PPA17913 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGVLDADSYNFDGKRFRSHRLMREHVTLGLKENDFFTEEDASGEHEFKFIVHEEVYIMIAKRKN >PPA17829 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:84736:93272:1 gene:PPA17829 transcript:PPA17829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acs-4 MPDRKKSDAYDASKDQTLGKVVKGESPIWIRIFIGILRVWFFIYDCLNYLPYQLFNSPTEKLRKSGRVKARFVEDRDGPMRHVDGLISEDFPGKDTVDKVWRHIVEVHDESPCLGTRQLIAVHQEKQDNGRVFEKWELGEYSWLSYREVEAKVSHLSAGIKDLCADSTDPKVVIFAETRAHWMMTALACFRANIPVVTAIVAAINETDATMLVTSAELIEKLGAVGTRCKTLHTLVYFPQVDKHAAAPDLTSVRAQFAHVLSWNGLLDRNPTVISESTAKSTDIALIMYTSGTTGAAKGVILLHQNVVSAIAGQGAGVGIICKTDTYIGYLPLAHILELSAEMTCLVRGAKVGYSSPLTLHDRGSKILKGTHGDCYALRPTLLAAVPAIMDRIFKAVSEEVAASPRFMQELFKLNYERKRARYQEGYASPFLDRVIFKKIRRLLGGRLRGVLSGGAALNPETQRFMNICMCCPVVQTHYEVTTSIVYDISGPRKPIMKSPRRSFTISLAPGSPLIPKYSTFRSVGPSFTFPLFSSPGTWKPETFGRGKTQDPTGEDLDGYGLTETCGAACVAEITDLSTGTVGPPVRCSQIALREWLEGNYSPFNEPPQGEIMITGPNISAGYWKQPEKTAEDFVEYKGKRYFATGDIGEWREDGSLLIIDRKKDLVKLQHGEYVSLAKCETALLTCPIVENICVYGSGLESFTIALVVPNQKHLEKIAEEVGVSGSTEELCANAAVIKAFKKKLDEHATKNKLSRVEQPGAIHLCSEIWTPDSGLLTEALKLKRRPITQKYEDTIKDLYAKAGA >PPA17945 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:904455:911633:-1 gene:PPA17945 transcript:PPA17945 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLLKGYDKKLAPFENEAANVTIFVQSVSLIDLNEPSHTAQLIVGHREAWTDPRLTWDPLAFGNITTIAVAEDDVWLPPVTMYNVVTRKSLFAANISNTIRIWSTGDVLWSMPVMVKAVCIMRVEYFPFDSQTCKLRHTSPVSSTAEIRLVVGDMPGAVFGNAEWVPFKPLRMYVTSYDEWDQTRDELVLEISFSRNFTYYLIVSVVPYMILSLLSVAGAFKAEASTEISQISLTSLTAMAVLMEITAKNLPKSETMTISDEFMLTVLLVTTSAMIIALLLSALRRTKLDGKAPTTPSRLLFRLLLVKQIPFEETSQASRQARYDADEANEEIEPYGREAKREDKCEGLDPLPGGVRHAAGLRAFETRHSRDASGKDEGGLVRRRFGCMDHVHTISARIQHHREYRLPLVLVFVDYCKAFDSVETQAVLNSLIRQGFDSSYVRCLETLNSGCYTEMKLFDRPVRIPIGKGVRQGDTISPKLFTAALQDCMKELDWSEEGILIDGKKLSHLRFADDIVLLGTDTIALERMLKELAETGEKIGLSINRKKTQLMRNEWCAGPGISLGGDPLEETDAYVYLGRKLRSDSTMHTELMKRKRAAWAAYGSIREVTRQLQDPKLRSSLFDSHVLPALCYAAETWPLTKFTSAGSRSSPTPLTSFDERSIDGPVTFSEEKTIDGRLVSLSGFLPLISFDHRDDHQPDGATRSRSTLRCPTAPDDPPDRLLYALHTNTGLHAHAMETHGGIVSSEWLRIFSRLRLIFIAAFEIALVCLLISFLHNAWTETNTLCNASPCNYHAVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVFATPSFTLVGLD >PPA17922 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:682776:691075:1 gene:PPA17922 transcript:PPA17922 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSISSRAVSQCAARAASTTTTTQKGPNIVLVDSVRTPFVTSGTLFKDMMAVDLQKEALKGLVRKTGIDYKLIDHVICGTVIQECRTSNIAREAALLAGFPDKIPCHTVTLACISSNVAMTEAMGMLSTGYANAVIAGGTELLSDVPIRHNRNARKAMLGAAKAKGIPAQANIAWTILKNLGKPELPAVAEFTSGETMGHSGDRLAAAFNGAAMGNSGDRLATAINVSLREQDEFAIRSHTLAEAAARGGKMSDIIPVHVDGKKPITVKADNGIRVSTMEKLSTLKPAFVKPHGTVTAANASFLTDGASAALLMTEEYALANGFKPKAYLRAYQKTQKQLVRTVQAKYDICAKLYVAQDPKDQLLLSPAYVIPKVIEKAGLKLSDIDVFEIHEAFAGQVLANLNALDSDHFCKTFMGRPGKYGRLDMEKINRWGGSLSIGHPFGATGVRLATHAAGRLKEEKGRYAVIAACAAGGHGVGMLVEAYNK >PPA17915 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:633177:634114:1 gene:PPA17915 transcript:PPA17915 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFSECSYESTHAMCMLYLGIGTLALLANFINLGMYTLSKDSRKRYMSFIPLEFGELINSVSFIHTGMGRLNELYSGHMYSPVTVHTCFYERYWPHAMILGTEIPALFLILTAVERICAAGLNSEHAH >PPA17918 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:642853:647511:-1 gene:PPA17918 transcript:PPA17918 gene_biotype:protein_coding transcript_biotype:protein_coding MILVGFNSVNRSRVAMKFQADLLLCMNRLLNRLGYDHVDGADEYLNFVRDMCYNTFLVCNDQIGISKDKYWTIIAERQDKKKYDELVELYGSYREHVLYGLCAGPDPDLVQVVIAEVSKGPFLARELVNCFRAAIEDCFDMRYAAREAFIMFMRFSHLEYNETDETWLMKYVGNPGNFDPQDKEFLPITRMSEQAEYGRKFMMMLEQYKIKHVLRRGEYQKDDETPVS >PPA17944 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:901534:903313:1 gene:PPA17944 transcript:PPA17944 gene_biotype:protein_coding transcript_biotype:protein_coding MCKRTRLAQPAPVIIYRAIYFQRSITFNPCKHNAHRTCGIKWLETRSSEEELSCPICRTGVQVLIDRTRPTNPTYLWLATKGNSLFDIQAFGPLGQPTKYGILNDRRNVLPEYFHNSLRNHLSYLSTATTRMITDLKLTLAEAKSAKMSKEYIADISQELTKMKRRNRILADMTRLQKRGGVQSTIDR >PPA17890 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:498295:499520:-1 gene:PPA17890 transcript:PPA17890 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRNQRIRLRVGGAHFETTIGSLTTVPSSIPDLLEELYNNNDEEIVFQGDPEAFRVVLNFLRDGEIDLPDEWNDRSEQWLDTVVREAERLEVSSLSEFVLAKRSAVAVELEESERRSRMSRGDRVVWRDINLRRMMRKEETLPVGLSLHDRWCSECESTVADECCALFDKPRCEIEDCGRVREICGNGRCATVSFGFFRAKFHLPIRWLLKIEGS >PPA17873 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:370455:372303:1 gene:PPA17873 transcript:PPA17873 gene_biotype:protein_coding transcript_biotype:protein_coding MCTFNLLKVKSDHDSDNEDEPSDAETVDDNDSTSYTEPKDSIQNAFNKKRSFDNRGCVTLWGMMVPPAKLLTPAGIFEGTRRFAFLLETARPGSFPDAPLVAALLHLKSPVLSRAALLMECAHFVSRCNRGDWPEWIRCTHSRTLSLAGAGALANRGTPSATRRMHSLQRAAGRHFYHWALQIGEQLTKMLDMADNSTEEPESRMADVLEDFMDEGGFQFQGWIITEILEKLAG >PPA17825 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:48569:57531:1 gene:PPA17825 transcript:PPA17825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-exo-1 MGIQNLLPFVAKSCRQVVRDECVWARGFAKVPCVSVPQGQGNISEFAGCSVAIDVSCLLHRGLFGCMEDRAVGKDNRAYIYYVKKYVDALLALNCHVIMVFDGRPLPAKKDTNAERSAKRKENMRQGEILLSQGRTEEAGSLFRQSTSITREVVETTIQKYIHMRTFDPSATPSSDSAPSSPTGESATTPGNRETTTGNICYLDDDRAKIVMTSPLALTSARAKLAKFTATIMGGGGEGENTGVSIRELEHFRKSTLVDIIVAPYESDAQLAFLTREKLADAVVTEDSDLIAFGCEKIIFKWDVSGPCTIYDRNLLPNSFSGKMASEFDFTTFRRICILSGCDYTQGLKGVGLSKALQFFLKTSKTDLKEILPRVPSYLRMPKLQVTDEFIKDFIRAEKTFLHQIVYDPRGRCQRPLTPYPLEEEKENSQDKDVYDDDDDDFVPRLSQTSGRDDDHSFAGSVVSSSQSTRLALGNNSQGSSLEDIFHLPAQIPVWSIWSSHYETRSKRLDSVRNEEKVEKEKKLRMGAFRMDSPERRRKKMIAVDDEDDILLLDDDDDFATTSNKKKSKKPSPKKSRTAPVNARNHTKKNEATSLMSAEGLMAIYSIDKSTPTVSADLRTTSASSPDTLIAPSHVLEVVIENMCVHAPPPKPDKGTTLTDQPLPGRKRSCLDAIGAAEQAEKRFTESLPSPIKKELTPPMVSFERPMLDGDMPITGASNVHPSPKTSTPLSGRSRSLMGGKSAYFGINRPSSLSNPFKKPRLLPPGESPAGSSATPIVIAAESPGVLDRGMLLTPKGSCLTTSLNDKLGVNVSVSSSPFGAIFRSGTASRAA >PPA17912 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:619663:621336:1 gene:PPA17912 transcript:PPA17912 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDHESEAQQQQHKLTENGKDHPKEDSPKKEEENCSASDHFTKFNPDQYLQSFYKSAGEDLAMQVVLFFLPGILYRLPKRIESMLDLGAGPTVYLPIACRDRVVTIYTSDYAPANRQSLQQWCEQRHSFDWTKVCTWISNIEATHETAEVMQQKARDKVRAILDVNVHACPVVRNVDWKKDESVEVPEQFDMNN >PPA17917 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:638997:641714:1 gene:PPA17917 transcript:PPA17917 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDFRTTMNSDESSYNSTLAMCIYYLTIGTLAILANILNLAMYMHSRDARRTYMCFIAFEFGELINSISFILTGAGRLQTLLGGQMYQQITAHTCFYERYWPHALILGTEIPTLFLILTSIERIFAVCCPAMFNGIFSERRKCGMIVICVALAGGSIGAAAASAYNNQMMSGSGHCGIIHSTAKWCDVGKQALRRDTKTNILIAFTATSLLLVASPSIVMIGLRWEWFTMDDIWVALAYSATV >PPA17852 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:260824:261687:1 gene:PPA17852 transcript:PPA17852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-grl-12 MYRLVAPLSVFLVFVHASVPFAPPTPCNCQCKRQCPSQTCPPVPPCLISPIRPLKRARLVAQKRAKRALPSDPNLVQFIDTNCNKSGQDTTLFVHATVGDVTCYAFKQLSEVMMK >PPA17899 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:542594:546932:-1 gene:PPA17899 transcript:PPA17899 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAGAPPGIKKPTAGTINKGLVDCLADIPRGCYADVIVQFVCSIPSDKNPGLLCLLVWDGSDWPHKVTPLITVEGEERPEKHPSDPELNEKANGRMVTIFSYNFDNQCKRPIESGDWLYFENVHCAYQRDSISSSLVMHDNIRPRFIWRLDLPVANGLFYAKTLQKSMQRVKDAQPLPAAVIEEQRRLQERLQPQLQLQRAAARNASISPQRTPSLARFDRLNSMMLDVMIRRGVPMPPSDDGLALVADSAVATMETLAAALASAAAAAPPAAAPPAAAAPVAADPNQAAPAAAPAAAVVPKQEAPAAVPAAAAPAAAQATTSPKRAASPKFAVPTVPNRPPTKTAAPAAAPTAAPAGTSPKRAARKDAAAAAAATSSAASAATPAAAPGAQRAAPKAAVSAGATAPSTAGTRAAAATPIETCLKRAAAARAAAAAAGPPRAAKVIVPAAVSTAASSRLQQQHCPNSQEVEKYAAEATAVTGPTTRAAAAAAANDVAGQSPTRKSTRKRSAPITHPEEERSPRKSNDSHNSTIVIDDSDEEEEVQAEKKSQVGAKKAGDGRTALPSKSTSPEKKPVQRKPIIRAGTPPPGARNSSRLHPKAELKKE >PPA17911 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:614555:618373:-1 gene:PPA17911 transcript:PPA17911 gene_biotype:protein_coding transcript_biotype:protein_coding MTQMTWLKLNRSSLEKVPDELSRCANLEHLQMSRNSLTSVHGELSDLPRLRSVIVRHNNIKTSGIPTDIFRMKDLTIIDFSNNQLREVPNNLEYAKCAIVLNLSHNNIENIPNQVFSNLIDLLYVDLSFNKLDMLPPQIRRLTLVQELRLSNNPLHHFQLKQLPSMTALRVLHMRNTNRTLDNIPPTMDDLENLTDVDFAENNLPSVPDALYKLKSLRKLDLSANKISKIDLPEGSWESLVTLNISTNCLKALPENLVRMTMLQRLYASNNKLTFEGIPSGIGKLVQLTVLHLSYNQLELVPEGLSRCVKLQRVRLDHNKLITLPDGIHLLPDLTELDLHEYVLFS >PPA17848 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:242679:249525:-1 gene:PPA17848 transcript:PPA17848 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPRIHLPLHKDSSDERTNKVQSISTASSHSEETLSESQPDEAGSTSRKVKPKKNCKQATGTLSHKATRLTPSPDDPDINALYEARIHESDGQRVFKCKECEMVFTRPYDARRHANVHLAAKKVTCAICKERLSGPLSYDIHHKEKHKGQRKAVLGNKNDKKGSSQITRKSSMAEISSKNNSPTTHPDPSTETVKSKKLDSLNRRLTRSKAAEESIIEDSMKEDSERNIKRKKSDDTTMEVEAMKDFEKTIKRKKEGDSSVVIGDSIEATKKEDVLEIDMSQENNESVAMSSESNMNAESVFEVAKMDELVQPAKSEISIESVARKKYDEMTIENQGKGDGKEDSDDIVVIPPISDDKTKMREPTVHVERRSTRIQMKRAMEESAMEDEKRGIIIRTPPIYPSNNEKMKDLQMDLRAMCRRDTPMPPPASDSNVASTKRAISKRQVHVNELPSRASSNSVRGSSSRESIVTIHDTSVSNITMPPPRPFVDLTSAGGASTISSFHGYPPLAVKYGPGVASYLVNGIGSNHYPRQGGLYGPQVHKHQPTVTVNRNFLYPAALSATLLRTIYHPAWFRPRHIGADEMYAMTLYGHSHLILPVRMRKTGTLMERCLKALGETAMPLPPLQPLLPSPCPLLHDMYSDPLDDVLPSYPILPSKPSPFATLSMNTVPEPKYVTACEGARFQSWSKSEDRRWWEVRTFPSFHSLNLSNPGYPIPSSGRSNTMIYSMADKNTKRHAMKYTYVTSKKPVFSVLIPQWPSSSSSLEMHPSHVNPFSSYTMPPSDYPTPSNTPAASGNYGIEQCQGSRAVSSRRPDPPSAIAAATRALSWSLPLKKRKAPEEARPLRGSEVEALVKRIKTSINDSFPGHAQQQLQQLEQPPRVEMIMRQPDHQMMRPPEESYANSMQLQSHWIEEFQEGLRVADRLFQ >PPA17901 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:555982:561116:-1 gene:PPA17901 transcript:PPA17901 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRLGSMVLQRLHRRPLAAAAADETGRLAGRASTSAALHTHSRWASAVQPAGATKTTAQQERFEQLIEDEARRRHPAALGTASGRKRRSVTASIRAQDRSPQVVSIAIAESLNLYDIQSDATVRNLYTATFIDDESDHAIHLTKRAEYAIDKTKISEAFVFSDGVVVFWNVDPTTRAQLLRDLERHAEGAYESVTAHSELDTIGFTISDGEGSSSKMDGDRFVLDGRLHADLRHRSHDSVLERFAFSHAFAASVKLGVWEALLNSLAEPLAGSTRKLKEGVIPWSRKDALRKCGEFAELRHSINLNCLLLNRDIYWDRPHLEQFYKQGSRYLTIPRRMQLLNSRLDYCEETVRMVDGMLTVRHSNLLEWMIIWLIVFEVLFETFHFYKSFEPQQVVVVQPAVEQQLQPVLPHDDRED >PPA17847 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:237700:240218:-1 gene:PPA17847 transcript:PPA17847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase [Source:UniProtKB/TrEMBL;Acc:H3F6Q4] MNAHQSRVSTPDSLEERKENIDHEEPKQSEVDQRRSLLETKSATSESTEIHPAPQEGFECKQSTKDYGPVRMPNIKVFSGSSHPALTQRICERLQLEVSKASLKKFSNKETNVEIGESVRGEDVYIIQSAAGEINDNLMELLIMINACKIASSCRVAAVIPCFPYARQDKKDKSRAPISAKLVANMLSVAGADHIITMDLHASQIQGFFDIPVDNLYAEPAILKYIKESIPNWQRAVIVSPDAGGAKRVTSIADRLNVDFALIHKERKRANEVEKMTLVGNVEGKVAILVDDMADTCGTICLAADKLVEAGAEKVYAFCVHGIFSGPALTRLNNSAFEAVVVTNTIPQEENMKKCPKIQCIDISMILAEAIRRTHNGESVSYLFSHVPIC >PPA17896 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:527173:529237:1 gene:PPA17896 transcript:PPA17896 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHFATGSVMACSGLTLLVSLFAITAIYNDVSNIWSELDAEMDNFKSITDDLWTDMVKLGAGTPTTRAKRQGQYGGYGATGSNPGYPSGGGPGVPNGPANPRFPGGSTPPDFPNGGFPGFPNSGFPGFPGGPNSNGGSQCQCSTEENRCPPGPDGPMGIVGNNGVAGVPGKDGVDGLDAENSENYGTQGCFTCPQGPLGPQGPGGSTGMRGMRGAKGASGMPGRDGHPGHPGEMGPMGAPGADGKSGNPGDRGHDGEKPIGRKGNRGPPGPQGREGPAGIRGRDAPAGPQGPVGEPGIQGYQGAAGADGEEGPQGPQGKLGPDAEYCPCPAREGDAPSGTWRIPGESRGHSAAAHGGSASADATYAGYSAAGTNSGQPPYRRI >PPA17886 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:477836:480554:-1 gene:PPA17886 transcript:PPA17886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nsy-1 MAPGFMKFIGKLFKSIDKKPPPRELNEGEVSAESTERQIFGYDNTLGIEPTWSLTVGSQRDKRRLFLARCLSLYYSSFLHDRSLLIDILVEPIAPSDDTVLLDPSDETDEDQLELAVRDDLGNIEFIRRRSRLAGTWSISCALSTSVPVARPYEIRLEQLVPRRFDPPLALTRIYTLAGEISWATLAIDEKRHVPVSVMKFSDLTPKERHYLQWQDVDHWRWVPWECLPHESGYTGTPYDLVSMVYIHGNCLWSLDHSLLPFENELGHIFSAVPKL >PPA17940 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:833154:834412:-1 gene:PPA17940 transcript:PPA17940 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCLGRDADAIVKWLKKKTGPAAVAFESSDDLKAFAEGNDVYTVAYFEANLAKFVPEFTDLTTENIVSFNERVLAGELKQHLMSADVPEDWDTKPVKVLVGNNFNEIGKNSGKGQLVKFYAPWCEHCKSLVLVWEELGEKYANSDKVLIAKVDSTQNESGETTEEDRKGEHTELQAANPTTTFTPSIVSLY >PPA17834 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:106898:110549:-1 gene:PPA17834 transcript:PPA17834 gene_biotype:protein_coding transcript_biotype:protein_coding MNDNNDEIKKHLHKYAYLSDEDPSDDDFRKALRTYQAVAGVPVTGEADIATITATRMKRCSRPDTEPSGGNTRSKRYALPHASKWNPKHFSGHKLKLKWFISKYTNDMDNAATRKTIQKGFEIWAKQTHIPQFADKNHQVTLDFEEAKNEADADINIRWEEGSHGDQFPFDGLGDDNENVLAHTFYPDYKIFPLNGDIHFDDAEKWSLQVGMNTFFPYVLVHEIGHALGLQHSHSSLAIMNPLYKDIPITSIHLHADDKCGVNWNIAGPTNWCLFVWLTSEIVPIHSQTSGVNPNKRLSRQEKLWSIKKQLWNAQLPLCSSDNSVRSDLLVLLEKNLHFSESEVCCRFLNGLDQYREKIGDYAHKLNEDIGKTKEFVSTQEDGKQLSRRALHRFAADKPSILDVDHFDMDFFDSFFAEY >PPA17951 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:931719:937958:1 gene:PPA17951 transcript:PPA17951 gene_biotype:protein_coding transcript_biotype:protein_coding MGANNQQQINWIGDPIANWSIALRDAREDGDVQLVASLLAKQLAPGPRRSVIVSDYSIPEIEGGRFPAIDDRRAVQHAMEDAVADIAKYHGFDGNIAFEGHDGAEFEESAVQRVSWAMANYMEGLCNHIRMNRHSVLMIKDRCNWLNESPQRSDDQGSLQLAAATHRSVVVHRALIAQRQEKRSKNGPPPQTAVWLCTGRLSPNVKRSNRHRGGGGGGIFGGDGGGRWEGLIINNYATRPTKIGNSRSSTEHAAIVTLLRNGRFTVKPKRGLRGILQWYDEKYRQAAMKQEASAAKWVQLKYAGGARGDFVESDDEEKAGKEEKKEEEKVDVGGGGGDVVVDVKAEGMEDMTVDGVDDRMEGGRIEKDGTAMKEDEEEEREEDYDFMLSGDQFADRPVGPDYAEIRRVEEMKRQKKEEDERREKRRKEEKEKKELVDRMNREWEEKERMLVEGAKRRRCERLLREHFRSVGPPSRMVKKAKRNDEQAVPDRGQMTMDFPAFDNVVVDSFDEYLTEELAEEGEIQKG >PPA17820 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:2236:8280:-1 gene:PPA17820 transcript:PPA17820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mdt-15 MLVCCKLEPELARNRQNAPNLPVPGDARQVEEYVFQKCMSKDEYMRTIAKVINAINCNSKSAAVPPALQPSPFHPPTGGAAGGGGQSGPGSAGRPAIPPDPQPTQAAARAAAAAAAAGGGAGAASVMAPPLGQPPPQMGSGAVSAGGGALSAAPPGGGSASMDDAMGGMQPAGNAQQMQQPFNPYGAPPQHAMHQQQQQHYQLQQQQHQQQAAAAAARSKAAAAAAAQMRAASAQPPPQQQPMHYGGMPGGAAPPPHNPYAQNPYGQMQPQPQHGYGGMGMGPGAPVDMGGVGGGSAGGGAALPAANTPEYQIMYNNKLRTLRPHCDNLRAKAQQCRIDGNAEAAGKLETMIGVLEGRRSVSLEYLGNLETWILRKADFLAHSPANMHGAHGTGGAGGMGGPPSGGGPMSEALSASMMLDQGQGPPPGMGGYGMPHPYGMQPQPQPQQHHMMGGWGMHPQMGMAGPGAGTGPQPHHLMMPPQQQHVGGGPMHAHAPYRGADAAEMSRPYPSAHMRPMPGHHTMGGGPPGMHMGAPGGPGGMLAPGQPPGVGGSGLDDLYSMDDILPTPSLEGGTQGASGAKPRLAEAAMRECHAISDRFEVEPLAERKIASHVVLKCKLKTHQIPPLRLLVPNMYPQGQVSVDREEIDITAYMYDDLQSSVYDRLARPGLRTITDFLDTWNSFVRLGIGSDKESTVRQWYLAQPPQGGSGAPGPSYGGGPPGGPAPGGGGGGTPMDSNNYDDLFSQYDDIINS >PPA17868 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:336366:337990:-1 gene:PPA17868 transcript:PPA17868 gene_biotype:protein_coding transcript_biotype:protein_coding MKARFCIHGLTPFIFRVSEGSMGLFPFICRSIDSAAFYNTVFSGYNNAVRPVENASTTVVVQTQLHNLTILNYILYIFSGSARFDVDAFCESRVVVDGRITEVRIELKVGIDGKIQAVEVGYPEIHAGLLRTRMIPGSWHFEGGSSMLDSYLKGVILNELD >PPA17881 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:448223:450826:1 gene:PPA17881 transcript:PPA17881 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDRMGFAATRKWLLILNGGYLVLSFILIATGWYTSNAAIVTSVSIAGGIIAAGVFLSGVAVLGIYGTREQHQAALFFAQKMAKCMLSYALVSLTAHPLQYMIILFIVFVVQCAVALACLGEVSPVAVEQLVSAGWKAASPPTVFDAEKAFGCCGLRNASETTSKCDQHACFSAGGCPPCLQTIVETVSGNLSRIGWIGLLFSFTELAGIVLAHRFRNTKNPRFNPNSLFQ >PPA17891 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:500818:503197:1 gene:PPA17891 transcript:PPA17891 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRVSLVVLLGLAAIAAAGHSSEEYGGRREDRRGSARPWYWRRFFGRNHGGPGAPWFPPHGPHGGPHGGHHRRGPPFPPPPFLKDASDEARKEFFQIFENANQTKAQTKERIHDWASKQPQSVQDGVIAFERNLTVAREAMRENATAVILQLETALDRFSTVLEDENLTQRETMEKLRNTARSFDRDIVFAVKMIMRNARIRVGQKFFPRPERENGFPFGVPEGMPFPPPHGPMPPPPPPPPPPAMPFGPEGPVDPFFPPPPPPKGEENAEDMGIFLPGDF >PPA17933 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:760823:769419:-1 gene:PPA17933 transcript:PPA17933 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRKPANDGRQKEAKKEQRDTLHAEDESELVPASIKSVDLKSHLAMMADDVPARMEGEERRELSTDPQEHQYMVMRSVDTGKLVAAITMFIKCDIPEAREDVPMAPLQHAVIGASCSSSGPDSMGKSRELSDAAAAFTAVARLHAGYVVSATAHARALYECDMPDYYAKRHDTETKAVAGVTMAFGLASNGEWTEALSLCAQLEARFCVKGSYPAATRHVVGAAALIRFDAAFVKGNFAAAEDCLLTVESVHPNEAAMRRCLLLCARGNQSDAVALLKLAREGVNEDLDLPTAIRMDMQLGVLLARVGEGQPALQLLQKTKEP >PPA17894 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:520015:521489:1 gene:PPA17894 transcript:PPA17894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mksr-2 MQSALIRVHCPTLAMATILVWSLSQDSHSLFISSLDYSPTGGLFHCCQPAFPMAEIHVNGHIESADDFPSSSLFVKWSLQLNGGWTLVRGNISGQTHTDVGGVLRKAYFAHPIALHLGTRTVQGWPRINLEVWHYDSYGRQELFGYGSIFIPSSPGEHELKCNIWRPKGTMREEMLQRFIGGGLQVSHPSILTDSRERAKLQTVAMGSVQLRLSILAHPIDDAFVDINEESGTYKDPLDIMNSHD >PPA17842 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:203420:205945:1 gene:PPA17842 transcript:PPA17842 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSRNYDLTLGMSGHLPNASGDYKMKQSMEHYKQTIIDPLVRSNVTIPTPVIPDPRVPLGDFTSEHSRRIFKSEPLEHKTFKSATSKPIVISDYLTKSIDRHRDMEASRLRDLLKAKESDANQPWNKPGWPGPKPNDDEKMRELEHMKKSIERLERKIHHSSTPDISVPPPPDVPEIDEGDPGWVIRRRAYKISSMDRHKSLEHLHRECISPPPGQLRIFKSIGNQEIDGSPPSRTNSPSSRRDKGLDRTVII >PPA17941 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:855641:865294:-1 gene:PPA17941 transcript:PPA17941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ran-2 MNRPADGLSFLDRQLKLNTREDAEELAAEIAACPQLTFLELRGNTLGIQAGERIAEALEKHPELKYALWSDLFTGRLKNEIPPILRSMCGAMMRAGTCLTELDLSDNAFGPIGAEGIQDFLCSPSAFELKTLRLNNNGLGAGGVIIANCLLAAHRNAAAAGRRFALKTFVAGRNRLEDPGAIALAKAFKAIGTLEEITVPQNGIRKDGIKEHEIESYCGGALARCLGSNRALRVINFNDNTCTRIGAIEISFSLGDLTQLEVLDLGDCLCRANGTTAILSVLVSRPFPKLREVNLSGNELPTAVVRDVLGAWTRRPTQTKLRVTNNGFGGEWADLAEEIEQRALAELVDMGAESDDEGTADEESAGESEESDEEERTSRQGSDEEPEARYRHPFLAPPTVLGHIFSAVPKL >PPA17854 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:266332:267219:-1 gene:PPA17854 transcript:PPA17854 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHLKSAKMQQKNRDPMNLESLTVTTSATSSCPTSNLWSRYLWMRTLARRLPIVRPEEAVEEACEEMGKEDGRVANVCKACVTVEVVDDRSTVDVEDP >PPA17874 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:375794:381525:1 gene:PPA17874 transcript:PPA17874 gene_biotype:protein_coding transcript_biotype:protein_coding MPATTVPPIPRDHDVVANRPNSATVGSEPVSHGAGAGGTASSSVAHGSASSKPAAAAPASTGHDDEEEYMVNNMPWIKVLIRMTNSFDTTCSHEGRCSSNCFARVQRQCKRLMSALDTVYHNKRENRRVDKRKLLLDKYNSEQQALRRSLHSRQSAVVPRRESAFIGGGHREPSSMAIKALLMEKMMAEKAADKDAGKGDKEDPNAKKSSVENEEIQEDEDPSVAEPESNPAMIGYMRSQVLDLVHSPLSTVLKGAILLQPDDYTKIIRMSWRLLLDPNPHVVVTAASTFTIACVKRADEAVGVARAALESKSPTDRSNAIQRFHALWRNRYHVWLKMEDGAQAIFKVAPPSIDFTSPSPQIGEGQGPVVDPPWLPHHKTKVEQLSLTEEEQQTSQTIMTMTRTRRKQKQEMARRAVKVAEEKHRTLRQQYQLRGAALLVCATYDRMLFGAPTAAHDIEEGESHPTRAHLVPIAQPFLPSSLLSLAPTIVELLDDPQVDSNGVSVGDVAKKVVWQCLVEEPTYFLRHFLEKMTNRENQIANDFRPSPQWEYLMSLLRKLILHFNPFPSNAAFHLLNNLFGYVMHYVRASCEGSQKAMSMALSLLSLLGPYVTELYFKDLKQGLKKEQCDQALMIPIITTSCRNEKEEVDSNGVSVGDVAKKVVWQCLVEEPTYFLRHFLEKMTNRENQGSR >PPA17870 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:341296:342106:1 gene:PPA17870 transcript:PPA17870 gene_biotype:protein_coding transcript_biotype:protein_coding MADTDQPVKCSKCEKRKSKYTFTACSHALCDECFHTQPKEACGMYLIVSCHCGTSESFKKPPAAAKFRFNNNNVA >PPA17924 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:700998:713957:1 gene:PPA17924 transcript:PPA17924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cls-3 MVGIAGRSSAGAQDEQENRRVGGMSSVPRSTSGTMRRVGVVPASGSIGAVNEDDFRKNFNAGVKISIFDARTLTMEMGKARDCMADDKQDWNRRTTALKTVRAIHQNGGRDFEDVYLQEVLLSEEALLVSVKDLRSQVCREACVTVSYLSEELGVGMLRIAESLLPPLINLIQNSAKVMSTSGILAATFLVRNIQHPKLIPIVLAGLSSKSKEIRRETQSMVGIVLEWDAKKVERSLPQIVDAIKSGLGDADPGARTNARVAYNKLEAAFPRSAEMLYKSLDPSKQRALAGGTASQSSSTQSIVSEKDGMAFNHRPGTFGLQKQKAYFGGRSTSEIDPAAARRAGMTPPRRMLPGGVAGAMRKMTTPVSSLHSRMGLPPSGGSSTSSNSAASSRMMRSTTPSGMTGSGSNGAGSASQPGSRSTSPSALHSRIPNPARGVGGRTMGMSPRKANGTTSRDASPLRRGFDLMDGARKFSLDFDDAVPTPTRSVSLGAAANSASPRSVESAAVTDALRAASSSAPNERKEGLASLKTCIQGPTPLDAHNIVKIREMLTKMLSDGNTKFLQTGLDLLECFILAYHNELTDWLPALLTKLISKQANEILPMMQSHLARTLAVVRSSFPAQRQLQAVAKAIKNPVSIFNTKVRGAILAYVHELVAHADGQLAIGGDDIRMAVSKVLSWAADPKSTSIVGQCEQLVLDLFHANEADFCSLMDGLPTAEREYARRLCRASLICV >PPA17926 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:725317:726863:-1 gene:PPA17926 transcript:PPA17926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3F6Y3] MPEFLLQLDTDKVEFTSEKLGEETCTSVVKIKNTQKEKYLYKIKCTSNELFRIRPPLGYIKAGEAEAVKLIFNSGKPVPESGKHYFVVYYRKADGDAAPRSAWTGKEPEAMKRLYINFSKKKEEKKEEKKEEEKKEEKKEEEKKEEKKEEEKKDEKKEEEKNEEKKEEEKKEEKKEEEKKEEKKEEEKKEEKKEEEKKEEKKEEEKKEEKKEEEKKEEKKEEEKKE >PPA17931 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:751993:756531:-1 gene:PPA17931 transcript:PPA17931 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAFTVSVSAPNRSSPLPGASGHVSALGRARLHAEQIGCTPLEKTALSMAARVMNKGEHFSKIVERLKELNDKCPANIDWTTIQSLHTLTGKPDCLAASAARAAGSGAVGGELLQLLQESRQHGIRGAAARRIREATEGSVKIKKSTYEHKRRAEAEEQWRRTDAERTQLLREREALRRILLGVTVGKRTCERHARSGRSRSVDSRPRARTLQGRVQQLREEISSQRRLLAELEGELMRRDEAIAGLEEETLSARERERVLVERLQEAVELSDGGVETERILRAELDYAQSRLAAVSPSRVQQAAAAAAAADNLAFDVAANEGVLQRLQEVESLLAENERREEAQTRLLEEMGEQISLVISERDEAIARARRFETKYQKAKADVGAWMEAVEAIGDGAVRLGEMFVHRGLAVAQRFIF >PPA17889 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:496990:497875:1 gene:PPA17889 transcript:PPA17889 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLRAATRKLDHELNLAAVSEDQLLFMGRYLYKAAPPELEWVEHELDYALILRGIDRARVASPNDDELEDPNPISNDVSSCRPIA >PPA17872 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:368427:369006:-1 gene:PPA17872 transcript:PPA17872 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVLILALVAAVLSFPTSANTWRFQEALNGEILGGGSPNQEPEFVPRGVRRSDPFNIEDFRWRVRTMG >PPA17929 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:741085:746526:-1 gene:PPA17929 transcript:PPA17929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fre-1 MSLVIIYGTETGTAEDVAEGLWREARARNLAARLAPMDEYDIESLPSERAVLFVMATSGQGEFPPTARASWRWMLRKSHRSDLLKEMSVAVIGLGDSSYQKYNFAGKKLFRRLVQLGARDLLPVCLADDQHESGIDGALLPWKDQLWPRLHGVYEDLSRTVDENAQPLCKYTLRWEGGGENGAAVQGDQRPLKEGDLRVVRVVENRRVTADSHFQDTRLVTFNSSGVDGMDYAPGDVLMVQPCNPDETLAIALEALQYTVEEPAGDSN >PPA17846 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:233800:236894:-1 gene:PPA17846 transcript:PPA17846 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSLSIGLGLLVHLSDATVWVTGDNATVRGCRSSCSPIDYDCWQHTAEYIDQALIVQLRHYSTAQISLDQWYFRHVSEDGMNKTEIELSCLCSAGRNFHSAHCIKPKQLYLSFLDFVAYSTKRVSDGLLAGLQPDDILSTSTMRRIAIVIGKSIEEYSDHILGWPPQYSCPLPCEYRSTMYIYLFSISMAVNVAALIFFLPHVMQVHKMTKETTKSLRDWLQNTGPLDAFDDHRYGPSDTDFDRFVNSNHDYYDKVPQSDFDNDQAEHSYAHYLPPLHYSTGFAESSCVPMTNGGSSSSSSTTMSASPAAATSPNASTDIAFPTEYGLEEIVCKWTMENGVQCTYRDARCRMEAHVSSTHIGRGIKNPVCRWDNCMKSFVRSYINGLLMDCTLS >PPA17908 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:589908:594589:-1 gene:PPA17908 transcript:PPA17908 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVVRMHQQQENHKFLAHFKKKFVIRRGRRGLTKNLGGHWPELFQMRANGSSVCTRTIQVDCRSDQLCSAFCFILRAPYKTIRDDGEKGRVFVWLGKESQEHEWPLVKEIADELIRRDDESFPIQVVNEGEEPDEYWDFLGGKKKYETSGEFVKYTRLFRCTNEKGYFSVSEKTVDFCQDDLDDDDIMIVDNGEVVTLWIGTKSSQVELKLAYKAAQVYIAHLRMKQTDRPRKLVATFKGKETKKLRKCFHAWGKHKTTAGDA >PPA17819 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:32:208:1 gene:PPA17819 transcript:PPA17819 gene_biotype:protein_coding transcript_biotype:protein_coding PKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPKPSAPLFCSHPFTVQ >PPA17856 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:272949:273394:1 gene:PPA17856 transcript:PPA17856 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGKKKADEKSAMKPAPGAAPQSGGAAGAGAKSLVKPADGAPPPPSTPPAAPPAAADPPKEKTAEDGAADAGGGDQNYENVDLKK >PPA17884 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:458446:459011:-1 gene:PPA17884 transcript:PPA17884 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYDNTLGIEPTWSLTVGSQRDKRRLFLARCLSLYYSSFLLDRSLLIDILVEPIAPSDDTVLLDPSDETDEDQLELAVRDALGNIEFIRVRSGWCHRRTR >PPA17838 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:183695:185917:-1 gene:PPA17838 transcript:PPA17838 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVLIYLLLLVLAALMVDGSLQESDEEQRRQEHEAAHRAAVAAFSPAARAADAKLTSTKTEQRNQRPDIEHLWTVR >PPA17878 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:412005:414851:-1 gene:PPA17878 transcript:PPA17878 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAPRSLLQCILVICVADFVVLATLSLLVDNLMVGQWRNGLVLCKVFYGVEVLNKFVIPLALVQISRISYAAAASASPKAAIKRRPLFMINLMTIITFLLLLSIGTMKQSQACVLSFSNVETIQLPSKRKDVCMFIPPPAYGFLFNGAAFVVGYVLTVLYVYFYVSVPLVLKRRSSQSSTRALMRLNNSSIGRIRRIVVSFVLIYLICWTPYWIVFWLTSLMELPSWVVNLSLYAHMLPYASCAAYPVMLTYINDGIHIAHTHVLNRKKKQLGTIREGVVQVLSQMTTFQSAAATGRRGAVVTVVVTDSAASSSSCGDAK >PPA17831 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:96141:99645:-1 gene:PPA17831 transcript:PPA17831 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRLEFLHKFDHLPQTAENVEQIKLEEPEIETISVLETSVVTPSVITTDFDDDIVDENMNEKVNPNKKIEERYLSESPYTSADFTHRTGGLFSHSIDPRETVPRTSEYISVAGILDLPHTRKIHAGVVKRQSPTNYYFAPVERGVMRKVAG >PPA17948 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:923703:926485:1 gene:PPA17948 transcript:PPA17948 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHPPLHPPRPPLRATLWGGGGGGGYSQGGGGGGGYSGGGGGGDAGGGGASYGGGGGEAAPAAAAPAGGYSGGGGGAAASAGASSGGWGGADDIDWREGPGRASAAGAGSGAGGGGGGSYPVRPYRESGGTARFDRYTKFFGRREPQDTVVFRLGGGQYGAFRHRRLAKTGAKCNSKRLLNLIQKEMQETAAGSIEKIGDALEKLPGNDEYYVSCASEGLEPRAGEQREHCLVHAKAFSCLVLKH >PPA17858 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:287885:289577:1 gene:PPA17858 transcript:PPA17858 gene_biotype:protein_coding transcript_biotype:protein_coding MVQWQWNTEAAELNCPTIITGNINSLGELTKKFYREKQCHLAQETDECRTDLIACLELLHHAKAAPPNIVLGGLSGRLDHTLATLHSLVQAHSLASGSTPSSPIYVLDGDNLMFCLAQGAHRFHLDRAHLTDVCGIVPITQTETRVTTRGFRWNLDNKPVSFGTLISTSNELASDEISVTNTAPVIITFELLSSITGLDTPPKH >PPA17943 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:869962:872191:1 gene:PPA17943 transcript:PPA17943 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAGAMRYRITSLGLTPPPQQVSVIIDLSYVNKMHLHLNKMVMERSGKTVKKAIPLEEQTCASCGYPAAKKCVYQWSIKAIRRSTTGTGRMRHLKKIQHRFKRTTGTGRMRHLKKIQHRFKNGFREGTVAVSQKKRTQSAASN >PPA17905 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:576521:583564:-1 gene:PPA17905 transcript:PPA17905 gene_biotype:protein_coding transcript_biotype:protein_coding METSSEVAGVRLPWVEKYRPQTLNDVYSHEEITKTLKKWIDTNQLPHMLFYGPPGTGKTTSILAVARTLFSDKQRNSMVLELNASDDRGIGVVRDEIVTFAQTKGLHSFGGNALNNDSKTNVKLVILDECDAMTKDAQNALRRVIEKYTENVRFCIICNYLSNIIPAIQSRCTRFRFAPLNPELVAPRIDYVVQQEKLNITDDGKEALLDLSGGDMRRVLNVLQAASMAFPTVDASAVYSCVGQPTPKEMERLRRVLLNETFMDACQKIEKEYIEKGYALADIITRLHDLVYQMDIPSDVTAGLVIALATTEQRLSVGCSDRLQTAGLVSAFIMARKRIAEYGGMGGYAGPGGAGGGGPPGQPGQPQYHPAGQQPQYYQGGYPGGPAGGGAPQQQGGLPPQQQQPNPMGYANSPQQQQYAAAAAARNAAGGAPPGGGYGGYYGGQPAPGGAQGYMQQQQPPQQQPGMYGMQQQPQIPSSGSSSGMFGGAGPSSSSVSNSSGGGGGAPPPNTNFGMTPAYSSSSVSSASFGSGMAPSAAAAAAAPGPQLAPFGAASQPGAPPPAMQPQQPPPQQQPPATAPAAAAAPAALQPMASHQQQQQKQQIETKVPNPYSSEVLDHLEKYSVPDLCAIGRELVADLNYRTSSLCATFKAVSDRKQYSLAPEPQMQYCALLLKKIVEIRLRIEKNAPRRRLTADSFIEMLREEGEPVVSARVKEQEDIFTANREKLINLSSDLKQLDWLAQVADPSHLKKTDGMASRKRNLSSGFLAAS >PPA17864 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:315514:316609:-1 gene:PPA17864 transcript:PPA17864 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSRSHRAETRNSRKDELRKVIYSIEKVRKWEKRWVLLKDTSMRIHKWVPVTGHANIPVAPKIIKAADGETSNTMASAEEVSQDSASVNVTTDFAGNPEDSNTGFSDRGFDSDSNMTADAMYNRNKASGGVKQSTDFSAMREAEGKK >PPA17844 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:214698:216483:1 gene:PPA17844 transcript:PPA17844 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHNYAIGYHPSSESHWRHESMRRGQNGFHEGGLAHARYGSLSDSLRRGELKYVPNGEVRESFYGQNGGGSRMHKSYSTRDVFNGGYEDGRSSWRRGSPFVEYPPTLPRHGDSRSEYRPVSKSRSYADWDDRERGRRRGDDEMSRLETEFRDASLLVPANMGGGPVNHREHRTEQIPGGYETYHKEMKGDQGRRVGRDGRPVDFSEQSTEYSFKREVDGRH >PPA17934 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:770583:775728:-1 gene:PPA17934 transcript:PPA17934 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMIVDNRSTDTAPYFVIPICRRARSEREPSRVGEEERNEGQVCRLVRKRVCPIIHDALDHYLKMVQEALQTDGITLKFDSLGLKRGLVANKSLLEHYVQRLQSAEKLMTYQQHRQLMQQLKAFVKGEENKDVLMRAIAAPAPSLPRCISTGSHARVWLMQQLHLQQVSPLEVDVRIDEYAGLVAAAFRDIPAVHLLLMLRAVYRVDQPTAVDELRAFFDYSMIRLQDLLTHIAPQKNRMVTVDHRQVRYAPLLQARLYRIFGDKALARRLLNETISQAYLNKEMTCLRLALVESAAVEIMPERRVCAPECVVV >PPA17826 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:58328:67159:-1 gene:PPA17826 transcript:PPA17826 gene_biotype:protein_coding transcript_biotype:protein_coding MFYADDETRNYSTCRIAVLTCPYCNERGYSQFYEHVMRNHADPMPYQVNCPLCIGLVDTESANRVVDNLKHHWTSIHYLYAPVMQTTLVNAPSVGTDTVVTAQPPAARLTRAGTAAAAAAALAAPDGAIGGAVAPGPFDLPPTARNGARRPMMARRAARQQPGDRTDRENRTENREQRQQAAAAAAFGMPWTENDEVQDLFRLVQRNDPTRAAAAAVTDRGFNSLQRMQRLRNLQATLQQGAPGNLIANADFIQRIIGSDPNSVPSYAAGLVRGFQTEGEYASPRPHGVPVPPRSRTPPVNVIVGLGIRSMTSNQYDHLRQEEAQQQDRSSSISTLTSGDSDEQEVEDLGDVDAIIIPPDEEVAKEMTEMVRRAALRSSTSEDSVTKEDEDERQRENVDAQWTEEDDIIEALTKQQAIVDTSLTQDEIVDMRRATDPFALRAKDEVQLMSMNEEGRLYRIGEDADEFDNEIVTDNLGNVRPVGPKDEEDYRNNWLTVRMDTSCLSFARDSYWKDKRFITPRKLERQNSTSAVDEGVLARNALKALSITRTLAGGKVLEDTADLTQGEEIRNTLELWDMRATERNEEGRHRKIANKCDESLISASRELDFDYDMAMLTALRVEYKILENELKSQIGSPSSAYASERLAKQKKYLDALLQAGAGGAVVLQNPRDDPIDQQELAQRLGKMWGVIPMGYQGPQEDRVGTSNHH >PPA17923 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:696873:698408:1 gene:PPA17923 transcript:PPA17923 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLLEVAVQESGEVVATFVLDRSPAIVERLGDSKSSVRDASASLLTRLAYAPRSSPQVPHFTPHRGDIKGVNGGPILLDKLAPGFTHRQWLVRTGSMGVFCGILEECRDAVEGHTMRLVPTFSKLLADPNSEVRESAAKTLAHVFAVIGPCAEASCRSKGLIPEAK >PPA17902 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:561379:572416:-1 gene:PPA17902 transcript:PPA17902 gene_biotype:protein_coding transcript_biotype:protein_coding MGTINRQLPESIPYSIPLVRFRAFARPYLERSKHRAKPPSRFARRRPKNLLLNYVRRQRSQAWLETHIWHAKRFHIVDRWGYRLPDRSYQRAFRPIYRTTRRGAVVRDKSYLGCLLLSAPSQSVLISTLSPLCCPSAVEASVRGVGGRDGTREISTLMYRKDAYPHGLIGPARFQWSTSDDGRAQLALWLHPSCRAAVLQELQLLLQLQKKQQEEPDEETKKKLSSPDNITEWRAARAQLLTEVYEGADGVKMEDLRDQLVRLRLVGPKSLRVLAESLRLVEGKEAEAYEQQHACWREVYSRVPPGELQDGLAISLLVEDPRCGRPARRGLLEREVPPPAVDPDEVNHPTPTATLWSREERLRVMEARLSNSSMAELRGASIGGMIAQTAAKIPVVVVIRSAAAEEMTLSGADIIAPAGFGADLWIALQLRTARASGLRDELAAHAESATPAFPADSVDSRAGEEEAKREKREKEDKHFARPHNRRPSFWDGLSIKYPFSFEWAELVADWNKVGNSAASAGEPYVLRDRRLLQCLALFLGGKGGEKAVAQFREMVSEHSHALVQVQIDCVKRGRPRRFATICLGQPEEVTRKRAKGEQSYTEPSRTEIKDQPKNQNGDEEEMEVEQEMTKEEKKQWQDALLPNNDVTQDVVSTDVTSREKTISLKEMFATKTVSKERKRTLLNRKKKLRQKRRRMTAGTRAAEAERIAKEMAATSYRDSATRPVCGRVLRGDFCFTAAKGRAIGLVPIQAIAEIRERRGEVMVRNTTSKYYHAARATNMASKVGARVRKKEVVKVIHSALLRTTIKAQMASAAPPLGPQLGQRGINVANFCKEFNKETGHIKPGVPLPTRIHVKPDRSYELEICSPTSSWLLKQAAGIRRGKQFPDEIAGKLSVKHIYHIAQVKQKDKALVGVPLEEICRNLVKQCFTLGIQVQNEDLNEEEIAKFLEERKKVVDEQLQTLADKKAAKMLRTA >PPA17936 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:781910:783100:-1 gene:PPA17936 transcript:PPA17936 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPPAAAAAPEPFPDPMPDPFPIHLSSRVNLDHLFAFETVKSLGEPMTPFRLSVYLLIRSLDADIYEEGCSGENHARISALLYGLLEAQQELTFA >PPA17916 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:635949:637979:1 gene:PPA17916 transcript:PPA17916 gene_biotype:protein_coding transcript_biotype:protein_coding MIASNNSYESTHAMCMFYLGIGTLALLANFINLGMYTRSRESRKMYMCFIPLEFGELVNSLSFILTGIGRLEELHSGEMSSQFSVHRCFYGKYWAHAQILGTEIPTLFLILTSIERICAVCWPALFSTLFSERRRKMMISVCFALTAASFGTAAASAYHNQAKNEDGHCGIIHSTAKWYSTFHFIFIIVGYGVSLISLVIMKIYSRKFRPNGKLTLRRDSKTNILIAFTGASMLLVASPSIVMIGLKWAWFTMDDIWIALAYSATATDHKDETHNHIRHSPSPTHI >PPA17898 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:539250:540710:-1 gene:PPA17898 transcript:PPA17898 gene_biotype:protein_coding transcript_biotype:protein_coding MENPNFVPEDADVLPLINGDVSRSDVVLLGMAPRSTDAHDHDDERSLEGGMNGSTTSISSGASGHCHDDWAAQETMQFLGSIFLPTN >PPA17837 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:168403:181591:1 gene:PPA17837 transcript:PPA17837 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nca-1 MQCVKLDMTAHAEGFYGMFNDFVHSLFTVYMAASQEGWVYVLYDCLDTFPSWVCFLYFVTLIFFLAWLVKKLEKTSSGWRLIEVDDYVLHGRSPKIFHWIVTSTLYQTTMMILVLFNATFHATFVYRHDGTDQHRRSIYYFVEVFRIVRLIKASPMLEDFIYKIFGPGKKLGGLVIFTMMLLIVTSAISLQLFCWVPTLNKFTTFPQAFMSMFQIITQEGWTDVVVEILRTTHENFVPFVAIYFVGYHLLVTLIVLSLFVAVILDNLEMDEELKKIKQLKAREQNTVRTTLPWRLRIFDHFPTRPQMISFKRMTSEFPCPKIRHSFTRCFAEDDEATFVEESESASQFLIHRSRNRGLVSSLKGKHILESLKENGDCRNDDVAPKERKEGDIDIKALQQKRAHAEITRNRIEEEMRENHPMAIVYAKYTPNRTDPTTGKAIQIKYKQLHELFGLMTYLDWTMVIMTSLSCCSMLFESPWPSGGENLIFNNAVVRDVGGIMTIFIYMTSLIYLAWMPRHVEINSPAQLLMIFRAMRPLRIYTLVPHIRRVVVELCRGFKEILLVTILLVVLMFIFASFGVQIAGGKLAACNDPSILIRENCSGVFEQRLFVTRMEVHGKNDDEAHPKILVPRWAVLYLHVYVFMGCMIGLTLFVGVVIANYTENRGTALLTVDQRRWHDLKARLKMAQPLHVPPKPSESAKIRSRLYELTLSKAFKQSFFFLVMINSTTLFIPWALEEEKERARALFGLTVLSAVCCVLFTIEILLKAVAFTPNGFWQSRRNRGDCLITLLGLAWILLHFVLKVPVDFTTNFKSREWRRFTYTFGYLVVILRFFTIAGRKSTLKMLMLTVLMSMVRSLFIIAAMFLLVLFYANTGVILFGMVKYGQAVSKHVNFRNGRQALVVLFRSVTGEDWNDIMHDCMVDFNRSIPIRRVKFLLRLLKGRLEVDPHKDRLLFKHMCHEMSRLHNGEDVAKYTIRTWLESCLKRIKSKQKNTAASSSEQTMLGPTLAIHRASTVGLPKATSSTLISRVSPDTQSSSLLSAANRTQSMESSDDEGATAAESRRSSRACRRGSIPELVGFTCVEEAKKFMLGVGKRRSEDRGNNNSAHATSNNNNNSNPNLTARDRRTAMRNFQIKTCELPELEESYLAAASLSSISVDPVPSSGTSPLEWKVIDQSPDVNTWWSEIAGSS >PPA17853 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:262145:262736:1 gene:PPA17853 transcript:PPA17853 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCNPHGHYDAYVPHCHPTPHVDVVHVAPHHTTVTHMGGGYGGYYPAPHMHGHVDVYPTHHHGGYYGGHGHHHC >PPA17909 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:596247:598463:-1 gene:PPA17909 transcript:PPA17909 gene_biotype:protein_coding transcript_biotype:protein_coding MERDKMKTDLAALFLDRQPPMSYEESEELLQECNEDLELIESFVLEGKKFHRLPEHEFGTFYTMDCYVFLCRYEVLPEEDDDGSDAGSTSEHGRNDEDRADDFKCVVYFWQGRDASNMGWLQFTFSLQPNFERLFKDKLELWMRRAGASWFH >PPA17914 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:627883:631285:1 gene:PPA17914 transcript:PPA17914 gene_biotype:protein_coding transcript_biotype:protein_coding MHNPEDYEESFDPDAYFDHYFSKNQIEDGTRVSLFCLPVFAQIVKQTVPKEKRLSLLDVGAGPTVYSAMCFRECIDRAYMTDFVQKNLSSLRDWTNGVSSHDWQPTIKVILRTEGIAGSTENVLEKVEKRTQELVHAGGVLFSNVHESPCVPDLPEDGVDILVSVFCLESACKSLEEYNKCLKNMIAQLRSGGKFIIGSVIDDNIYNAGNQPTAGLTRR >PPA17857 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:274345:281216:-1 gene:PPA17857 transcript:PPA17857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sma-4 MDLPGVFYHSGTDGGGSSDAPPPGTFFNDQDQEMYRGNEQGGSGDSSAFDSWFANHGMDYQSSDSPEAGQQQSRAARMMEQHRPMTSVQMQQLHQHPMFGHPGPMPPFLNYDSMGLMPAHHLPLPPPPPMLPPPHSLPMHQPPLSAATAAAALFPGPQLTLHHHPSTSMSQQQHMQQLQQQQQTTAAADAAAIHNAYSASASDSSSQITTVLMAFRQGGEETEFVRKAIESLVKKLKDKRLELEALIQAVTSNGKTPTCCVTIQRSLDGRLQVAGRKGVPHVVYARIWRWPNVAKTELSKLPMCRVMPDNQDFICINPYHYERVVSNAGATHEQQPVSKMPVLQQQLAATATPPVYGLQLPFDGRGDEWPSSQITLTSAAALQADIRMAQQLQQQSGETAEFRGSMFPAVEPPVMHRAHSIDLNRVIIPPAQHYPNHWCTINYFEHDTQFGESFKVRREMMSVRVDGGLDPQGERNSRFCVGAISNVHRAGPVEVVRQHIGMGVVLKQHQDGRVTMEVRSNKAVFVRAPYMDYMKHTPYTTTIHKVQQCDGEITIFDLKWSYFEMCEQTASAKEAVVAQARAVAGLPVNHPGMQQMSDMVAGSGVDEMKRMFCSVAMSFVKGFGGQYNRKNIKDCPCWIEMCFL >PPA17907 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:586536:589229:-1 gene:PPA17907 transcript:PPA17907 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTISIVPYPLCAYSIPIVRSISSHLGAINSNIRQTLGDYAVQRRDNLSVKPGTYATTEWADASKMEEAMQLAEQFLAHEPQSVALSMGPSETRPLLEHLVAKCLHTPYSIIVYDKENGKPLGIRLTSVAHRDGSLDADPIPLKIESPRVRTFWEAIEKMQRHFWATYLDVDRVLRHEIAFVAPCYQRAGIATRMLEFGLDANVLVKEHNFDGIVVESTCESSHSILGQNGFSWSLKLTQEEYRDEQGKMLDIKLSPHDDLRLYYKQLRKDDDTPYYARMWP >PPA17927 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:727102:729691:1 gene:PPA17927 transcript:PPA17927 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGSQTGDDYNEKIELRFDLYSSSHLFLPSDIRTFLDDWKFARFIECDVLQELIESEIAILKKISHPNIVAMFDVWQLDGGYYMLLELIEAGDLFDHLCSVRRLHERTAAPLTRNLAEALRYLHDNRIVHRDVKPENLLLFRDSRGRLQLKLADFGLACDLPDTDVSESLLHQEAKKSAKVVKRVGFEPTPPKRLDLRHPVLLLSMSASARFAATRPSIWLACERSLEGPAAGAKPFPMEAFSAADPALPSMCSFSMAVDVFLFIHTLNPSKPPILLLLHVLQLPTVMLLWCSKPSPVEPGEGLRKT >PPA17888 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:487334:496946:1 gene:PPA17888 transcript:PPA17888 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLSTIRDVRWTAGVEPLKRSKIKEQPEVAAASEVGGASCWSFDEMMKRAEEAQLVLQDGDEVLDARIPESTVSCSSCATRLGAERTQLLEHYASGWHRANVRRSLRGKQPIGEEDWESLVEGSDGEGGERGDVSDSSSDSDCTDEQTSAALGLGAARAYFVQSGTVFSMYSALLRDGEASVASTPSFFSRPLNCAIILLAGGHFAAGVFENDRLVVHRAIHRYVVRAKQGGAQSANDKSKGNAKSAGANLRRYNERVMREEIRGLLAKEWQVYLAAAPLVFLRCASYQRGIFISDDDEDAPLRRGDARLSPVERWMPRRPSMRRDSRRDAKWRESKERKELAEKEAAEEKAAKDPFPEIDQDLRNSVYAAIRDNDKAGAGLEEVIKGVEEAERKEVLLRYIREARFPPINSSLLHIAAAKGADHSVKYLLDLGANPAMRNAEGKAPYNCAEGKIIKGVFSAWRAEWEEAEEDMEEGEKAKRRQIDWIKAQIPLPVEKSTEQLAREAEKKKAKRDRQRVKAKEKKEEERKEKEEQANKAAYLALPDREKRALAIEARLAKMDSCVPRCFMCAATISGTPFEYAANRFCSTASSSAAQEAYLDADNCLVVDESDRIVGGATKRQAHHRSTVQLHRAFSVFAFTPDRQLILQKRAATKVTFPLLWTNTCCSHPLTGMRPDETDGVGGTVGLRVSDTNHPNMILYIYCTALNE >PPA17851 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:255216:260340:1 gene:PPA17851 transcript:PPA17851 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dpf-6 MLQWLRGCLLLAVSAAALIPREKLFSDPKLAATSLSPDGKVGHILGYIAPDGNKIKNVFTRCISCRHTRQVTFEKRDVLSYEWTGVEDIILFAQDNNGDENTMIFKKNISENAPTHERTIISNTPGIRATVVANNKKKRYVLIGLNDMTPAYHNVYKFDLYTNELSLILTNKRFPVVITDNDMRIRMAIEEQEDGTTAYFRVSSKANPKELTSDKDQWVEYIRVQPDDKPITAPIGFDKTNEKIYWIWGDGSDLGNLIVAPTNNISDREVLYTATRAQIGQVLFHPEDKTLLAVSEFYHKPELFVANDTVMEDLQYLVNLRPSGSLQILTVSKDMQTWLVTYLSAENPFEVFVYRRWLKSAELLFNTHPELEGYELNRQIGFDFAARDDLVIQAYLSLPPDAPLKRAAEVPVADKGYAELGMIPAKPQKMVLLVHGGPKSRDTYGFSPMNAWLTSRGYAVMQVNFRGSVGFGKRLTNAGNGEWGRKMHYDLLDAVEFAVAKGIANRSQVAIMGGSYGGYATLVGLTFTPDTFACGVDIVGPSNLVSLLEAVPPYWLGFYNDLTKMLGSDIDTQEGRQSLIARSPLFFADRVKKPLMILHGANDPRVKQHESEQFVAALKKHSIPVSYVLYPDEGHGFRRAPNRLAQCGFVERFLHHCLGGDYQIFTNGQYNESAILKSDGFAPKTTLAPTTTTTTQLPRAAVMPTLVPSHVNNFYYPQQPQLVQQFRPTVQQVQQAQQQQFQFNRMNTQQAYPAYAQPRQVVMQQMQSVPQHQQPYFRPPVATNIFPYNG >PPA17866 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:327761:333754:1 gene:PPA17866 transcript:PPA17866 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMPGTGGGAGAGGNGVGGYGGGPPGGMGGGMMQGGGQGGPPVDHQQQQQQMMMARQQQQQMYLQQQAAARGGMFPPGMQQHPGMMQQQQQNMYAMQQQQQQPGGGGQVMDPAQMQRYQAAAAAARQKQQQQMQMQHMQPMNQQMLEQLRMLPAEERQARFQQHMAQQQGQMSAAVAARGGYPPVMHPVAGGGPVPSGAHMGAMPGGHPQQQPQQPGSSGMGMPAAAAAGPPGGGGGGGMPSHFIKQEPLRGPPGGGGMDGGPGGMMGGHPNAAAAAAAHMMNVKQEQNLHVKQEPGPGAPSMQHHPGVLQQQQQQHPGAAGAADDSPQPAAMQHMQQQQPQPQPAMTQRMRQQQNAKVRAAALQQQQQQVAAAAAAAQGGVPPGGMVDPSMTVAQHAQQLVAAKAAHDERMRNHAAAAAGGGGGHPHMQQMQHQQHMDPAMMQQQQMRHQQMLYQQQMQQHHLQQQQQQQQQHQQQQQHPQAMSMAMQARHHAAAAAAAAAGPAISSMPPTMQQMQQQQQLQQQQQQQQQQQQQQQQQQQAASPASVISGTRPVSQVATVAQEEIDEEEMKNFKRMADRLKDKHEAQVERLYKRGVIDQCQPMWISFCREVWSGTKKYSKDDMKKLRTVEKNIDSYIMKNSVTNPIIQALYYIVDKEEAEKEKADPEKQTPPPPTAAPSSSVSRAYPDPWPLDVRARRIRVPDFLSKHVRSARMDERLISRRKRHADAVEEEQLPLWSARRMRHETDLDRELQQMEKVEGPAVLNGKVCKIAPEKESLYPPVVNSIPKTEAAEQAIQRRLTAGGDPQPRQQETRSKIPGEALKELNVLRWRLVTDERLMFAEPTNYAVAIAVGATAVPPLHVLIPRQYPSQPATVLFEKSFPKTHPALRRLGEMVEARLATVPLALQGRVHSMAEVYKNTCESFFSSRANAAARTAVVQQQEQQQQRDQQTPSPLSASSSTSTSPAEPSPSLPVHHLPTTYRPQPKFRQAVDGNAKSRMVY >PPA17952 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:939062:943252:1 gene:PPA17952 transcript:PPA17952 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPVGEEDMEDGENEEVVEEEKGRTMEYTRDDYLFFLHHFATKYEQDCQEIREQQQLIYSAANGKLQQEQLQLLQYLQQQRDIVDEEHKLMMEYLQNRIMALDEQERELQQQLQALQPVQQLQRRFMGGNIVEEEDDFEDEEIEYDEDEEEEEEEGDLQMVVDDGVEEMDEDEYEEAYEIIECEDDDLDDYESAEDDDEPRWKVETVPVSSFPIMSRQSENREST >PPA17823 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:35369:37531:1 gene:PPA17823 transcript:PPA17823 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKWKKMRKKWKMMRKKWKKMRTRLKKMKWKRKKWRKNAIIKVAIACALLGFVVLLRTVAYHILWDMKFLARNIAVGGGLNLLFAETFEEQKSLFAGVPTMGDQNKPNPRLVLVQELHVKLDKLLANPSSTVSPTVSEQSSYASIVPALSQSEKIKDKSQRAVFVGSQEKATPQETAQHDEEVLKEIIDATHDKELKDAYTSGSITHRRTRRLVVVL >PPA17877 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:404646:410906:1 gene:PPA17877 transcript:PPA17877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dpy-31 MRLAAHRLGSINDLGEIDRDEEERVRSGSTMSMERRKRMGLTADEEATVNGYLEKLSVLADKKYGVAEESDKPWSSFSRRLKDDSGRRRKSINPEENGRHFEGDIVLFPDQAEALYQAAMEEGKDDSTSGNRIVFTDVDGCASNVGRHPLGEEQLVSLAPECIRLGVIAHEVAHALGFWHEQSRPDRDNYVNVRWENIDKDSKGQFLKEDPEEVDNGDVPYDYGSIMHYRSKAFARYDDLYTLNTFITDYQKTIGQRDQLSFNDIALMNKIYCSASCRVQLPCQRGGYTDPRRCDRCRCPDGFTGQLCDQVMPGYGSICGQECSWLLTAPLGYIVEMQFYGDFEMYCKVRHSLCMDYVEVRNSTDFANTGMRYCCFGTPLTIIRSATEDMLVLFRSFYRGGKGFQARARAVAPAGQWQEWHSWTACSATCGACGSRIRTRTCPPGAVCAGKPIETEVCGTQPCDGLCTHKKVQHKECSGFLAVLRGSGCSKP >PPA17860 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:294466:302527:-1 gene:PPA17860 transcript:PPA17860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dph-1 MDEEELLLQGDDGAAVITDHLDEEQLLNESINGDAGESAGAVCKIESLDHEELDYEEDEEKEERESRFTSERAKKSPVRAPEDGAAADVKVNENTAIASRGSYRGRIMRGGAGFRGGMGGNFNTRGGMRMVAGGGSAGPISLLTSNIPPPMMMAGPGMGGPFGKILVNPNFPVGAGLTLLPTPGPGGYGAPPMGLRGSMAPQGVVGPKVTLSIDGAPALAVPVNVPPPQMQMATVPGVSMSMPPPNMSMRPGGQGPLGLNPMAAPPPSAMRFPPGMGPPPMNVGMNVPPPGMAPQMAGGPAGNWNLMVEAFLGDKKAAGERSKKKRRRRSRSSSYSSSSGSESSYSESSRSRSRSRSPRKSRKRSSRGSRKDRRKERSGRGDNKGDRGGERRVFRTGPNAYRINNTSSNGAELPSLLDGIDRGSSGKDSTSRRADRERQREVRETEVESRLKAFREAEKTDTLVVPAPTKSRVDTAIPPPSIMNNFPPPSNLSTHPHHPNPSDQFPPPQRLRLMDSMMPPPQHQSVLFPNTNFNGPAMPPMNVSMNMPMNMPMNMPMNSFPNYNGPPMGGQPPNDSRDSARALGLDDEYLSRVEEQRRQREEMRRRRDRNEGTTRNESTNGSNRDRSSNTGRSRNDSNSNGGQAKDARKKPESATDESKRKAYLAVHVKNVSNMGEAALGRVKALANEVGETKKVWRSADDVVTVIFVELDKAKAFMLKYNGKVLSGLRITVGLEKVYLNLSENTDGIAMLYVFVSIEINLSHFIDCIKANFTPAQKIALVSTIQFIPSLQKARTVLQEDGYQLILPQSKPLSPGEVLGCTSSRLAEDTDAIIYLGDGRFHLESAMLHNPEIAAYQYDPYSRKLTREELDFEAVKRTRAEAVQKVREDKGTVGLIQGTLGRQGNIKISLESRLRSVDRPLVRILLSEIFPEKLALLHQIDAYAQVACPRLSIDWGHFFARPLLSPYELSVALGDIAAPASFYPMDFYSNEVPGPWGNNHESLRPVREKRRPRVIVKEES >PPA17862 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:308536:310175:1 gene:PPA17862 transcript:PPA17862 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRTDFRRSLLLPVAVPSPYPGHAASRGTPSSDTARLTPSYLVPVAPSSRRVTPVPKDDSYSANMYSSGYASETSSEHESLCELPVDRVEWEAKRGKRDSPSFDSETLSILSSSRFSRASLLSDVEWKRPSERRKSVPAVSRSYFTDDVMRVLERDGHVRYQTDVDTGLRSSQFDLRSTGCNVIEKSRRFSEANAAHDLARYKSRTQRRRTLIADTIAAKSTATVGGIASLTLSRGKISFLLRNLPS >PPA17910 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:604677:612914:-1 gene:PPA17910 transcript:PPA17910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fli-1 MPPKPNEKRKALNFYNIDFSLANQMRLAGQSPCSSVSSMQSSSTKDPVARRKDFIRRRRHQADESGASKVIQGMSKIAGAGAQMAEEKENEEAAMVKPAANWRTDIDRRRRQIDYKEIFEDEVGTEDGIWVWEIENFYPSLMDEAFHGQFYDADAYLVLRTVQEPSGSLTHSIYFWLGEKATLDKGMCAAVHAVGLRNHLNASCRTIREEQNDESDEFLELFGEEIVYIEGARTATGFFTVEKQAPVTRLYRCHVNGNAVEMEPVPVTAESLDPNFVFLLDTTDVMWIWTGRKARITVSTKARLFAERLNRNDRKNKSELETCNELRTPEEFWTALSGSPSKPDEPIVEHVPENFVPERKRLYKVQIGMGFIELPQIEMRHGAARQEMLDTKGVFILDSTSDIFLWTGKKANRLLKMAGQKMVQEMHRMIERPDYTQVTRETEGEESIMFKSKFVGWNDVIPCDFTRTADSVQRRGADVKCLGISYSLSLTSDQEIRREEVT >PPA17821 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:12391:15593:-1 gene:PPA17821 transcript:PPA17821 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGRAGKNGRAVTFVTPEDKDVFFDLKTVLMESPVSTCPPELANHPDAQAKPGMFVPKKRQDETVFLKVPYLHPVLLPT >PPA17938 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:808877:809679:-1 gene:PPA17938 transcript:PPA17938 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSQLVSLNIGGRHFTTDVGTLKRAHASFFVILLDKRWRHSPTEEHVMENLHFFIDRDPHHFGLILDHLRKAPFILPSCSKAIEEIKREAQFYCLDDLLSVIRKHEFHDFGQGPIFPGDRVQVNVRKLEEFEAIGADSEGSSHLGLTRIDCE >PPA17828 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:79980:83339:1 gene:PPA17828 transcript:PPA17828 gene_biotype:protein_coding transcript_biotype:protein_coding MHEDRMTLMYGGENTHVELPKFVIKKGESSGASEEDVLEIGDAFDLDIHVEYTTTPNQRFIRVALEGFDEEFVKAAAMSKMTSYTFRSTDSEHRKIYRTPPFFKRQPYLRRSRFEQNNQSAFLVPVLNRETREPTYMTLSASRMDCKYIDDIEEDELTTVDPTVASTSAASANERLPPTDDSSTAAPVPTNSHNRTSTLSWTRGLRHAKVYDPNHPTYRRANTERAIKESRQPKRNDQSAMRGAASRLSIMIAQHSLRHDSQSTSVTASVAERNIMYNQSHGLVGHDVITPFDEARERPEFTPLAPVLLMPSNDPEVAELQKELLSRQLEIQKMQLRIRRI >PPA17892 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:507495:515099:-1 gene:PPA17892 transcript:PPA17892 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-zyg-8 MSTGKIGIWYALRADRLRSLQPLMEELTRCLASNSLALQHGVRYIYTIDGTARITDLEQFEDGESYVCASSETFKEMDYANAREPLWSMAVPKAERPHDVAQLALHATHHIVLALHATHRVVMSIRWKFGREPNDFVFPRIITVIRNGIKPRRVVRHLLNKKTARTWMQVINDLTGKVMLDSGAIKKLYSLSGRLVTSLRGFFEEDDVFIAFGNERTFSDDFMVDTEESTRLNSHRRRHPPIRPSRRVMPARNESLRMDRGGVEAEDIPRLPSLLDARMSIVRLLGDGNTAHVYEATQIDSQDRFALKIIRQETALGKQELIESEIAILKKISHPNIVAMFDVWQLDGGYYMLLELIEAGDLFDHLCSVRRLHERTAAPLTRNLAEALRYLHDNRIVHRDVKPENLLETSFTPWHSPVFHDSRLRLLLKLAYFGLACDLPDTDAGDLFDHLCSVRRLHERTAAPLTRNLAEALRYLHDNRIVHRDVKPENLLLFHDSRGRLQLKLADFGLACDLPDTDEPSLTTICGTPTYVAAEVLAEWGNNGDQDDLFAQILQGSVSFPSPTWDAISASAKALILNMITIDVDERLSAQQIVESHWVTSGGAPSAEFEAMAELVVSAREEVDVDVEETDREYFYSRRTSMDELSEHGRSFEYSASNRRAYSP >PPA17946 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:912195:914829:-1 gene:PPA17946 transcript:PPA17946 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSRCLKAFDMKEKCWLLENRKEMLDCQVTCGTCDNFSCQNPFPENVVNCTSLMTQCDDPSFSQFMKEKCPYTCGRCDEKNSMLCHDVANVLTCQSVKNYCNSVDFYDLMSQQCASTCNRCPDGYGGTIGGTCRDHARDVGCWVMCAVSGSYKWGYSGVRRAARIVEPSETDGRQAVVAIRQFQCLVKSHLCNAPAYSGLMARACAKTCNKCNACEDATLLCGYWVSKGFCSSMHYSNDIKRAYCRRSCNMCSGVKPGIPGIPGIPGNPAYPYGTNQGTGIPGNQGIPGNPAYPYGANQGNMGQGLGQSMIPTGLGVNQVHYPRDLPYHHPSLPLASLPSQSSAQS >PPA17882 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:451341:451791:1 gene:PPA17882 transcript:PPA17882 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPSWICPCRRNRVEPFVVVSHPVVDQPPVNDAEAPVIPPEDNLENPYAIEENPYLPAAEAQDHIGPDENPVEDGTEEIQALPGTGEIQDHLGPEGNPRLTLTTMRPKDRWRLAPRPPSIPSINMLTRVGQRE >PPA17869 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:338651:340162:1 gene:PPA17869 transcript:PPA17869 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTLIAILLIGASVVSAQEKSDGPPCGLPPFVDVLPAKQSKDLRETWKDWKEGGECVKEQTATHEILGILTPEEHRAVVGGDDVEIAPLFIQKMTDEERKAFDGIYWNPNLEDADKTTKINKIAEAFKDAAQIADFKKWKTEQEAAKKAYEDRVAKLSAPVKAQYDKLISLRREAEKIRYNLSPEAREELGDLIR >PPA17876 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:399149:403454:1 gene:PPA17876 transcript:PPA17876 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lgc-50 MVAFCAVHEIPLLALIALFCQVHATGHDGGEKGVLPTSSPPPSGRCNRNSINMGKLIDNILNDYDTHLLPEENGVNVTIELHVQGVSGISEITADFSLDIMYSEIWQASITMIRLVEDPRLSFKHLNICATNITLKSDFRKRIWTPDTCIINSKSSVIHSSPSENTFVILYEHGIVWSNFRLNVKAPCHVDLKMFPFDSLSCEIILESYSFNADEVRLTWHESPITLMEKIELPDFDLIGWSTSHHRLSYPNGIWDRAKVKFTFARRYGFYLFQSYFPTSLTVISSWVGFFFDVRSVSARWERERQIGSKVLGHWLNQIRKTRKNDSRANGSSETGGGMRKRFLLPSDKEKTEDKSMASSPSDHHSPSPSESSPLTLPRMGGNGVSNNNNSIGGCVGQGEDPEEVRTPKVPSCLSRFYDAIRRGIHTLCPPDRDWTITSVQVDRCSMIMFPLSFLVFNMT >PPA17850 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:252613:253518:1 gene:PPA17850 transcript:PPA17850 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGISPKLTSLQDILDIPKPIVDPNTNELAGDLLWSDPMIGLKGYKPNSVRGVSVHFGEDVLEEVLEKLDLRMIVRGHQMMMNGFNFFHSQKLVTVFTAASYYPEKANRGAVLYVSADFRVGFKILVPQKGEGGKKVFRGDHEMSNDYDDGHVRKADEELKQSNPDI >PPA17824 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:43568:48223:-1 gene:PPA17824 transcript:PPA17824 gene_biotype:protein_coding transcript_biotype:protein_coding MASIQCLNPKAELARHAAALELNVSGAKGLQEVMKSNLGPKGTLKMLVSGSGDIKLTKDGNVLLHEMSIQHPTASMIAKASTAQDDITGDGTTSTVLLIGELLKQAENYVAEGVHPRLIIEGFEWANAKTLQLLNQFKVAAKADRQTLLEVARTSLRTKLHHKLADHMTECIVDAVLAIKTADGPPDLHMVEIMEMMHDSDMDTCLVKGLVLDHGARHPDMPKHVKDAFILTANVSLEYEKTEVNSGLFYKSAGEREKLLAAEREFITRRVHRIIELKKKVCEGNTKGFVLINQKGIDPPSLDLLAAEGIVALRRAKRRNMERLQLACGGEAVNSVDDLTPEVLGYAGLVYEHTLGEEKYTFVEECKEPKSVTLLVKGPNRHTITQIKDAIHDGLRAVLNTINDGALVPGAGAFEVAAHVALKKSMEEIKGRAKLGAQAYANALLVIPKTLAQNGGFDAQESIVKMVEEREACPEIAVGLDINSGEPAQPTGIWDNVVVKRNSLSSCCVIACNLLLVDEVMRAGMQNLKTPQ >PPA17900 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:547974:554953:-1 gene:PPA17900 transcript:PPA17900 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRRVLLASMRRGRVAAPAPTAAGGCPRYASSTEVTSDEFRLKEYGQKYLGYRKAAFTESLEIVDPARDPAFPIYRVTDATGKIIDQAQDPKFSEEHALHMYRTMTQLNIMDRILYDSQRQGRISFYMTSFGEEGNHVGSAAALAPNDLVFGQYREVGVLMYRGFPLEQFMHQCYGNHCDIGKGKQMPVHYGSSEHNFVTISSPLTTQLPQAAGAAYAFKMRPGNDRIVCVYFGDGAASEGDAHAAFNFAATLKCPIIFFCRNNGYAISTPTSEQYGGDGIAGKGPGYGLHTIRVDGNDLLAVYNATTAARKLALEENKPVLIEAMTYRLGHHSTSDDSTAYRTSEEVTQWGGIDNPIVRFKRYITERGWWNEEKEKAWQAEVRKKVLTNLTSSEKEKLAHYHEMFEGVYKTVPEHLRRQRAELDEHIKEYGSHYPVDRQLTN >PPA17921 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:661005:680820:1 gene:PPA17921 transcript:PPA17921 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-npp-10 MFGGKPAFGASNTSFGTGTSLFGQNKPATSLFGQPQQQTQQTSLFGAKPAGTGLFGQSTTNNATTGTSLFGASTANTSGGLFGQSKPSLFGASTGSTTFGQTSTSLFGGTTGAAAGGTSMFGSTAVAAPNGTTVKFEPVIGQDTMQKNGTNSQISTKHMCITAMKQYESKCIEELRVEDYLAGRKAPAAGTTTGGGLFGSTATPATGGLFGSSTPQQKSIFGSTTAAAPAFGATAGSTSIFGSPSTAATTGTSLFGKPAGTSLFGATTGTTTGGLFGSPAAAPAATGSIFGQPAATSTFGQPAQTTSLFGASAASAAPSAFSFGAPATATATSGFGTTSVFGQPAASAAGTTSLFGAKPATTSAFSFGGGTTTTASPFGATATQPAGTGLFGAKPATLGAFGAPAATTGTGLFGAQPAAQTGTGLFGTTAAAQPTLGAFGAQPLQQQQPIVQQVAAAPIELVTNLNQAQMEMALINAQLGASPYGDSPLFKMREGQSKDSDDKPNPTSVQRQLKFLASKKDSPLANGGASGGLGGGAGGAAASAAAKSHLVSKVLDVSVGATPGGCRSPLTTKDLVYSPSVLPPTLGRGLRSGTPAAAMHNSSMLNHSRTERSMMKDGVDASIEASLRGNGANRLLAPQSARTNLKHLDASALNEVYSHAGSANRSALGGATSAAATTRDPDELPRREGSGNVLSPLQTGPADGLHARNATLHARHPPTLNLDVTSETVQSSPARSPSGQKENAVSPLAASTTTTTSMTSSSARSPRLSIGAVREVPGTGVRIAQPDYYTIPSIKAMRDMKVLIKYMVRDGQVVLPDGLTVGRHTFGTVFWAGRLELTNVTLDEIIVFRSKEVTVYPDETIKPPLGQGFNRPAEITLERVWPVDRQTKEEVKDAVALVNMGWREKLEKTTLRMDADFKDFRPVTGSWVFRVKHFSKYGLPDDESEDEAEGGQSMKENLLHDRVQRAKVQLAAAAAGDGADAATGAAPAALPQRTSLTAAPSEDEVMVDEDTAAIRRAQQGIQALAGRFAATAAAGLGGDSSYLASPEARERAARGSRVTLGLDDILISLEGEGGEDGRMDDGDWRTTAVPDKKIKMETIDMEMYEESHRLRTQLLPRVHPRGRVTGTMTLTKREPVRAFEAHAPAAQSFRVPLKTRLIDETSAHGATSRVGWAAGGLSVQSLLPGMNAVAVGMRMFGHEYNQEYIADMFEHNQALSAVITRHRSSSNRAKHCELEEEAPSAPRIKPTSNYAQLIHSFAATASQSGRAKEKRPLFPGRSPGDGVGVGQTGGSGRVGEKDLVGIVWEWDRREAVGAWARKALAAEPSSAFKSITGRGATVWKQLARGDLEGAITAAIGENLNVLASLLSVFTLDPEETMHDFKRQLDEWQRSGMLGKMPLPLAKCYVTMAGLTQLGQVNCLENLPWQAALGLCGLWYSRRAETLDACVSRVVAEAAAGRARLPSLDVHLELMRVAVDRTRSIERVLDAAIEASSAPNDFHLAWHVWAVTRAVGLPAMDECAEQALHVQYAEQLVTAAALPHAALFVLAHIKNAQCRNLAMREMIDRCALTRQPLDHGRALEWCRVPAEWIEWAKYLEAKMEGDVHAQCERALRAGELALAHRLYVDEVAPELLVTDQLEALDDLAQQFEESVDRMPAWGTGGQLYTHYIELKGLMIRRSLVQNSEEESLDELLRLRDEICFRLKTDERCTPIQQIAMETIGREMTELGQKLRPETMDAVPLNTKSAPEIVDADISMMFE >PPA17887 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:482072:486371:1 gene:PPA17887 transcript:PPA17887 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-grp-1 MRTMRKRKADLLNEIESIKGELHSVEGELENLYYVDDLSKERNSMLSSGRKKFNQDPEAYVDDVQTRNLRSCIDIIIQQGLSYLYERGILERTPQSVASFLYQGGGLSKQTIGEYIGSNEPFNVQVLEEYVKLHDISNLFLVDALRMFLWSFRLPGESQKIERMMAKFADHFHTSSTRDGHAFDCPDTAHVLSYSCIMLNTLLHNPAVKDKPSLERFVAMNKESVQQNGVSVETIAQIYDSIAKQPFKLPDEENSRSHEPFIDSTREGWLYKQSSNQAFVVGPLLWKRRWFILSEGCLYYFDSSIDRAPKGIIPLKNVGVRRVEAPQRPHMLEIYALSPDDKIKACKTDQVGGRVSEGRHSNYRMCAASQDDLIGWIEAIAAQCQSQRA >PPA17832 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:101235:101550:-1 gene:PPA17832 transcript:PPA17832 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLYRGETTRHHADVVDFGKRMKDGYKVQYWALLYFERPHGDSRAELM >PPA17839 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:187267:189365:-1 gene:PPA17839 transcript:PPA17839 gene_biotype:protein_coding transcript_biotype:protein_coding MSTANIEEATTSCPLRPKDQDAELQRAIAEFEHLYTVDNFNRVACKVRPLSATAEKLVCELIIEAEHGTLHGGFSASLVDIITARAIGVTVKDKGMASVEIAVSYLLPVKQGDRIEIEAKVLKIGRNLAFTDIEFRRPDGKIAVKGKHTVAILPNDPPVTSSGRCKNFFKISHLRV >PPA17859 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:290842:292862:1 gene:PPA17859 transcript:PPA17859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-243 MSARDAISRLNQVAAANEERLKKNPFSDTYSLQNFDTSAEDYGRPSTGSKTEARGIRNPRPAAWATGRVSPIWIIKRRTQDGFLSAQAGIHVCREILYLCELIDQNAEGFEPNRWIKFGKLFVIYSYYSDKLVGMLIRARKYGLLHFEGEMLYQRQDDNKNITLLYSLKDIRERMKPSGDPKNCVVVEGPPPVLPPDIRAAKEAEEAAAKQRRMSGAAGFAAISQSKGAPPRKDRRPLDPAVTVPPPPPSFCMSFVKKTD >PPA17841 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:201009:202266:1 gene:PPA17841 transcript:PPA17841 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSYAPLSNGVSLTSSVKADEESVYSWISRIDSLDLHIDNVSSTRVNYSSQAMGIREEEGSRGHPSQTS >PPA17930 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:748674:750967:-1 gene:PPA17930 transcript:PPA17930 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVIGEIPSIPRPTNFRQSTLYPAIFDAATCSQLLRMVEDERAIARSLHEDLDATRKMLLDRDAEVHELAAQLSEARVSLSAEYTRAELERGRADETAAASHADTTAYAGALDDSIQENGALRARLADTLKQCASYAAKLRRLWCQSGKEDDVGKIYAVYPIVKIKKTMKTHTIT >PPA17880 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:425504:428710:1 gene:PPA17880 transcript:PPA17880 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSLLQTASNNFRIALNPLALGSILQGDVQFWTRVPACSIISSRTGHSQPSVWLEPVLQLTIAERGPFLAECAGCKQETEPEQLKRVVPQLAANIKSEGLRKVLTYPLVVSFNKEIEIEAISGSHPVPAFIPIIIHTASLLRGVHSNELLEEYGKLLRWLPGMDDVDVLKIAVHEDSSHFRHWEAAIEGVLTIIHPKNSSKNLTCEELSSLVPALGRLCDTGESTVVIENVKYEDFVDFLRVVYPSQAPISDTNFKALLEFAKQYSLQDWVASERRSWDDRPQISVILEMPEYKSMSDKEARELFEAVV >PPA17822 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:18989:26051:-1 gene:PPA17822 transcript:PPA17822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ddx-23 MKDAVKARYLGGAREKRKRGRRLHERKFVFDWDAGEDTSNDYDKLYKVKHEVQFFGRGSIAGVDVNQQKKERSSFYSTMMEERRTIEEKEQEEHRIVEAKKKQAKQAHDDRHWKMKELDEMTDRDWRIFREDFNISIKGGRIPKPIRNWNEAGINDEVSSVIIKVGYTEPTPIQRQAIPIGLQNRDIIGVAETGSGKTAAFLIPLLTFITSLPKIERQEHADQGPYAIILAPTRELAQQIEEETNKFGELLKIRTVSVIGGASREEQGMRLRLGVEIVIATPGRLLDVLENRYLSLTQCTYVILDEADRMLDMGFEPEVQKVNDPRTRKRQNTKPKYIHRVLEYLPVSNLKPDTDDAENAEALMRAFNSREKYRQTVMFTATMSSAIERLARAYLRRPAIVHIGTAGRPTERVEQVVYMLSEEKKRKKLVEVLESSFEPPIIIFVNQKKGADMLAKGLSKLGFNPTVLHGGKGQDAREYALSALKDGSADILVATDVAGRGLHP >PPA17867 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:334214:336240:-1 gene:PPA17867 transcript:PPA17867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acr-24 MDSIGGEWLRLYHRRAHNFHLETKHRFLHWIDRKHDGNVAGTSELGWLLSNHCPMDMHNFPFDTQICYINITTWSYTNSEVFMQPVQSIDEANGTNSTNGELVVTAVYGFTDPKNWDLKYVLYLRRRPTYYISVVMIPTFIAATMCLLGLFIPRVNTGTRFTKMNLSLSVLFFMYQILGTVANDLTKTGYLPNLASFIFTELMVCSVATVVSVLILVAHYYYTVKSQKQPPSWLQLVSCIKRSKIHSTSSTKKSSVEENWATSLVQDSDRSTEGLYKEVAEKSTDHIVTCSTDKDRIPRIKNNI >PPA17840 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:193546:195921:-1 gene:PPA17840 transcript:PPA17840 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIIEETADAVAEYAARYVRRKINDFSPSEERYFVLGLPTGSTPLGMYRKLIQFHRAGTLSFKYVKTFNMDEYVGLPRDHPESYHTFMHHNLFRHIDIDPRNVHILDGNAADLKKECDGYEASIKAAGGIHLFIGGIGPDGHIAFNEPGSSLSSRTRIKTLNADTIQANARFFDNDLSKVPTQALTVGVATVMDAEEVMILITGAHKALALHKAIEEGVSHMWTVSAFQMHPTTLFIADEEATLELKVKTVKYFKGLMELHRRLVE >PPA17855 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:268726:269812:1 gene:PPA17855 transcript:PPA17855 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEVGLLSKMTSSIGTNQALSPFVSRSRQQGAQNGWIGGLSSILSPILSAFSPFSLHSIDRGDRVAVRVPSLAILRHAPNGKDSVLWEVQSARLPLHRSSAPLMESSTASDSTRGFGHGRAVEQLRVAWNRDATALLICVSSAPFKRALRPPPSSSRKQKKSKPPHAP >PPA17885 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:462862:468348:-1 gene:PPA17885 transcript:PPA17885 gene_biotype:protein_coding transcript_biotype:protein_coding MDISSERTLAVSRLSMPICQLKSLLEQNTPRDENEIEETIWKASVNIRDYRRRVLIIRAVMTEITKRVANAVELQGIIKWNLGPKGTLKMLVSGSGVIKLTKDGNVLLHEMSIQHPTASMIAKASTDQDNITGDGSTSTVLLISELLKQGENYIADGVHHRGIRMGQFIEGFVWANAKTLQLLDQFKVAAKADRQTLLEVARTSLRTKLHHKLADHMSECIVDAVLASVERLPGVKKVKKMADGIVDAVLAIKTADGPPDLHMAEIMEMMHDSDMDTCLVKGFVLDNGARHPDMPKRVKDAFILTANVSLEYEKTEVNSGLFDKSAGEREKLLAAEQEFITRRVHRIIELKKKVCEGNTKGFVLINQKGIDPPSLDLLAAEGIVALRRAKRRNMERLQLACGGEAVNSVDDLTPKVLGYAGLVYEHTLGEEKYTFVEECKEPKSVTSLVKGPNRLSFIQVKDAIHDGLRAVLNTINDGALVPGAGAFEVAAHVALKKSMDEIEGRAKLGAQAYANALLVIPKTLAQNGGFDAQESIVKMVEEREACPEIAVGLDINSGEPAQPTGIWDNVVVKRNTLWTSFSLARSLLNTSLDQCYFRQIRLMNTPAFNDGFNACASPIFNLADSFAASFCPLALNDQKF >PPA17925 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:715068:720100:1 gene:PPA17925 transcript:PPA17925 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLLIIDRWISSLQRNNVAVIKPSTKQATVGGGGGPRESTATTISDLNSGDIKYGSMTLHLKDDLPAQQAFITKSREQMDDSSRPADQTRALQALYGMISEGSFTLWDANFKPLLLSVFGILSDASVCDDGMRLSLKLMTKICLAQAARLNTNAEACVLKVLDVGANGDWGTQVKVAAEECGKTLAVHLPPQVVIRVLNPIVEADEEGERKAFALKMLPALCEHLSAEELQGYLPDVVPGVVQAYNVGKESSVRKAAVFALVALHNKLGHTALQPYVAVLEPARLALPHAYS >PPA17830 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:93838:95885:-1 gene:PPA17830 transcript:PPA17830 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQSPCPRCYVMIDNLDEAKVHIERATCVQIGVHACEVCAKRLASKWTLKKHVERHVEKPRCVFCSNRFNSIDELLEHNLLSGHMYRRFYNESREEKTMLDGPEEAGAGAPEINELRKLRRQEQKDVIRNKEAAHNLASVVAALEDVNAAAAPHTSEEQPTAKRRKMNRVDDEAANAVASLMELDDQEIHQQ >PPA17835 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:117092:152632:-1 gene:PPA17835 transcript:PPA17835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-let-805 MQSFDIDEQSPNLFLLQEREKMWQVHAGIIPYKGPNHQYRVQIAKLPTNTAYFVRIKVLGSNNDVIVETPEIRARNEIVTYNCPSDTLTAPRSPVVSKSGAFSLAFEWEEPECGIVGEYQLELIGVTAPFDLHRQTITKPTVSITNLLPGSVYQFRVRAADRNRVFGPWSEMLAATTEGHTSPMINDIRVVYQSEGEIQIEWSPMEHEKLQNYEVMLTEAGGDSTDGEPKRVERVRLPSGTTSFILSTLKPNTKYHIGVAAIVDREPIRLSSIDTTTGTQPVKDLEQDMTVTEDGDSMYSVHWSLPAHLTADHFVVEYRLPNETVWRSGETVNIGSGHQFKSSLHNLASVSVFGVRLTAVDKDGNALARTDETTVGKAASTSCLGLAGLPTEVSATPTGTGTIYFSWRKPDCDETAAPIDGYEYMLWDSSSTPPQTASYIGNTAVTRDGLLPNKAYSFKVRSRSGNGHSVWSSLVEAETTASSVNDSNFYNLRLVLHPSSAFLSWMPLVSSDHISHFKLAMKEAGATRWIRIVAAPQYFVCPNGIGTTGSYCHRLTAVFHGVHYLARVTYNTTNGVWSAHGAQLHFSLVESAQTGAAAIGLQLSQPRIEQQGALTVVYWTSQGYSTTVNSYQVEIRKETEADWRKAGAVIRNDPIPLSPDNVRVERVSAETVRVSWSAPADDDACQPFFTVSGTIDGRQLQRRVPGFERSVEIDGSGKDYVLEVRMVNIDGSGPASAQTRLIGNERSFRLKRSVCDPVRDFWCDGYGNNQQLNLGPAGTGNLRRVQSSCGGAVRACPLCSTPRVSARNGDLVVEWRSEGDGRGVHGYRIQYRTDQSGWNPYGQLVPYVGDEKEYSQTLTGLQRGHNYYMHIQVLDRNSYVMYTSPEASATSACSAPTQAPSHIAVEAPDASHVRVTWALPPQSGWGCADITFEIQVEEPRGLHPSPQRVAGGSTSHVFVAHPGQQWTIRMRTVNSAGHSAWTAPATVKTPAAGEAIVSPTVSHRQGVPTVMWQSKESHDDLIESFILEWREQGEENWRQHTNALPYTGWQRPYSMDLGALPKGKTYVVRIIAKDHNRANAFVSPSITIQTEQQCTPPRRAPTNLQVAPLGPTQIRLTWAPLHESEWGCDRLWYLVKYATARNSGTRNLTAGENSVVFDSDPFTKWTFEVKSANPAGESQWSRAQSSQTQDAAPGPVSGLRVSAIGSDSLQLSWTPPAQPNGHVTSYEITYQLTSKGMCEEVREQPRTVSADRTSFVLTGLQPHSRYRVGVAAKTTMAGERVAQEAQTEQSVPTAAPTYTRIEETTESEAAVSWQAPPCLQTHGEITEYEFEAVPLDKPVHRTDYRRYPPNTNTVRGSTRTRITGLLPGTDYNLRVRAFTTKGPGPWSHPTRFQTAAAAASSVPPLVKVVGTGADSAHLLWHSPPSGQPDQYNKFKCRYGITGQQQRQEQVFPAQSPCSQELIRRQQVPPPPPGSRMHCGRIDRLQQNQTYDFQVSACQRNNKCSPYSPPERSRITEGPVGVETVYKISGTDKSLTVGWRVRPDDVSRVTAFKLHIMPTDGRSHPQTFSLDRSTYQYRIDNLSPSTHYNVTVQASTNREWCGGTSTLMATDAEALTAISGAPRVIAEMPTSVTISWDNHNRNAGSFIIEYKVDGGAWTEHPRRVQARPGQTTYEGIVDGLPTNTVVDLRVKVVSTRNEHSPPSPEARARTKCSPPATPPQGIRVDSPTPSEVRVSWARPAKDSWMCDQLNIEIAYKVGNQPEKVVPVPGEVTDYTFPAEPNQRWVIKLRATNQMGSSPYSPEYTITTRQGMPGAVRDLRVKALGPNEVRVNWLPPAEARGQIVGYDISYRLKHRLACPEEEPRDVSRDFVTVYNHKDVDYTLTGLLPYSLYEVKVRARTTELGPEETKEVATEQQPPSAPPINLQLSYALERSLSFQWEPVECSQRHGHITNYEYEIVGQDDWAKLERQISNTSNPKITIDGLTPYTKYVMRVKAYNSIGGGPNTENLDVRTAKADAPLPPQDLVVAQEGTEFFMVSWLPPYPPYGPHSHYKLRYQLLGTDGWNEVEKHVEDPLIACPGESPRFCYNVTELDPGRQYKVQVSCKIEEGSYGPWSSIVIANTLQVLPDAPRAIELIERTDHSLHIRWLPPLDPQNLITQYRVSIVSLDDVNDQKRSHLVDHPTLTHLFENLQPETSYNISIAAGTKRGFGPEIWTRYSTDLFKVPVVFTAPVVTPDGPHSLDVAWSAVSDSNNRIKGYLIEIRNSETGQWQEIGGMTPHEAGKNRFEKKLTGLDPDTLYFVRIKVVDKSNRTSGPSPEAQGRTGCAAPTAPPANLQLASPNSGQVRATWQAPAQGSWRCGLIRYKLEFVDGSNPRATLDLPSSAIEKTFDSKPNTKWTVRIRTENDAGQSPWSNELTLTTAEGAPGPVTGLKAQPDGPNTATVTWNPPAEPNGEISGYTVVYNLKSLGECGPRSAQPLQKHAKTPEVSLDGLLPDATYEVHVIAHTSLAGPRSEIITFTTEESAPTGAPLHVKVGSVTANRADVTWQQPECEKRNGKITAYEYELETQDEWGENKTDTSRSERVTFDALVPFTRYRIRVKAQNKEGDGPFSDWVAFTTASSAPGLPTDLKEEGTFPHAIEVSWLAPTPPHGVIDFYRIRHTKSEQLNYKEVRVETDRLLCSEARNRDRLCYRISDLDPEETYTIQVAAHTEGGAWSDWSEPLDARTQQQNIPVLERELTIIETKPNSITVGWEGLPADLAQHVVGYVLEYKDADAENWQEVESGIVRHRATNNNYKVPVRGLDTATEYFFRLRVVGKNDKRGQPGPELRAMTNCGKPEEPPANIKMESIDFEKVRISWDPPAEETWQCDDVEFVIEYVNTTGRGSLVVPTDANNEMVIDTLPGTKWDIKMRTQTVEEGEKPQQSRWSDRVTLTTMSLPGELFVKVEPKGTKEALVIWDLIDKSRKWDYGVDISYRLKSLGGCSEQLTGAQEPINVLNVQEKEHMLTDLKPGSTYEITVTPRRPPSLPSSIVSPKTVRTFTTGADIPTGAPQNVQSTVRKDTELGFKWEAPECAAKNGNITQYEFELAGLDEWNEGIKEGVTPRLNTLINELQPGSLYSLKVRAYTAEGPGPWSDPLEIRTTGSELGPPRELTAVQTKSTQIQLTWLPPYPEKAIVTAYKLRYSPRADDSNPTEIEISGDDLTCSGYKSPMLTSDNLCTTVKGLAPATTYRFAVQGRAASGNWGEWSSDYFSTTRKDDNDLLGGSLKLLSAGSDNLKVKWTPPAVIGDKIDSYQLFISVASQLDEHPNEHGTPGAQTDYHFRGLQPVTQYNVTVEGTAAGSKLWFISNVFSTTDHAEGLLDWLTAPTDLRLIEKSETMLHVDWVPPEIFDEEKRELLTHYRVTIAPYNHNTGKTGPQKNYTVPVPGNSIKFEGLTPATIYNITVQGGTSSGYGHVLWGVYSTLAPGERHILKLKYRTPTSLHVEWDPVWGSSHRGYILEATQLHSVFKDSKNGVKKQFEVEAKATDFIINGLHPSTMYNVTLRPRDQQEGAWGAYATLPPGWFTVKNLKQCDKTNFAVSLSWEPVDQNQGTHYQVRYLRLKDRDAVWQEEAERETKYLLCPKDGCGRHCYLVFNLPAAPGEYVFQVRAMVNGQWNMWKSSAKQVVSEPTENLFCNHGISQIRKSCCIVPPPYFVENIGATGTHWEIDVNPAHTDTNIQRYYVVIDERDPAGSTNWTELTDKVTANKLGIPYYVAASYNAETLPGPSKVKIGDGTVIGGYLNYPLVKGKKYNYEVYTLWNITGDPLIGRLRVDAYEVAGWPWWWLLLLLLLLLLAILLCCCLLWCLQGRYRSRKEHRRMTTNGQHVPLLGEEGKIGMESNLRSLDERLSKMRGDVSGGPQAKGEFEAAYVRGFNDATKYKSGSAAKRRLDEDYGDRDDRFREGYSKGLRDAGMHGMSTSMYNLAQRGNTPGFSKGFMEGYKHGNSGVFGDRTHYEVTTSIVYDISGPRNNGKKLRPDEAEKRMRERNDILPAQRITFDKIRNRITTLLSQKKEHQRKEHGNRQRRYVKLIDDFERDLAEEGISLDDIEEEVDLERPLDEDDLIITSDEMYELYEKSDRKLRQEDFKQGYIDGFKEGVAHRTGGGRFEDSRRLQQSLTELTERLTSLEKTRGDEIHSTKIYHVYNQQGEGAGFSATGAQLAQELDEMNSRSRTSTLRKHYTPGDYLKYASEADYNSLSRRNRSLSESRLTAARDSSETRESRSRYASGMADSSYITRSTMDKSALGGGASDTYARRYNYRSRSDVGSPRRYASQTLLDGARPGPSTPHAKRDAIFTLQRELDTLSRSPDFGGSQRGYESGGAAYDTIRSRSTAAGTTGAARGFANYDYESSFTGTGAAGAGAAGGSTVTTTAVRYPASTTVKAGAVAESAAAAAGAAARGTYSHYGWPSSSSSQYYHGEESWTDNLINIVHEPMPMTMDRIRKYSSSVVNLEAEEAAKEVVEEKYHSSYKEEHSTSGH >PPA17942 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:867352:868829:1 gene:PPA17942 transcript:PPA17942 gene_biotype:protein_coding transcript_biotype:protein_coding MRARVFSLRLTPPELQVNCVIDLHYLMIDSLGSGVLCSITAYCNDEGSGL >PPA17845 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:220355:226066:-1 gene:PPA17845 transcript:PPA17845 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGWLPSIFGRRRDSEREDEDSSDSPRPAAALIKRSKSKSRESNRSGSSIEANEALLVEKWTVACLNSVMLPTHQELSNVFAPLPMLALFCIIWMGVTDEVRKHMKAVCGIDKKSLTDFAALLTRIIDVLNKDSLLVYRVLTTPDQIPTIDPIFTTKLQKRFGRRALIPADRDKQRDYIRTNTKRMITDLSIPSSAGLLGFAAASVTEKWQAETRPYPDRPFALSQREKQSHECFEMRGVMRILREEWIDSCLLPFRDSPLALAILRPSERSGIVRLRRELTARELHETLKKLSEQKIAVGSSIRIDERGVNSSTEERARPRSTSTTSSSTHSYYSAAVDISLDPNFNLRLDSPFLFFIVHTETMAPVLAGTYAGNAVITPYTRSVSVSKSKSSKSFARKSLSVISRPLRKVRMSSTKSTRESSNSEDKKKNEKPGWKGVPKCEECEERSKKSGGRPRESGQKVAATPAASAASATAAAATTVSSTTSQKSARQPKHESVRETLKETHRSTISAGRATTYAAKDGLVKAAQIGGKKIGIGLDKTKQTAVRIADKSSEKAKRAKSIVSSTAKSFGQAIKKSPIVSHLSRSRSNSVEEEIGGKTATREISESSERAPIQVPAKKESSACSSVRITSARTSSTSSHKSSDIRSMSGNKSSKAAVETSKPIAVVPTAKPIVASTKSVETSFEASKAAAKSAGKDVARKVKKGFSKAKGSMKKLFGKIVKRSKDEDNSGE >PPA17879 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:424305:424856:1 gene:PPA17879 transcript:PPA17879 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTTIPGHKLDVDSEDDLPEWSINPGILVADAAKDAKLDRIKPGHLPKIAEYVISMKFAS >PPA17833 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:102038:105228:-1 gene:PPA17833 transcript:PPA17833 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYEMEHGADDEMEDSGDESIDDHDDGATGRVYIPGISRALKRNEELEFDPNAYKLFHSFETTRPCLSFDIARDALGDTRTEESGPLECYLVAGTQAEKVRDNEIMVLGLKNLVGMSHDSDSESSEDSDDEDAEEEKSKKETPVLHSVSIAHYGGINRIRVNKLGDATVAAVWNDQKKVQLWNITGALADAQSMTGENRNSKMAKENPLFSFAGHRMEGYALGWSFLTKGQLASGDNAKSLHIWHMREGGSWVVDSRGLSGHTGSVEDIQWSPSEAALLMSCSSDKSVRLWDSRVSGKVGDLRPSLDSEACVCVVNNAHASDVNVLSWNHHDSLIVTGGDDAALHVWSLKTIQSGQPVARFKQHTGPITSVEWHPTDTTTFIATGEDDQTSIWDIATETDTTAAGEADEEMAGVAPQLMFLHLGQKEVKEAHWHPQIPGLAMSTALNGFNVFKTINC >PPA17849 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:250377:252058:1 gene:PPA17849 transcript:PPA17849 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSGADDSDPPENDRTGPEERTGTGPDVGPKESRKERRKKSSGTEGKDGSGRRGSGWREKKKFLYKYIKKQTDFKYRDTYFPYKFNDLRRIIGLAKQTFQEEPSLVECSPPAVVIGDLHGQYYDLLRVFNFFREAKGKKGDMKPGWLTQRYVFLGDYIDRGKQSIEVITVCFTLKIVFPNHEQAEKLFAEFNEAFTYMPLACILGI >PPA17932 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:758978:759512:-1 gene:PPA17932 transcript:PPA17932 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCAKDAKRILETIEAEIHSTGGLIERVLYCLTVAECGYQIKQCAIRDKKEWADKLL >PPA17928 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig39:732981:737461:-1 gene:PPA17928 transcript:PPA17928 gene_biotype:protein_coding transcript_biotype:protein_coding MILRFGVQPDRLSEEVGKTICAISTHEAEKEKLLELASAEGQDDYIDYVTRTRRTVAETLRDFGHSAREIKPERLFDILPRIRARAFSIASCPVTHAGRVQILVAKVQYKAARMAEPRRGLCSTFIARLQQGDEYPSASGSCARAAGHLPVPGGGAANRRRGSGNGSRPVQESDPVEGRSVALECLDAVLRLPREGQGRLLPATEDKLIDIRRAEWDELQRAHEGTVVVHTAYSRDEEEKAGARRYVQHVMVQQRKQLQRLLKDENAWMFVAGSSGDMPKAVTEALDLIGKEADGEEGYAARLEKEGRLQFETWS >PPA17871 pep:known supercontig:P_pacificus-5.0:Ppa_Contig39:353966:361653:1 gene:PPA17871 transcript:PPA17871 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-80 MVFSTFERVVVQNILHGLSPSLSDAISSVTRWKLCCGALLEASGGQRPLSNSIQKILYILHWMILDSAAECLESEAEKKLVSQQSVEEKKDENRLTSVFSVSSMQLFVYLITPLIDVIKPEDVIDNIRLENGIGIWSALWQYRTPDVLCFCAPVKQRRDAPPFRVPTANLFQTSGSPGVERSNGALKNLKNVAGSPPTVHLQQSTGIYLGEDEPPKPRRSSVIPPPKPPRSNPAVQEEMRRKEEERRRIEEEERTKEENMPPPGLPPGVPTIAPPVLIPAASSKPIVRSVSEYRTSELAGNIRNKMHKSKTTAFDSSPTSSTSSTQPNEMDCGLTTIIDEQQSLSSHIQETMVDVNTNICRLFAASDDAPLVQLHDICSMASMEASDSRNGEYSNKREIMCEGCNQVLQKDGETMGTCTCNEKKTSQYGPQPPLPVIPPLVIAQRASGDETQSSSSAQTVVPQATLSTRMRSDLTTSTRRKDDETSEELLEEVEEYPVDPTVATYLDVAVLRALLIRQWSEEGVHWGLRFLQNRLSDVQTFLTEAERRPRSNSLPTIKRNREEHTADPSMLGVQHNTTWSDLQPLADSDTEDKRSIGAGNTGKLHVAFKDKRSYPSLNNSVELLSMREDSPARSPGGLTRQEPANKFYPEAIGCSNFIERNGKISFTVIVQVIDQVMERSPVVRLCEIALNIADILLRTPSRQSTDFFAKLTNMVFRIYVSLGCQHGCNDGARNLLATLERHDSVAFRALLIEYVTEKQQLQDTLDLLHAITAFCRAELPGDSGRRPSEMAKAPSYRNAFNEKDKGIEGRIIDAVLKALITKLSTFQDVRVLVAFIAEQHGNPMRRVALSALLEVAKCVATTPSSNNGHTTPRHRLSKSSSVFSTSFNNDVDGTSFPGLSVAIPAIAFHQSIE >PPA17958 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig390:18501:19341:1 gene:PPA17958 transcript:PPA17958 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSFLPCQTHSDYDSMTLEVKSYTQGATYTLVALISNISGHAGLWLGMSVVSVVELIGLIFMCFNALFCGRKFNLADEDEIKKELDNSERAKARQSPR >PPA17954 pep:known supercontig:P_pacificus-5.0:Ppa_Contig390:3033:5985:-1 gene:PPA17954 transcript:PPA17954 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKASWSERFVAALLCKGDLADEELDAEPCSTSKLFRFASRWEKFFFTIGILCAALSGVLMPLNTVFSGMVADVYLKAGNSAEGDDSVLDSVFVILYLYAGTAALQFIFSLIQQYLLLSATNSLVNKLRCEFVSAVLRTEASILDATSAGKMSSLLNENVDKVKDGLGEKIALVVRGMGMFLLSIGLSFFYNWKVTLCLVPLGPACAVVMGLMGKYTSSSLKEQMDSSTTAAAIVEESIMNVKTVAACNGQDDMVKRYSSFLSTSSRLGAKIGFTNGFFEGAFFFIIYLFSIVSLLIGVPDVHNGGAEAGSVIVAFGCILLGTVEDNRLLKGEWWTTMEMGSNQVYVQATAREQVEEHVEKLGGSVIFENVHFKYPTRETPVLKIGLLSKFYQKSDGQILVDGKDIGTIDKNILRRNIGVVSQEPCLFNGTIRENITLGRKWRGEGTEDERIKKVIDIAQAAHFIEKLEYGLFTKLGDGAISLSGGQKQRIAIARAIFMDPSILILDEATSALDVQSERKVQEALNEASIGRTTIMIAHRLSTLKNAHVIYVIDKGIVAEQGSHDYLISLGGLYARMAEKQSLCVEDEKKTKAKEE >PPA17956 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig390:12546:14456:1 gene:PPA17956 transcript:PPA17956 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFKFESHDATQLLYDEDKAIEPKKKTAFVGSVDRELIKKLCEINCTDCKVFLIEIDGTPKTDLFGNLFCAACTDVSNRVVFDNTMLKDFYDAVKCSETKIGYRCTFHNEVNESCNQLHSMHNLVSQRTADTGSDHVKYGCVWHARTTQDHKLMLVDPEKDPGGDRTGHFASLDRFQRRKFACFYHCACCSTLLQNDTDDSPSRVPSRDPVLLECGHIVGSRCVFKVLDKERTVVYCLFCDKEHTKIEGRNITTPSTLLLPLLGLNTRTCALRCKLPHPVANIVNITKGCRWCTLEKENKMGITETINYLWKFSTNKLINGFTIIIQRGTVVPAQNRNAIDAP >PPA17957 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig390:15241:16748:1 gene:PPA17957 transcript:PPA17957 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVIDTNGTYTEIAWLLRQYELIEQNKTTADDYGLANDEPRQHRARLMLRLLMAKLSDTDRIADGYSFTELVTECTFDGVTCTSSDFTPFLHPEYGVCFTFNGERTISKAGKTEGLRMLMTVNSAHSRLEKPYGNCTEDGFAVAEYYGNYTYTLQSCQHACLQRMASEKCGCVDPLYPKIANQTLCSRYKDATGTQAQRGILLGEQGGGRQGGGSDDSDDYENPVTVNWLSGYIDDAPH >PPA17955 pep:known supercontig:P_pacificus-5.0:Ppa_Contig390:8252:11878:1 gene:PPA17955 transcript:PPA17955 gene_biotype:protein_coding transcript_biotype:protein_coding MSKESFVLKNIHLSDVNSDIDLGSIEGINELKEKQPELKTILSFGGYITSQHGTFSKLAADPGNRAKFAKSAWNLVNRYGFDGMNLDWEFPERTDRSNYVTLLKDLKETSNGKLLTASVSSESKKIDTVYDAEEMKLYLDLLLVMTYDFNGSWSKNIGHFAAYDIATAGMDYWANNGFPKHKLIMGIDAYARGWRAHQCVIGYHAIGASPKMPFTKEIGYASLFELKRMEGESIIETPEGPFYEVEIDGENVCYGFENKESILRKMSFVKRRGFGGAFTWKIDNDDEHFTVHRAVLEGLGIQLNNSSRKLRANSNPHKYEECVHGSWMIRDAPSGTVFNPSTGGFSQASGGGGGHYTRDASPSHQPTQSSDGFQYKAKRVSLDKDCLKSSVIAHIPDNLFNHEILGYVKNEDAYENCRCDLAHLPARGGRRGVKGLRQVLKKTIWLEARGESKEGQIAVTHVIKNRARANQPGFGGGTIAGVCLKHMQFECWNKQKPSDVHPRGEGYEEMDEWVKGVLEGRIADNTNGALYYNNPDKEGYPEWTRNVNRGLKIGNHQFYTVRGGNY >PPA17953 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig390:566:2026:-1 gene:PPA17953 transcript:PPA17953 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEGYFENKYEQAQREVKGLTRKVTIVQSLIYAFTQSSAFFFGLIAFAAGAEYVYNGELTPLNLYLIGISIEFCGCCLSFINPTFPDLMRANTAARILYTYFDLPDEIDAGDDKAELSGEFTVTNVNFAYPTRPEHKVANQLCISASSGESIALVGASGCGKSTLIGLIERFYEQSGGTIKVDGIDHRRISMHNLRSQIALVGQEPVLFQGSITENILLGCDGDLSLDDVRSACAMANASSFIQDLPQGYDTNVGSKGRSLSGGQKQRIAIARALVRKPKILLLDEATSALDGESERIVQEALERAAAGRTSISIAHRLSSIKDVSRIYFIEEGAVIECGNHEQLIDLNGKYASYVKAQSLDQN >PPA17959 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3906:83:885:1 gene:PPA17959 transcript:PPA17959 gene_biotype:protein_coding transcript_biotype:protein_coding VGAKSEEEKRRKNDEKIRRQQMMAGSFAGAAVGAPGGRNFTVDSKGEQASKFGNLAGGQEKASGQSKEQLEEAKKDSESPTYRYPLKIRAFLAAVCRGGTLSRPSSQRSQGEESGNFMGRIVKLEAT >PPA17962 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig391:9620:10903:1 gene:PPA17962 transcript:PPA17962 gene_biotype:protein_coding transcript_biotype:protein_coding MINRSKGVKSIINLGDNFYFTGVMSDTDPRFNTTFEDVYRDTAIPWLTIAGNHDHFDVQSGKIWDVIKDTIKKKWNGEKMKPKDPQKAEEQWIWLEKTLNNSKADYVFVGGHYPVYSISSHGPTQCLVDRLIPLLKKYKHLESDGITYIVSGAGSRSDQSTAYKDRLKGRGITTHWHYPPFSLLHILSQLGVNDGGFVELNIIKNRTTVVYLNKEGAQKYASYLYPRWMAIPNSDLGKSIDEEL >PPA17961 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig391:5282:8375:1 gene:PPA17961 transcript:PPA17961 gene_biotype:protein_coding transcript_biotype:protein_coding MCANGCNCCAFSSCDCKSECPRGCDCWTDATKNTNVVKCHGLRGSDAEKFSIREIPMHATHIHLSDFSIPLLKQHSFFGRTKLAHLHMNKTSLRLIEPMAFNKASNLQLLDLSSNNLREFRSDALFKTTNITHLFLDHNKLERIDDDLIKKLPHLKALTLHENGMEDIPLSLSSIPSLTLSNNPFRCDCDTERFNTPSFLLAHRDKIVDSSRMHCVENVTRSFRENDTTILSPYPPNYGYDIYNISMNDFLLEMNISICVGPTEGIWGRKQDDIPLIIILALFFILLILLAVCLIVNAIRRNRCGMRQKRYKLNSSMNCSTTPGASPLPAPLLGSFHDVFISYSHKDEKLVREKIVNLLENDHSLCLLHVHGPKYDTMRHAVSDELTQLMKSCSTIIVVITKNFLEEEWMSTQIKISHQLVAKENKNQFLAVVGDDVDMNRLDEALGSILRKKERIRIRCSIRRIKLLE >PPA17964 pep:known supercontig:P_pacificus-5.0:Ppa_Contig391:19321:21603:1 gene:PPA17964 transcript:PPA17964 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCATSQDGEIRRSTKPEKEKLPKNVSKEIPIVPETSKGVAKKMVTIEAFTPSVLNNQIVAMRKIVKVAHLHTNLKSRLEKKASEKMKKQIDKLEGDLVAMKTIKKDEVSKFALINKDSLDTLLNKPNTSPSERVLFKLATCPSVQSNVKAFRLSHPGSDVSCAFMLQRLGLQYQALRAEKVGDKLKKKLKSGEICDLTTESDAIKDEKTPQISSDQLEEKKEKSVIEKVLTKSIEKAKKEEKKTALTKKKKGEKMPEAPIIVKPIEIVKPAVVTKLNLRGDESDESDNENDDDDDDDAFRMIDREKWEMII >PPA17963 pep:known supercontig:P_pacificus-5.0:Ppa_Contig391:12091:16701:-1 gene:PPA17963 transcript:PPA17963 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNSQSWKMTPPSSQSTSQQFKNLMQPHEISSHIPELRMEKSGWNTPLKRPAENPRDTVVKKKKDETTNSDHSIIPSKTDASTECTVEKNDMSTQTDSPYSFDEEIQTLLKKLLDHPEFVSFTNLLSSQIDEDEELLSIVDRSFAIDGEQDGDRRLLVIVKAKFFSHSAEQKIKASGDACVFVAQGTITCVASLYPGMRVHSKWRDFGNNPAGFRQEPDPSLKAGSGF >PPA17960 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig391:2047:3094:1 gene:PPA17960 transcript:PPA17960 gene_biotype:protein_coding transcript_biotype:protein_coding MEERWETLTKCGIASIEKETFKKLEELRELILTEISTESEQFELVEESLLPLSRLNTFQLSDSKLGKLPSSFLCILTNLQMLNVSGNNLSSSSFSSNCKGDHLIIVDISRNRLGEIISSTFDSFPVVRKFSQLDWDSTR >PPA17966 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3917:494:828:-1 gene:PPA17966 transcript:PPA17966 gene_biotype:protein_coding transcript_biotype:protein_coding MMVQSPHIPAARFQNGSHRQIRTRRPPLSIHRSPLPRMLNLLLPCPPVLHP >PPA17968 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig392:9866:10636:1 gene:PPA17968 transcript:PPA17968 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHFVMFHTSAEDDYRSCSGCNEKLRTDNEHKVHCLTKHTITELFKPKSDVVIV >PPA17967 pep:known supercontig:P_pacificus-5.0:Ppa_Contig392:2275:9828:1 gene:PPA17967 transcript:PPA17967 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLGDEEISEKTIEETVGNLCSHALVSLKGPDREWRFTVTDIDPRYEEAYRRGLGEEVELSLGFGGIMHQPGLAITRRGGDKARWQWKVDMHSNWNEILAGWHRLQTKKTIKNLLNFWPRMMEKEDMNALRDIVVYHTERNAWNVVIVEEPCGGATNPDYIPFLLDWSVDYPKTGKIRAIITDNAITDGTPISALERCHSWIRRDHYEFATEAWVGGMPWDVKKAEKELDRDRREKEEIICKGCEGVGHKIWKCPNKNNNKKIYYGRGRPASKEGDMLDALMQQEENGQSMMLERGQNTSPCQFGESIEPCDDDNLLEHIESIDTEQLLQSSSSQKPSPSFVDLCDEMTQRRYLHGKYPPTEDSREFVVRTAAMMAQRLKQVNYVSRVARLAKGMFIPTCELYSHYLSRLPWDLDGDVDWPLRIKSRLSIEQLEIKCVSLMVARVRTLPPLTSLRAEYEAKRGMDMRSNQAHMAQQMAYNPNVRYPGAGAPHMQQLRPPPGYQARQVETLQMQQERMDANRRMNERILAPQPHRLPVHQLQQLQQPHTLPVLTRAPLSTMGSLQSIAAMAGGGGEGSTSSSSNSNSPAPAAGSHCNHCASTLLTQRDVFIHSLHTPESGAFVCSVCPVAIKDERSAIKHIVNHMEQNERRIDMDMECPFPECQVEIPTLIVLKAHLVAHNPPLRHRVKGCHISFGSAALAAAHAEFHNKSGHTVKHVMKHAMTHKYYCKICDMKTFDKARFFIN >PPA17969 pep:known supercontig:P_pacificus-5.0:Ppa_Contig392:13926:14534:1 gene:PPA17969 transcript:PPA17969 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVIQLIVRISQILCNRDTATDAGPHEACIPVSKPSSSSSSAQTTSTTPASYRGIQSKSRTQSSETLTYSTKSWSS >PPA17970 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3923:34:198:1 gene:PPA17970 transcript:PPA17970 gene_biotype:protein_coding transcript_biotype:protein_coding MRAWRRQVEHEDMDDEHFEVEVEPSDEDIVITEEDFHRHLTPTMLKKFFSDVDKP >PPA17971 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3924:510:1323:1 gene:PPA17971 transcript:PPA17971 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRRRIDVLCVQETNWAGQESRRIDGYQIVYVGLNNQRNGVAIFIAPKYTGIIVEVIRPEVQHALYDRIIGLILDVDGKSFGIVSAYAPQAGLKRDEKERFYEELDIIKRKSQLLTYHSGKHHSQLDYLLVRSKDRRLVKDTKVFPSECVASQHKPVICDVWMMKDDMSGIKKKELMKVERRVKWWKLRDKEERDKFAVEVAIRGVL >PPA17975 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig393:8458:8884:-1 gene:PPA17975 transcript:PPA17975 gene_biotype:protein_coding transcript_biotype:protein_coding MWILLVSSILVATGLSFLTATQEKILHQQVATCPRGKVLVNGQCISVAAPGSACTASQQCIDSSTCVNNICTCADYEAYTDRKFDSSNTSSFQ >PPA17973 pep:known supercontig:P_pacificus-5.0:Ppa_Contig393:3331:5093:1 gene:PPA17973 transcript:PPA17973 gene_biotype:protein_coding transcript_biotype:protein_coding MDENNGRGPAGVEGGRLLRAVGVCYTGHQPVKWQPVYPGARVVSSKLNALVDEIANLSLLEVSDLNWALKKPLNIPGAPMMSPGMMMAAMPAAAAEDVPQKMTFEVTLAKFDDTKKIALIKEIGNIITGL >PPA17974 pep:known supercontig:P_pacificus-5.0:Ppa_Contig393:6190:7952:-1 gene:PPA17974 transcript:PPA17974 gene_biotype:protein_coding transcript_biotype:protein_coding MDENNGRGPAGVEGGRLLRAVGPVYPGARVVSSKLNALVDEIANLSLLEVSDLNWALKKPLNIPGAPMMSPGMMMAAMPAAAAEDVPQKMTFEVTLAKFDDTKKIALIKEIGNIITGL >PPA17978 pep:known supercontig:P_pacificus-5.0:Ppa_Contig394:14855:15514:-1 gene:PPA17978 transcript:PPA17978 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDLHFTAAGILLTKDEVPAQPPFGRIWAATRRGGGRTAQEPRTVRTAEHVREL >PPA17977 pep:known supercontig:P_pacificus-5.0:Ppa_Contig394:4684:5671:1 gene:PPA17977 transcript:PPA17977 gene_biotype:protein_coding transcript_biotype:protein_coding MSARKRKSDQAAAGDYLALSEMRGEDKDYREKRARNNEAVNRTRQKKKQEEMDISTKVKTLLVENSALERKVESLQKELSFLKEMHTAYAKKENGTGSSQQQHPNGGAPVTTPPPLTVPLPTKYQ >PPA17980 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3944:274:707:1 gene:PPA17980 transcript:PPA17980 gene_biotype:protein_coding transcript_biotype:protein_coding VPSRRTTSARSILVAPASSPATSRSAMGTSSMVNAPSITSSPMAQERSPSTSTDHLASSPSPGLSSKISSTMTP >PPA17981 pep:known supercontig:P_pacificus-5.0:Ppa_Contig395:52:1008:1 gene:PPA17981 transcript:PPA17981 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSHPTTSGQPIFKESSKRVPVQQNIPHQQLVREHVANQILSAPYAHGTFPPPVDTQPDRPIGYGAFGVVWSVTDPRSGKRLALKKMPNVFQNLASCKRVFREIKMLSSFNHDNIYLITMN >PPA17985 pep:known supercontig:P_pacificus-5.0:Ppa_Contig395:12842:13539:-1 gene:PPA17985 transcript:PPA17985 gene_biotype:protein_coding transcript_biotype:protein_coding MERGREATSIRRVYAGLGQYKNQIRALEYSFNQTLKRYQLPPIYVEDWTTKMKGAEDLRKLKFIQSSILSSEVHPPLPLPLLLSTLLPPSSSILSKLSPHNTRLFRRQIEKLD >PPA17983 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig395:6477:7037:1 gene:PPA17983 transcript:PPA17983 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSTFNMSADHGLEEKYIELQKYRLMEEIGQGSYGVVKIAFSEEDNQLYALKLPYYDPLHMIYKEIAILKKLEHPNVVKLCDVRTDTVRIVD >PPA17982 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig395:2852:3722:1 gene:PPA17982 transcript:PPA17982 gene_biotype:protein_coding transcript_biotype:protein_coding MSNELSLAAGPIEQLNMIIDVIGTPSHEDMILACEGARSHVQEALDHDYLKDGRMRFHSCMCTCCHTLPSGQRQFTNDLEPFHNEPFDPRWERELARCSMFDLRDKLYNFVVDRHRNLQSEQNYVPVVINPHSAAYKNFTSLIN >PPA17984 pep:known supercontig:P_pacificus-5.0:Ppa_Contig395:7561:8285:1 gene:PPA17984 transcript:PPA17984 gene_biotype:protein_coding transcript_biotype:protein_coding MYKKLQIRLPKDQQAKVITGVPLPCFSGKSQDIWSLGATLYAFVYGEAPLWDVFVQGLHSKIKFNQIKYPARSKSGSAEDPWLTGKGKYPLSMDVKNIPIIRVTKQEIASSVRVFTSLGTLILIKNMGHRRRLMRNKEPM >PPA17986 pep:known supercontig:P_pacificus-5.0:Ppa_Contig3950:170:705:1 gene:PPA17986 transcript:PPA17986 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGTIIRYKQTSEGAVATAETVKSKFNDIRNSSLFKSFESKLGIAYISAKMTASTSIDAGDSMSGP >PPA17987 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3955:509:961:1 gene:PPA17987 transcript:PPA17987 gene_biotype:protein_coding transcript_biotype:protein_coding VILRLVRSSRSTVTRTRTLRPGLSCLQCSAPPFAPMSCASCMTKSARSRGSRMLFLPRLATRLLLNPGEPVVPSLVFLVFAEAERTAQDREHSVTC >PPA17988 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3959:40:458:-1 gene:PPA17988 transcript:PPA17988 gene_biotype:protein_coding transcript_biotype:protein_coding MENDEWTVYTSTQSPSDAQYLCAGILGIPANNVVKRLGGGFGGKGTGDRIPRGPAMVAANKI >PPA17991 pep:known supercontig:P_pacificus-5.0:Ppa_Contig396:11313:13213:-1 gene:PPA17991 transcript:PPA17991 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIRTKLVDEIEKLFETCAILDEKNAESERALEESMKEKKALENALATDHDTKVQTLSQEISSLNTALQMKSSEMKELRLKNQHLTMRVDEIPGKELEISKLRHKITELKLQLDQKHEREKLLVQQNEELRRKERTSSALSESYRTQVDVLQFQLGLNNENESEDGTMNDLNAREGGFDQSAYFTPVRMRKMRGDSDDRPYSYTLPSSARGSQSRNSDDSMTRSVVGMYSANKAHTKMAALDRDVIYAPDQVISTGYRMH >PPA17989 pep:known supercontig:P_pacificus-5.0:Ppa_Contig396:1542:6759:-1 gene:PPA17989 transcript:PPA17989 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rfp-1 MAKRSPSSDAEDNSAEDDCKPLHKRQRMVVFEPVRLVAVSGVNDIDERVDRFKLAKLGERLKLQQKMIADKDRNIERLTARQDRDDNTLCMVNRHWNRLDEDLAQFRAQLGMEESGRDDNEQSNDFLSQIAQMDDDECEKVHQLAFNVSEAFTRRSEQFASLTKKLKDVINGTKEKIDLNEEMVDKVANLAEENKILSRQNHKLQTENRDLSLKMKERDDRIALLETKNEEIKTQLDEKEFEVQKSWRREEKLERRLAEMSKEKNVKKEKIESNGSQSNHSHCSASGVSGGGGANANIPKDDDVLKELEMLKELCASREQELNDITDQLAHLKNLPDEVIRSTEEFQIIQTYYTFMVDENQRLKDEKDAVVLQMAEMKNHYAEQMKTMEAEEDQSQQRMIASSKKMEERFAQLRKDYEILSVEYRQTVEASEANGPNAFDYRTTVATLNNQMALIRADCLKFKQKWKTAVTGLSSMQRKMESERMRMQKYVLIPLNEEDIKDLADPEEESPSTEDGTANGITKETSALKKLLQASVNTMKVSLAKILKKDDRRQMWSEDSLRRLNDLEKSLSSTKAELAEKTKEEEGLLNEMEATGQAFEELQEQNGKLLNNIKEQEENNLKAMSDRIYMVQSLKKLKDEKEAMDQQIDALMNTVHALKIEMSTVKDTLKMSNERLASFKLYGENERLRREKITETKKAAELKIMSDKTNTQLKEAQQALSLKNESHVKDENKIRRLEEDRNILKKKLERFDRSALIGSTDAVLMEEIKELKVENCVINYTSNS >PPA17990 pep:known supercontig:P_pacificus-5.0:Ppa_Contig396:7366:9151:1 gene:PPA17990 transcript:PPA17990 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSQYGALEECRVILDESGMSKQFAFVSYSSPLVSQKVAGLAHAICGSRVHVERCAFQEHTDNFEFSIASKRLFVSLTGFEDIDETLLRSHFSNSGQINNVTLERNIRSGPPIYAVVTFESDKSVDDAVDSVHILGGKTLVVKKMISSEEVKKGMSDGNKVRSHRISIGEKKVKLETLLDDLLDILTTKIYTVNRRLSGVVEIGDHDAKSRNVLEKANAQTGIIHKLITKQTIFSQECYAVCTVNRDENSARLVTE >PPA17992 pep:known supercontig:P_pacificus-5.0:Ppa_Contig396:13898:14629:-1 gene:PPA17992 transcript:PPA17992 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLIAAAKKEEKEKSTVVNTSKVNGVKGVSSTSFPTSSFAPKTVSTARRKIELKELEKKKIICEYAEEDKITLTHSNRIIDGLGITLNRMMNEKEKKDSIIEKLEKDKDNPISV >PPA17993 pep:known supercontig:P_pacificus-5.0:Ppa_Contig396:16295:18680:-1 gene:PPA17993 transcript:PPA17993 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSWQFGDDMLASNNTYILPGRGLGDDDDLMSVPVRESILDRVLAEIPIATSLVLDESRVGVENLPVIGSPLHSPHVDDMDGMTPMAESMPSLGEEMEEEEDWRATTPIQEDFHSEWSEDRAISGMSEEMIEERDEVEVKREDTKTDKNENGAKGDIPSSSSLSAPLTAKTKRITKKVVEVKKVEERPKKERAPLCPPSTSAKFPVKPKAPSKHQLSDEYDVLCSFHFIFIYLNYREEYSNEKAKSFPSSSSTM >PPA17995 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3967:458:789:1 gene:PPA17995 transcript:PPA17995 gene_biotype:protein_coding transcript_biotype:protein_coding MSTANIEEASISCPLRAKEQDAELALVISDMQRLAKVENFNRVVSKEFLL >PPA17997 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig397:6977:7831:1 gene:PPA17997 transcript:PPA17997 gene_biotype:protein_coding transcript_biotype:protein_coding MADERLKEAVEMAFLASGERERVRDLLESRLREHGWQFLVKQLCKECINNRGIEQLSFEEIYTDVRAEARA >PPA17996 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig397:222:6568:1 gene:PPA17996 transcript:PPA17996 gene_biotype:protein_coding transcript_biotype:protein_coding PVLEVICSRMRYMASQLDSGLRIVALSSSLANAKDVGAWLGCSSAATFNFPPGTRPVKLELFIQGFNLSHTASRLAAMVRPVYQAVCRHGGKLRPRPALVFVPSRRETKSTAVDMLAMQHADGQPKRFLHISEEDETFKKLIGAIQDSTLRETLARGVGYLHEGSAVKDVAIVEQMFKSGAIQVCIVPRSMCYSISMSAYVVVIMDTQFYNGQCHAYEDYPVADILHMVGLANRPAHDQDAKCVVLCQSSKKEFIKKFLSEPLPIESHLDHCLHDHFNAEIVTKTIENKQDAIDYLTWTLLYRRMTQNPNYYNLQGVTHRHLSDALSELVENTLKDLENSKCITVKDEMDTQPLNLGMIAAYYYISYTTIELFSMSLTAKTKQRALIEIISNASEFATMPIRHKEDTVLKQLADRLPGQQKNQKFTDPHVKVNLLIHAHLTRIQLSAELNKDMEAVVLKSVRLVQACVDVLSSNGWLSPAIHSMELSQMLTQSMYSSEPYLKQLPHATPALLERAKAKKVESIFELLELDEDVRSEILQMENAQITDVAKFCNNYPSIEVEHEVQNESITVGDTVMVAVTMERENDVNGAAPPVVAPLFPQKRKEEGWWLVMGEPSTNTLCSIKRLTVNEKAKMTLDFAPTHAGKATYKLYFICDSYLGADQEFDIDLKIEDASRSRKRRRDDD >PPA17998 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig3979:46:502:1 gene:PPA17998 transcript:PPA17998 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEPLANAIYLVDTLISDGYSDFLITDTTNPFSTRIAVIEMTDTAKVLYNLNMTKADKVQGKIATKKGVRQINVI >PPA18001 pep:known supercontig:P_pacificus-5.0:Ppa_Contig398:18646:22442:1 gene:PPA18001 transcript:PPA18001 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAGVKGGGIFAMSLDQLTVGFRRRSTQEKIMKQNGALYLNRFTAPIENHLKIGAAFGNYFNLLGLPNEIITRVRLDEIESKNKYYVHSMLIAERLSFTSGYTSNDIFFVDGKSYSADCIRRIALNTSIGSLRLEYCAQEKEFVIDSFFLVQARKCEELTIIGYDDITSDALHQVYKIMIDGSWNLRYLKAASNRNEECITPFFRLYSYFVLYTVVKKALDRISI >PPA18002 pep:known supercontig:P_pacificus-5.0:Ppa_Contig398:23165:23614:1 gene:PPA18002 transcript:PPA18002 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTIQSLASQSSFYDNLFFGDVREKNLFEIPIGCVEYEEFANQIKMVYGFEGASLTDDNVDKVRQFAGRFDFKIIDLLSPLHFVFFLNPSEVAHIGPVQSRFPQ >PPA17999 pep:known supercontig:P_pacificus-5.0:Ppa_Contig398:10748:12181:-1 gene:PPA17999 transcript:PPA17999 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVGTQWKVRVRAIFSITDTQGKKKETIEDLVFTPDQRTLGIPCLLKWKKIVDGTFAADDTVRAHVVVRVEEIKGICPSPHYIFTTRSTDSDVVVSVEGRNLYLHKQFLSLNSPVFKQLFSQPLPPTRIYDIDNVKFDEFVNLLHIIYPGTGREINS >PPA18000 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig398:16581:17535:1 gene:PPA18000 transcript:PPA18000 gene_biotype:protein_coding transcript_biotype:protein_coding MCKGRPREKSFLYDIVGNVHDGRAILEGPEGIDLVTRPVIEQMRQAFDSAES >PPA18008 pep:known supercontig:P_pacificus-5.0:Ppa_Contig399:17299:19984:1 gene:PPA18008 transcript:PPA18008 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gcl-1 MGNSSSGLPEDNQEISSKKGGGKKKGNGKRKNTEKVDPKSAKKAKLETAKYVYDKLFVEGQDSDISISALGYRWKLHRFYLKQCDYFSVLLNGEWADSKTNEYTLEIPDENITKDGIHSVLGALYNNEIIFEVDKLRSITATAAFFQMTSIFNKAGEAMITSIDDSTVLEFLECGFKYGIDKVTAKSFEYIRWNFWRLSKGVPFLRALPKEALVRLLACHDLPVIEGEIDLYRAIRGWIFLQESDNYAGDDFKELEKAASKYLKLLKYAWDNDCDSLVSTSSDEPPSELSAASSLDEIHEAFHSTVIRQPYSLFMKYSQMFAGLRLHSLCCTKTQINTIHGDIRRQSMDDISEESFLLSCTRFGRSLDELPLVIISRFAPGELPPKFSVHLYVMFGSPAPNPSFVLVRNAVNQMKYLSKPILEMDNVTVIDQEEERDEEEEEEDEDEEDDDNDTEKSKESDESEE >PPA18005 pep:known supercontig:P_pacificus-5.0:Ppa_Contig399:5071:12928:1 gene:PPA18005 transcript:PPA18005 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRQQRRAACRVAVLSLLCSLAAAQVNNAAGLGNVNQNLNPNPDAGVVFTGSGTNLLYGVNLIPFGRENGDQEVHQGFLTAGQTIDLHMYFPFYGGLYNYTTISVNGYLGFATVLDQGPTINVGPDATDWPRQQDPAMIAPYLCKQQIPQNANPGTKSGVYYRLMMRQSMFGRGSNSNINYAGATSQQSSFFNQGASQACQNTPDNYVRCDANSDYYIDELMRLISDGVAGGNAFRADAALVVTWYNTASAISGRSDIDAGQLATYQVVWLTDADARLSYVILNYDKLGFDAQDFRMNSRSGRCQALFNGGNHTGFVPVDPTFQFKNSPKVLATQSGVPHQVRGRYMFRVDDVVRPGGCSNKTGGTYPMLIYPNIVNMLGEMTIDVNAICLQRSQTYILMIEQRQTATCTVLNPSIARCHLPKIYDWGTKTVYFQPQSGGANDEKAFVGYIYFVPPTLDPMRLDIGNIYDWFKNPLPYTTMPISWYPRNFTNPAYDGLTGTNIGPNDDQMYSVQLGLYVIGYKESKDDSIKKFRPENRVLCRLGTYQNRNTPDYRFKPQDERINLNQVEQWYLNDWERQNDLFTFRFGYLKLAPIKADTGPNQAPDLLSGLVSAPISIHFLWTLNNPAFTAATTSSQREQDERTNFVKQKSMEMCHDWYNEDGALWNFIRDVETNSSCPCIERQAMQDLGRFMPHPRCSSVFRDVTCTTSIGARNCYMSAQNVLSSYTGQSGQYSHRFPTHYGQVCCYDAEGYLMQTSYQPVIKVTPDVPYNPGFPTRAYEFGTSPYMGQFEVPGLSMFHHDQMPYFLCCKYADFRCQMFYWRRPSSGCQEYQPPAYGEILGAGSFNTIDNMKFIFNEPGVFTLLYIPQTSANPEVKIQVRMEKYPNRKVDFSMLGKYIDQQDLVQPTNSTVITGIAMEATGTDRVLVLARGDTRRFRYRTSVIVGNILRYFDTMKIQRFKGVTVYVNNVERGQPEVYVVLEEAQIGVRIRESHNMDIDRLPMYQESMGMLDIALSVPPQYGVRPDGDNTRDAEYRRRYNIPRVAGLLRPFPDQPTTSLNQGMTANDVNSDSIHQQLVQQYRIAGSGDYSASEQIGVLNRDIPNDNMFTTSRDEDKKFEVFPEASMKTSGIYKTSPKYNTGYYAFHAKTGQEITQILNNCASLQNNPGTAMQPYQSQIGMDYAAQQCPDNPGSIHQDCGDSWPCIYDYTVFNGKLLGTSNKDEWNRLQVERADSMRYYNSCGPINIEYPEYLMKTSSMNSAYLQGDVARFECFQSHWIKGVYEYKCGIVVDYNRPDSWRYEWNKGEQPWCRSREKENFFIWLSAIMGVIFIIMLIVFIFLCFWCVKNKKVMEQREKTITRANSMVKYQASTIAEEEPLNPKRMPDFSDSPRTGTLNRPPGAAPQYSYPPPSHISDDMQHPPRSGLMGLDTSV >PPA18004 pep:known supercontig:P_pacificus-5.0:Ppa_Contig399:3473:3778:-1 gene:PPA18004 transcript:PPA18004 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSSMVVMIIGIVLAVIGTLGFTFTVLQVIRRSDQRMREKEMRKLEVEVQRKMDIAISRKNTHYSRKDTQHSQVPSIMISTAST >PPA18006 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig399:14361:15583:-1 gene:PPA18006 transcript:PPA18006 gene_biotype:protein_coding transcript_biotype:protein_coding MGMHPSMMALTVAGIIYILWKAQGAEACMPAPPKPSASAGTADEADTSDKALSRSRTMARGSKTITEIINDVREKTEEELELELKAKLEELERKRKEREFRRMKEEEDAEKVADGTKNDGGEIKPENEGSGHGADAGGDKSDGHVSEVTLEENKQPTLKVGGSSIQTTIRRYNRRTERREIV >PPA18007 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig399:16063:16848:-1 gene:PPA18007 transcript:PPA18007 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRFLLISALIGLTLACAPNAPIHPNLDPSKGNQENTDTKETAVQSDSPMEGSGQTVEEATEAATESPVEETTEKEPELLKEGPAPHQVITQLSQEFRESMKDWTAIVDKLGVAAKNLMRANGEEMAVSNGEDEEFFRNQAASHFRQRLRR >PPA18322 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2052355:2054450:-1 gene:PPA18322 transcript:PPA18322 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLIKSMFRIASIVVICFFGIAVAVVFLAICLLARLCGKAARSVHRKLTIDYRDCPDQVPHTKRVYEIQAEEGVYNQPPEPPEYEELENCEPVVDKIPKQGSLKELRNSEESLKEKGTAPEAKKNNAPSKNLITEEVCDALRLR >PPA18313 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1986565:1988649:1 gene:PPA18313 transcript:PPA18313 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYRTVTTRDEFSGKEVIVVRGAETIEDVFPDWIKQSRLDAHYYIPFDLNMPQTLPKREPLAEAYALDPPLSEYGKLTAQVFARHLQSRGVAHKGTITIYTSPTLACLQTAHEIYHYLRPRCNAIRIDSGLTADCRGFDYWLKEPAIRKIGYFVDWNYKSLQRAERSETIYTIEERVIEAVRGIEIDQDRHCVVLVTDALALSMINRRVRRLPLHFSEDIFTEKIRADVTVPPNSSLVFLPDCGFHQFLPRHLHTLTDSSGTLRVDLDDGVDFLKAG >PPA18128 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:724036:724350:-1 gene:PPA18128 transcript:PPA18128 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTHSSAASTSSHTSTSSDNSAMASKSPVPLARPQDALFSNGFHPQFVKKSRDL >PPA18275 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1742556:1742902:1 gene:PPA18275 transcript:PPA18275 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLNDWVDRVLACFYREDDKGGGKGPPEPPDLLDPATLSDADLEEQFHSMLVNIYSR >PPA18329 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2104524:2104950:-1 gene:PPA18329 transcript:PPA18329 gene_biotype:protein_coding transcript_biotype:protein_coding MNHILLVVLAIAAFAMAPVKARLSQAARDLMNKRNAIISDIKTRKNLECRKYSKNGGEEDFGILR >PPA18171 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1054066:1056227:1 gene:PPA18171 transcript:PPA18171 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-grl-5 MRTAIIALLLPIAASAFFFGNSCGCQQRCPAPACPLPAAPVCPPPPVCGGGGYATAPQQFAAGPAYRPAPQQVQPQFVQQSYAQPQQAQAAEFGDEALAAQSENHEYGQSNGQSATLEETAEPQQESTVSEVASDAAAPVEEAAETVDVNEAQPQETATAAAYSTGGQTMDEVVENTVYDDIVNAAQAATATEEYSAAAAAASRRRYHSVRRAPAAAFDPKCNSESLKALIQQQRLVPFAFLLKLIRTRLVVGII >PPA18257 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1635577:1639752:-1 gene:PPA18257 transcript:PPA18257 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKPNNPEHKNNTQVVNRHRVQPVQILVPSGNSDRFEVNERELQSVLGDPEIQDRKVVIISVAGAFRKGKSFLLNFFLEYLYALQKSQHNGTPLEWLGQGTQVGGFHWRSGASRDTVGMWMWGEPIMIETPSREKLAVVLLDTQGSFDHQSTYKQCTTIFALSTIISSVQIYNVVDAIQEDALQNLSLFVEYGRLALQHAKHLGTPFQSLCFCVRDFKSPEDFPFGKEGGKRYMDQVLNTSSSQASELRTTRSQISASFADFSCFLLPHPGQHLRPEFYVEMREMTESLLSPAALQPKKINGKEVTCKKIMQYFKEYAATFDNSSIPMPMNLLQANARLLRYDAIQEAKSVYCTKMDKEMRVRSTLSDKKLLKIHIRCEKAALKAYDQCPRITAEEEDEEQNRHELRELIDVEFERYQRINAAKKEGSFGDTLLLGVGLGVGASSAVAGTAIAVAAGIGTGGVIAVPSFNDEIMNTARDYRSVLLHYFLLGRTATESHRKLVQTHGENAPSLHTCFNWFTRFKRGDYNLEHQPHPGRPSSRVRGRVLRELKANPKSSVRDIEKTIHIPKTTVARILHDAGKTPKLPQVIPHDLTTAQLKKRVDVCQGLLHRRSNFNWVSHIVAMDEKWITYDNPERKLQWVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVRVPPKLLSEGKILMLMDNARPHHAKITQKKMDELEMEWLPHPPYSPDLSPCDYHCFRSLSNFCRGKKFKNRDALVKEFEAWINSKPQAFWKRGIETLPDRWRQVVTTKGAYIDY >PPA18284 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1803532:1806829:1 gene:PPA18284 transcript:PPA18284 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWPPHLRPLQPGLLPPVTTTAAAAAAALPPGLNPLSIAAITGALQAPTLRPEQPSGSSSEAARGINNNVKDEEETPCEDDEEMDKDSETDPASPTGSPTPSSVSLCSPTRLTFPMSPGEGGSGGDGRRASLGNLSTKSLAALSKKRVTCDMCGKSYCDKGALKIHTSAVHLKEMQMCTVPGNRHSKNKNMKLHTSDPAKLAAITAIQEQSRLLSGAMLPIRESRKRKSTEGALDLSMHSDTLTKPTPLLPVLPPPPSMHLMLLQQMQQMMHTQLFAQHQAAAIAAAAAAVTTAASAPSTTVPPPLLLSTFPFPLLIYRKSR >PPA18055 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:216797:234426:-1 gene:PPA18055 transcript:PPA18055 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRGSEEVSDDFRNSISSVRRSEATEFTKILIDRISVLENKLESRAVDIDNLKENRFELLNQLCEMERENKSKSEKIEKYKVEVEENSHILSKNEATIRSLKNDLEKKDKEVNKYKNEVGVNSKLLAMNEDTIELLENELNELKLEIVHYRMAKVELESTKLSLQAMIKANDIKIAEQEKWNSSLVKNNENLLLSFATLAEMKKENGEFVSNTMRLLHALNDNNQISDTTIRAQFSGISNLSGSYSEGHLELSLVGEKNDDDKWSCSVFSEFQLISHKSMEIIHRRGRHGSADVYDKDARSWGYVKFISFEDLFDDQKGFVKEDSINVAVQIKAFPLKKSSNFSLHVISDLETSIGKCDLKYESKVERIVEKQRIEIQRLTKKLRDATSSIENVMGRRERLGSWPLRKIRLTMRREWNESTPFLGVHLEAKCEGRSKWSFSMLDSYSLISFNDFDDCHEVESEDITQYDERETSYVIDLIEMEMYLALGAEPDAVGDVSRSITDVVGKLSEVKEEVERSRGETEKYKSEFEQSFAMYEAGIKAKNEHIEQLHAEVEARKTKIGGLEVELELLRTTNSTLKAEMERKDAKIAELEESLNSLVNNNNNNNNEMSLNESMELMELMEKENEQVLAAGDVVAEPVVNPYEAFLTPLIPTFCGSAFEATHNFQTVFDARYGEFGGGYLMSLFFNGSLQAAIQEAFHCSKPSDRRPLALYIHNDESEYKNIFPTTVLCCEGVMQMLHSQFIVWPWDATAQENKDKLMGWLTECQFNDIRSAVSCNRRIDKFPMIALVWKKGEHTKTNFIYGSSRADDAMDRIIVCLDSFESEKMNTPISPYASVPPMNQMYSLPTSPTPISHHNHMYSQVPSERSETAELIVSLMARITQLESDVTSKTAEIERLKAAVPRGISTPMELCRDTQEESSIKTFRILLPFISRLVMTNEKISSKPFMLAGIHWKVSLQQKEGKNAIACSVEICEPHALSQCALYVGSFTVTLISLLDSDACRTYSINKPFTTQKSSWRIEKFISTDKLFDPRNEFVLCNSAMLKVEAVNDRMSNFVLRWEIDESDPGLTAGKVESKVFEEEDSDERPQSGYSKAEFACSAMAFLRSKVLKDPEFVLLIDENAPELASGTIEPNAYYMFGFRWSVVVERKIDSTNVAYANFTLCCQGRPNTLISRMIVHAPITAPELFPPKRFGGVVAYKINGKITVEFRINYFNEEWAERDKITCLREPKKDRNGKVLKKKASGSVFSDKT >PPA18252 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1610951:1611864:-1 gene:PPA18252 transcript:PPA18252 gene_biotype:protein_coding transcript_biotype:protein_coding MDARTEENVLCVLDSSSPFYELLNNNRRFSFAVLEMTSNLAGTAWITKDERIASLAKKATTETVVIKHAGPTNLLTRPRDSFPTNDSYLEYVSHLYSVVS >PPA18102 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:569215:570696:-1 gene:PPA18102 transcript:PPA18102 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYSYRKELAPLEASATLYAKWADETKEKKCCPVCERTFGRDNEGVSKLARKLADLSFSIPKESAELAARLEEEEQDERKLAQAKNYAEQMSKERARVYTGEEEQAEAREMGGASRSSPLPET >PPA18309 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1962823:1964942:-1 gene:PPA18309 transcript:PPA18309 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYRTVTTRDEFSGKEVIVVRGAETIEDVFPDWIKQSRLDAHYYIPFDLNMPQTLPKREPLAEAYALDPPLSEYGKLTAQVFARHLQSRGVAHKGTITIYTSPTLACLQTAHEIYHYLRPRCNAIRIDSGLTADCRGFDYWLKEPAIRKIGYFVDWNYKSLQRAERSETIYTIEERVIEAVRGIEIDQDRHCVVLVTDALALSMINRRVRRLPLHFSEDIFTEKIRSDVTVPPNSSLVFLPDCGFHQFLPRHLHPLTDSSGTLRVDLDDGVDFLKAG >PPA18074 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:350564:354210:-1 gene:PPA18074 transcript:PPA18074 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTYNIFRSIQNPRPPDHHRRRHTVYDMAANKEGRTPSCAVGYRFGYRNPTSSAQDAFCGKDFKQYAFFTIILVLAVLTIKDVAELVKGYMENGKNSEISMVFNDSMLLPNFTFCISKDQAFSHFTINASEPVDEWDRVVDEQLAEFDTKDKFLKEQWDWKLVYEAYDLVASLASMERETTAHGAARTFNLFKNSPRLAGKRLTAKKWLGAIAERNVTFQEFQQKVGTECLRRSMQRFVRNTYDDDDVIKTNLKITWISAMQMCFQPTWTKENYRDIKDQGNFFSLMMSHNTDKDEAYECMSVDLHGRPASLGRFMEGKGRAKDGFTSETY >PPA18220 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1353661:1355165:-1 gene:PPA18220 transcript:PPA18220 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHEIAADAPPHVKEEDEPKMKRIALRRSRVQPPVKKPNIRSVLIPYIDDSISERDLFDSVNKLGDVQWGGDAVPRCGVACARRFRAQGSTTANTVADRTLITVRGCTYFVDSATEEPMPSVKSEGFW >PPA18078 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:384644:385707:1 gene:PPA18078 transcript:PPA18078 gene_biotype:protein_coding transcript_biotype:protein_coding MANESIAAPPSAPATGKKRRLLEEEELTMEDMKLMYLQLRATLDKQAAWNDRLEMEVSSLKAQLGAFPPPTVVHNDETMGDSENPIVTPVSNTRPFPNQPLPKETTDPSPIPSDHDRSVVIARLPVDKSLSPLQQIHCDYDQVIALTELAGIPTLPVAVYRMPVGETNSSRMRLTKVVLPSKKHAQQLIKYASRVKRDKHFSEVYIRPSYENPEDRPKTPAAGRHANYRNPLTQRQSQPQNPRRVRTDSVFTNTRDIPNPSQDTRRNRINSIRSQRAPLPSHSQFPPAPPHPPRPLLNPQYGYPQQSLIPPHSTMILPSNPFLSYVSQYGAPPNMGLSGNWY >PPA18095 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:532060:539386:-1 gene:PPA18095 transcript:PPA18095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rad-50 MALFEEMIIQGIRAYPPTTQSVIKFVHPLTIIQGNNGCGKTTIIEALNNICTGALPQGQKQAFLHDLENAKTVKVDALIKLRFRDVRNREIVACTRMTNLVGKEGKNQTKADEQTIKVYPHGGERGIAISSKTIDFRAEMLNLLGIPKSILENVVFCHQENSTWPLSEPAKLKERFDAIFQLTGFVKFVEKGKSRLKELRETYMLACAEHDGALAIQNEKQIAQTRLETKQLELQQAGDRQREVAKEMNDLTKSMREAAQQLQQLRDAAHQRNVVLARLDGLRKQREMLNVPEWSGSEKELREMIKEMESSTEYRSASMQKAQLEKKLKEFDNEAEQVKVEKRKAEQEVTRVSAMEMHRNSTAAALHELTVSIANEFGLRADVKMLPQLEALGEGLRSEGEGRVHALAAAESELRAALESASNARLSLQIEHKSLMVRWCARVGNVAL >PPA18175 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1076615:1080398:1 gene:PPA18175 transcript:PPA18175 gene_biotype:protein_coding transcript_biotype:protein_coding MHNGATRCLEPMERGEGEATMTRFYFERETRSCLPFEYKGLRGNTNNFVTKQDCDSTCPVWINPCHGSPPIGLDRRPIACSANQACPPNAFCHSGADASTTICCPSAAAALPVCKQSVAPGTGPHRLSRWQFNAVAKQCQQFTYTGLGGNENNFASKEECDAACPVHANPCPFGDPLMKGEQPVFCQAGGLACPIGHFCHLGAEAATTMCCLGSSDPCVGDRQEGEGRAVISRFYFDAAARQCKPFIYRGARGNANNFISEDACRERCPVQVGLVSCSATHACPDEHWCHVGATADTTVCCPNEIH >PPA18278 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1760833:1766286:1 gene:PPA18278 transcript:PPA18278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-daam-1 MNVCPPALAPPPPPPPPPPLGGLGHQPIKKKNDKNVPKPRTALKTLNWRKIPADRVKGTAWESMEDEKMYKTLDLVSLETQFAAPSGQKDDDTVSIAGTISRGRREKIAVVDSRKAQNCTIMLNRLRMSNRDIRRSLLAMDDRIPKDMIEQMLKFVPSEREKRQLKEVVSRFGNASSCLTTADAFLYEISEIPRYEQRLKCLHIIRSFKERLDLLEPSIQVVSRCCVSVSSSRRLCQFLSLVLAVGNYLNYGKRSGDASAFEISSLLSLSDLKSTLRADRSLLHHLCQILDSSFPEVAKLRLELGPLQEAARFNKTEVLAELRSLERAVSTVRAAMEEIASTPSAADTPPVEGDQFTAVARAFVESAHEEFTTLERAFFKMQAEFSECTRLFCADSYEAPGEFFATLARFIGQMGECQQQMWQEHEQEVQNIPSLPFSFPTPSTFAAVVDWAKNR >PPA18342 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2246181:2247661:-1 gene:PPA18342 transcript:PPA18342 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sec-20 MVGGLDEALSEARLLHQEIIRYDAKLKLRIHELPEKTLNETEMLQETRTCRSIISDISIRIEKLALVPARLTSKNDRQSVLAQVNEHTKELEASKKDLDKAAQKARKGMEIRNRSRLLDGDVMVRRRKREEAEVEKKGEEGGERLALLVQRMASRVGQSEDAMRDIVRSSETLGKTHEEYHSQGAFIQTGNKLLSKWERRELTDKILVAIALLFYFAACFYVINKRFLNKFKFW >PPA18318 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2031988:2034477:-1 gene:PPA18318 transcript:PPA18318 gene_biotype:protein_coding transcript_biotype:protein_coding MTALSPYFAYSSKEGKNGYPSGNSELSSVPATSLHYSTTIIMSSCKAIGIDLGTTYSCVGVYQNGRVEIIANDQGNKTTPSYVAFNDDERLVGDSAKDQAARNPVNTIFDAKRLIGRKYGDETVQSDMKHWPFKVINRAGKPVIEAEFEAEGKQFMPEEISASVLTKMKETAEAYLGHPVKEAVITVPAYFNDSQRQATKDAATISGLKCLRIINEPTAAALAYGLDKNLSGERNVLIFDLGGGTFDVSILTISEGSRNEVRSTAGDTHLGGEDFDQRVLEHCLADFKRKSGKDIKGNARALRRLRTACERAKRTLSSSAEAVIEVDSLHEGVDYHAKLTRARFEELCADLFRKTLEPVEKALRDAKMNKSSIQDVVLVGGSTRIPRVQKLLADFFGGKELCTGINPDEAVAYGAAVQAAVLSGVQDSTVKDVLLVDVAPLSLGIETAGGVMTSLVDRNTRIPTRAMKTFSTYADNQPGVSIQVFEGERALTKDNHQLGNFELSGIPPAPRGVPQIEVVFEIDANGILAVTATDKSTGKKNAITIKNEKGRLSKEEIEKMVQDAAKYEEEDRKTRDRVAARNGLEAYALAVRSALEEHGDKLESNDREEGKRAVDETIQWIDRNQAADKDEIDFKEKELKGICQKIMAKLHGSNGNQQNSSWRFWRIQQ >PPA18051 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:186697:189012:-1 gene:PPA18051 transcript:PPA18051 gene_biotype:protein_coding transcript_biotype:protein_coding MTATPNQFEAPTEFTNVALIVEGKKLHVSKEFLAVHSPVFAAMCNGNFAEKGKDEIEIKEIVYEEFVELLNLIHPGWSQLTASSVEYIVKLADQFQMKDARDQSEKFLLKTDKVNSVKKLFLADQCNLQKLKNHCISNNPDYARLSDATKGALLERVIELLRGGNHSCVCHPVIQQIPFPLRAPHPLATTFRFGVMAASPHQFDSPSEFSNVVLIVEGKKIHVNKDFLSLHSPFFAVLFNRDFVEKGETEVEIKDLIYEEFIDLLITIYPGFTPLKVGSVEHILKLADRFQMKIKLDYDAIQTRLAVPPPRPKSP >PPA18265 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1673289:1674355:-1 gene:PPA18265 transcript:PPA18265 gene_biotype:protein_coding transcript_biotype:protein_coding MYKKKTTFEKVFAKTAKNNTFDFATLYHDRIKGEMKGITYSRITLGRTFRDEGLGGSRHKITFFLKNGKIYEKHRSLTDPKEVIEEYEYFFDGSFLVKKVKINGAVYKQFYRRECWLNGPKCSPGLSCRERVFKNMAAEWRSRRVCAPKHLKRSTEDVDDYSDSSASYED >PPA18230 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1458899:1463642:-1 gene:PPA18230 transcript:PPA18230 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPPPNTIDITGTTAELMAQNGGAGEMRGVNGEQLMNMGGEASATVKIEEIEDDEQLTWVNSVIPLETPEERENRLAAEQAAQMEAAATMASMFAAVVPQPPVDTVDDCINSVIRNALEPPQVPQSDLLKPKLTYETLCYEAFEFIEQNFKQSRPNQTESVFRALNTADYINVERLDKPDNKEDDSLYTLNRTEYDYRTGQFDKEITHRSPHSALCLVKKADGEVRVRWRKKNKNPTQVNKLRIPSAHDANVVRVVWQL >PPA18067 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:317649:320892:1 gene:PPA18067 transcript:PPA18067 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDGSSMTDTPCSVVAEYNEHAPLHVAQYIQISFSLISLVIVVYTATHFLFKTIFETIFKGALVYVRIFRSDPCQAQISKLLCYTLRIILRVCMSIFVCINLSITCQRIVTTFIDSRLVHKISGRSMIVMSLLYSLMITWVAYRNDSYTGRVPYCTGSSADSQDVNTYNMSVLFAFDVLTIMIDLALLKYNYYQINHEKSFSLSITFRRRQNVVSIQQFLPSLVFHTMCYVVQLGGFFVVWSIRDHYSSVEFASINAFIYCMPFYCAIGPAILLYLMRQGRLIRKEKLKTVVEKKTTHTKTYFESLHQQWETVTISIHH >PPA18211 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1286326:1289978:1 gene:PPA18211 transcript:PPA18211 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQEFELIDYVAPVVVGVIFSVVLFFLSVCINFTCIKKNDDITEFERWGAKYNLRMGPHRLDVTKQFTDKRIPALQYLLQTLHLRLRVIALVYVATAGSGIAIFAVMASLAILANDISTFHDEAMMDLREFKMVANDAWTNILLATPSAGASERMPFNVRKVRQANGKSCNCGEQPNNCPAGPPGPPGAPGDAGFDGENGKDGNAGLTGLASEKDPYAQPEPCIKCPAGPRGPAGPDGETGQPGPDGGAGAPGAPGKDGEDGAPGLAGEPGKDAEDGKDGRPGAPGRNGKRGHGAPGAPGKAGRAGRPGKAGPRGAKGDNGAPGPQGPAGAPGHDGEVGEPGIDGQEGEAGLPGSDAAYCPCPARSFEVKSEPKSQGYDEPAPVVSGYSRRRKARV >PPA18225 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1379801:1380506:-1 gene:PPA18225 transcript:PPA18225 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDPNDFDSDDEAILEMFGVRRNVDGRAVLTQESDSIPDDETGMLIQSDEEFDQFSDDEMANMEVFH >PPA18021 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:54112:55021:1 gene:PPA18021 transcript:PPA18021 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNLICSAPFTANITLMEDDPIGDDVVTQISVTTCEHVKIYNLAGDAEDSLFQNEVELYLKISHNCPDTAYTEYCHRISSLAGPATMTVDIAPGIMEMTHCKFFE >PPA18200 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1202993:1203571:-1 gene:PPA18200 transcript:PPA18200 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHFFDGRRDFADADGRSGTGRAIWLLTLHLSESDPRKVPKHLDSPGSFFVLVAAAAAMVGAYARDSAEVGGAATVGGAAAAAAAGVHTWHVFGHDRNLLYEYSEQKK >PPA18212 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1291113:1293343:1 gene:PPA18212 transcript:PPA18212 gene_biotype:protein_coding transcript_biotype:protein_coding MCVIRLQASTAGAGLVWLFTADQSRWFYCCHQGVDPYKFFLHHFSKKIVARENLCESLKYKFGPRLIFSGADGTYCPGDPQPTLNLLLGRWYKSNNIRYPNGSVREKQKRSWRSERSAKIWTLQIAREIGRGKSRSKKDVVPYPPTRSSTDWPACRSILHSLNSPLPNRGLFLSCFYMACLLVFILILFLSLLDLTTPSSYSRSSNIDCEGEQRARMMADNRENGDEAEDVEEGEEAVERPNRRNRLNSEERSLLGTDENTIRTALSGRFPSVSSLSFHSADSRPTRASLESLVIDEPSEVKTSSVWDDKKKQEEPKK >PPA18314 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1998263:2000845:1 gene:PPA18314 transcript:PPA18314 gene_biotype:protein_coding transcript_biotype:protein_coding MMFCEYKTSGQFGIVIQLHRINPLCDSYCSSSSQESSFFRSDTRNRTELATAPGDEHHRVARAAPGGRSGPLSHPAAPPRAPSRPISAPRPAPRSPAPRPAPRPVPRPAPRPPAPGPPAPRPPAPTPPAPRPAPKLPAPKPAPRPVPRPQPPAPKPNVPKPPNPPKPVPKPIPNPPKPAPKPIPIPHKPAPKPAPSPPIPAPKTAPKPIPNPPKPAPMPVPIPPKPETKPIPGSKPATKPVSGVPEITKPILVPGSNGGALKVPPNLPIPGPKPDTKPAGSGVQSSQTVNQAAGQKTINLETNKKIGDAIVSGKGTLNFNKNGVGESASGTVKVTKPLGENAQGSIFGEKATGQRPVVGGQVDWSKKIDANTQVDMGAGYKTDFKNGQATVNGAFVRENVGPATITGSGSATIDNHGKTSATGTLNGKIPVGPYTDLTGGVAVGTGQRPEYSLGAEYQRQINDRTKLNLGAGHKTDGRDGKTFVEGGVETKLGPGTLGVSAGAAVDNRGNPEANVMGTYKIPLDALGK >PPA18135 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:794124:794814:-1 gene:PPA18135 transcript:PPA18135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3F7I6] MAQSVPPGDIATQPGTKIVFNAPYDDKHTYHIKVTNSSARRIGWAFKTTNMKRLGVDPAAGVLDPKENALIAVSCDAFAYGQEDTNNDRVTIEWTNTPRREWFQGDGMVRRKNLPIEYNP >PPA18178 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1092949:1094893:1 gene:PPA18178 transcript:PPA18178 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRSYLLLFMLQGSLALTCYNSTRVSESHTIEYKSHETSEQACADACTANDKCIAYLSNENGDRIECVQFGPAKEVMCTLPIFINMKVACSKLLQASSLCSPYSSILPLDETSQMEEDLYSIILR >PPA18112 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:622316:622805:-1 gene:PPA18112 transcript:PPA18112 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTINYKSGLWQIFTLSPSRNALCKLCKVPTESRLSAEHGEDQLMLRMTQIKSYRAVCAFKDSVCVANDVVPVGNECHHNESEESGEESELED >PPA18352 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:2281892:2282987:1 gene:PPA18352 transcript:PPA18352 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFPTMAVASEGVEKMRAKEEKEQKMFPPSVDQEKAAECLCQHCPPRSTQETADYCCSSLFTFTPLQKGILLRDGLASKMKEFGSHPCIILDPLFVNFIMTEVAAKSSAQTYSMLMGEPITDIPRCNTYLAWNELNTPIVSHPSDTNLEESEDEDDLPSPSQTVMNMRD >PPA18169 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1049377:1050715:1 gene:PPA18169 transcript:PPA18169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-grl-22 MLLQSCLLLLLVAAASDAFLFGGCGGGGCGCKPACPPPPPCAPPPPPRPCQCGCPKPLPPPPPPPSCGCGAAAASSSYASAPTAYATSGNVARDDNITTFAGSYGGNNDRSEKERPSETKLPELSVPSKYTTYDEFASFLSQANTDELSALDKEPGAEDARRKHC >PPA18219 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1341476:1343575:1 gene:PPA18219 transcript:PPA18219 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVDTSNCTSVAGVCSNDNFTLITGWPCLSTKRCQTCVMAKKWTTKYAWPCKYAYPEDCQDHFWASQSDMTCNEFFPDFDFIPRGGTQSPAFDWATAGQVSCDKDASSKAAVSSLSNQLLAPEFTALITNQSVEPCKLAAIARFAGDHRTNAEMPAAIGTIPGAVGRRKRSAANNGFPATSSTPYGSCEYANKNFIGAAECHKWYRRNGLVLRVYFDSLTITKFSQEADYPLVALLSDISGHAGLWLGFSVITLVEIVLLLAFCLCYCCCGKRIRVMDEDVLAGMGDRDDGDESARDNAV >PPA18245 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1567315:1568643:1 gene:PPA18245 transcript:PPA18245 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVLLILTVCLMTIIAIDIPKGIPNACWNSLWKYGAHPTLIHLLRRIYESSTTLIRVNEELVPVTVNRGVRQGDTLSPRLFNVALRSAMDTIDWEEDGIRIDGRNLSHLEYADDVALIAKTRPELERMLRKLMDACRRVGLEVNATKTHLLTSCKTTRAPITIQNLTFNFVDSTTYLGGRISLPLDHTDEIEHRIRLGWLAWSKLSHLLSSRLLPMKTKRRLFESSITSTVLYGSEVWALRSSDKERLSITQRKMERKMLGVTLRDRWRNERVREITKLRDWNREALRRKARWALKVRSFYPGFSEGNPANIHV >PPA18155 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:953890:970378:-1 gene:PPA18155 transcript:PPA18155 gene_biotype:protein_coding transcript_biotype:protein_coding MQALVERLDTIQRRRREGPAPHATVREERQALRTGDIDKVSLDSLPLYNPDHFSNPVVQSNKKRNRQSSVAPSPRKAKKAKDESDDEEEEEEETSPRKRRAASVAANAAIVDQGGDSDRDRSPKKKRVERGTTLEPSPNRRKKEEKEKEKEEERKKKDKDEKKKDEVKKKKEKEKEKEDEKGKEKEKEKKKEEEKGEKSKDASQRKERDQPESSTSSTSRMAAGAEKKQEEDVLKPGLAVVVVIEMTDGTPTVRYPAMTVCPHAYKKYSPPHDFKPLLEEHIAVKSFCNSKYVRALTANCHRFATYDMTEFQKEKMGNKVRCSIGLATSWQLKRKLPKGWQLEEIFGEEEAKRIMKEKEKRAKEAEKAKAKREEERKREEERLRVEEERKIEEEVRKAIEEEERKEEERKKKEKEKEREKKAKKKDGNDGEEEEEESESESSDEDEAANQERDLFIAQLYKFHEERNTPINKAPIWGGKDLDLHKLYQYVKRYGGPKKCSEGGRWKKVLAKLGLEGTGATPSNVKKAYMRYLNEFYSTYKQLGWSLDDLSTKIVSGGRSAKKVIDYGFRKRRYERKDNDPTKDGSVRSGGTAMEGDDNESLRPPSSADNSESRRSLSRLSLSESREMPSTSTAPPQPAARGGGKKGKGGKEEGAARTMSECTEPALLTTPAVGDDAVSTISSLVSPASPTSVGISWEHDKCNDDHMPANILSHFYQGMSVHALHCGSWYAARVVTVQQPPIKTVTDAILRAAKIRCLVHYLGWNSRYDEYIKLPQIRITQKIVTP >PPA18059 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:246280:247756:-1 gene:PPA18059 transcript:PPA18059 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVHAVCQPLCYFRMTEFRRLACCHGRAPWNRNKSFSHHKSFAVTKTQMGENDGDCTDHLMVEPKPPRVPLLDNARMIMAPVNPKWGTNAVKFRAHGHQLEKVRSEYGRFHRGKEAESAAEKQE >PPA18149 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:900027:902198:1 gene:PPA18149 transcript:PPA18149 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTILAWILRHFKIKSSERRFEMKTKMERVMRPQKGTILVTFRPHLKTNKSMDSDDSGSESPSAMERANLRSLSTQIAQVIDVIETDKGLTLRMGTEERNRSLPTMGDIHKKMKDIASPVEHNYTNDEVNQIVYKVGRVYSRRDGARDGGDERASPSASWSSANANDD >PPA18049 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:171656:172608:-1 gene:PPA18049 transcript:PPA18049 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLSCLPPLRRRPEALAPKVGPLGLSPKKVGDDIAKATGDWKGLKVTCKLTIQNRQAKIDVVPSAASLIIKELKVPPRDRKEVKNVKHNGNITFDALLKIARIMRPRSMAHKLEGTVLEILR >PPA18302 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1925398:1925727:-1 gene:PPA18302 transcript:PPA18302 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVMKGVLNGDRKMKLAAAATAAAVAATASSNFRMARRTDDARRCGFLAGGCNHSLDWRRANRKKTDHADRAVTERDERMRVR >PPA18027 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:78788:79454:1 gene:PPA18027 transcript:PPA18027 gene_biotype:protein_coding transcript_biotype:protein_coding MKGALTYHALLPSAIIIAVILFVSAAVPSILNPIFAIYLIGPYRRFVTRFFMLTPGMKISTTSTGNGLDPTSFR >PPA18136 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:796270:797145:1 gene:PPA18136 transcript:PPA18136 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSQEDVLRKAKTVLQGLEALREDHAAIRIGLNANIGVEDAELVEEKKAIVETNMDKISHAIEDAQSKLH >PPA18126 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:708245:714570:-1 gene:PPA18126 transcript:PPA18126 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIEDQSPLLFRYTCKMCDFGHDRSQSVQTHGKREHGREDIVEDRIDEYTEEVKQMSEACFGYQALFSAESRRRSKIPLASQRRDEDDVKKEEEEEVENDENEEEEEDGEDKEEFKAVDDFSAQLLQLTAAISGQLPQAAVVKKGGVKRKTISKDVRKQMAKLREVSMLLGGAQYFKKKINEQATCMKCGMLTPNRMSDHVYKHLNAYLFCCPHCDLGHYSREIVVRHVRDMHRSSELPIDKRLAFAAQIKEMVSVCYPQYFVDAPLPTEEAIGRLRSQIGAGEFNRILGENDDEDEKHSQDEASMEDDEEEEGPIPISVSLTTNRDNRQTLDMA >PPA18222 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1367216:1367887:-1 gene:PPA18222 transcript:PPA18222 gene_biotype:protein_coding transcript_biotype:protein_coding MKDHLQQGSSSTAAATRRYQSAAAAPAATTVSPAAGMMVGGQHMQHQQQHTAAAGGRRMAPTVAPTHKHGMKEVIKAVWRHYTEPSVEA >PPA18237 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1516760:1524383:-1 gene:PPA18237 transcript:PPA18237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-jamp-1 MIQVGDTRRVAQADGRGFSKLGMEESGSESRPPVASARMENRFATRNTLFSPNIHYALTVKLQFAEVESTKKLGLFQNVLRVYDDEDRLMREYSRTSYIREPSIVKKATWLFFLPLYLTGFFTTAAQLDVPVTTEHFENPSNPTSRIFFQLQNRFAEVETAELSVVAQFGMVRHLLYYYPVTTFALLWLPTFSTLCALLFVNWGMRVTREVAGELEKRGEERRRMSVTSAPAITDARRGEEKTVDRQRSRVIAEEEEREDSQLTPSSSRSSTASFEEVVHVVEEPCKCSPVKVEPQQPGKGLRRVHTRQRRRASRVRATPADRRSDLPDYRNPGRVRLPSPSLTYRNPARVR >PPA18127 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:716308:721403:-1 gene:PPA18127 transcript:PPA18127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ztf-12 MRNEQLTVQEKAWSEEGAVMVENNTPSMMLKCSDCGICKHNSEDMEIHIKMEHLNWQPFQCPVCSQQRASDAQMREHLHSSHRSNTTLFHYVDNVAAKRRLQKLMDDSLSYAIGRKQRRGMSIPGAIATTAYNPHAAEDLLQQAASDDSASGTPLASSPSHPSATVTVMPRKRPASSMLSTSSATDALLANINAATGGDVSDESMTAEQVASDPVFDAFFGSKRVKSEFDVDDDEATSMIGGGVGVLDSQSMLDDVAALFTTDGRLDDLGGDDAGARYLLNATASKLKAAMASMGAANGGSGLGGHAGSGSSPAGMGTRGSNTPSKKRVLGECSKCQKPVTAGARQMHMFFHLGKDYNVYRFRCKYPGCNIEHYRKDQMENHHSKQHGKIDADMMEDRSLELFQRCQPTVPLPHLFHTQELSMELLGTNGSTPGPAMSRALASLNSSASSPSAGAGTGAASGNLLPKVPAYSRMSRGAANSQAAMQAALAALTSIKGGLL >PPA18089 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:470968:473193:-1 gene:PPA18089 transcript:PPA18089 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHGMINASKDSLKYVLGGPMTGQAAVLVVGGAEEALDAHPGKHVLTLKARKGFIKLALETGAHLVPCFGFGENDLYLQAANEKGTGTFLRQFQTWFKNIAGFSPPLFHGRGIFNYNAGLLPFRHDLNTVLGAPIPVEKTLNPTPEQILRFTG >PPA18262 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1666048:1666519:1 gene:PPA18262 transcript:PPA18262 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRVNICCLFALLALVAAGPTVVLDQKIMELMKSNPKREAITDFTSEQHQPQTGGINEKPSGGHEQ >PPA18148 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:891019:895829:-1 gene:PPA18148 transcript:PPA18148 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMVPSPLAEHAILLASTFLFPPVAMVVVVVVLLATLGKSLGLRERYVATLIWIFEWGARQIKTTAKLKRAESHAPLDIDIESSEEEEEEEMDEDETERSTARSDGYESSSLGRQRRNSANTETSQNARVSRRARLPCAVYDDVTAPATNGIEAIIEDEVTSRFEAEQLMTWNMLTRTSTRFFQFVK >PPA18357 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:2299592:2300368:-1 gene:PPA18357 transcript:PPA18357 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLLHDQEMQDLPYEHIVEALPDDLFVEVVARCSDGILAMLTWNDRHKHLRWFNVLDPRLFVSHVIEIIDHLLVVDARTVVALGRRATFE >PPA18011 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:12702:16131:1 gene:PPA18011 transcript:PPA18011 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKDGPSLKRAELFFGAIPVLIGLKYFENLAVLQIVGHEITSLKPLVEVSGTLEELWICEGPLKDLSGIETCSRLKKLYLYENAIEHCRQLAELSALSLIQLDNNRVADIRFLRHLPYLGSLSMAKNALTDASLLEEGSLWPRKLHHLDMSENKIGLFMSFFPVAVCSSLRTLSIFPSPSLSPSTSRREEYYAWTAYHFHFM >PPA18241 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1557985:1558370:-1 gene:PPA18241 transcript:PPA18241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:H3F7U2] MDRAMTGMCEGERRRVVIPPELGYGTEGRPKSGIPGDSNLHFDILLEKLVKPSEEL >PPA18189 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1141189:1146020:1 gene:PPA18189 transcript:PPA18189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-grl-2 MPGLQSVPTRSRLSSARALPCAVLLQRVSYVAKLLQFAVAVDTELFQPGPSYYAQPPAYNTYSTGYSSQPHPWYQVHPAYSQYPAPAYPPPQYGSYPAATKSYPASKLPELTPLPTISQPDDYGYTANKIYRPVPEVNVPASTTAYEKPDEIIELENTVRFSKIIQ >PPA18143 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:857000:865757:1 gene:PPA18143 transcript:PPA18143 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLVLLAFLSQSLARTDLTKIHDLVRYRILLDYRTYRIEGINDCDLVPKSRYLTIDSAIHYFIGTDGSVTLTYTRATVYHYYYYYYYYYYYRPTPLNTPRLQYLTVTVYIISGLPYPTATVPPSQKDDASHMFALLHCSMPEAGRVFAHSLAMIGQISNELQKRVPPYYFLHSHLDNFRIPLSLASLRALCEFIYYTPHPRNSVVVLFMAPFNCDRSLAKVYTLSLDSPAYLATIHAAAYDIIARVPPRVDAFNMTFHPEFVEEQSANLISFLISLCVLIVSAVSFVTMLCVTLVKLSSVKQHYIQLAEEWDQLRDRAARAREVRVEHVEIEALSVSTARGQSFTAISMSSTAVSTLTAVSTSSMAVSLTDTTERVAAGVDDETHWVTPRQLNDFCEERQPFIHALYRAHYRITILISGPGSGSRSPGQLGSLLLLSFGHGLAALDEQRRVLHAGRIADLCETLNRSIGLRLIFSGLNATYCPSKTNHRHPKVNLLVGGWEKNHIIGYPNASIYGVAYLLGGVPLNSSSVEGKLEKVKRNFMDDQETEKQAKNSPVRMIVGLFYLCGLLIAIFILFFSLFNLSNDKLVACKGQRAQMAADNGENGEGGVEEGEEAVERPNRRNRLNSEERSLLGTDENTIQTALTGRFSSLSSLSFHSADSQPRRASLESLVIDGPSEFIKECDQVPKSTYNSPDHTSRHYYIAADALAKTMVTCNAIDNPQYPHPKKPFESSAELSTTSDLVTVYTLNMDAFEYLALVHSAAHNLSSLVPPYLETVNVVLLHAYTDDIPSMGRLTAPYIFLLVIASFIILAVMGIAILYIEDNVEYHFERRERWEERRERRRRERREWREERRRRVADDDATESTTDSNTLTQYPTRSVSTHSTQPSISSVHVGEGEDFCPILDATQLHTDSDPVSSSPSTHQAPQ >PPA18283 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1784706:1784911:1 gene:PPA18283 transcript:PPA18283 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSVIFVERFCTIVERGTMGGSKVELAFNNIQITATLTSNICERFCKAFETQQKVIVI >PPA18123 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:671819:676507:1 gene:PPA18123 transcript:PPA18123 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDELIGVGANGTVLLNHDVIQEIVDQLIAWHAAQTAPDTDRTRRVEILRAENEKSSTARFSRFCRGCRSAHPLERVVLTHCGHAVCRQCANADGRHSLIVCPVCEKYSVFLKLFEGRASGDEEETSDASLAPFSRVCGVCYMPNPAARAVVKTCGHVACLACMEQLKRADRVKCPFCRENAPIVILLEQLLNEEGVECGVWEVPANAQAAADEPDEQPAHYDNSLVMETALPETTIDIVATPVVASKNSPEEDTDSSVIVAQPVAASENSHELPSGAPVDRVVLRYTQPSHGAFFASVMQRLQQPEVSPRQLLEGTPSQPLLKRWRLKRQERQRKRLEEELKRQREKRRIEEEKKAEEEAKRIIEETKRAEETKRMEEAGKALAEARKAYEDAKKAQDEARRAEDEAKKAAEQSARSCGVCHSPNPSARAVFATCGHVACLKCAESAAARSAGRLECPFCAAPTTYMRLFEREMITGVESSPKPSSRSVRFYDKDEVKEFDKDENVVDTSTVSLETTVSAVPKGGNPLGCGLNNWFGKKN >PPA18036 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:109952:112100:-1 gene:PPA18036 transcript:PPA18036 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVVYDSILGQSDCQYCQGSRQILNVTSTAWFIPVDISLQKESPSRCDEIPKEIKFAEFTIRNLRPSTAYNISVTTAEHEVSNPSTGRFARPVKQKLIWGVFATLVQG >PPA18206 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1252253:1253483:1 gene:PPA18206 transcript:PPA18206 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIEFEPPPCSEPYPLYRFVCIVIAGLIAIGGIGFNILLIALFANRSCATTPPTLYPSALAVLDTLMCVCYVLIMFIDALVSYLKIELHKKHAFVSKL >PPA18293 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1866001:1867837:-1 gene:PPA18293 transcript:PPA18293 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIVKLKIEDGALNNSVSSALKMLDMIGVKRADCYDQMISSMSEKVVGQIKEIGKDQDNVKSKKLLEKQLNKSFKTYKLPQFRPAVLEALTQMDELPQSLLDVFRYIDKIVADPELYADVSIKVKRQIWIQQEDLFVEAVKPVIAAYIAAKR >PPA18118 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:636816:640307:-1 gene:PPA18118 transcript:PPA18118 gene_biotype:protein_coding transcript_biotype:protein_coding METDDLIDIIISFIVRFISDIANVKSLRRYAHCVQLSNNSNVSCPFGRVAKEEIAKAREILDQLARNIEKMDKARDQKPPNMEEVFRLTDEQYTLTSSFYTTIPVGGYTHSSIRVITSPDELQAAYSILATIGDIEIAGRLVSAASYAMKRRGEDPLRYILSALDCSIQQQSPQAELSQRVLQWIAASKPNVDVQAIFSINSRRAAVAMEKHKECDNITYLFHGTKAENLLSILHYGLKATPSNSLQCGQAWGSGVYFADAFEKSEGYCGRSSAGVNYMLVCKVALGKVLVKDELEKKDKFDTRKVHGEKEPVGGLTIEGASMPLGPLLPHTFTGNSRHWWRPPYNEYIAKDESRILPVMLIAFKN >PPA18145 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:872375:872591:1 gene:PPA18145 transcript:PPA18145 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRSLFKTTLLAGEVGGSHCILSKGSSSDKKRYLRSWVNKTFDLQRVHLPGKER >PPA18296 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1885968:1890231:1 gene:PPA18296 transcript:PPA18296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-akt-2 MKRRIPRPDSQTASEILENLLLDKDGHIKIADFGLCKEDISYNDRTSTFCGTPEYLAPEDSAGVLEDNDYGRAVDWWGVGVVMYEMMCGRLPFFSKDHKRLFELIMVSDLRFPSKISQEAKQLLQGLLVKDPAQRLGGGPDDALEITQQPFFESIDWPKLYNKEIEPPFKPLVQSDTDTTYFDREFTSEPVQLTPPPVRAGGLPTVAEVDEMQNNFTQFSFHNENTIRIRPSVLSGYYPQDPIEFEHS >PPA18083 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:409187:410469:-1 gene:PPA18083 transcript:PPA18083 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIIIALLALLVAVTAWPWSNQEQQVVDNAGNEMNEIRISSRSSHLPPRYSDLFGSGSSRVQAAFIPQSVGDYTGKVGALRRQTFSWRPQSNDFHV >PPA18180 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1099051:1102315:-1 gene:PPA18180 transcript:PPA18180 gene_biotype:protein_coding transcript_biotype:protein_coding MSWYSVIYTKARHFRSQSPVLGTLLQWSFYVCVLIELLLYGGSSFVYFSITTQIIESDIQASIYLVVYGLLSFMMVWAYARVISSSPNRVPREYEFDEATDAKLRAVTPFNPQGRPMMDQCNETQVAAQRRIMDEFAAARGITLVEVDQHSRLRYCYECRVLKPDRTHHCSSCGFCAVRFDHHCPYLNSCVAAHNYKCDDY >PPA18256 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1631500:1633706:-1 gene:PPA18256 transcript:PPA18256 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFWTRTRFIVLVLLLLCMTFVCSNILSFNFAVICMAPDPNATAPQEDRYTFTEIEDTFITATVAIASLVANIPLIFMINRIGIRCIFTVLGLLSGIATVLIPTAVRSGFAFLLVCRAVQGVAFASLFPAVGTFAAKWTYYKQTGLFVSVLVAYVQFAPVITSPVSGALCNSSGWPSVFYFHGGITLALFSSYAFFYRNTPKKHPFVGDAESSKIDRGKTVVEPLVKSITNGRMSDPENDFSKKTLRRIPFRAMLTTVPIWAVWIGSLGNFAAVELMFLYNPTYMKKVLKMTTAQVGLASALPPLIQFLVKVVCGMPLNQQILWTLF >PPA18244 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1566940:1567150:1 gene:PPA18244 transcript:PPA18244 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSAVLRLILLLTLIIAGPAPKQDYVPRSGLMISGRGFYAGFADGNPTDLHS >PPA18289 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1835121:1843368:1 gene:PPA18289 transcript:PPA18289 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dyci-1 MCIFDKAQWTAGRAVVGISFSEMHPELVAVGYDELPEASGEPNGVVVVWNTKFKKPTPEFVFYCYSRLTSVAFARFHPHLIIGGCYSGQLVLWDFRPDKHNVNKKTPCNKSPLSVQAHTHPVYSLAVVGSSNAHNIVSVSTDGKLCVWNMETLSQPVDGKELVGKPAKPVAVLCMAFPPSDVNNLIVAGEDGGAYFLSRHGQSTAAEKIFEGHSAPVSGASFHPAIGPIDFSHIFLTSSMDWTIKLWSLKDPKLRLSLEAHVDYVYDVAWSPTHPAVFASIDTDGNLFLWNLNEDVEGPVARLRLTRGGETEEGAEGVAAPGLVLRKLSWSANVVFGWWVKQK >PPA18185 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1128850:1129667:-1 gene:PPA18185 transcript:PPA18185 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKSETLIHPVKEMIKYVREVVLGCNHALTTCTFSPGSGIQEPNLRWSP >PPA18164 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1022726:1027024:-1 gene:PPA18164 transcript:PPA18164 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-erl-1 MVLEDGRGGAFAGSANTSKATRIMANFGGGANAKKLIPIGILAGVAFLVFLLINASIHHVEEGHVGVYYRGGALLSDISAPGYHFMMPFITTCRPVQVGITVVNGIRQKLYPVKQGDTALPYPNIDQVTLQTDKVINVPCGTSGGVMIYFERIEVVNLLSAAAGKSVRETVYNIVKNYTTEYDRTLIFNKVHHEVNQFCSRHSLQEVYIDLFDQIDENIKKALQVDLNIMAPGLVVNAVRVTKPKIPEDVRINYEMMEAEKTKLLVAIQHQKVVEKEAETDRKKAVIESEKIAQVSAIKQKQLVHEKETQVQVSKLDDEAHLARNRAHADAEYYNNQKAAEANKLLLTPEYLELQRVEAIANNNKIYYGSDIPKVFLSGDLKQIGAAASK >PPA18088 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:463023:470220:1 gene:PPA18088 transcript:PPA18088 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acl-14 MIDADTSPHERHMETRSRSRSRSTSQGRATRSGSNPLPAIPPVRDYKQLGSRTKFAAAESWSDRFLSIFLIPIRAAICFSNVTVFFLAYFGFMLPMLWARSLCKIWRESVVDLRPRLYWFYEGKLYRWLQAFIGYWGYTAGYDVYEFGDDISLDCVGERTVVMINHQSTADVPVMMAVLQNKGVTSRKTLWLMDVMFRWTPFGIVGRMHGDYFIQQGKATRDKKGKATKDKEIGRLKRHLREVFWDRDRRWVLLFPEGGFYYKRVESSQK >PPA18214 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1303784:1307111:1 gene:PPA18214 transcript:PPA18214 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRVDFNLVCIDDPHIIIATTAISTASIIAFCIGNFYIEILRYSIVRRPVYDEQAFSRPHLLILCLIQFLLPSVLCVTLMKPGVAVEYEECLGEVEADDRTTAILMLVHSMYALPAIVLSLLIARKLRAIKQRTMSEAIGTTGKQDHLVWYTVACAVVQVAKCISIFTRSVFLLAHMEGAHELSKSIVYPMNIFYINSPTFLLIYFSSNVRRRLFYMAARKHDTGVYSVTTGKMVT >PPA18337 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:2192112:2192584:-1 gene:PPA18337 transcript:PPA18337 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMLTLDDVPTSYVALWIGIGAFSVFFIVGLYIGCRRMNRVPPPDAPWESEYSGGYDRIGY >PPA18331 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2130859:2132389:-1 gene:PPA18331 transcript:PPA18331 gene_biotype:protein_coding transcript_biotype:protein_coding MYDAGVPTINTDVSGASASSGSSSSGATPRLPSPSSVAAPSHLAQLQSPSLPIAPIFTRDQLVTPIFS >PPA18025 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:64338:64741:1 gene:PPA18025 transcript:PPA18025 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFQPTYARLCALHSEAKQTLADFYSVVDKLTRRVEQMAIETEDQRKDKKKEIDIFQQFMQQEDEELDFDQEENVHYGFQYFQ >PPA18152 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:915274:915650:1 gene:PPA18152 transcript:PPA18152 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSLLLILLVCLLAVDARARFASGDLYIASESLDGVPLEAPSLFDASFSSN >PPA18305 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1939005:1947321:1 gene:PPA18305 transcript:PPA18305 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLTDSRKRWLTFVVVTVPALFCCMLLIVVKFIVFPRVVTELLKLREYNDGSLSLITRHWANYPADMLYKFYTWNITNPDEVIYEGAVPRLQEHGPFTWIGHERKDNISFSNDGKEVSYRNLRYWQYSNEHSCDSCRDTDRIINPNSVYGALSFIADGKGIHRLALAAVDAIALVTGSGPFRELKANPKSSVRDIEKTIHIPKTTVARILHDAGKTPKLPQVIPHDLTTAQLKKRVDVCQGLLHRRSNFNWVSHIVAMDEKWITYDNPERKLQWVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVRVPPKLLSEGKILMLMDNARPHHAKITQKKMDELEMEWLPHPPYSPDLSPCDYHCFRSLSNFCRGKKFKNRDALVKEFEAWINSKPQAFWKRGIETLPDRWRQVALIKLLGGSIFGIQLPDQPKAAGILPRYNNSYEPEFRVRTGQENIADLSKFISYGGQQSTDWDIGQDIQECNEGALNKPFLEPEDNLRIFVSYVGRAFELEFHEKSSFASIPTFVYRINKDEYDTNSDKHRSMRYENLEGVDNFKDYFPTWPSCPNDRVLDPNEPRCADIDCSRESNLCDACCNGSRFNGTYLTPPGFYAMRVFPGRIWKVPAPLFVSPPHMLWAPKEVRKSSSLPNALAPIAWMKLEVRMHDDIKFLVKH >PPA18044 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:147144:148174:1 gene:PPA18044 transcript:PPA18044 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRRKPYGTRIEIHLPKTYERPKTKSARLIDGFVYDAGQKAIKRIAHFLKQTGKVKVPEWSDLVKLGVTKDMAPPTLTGRVASPVLGRRIVRESPKP >PPA18345 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2250781:2257634:1 gene:PPA18345 transcript:PPA18345 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRDTEPKYIPLEEKWRLVPSFLKVRGLVKQHIVSFDFFINEEIRGIMAANHLVTSDANPNFYLKYLAIRVGKPSSEEGFNQIHDKITPHECRLRDMTYSAPITVDVEYTRGNQKVIKRDLVIGRMPIMLRSSRCVLKDLAEEALARVHECPFDPGGYFVVRGSEKVILIQEQLSKNRMMIGRNSNKDLQCEVLSSTTERKSKTYVLVKKGKYVLRHNQLSDDLPVAVVFKAMGIESEYDIISSVGSEERFVASMGASLEELNTLKIHSQQHALSFIATKVKVRKFGFGFGSQQTTVPVAKDHEAMDFLSSAMICHIPCTDGDFKMKAIYLGLMTRRLIQAELGEHELDDRDFYGNKRMELAGSLLALLFEDSFKRFNSELKKVADHSLAKTLAAPLDIVRHMRQDLITNQIVTALASGNWTIKRFRMERIGVTQVLSRLSYISALGMMTRINSTFEKTRKVSGPRSLQPSQWGLLCPSDTPEGEACGLVKNLALLSHITTDCDEGPVLRLLFNAGVHDIRNVHFSKIHLPNQYLVFVNGLLIGTTIDHKRVIKSVRAVRRSGLLSEFVSVATSILQRSVYVSCDGGRLCRPYLIVENGKALLTNEDVKNVKEGKLVFEDLVDKGIVEYLDVNELNDAFIAVYEKDINQETTHLEIEPFTLLGVCAGLIPYPHHNQSPRNTYQCAMGKQAMGSIAYNQQLRIDSIMYLLCYPQKPMVKSKLVAMSPITLFSKINRVKLELFLDLPMTHIVAHLRACHLLRSSLSCSKCNVPCVEYQKVAPSWPGCGWRCPSCYCNYSALTGSWFARMRLDIRPLFRMIYSFCWEQASLRSLQHELRTPDGSTLSSKSFVDYHRCARSPPGAGTRPHGGGGVKGAWPSTDREHIAYQEKGMLKA >PPA18117 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:636162:636383:1 gene:PPA18117 transcript:PPA18117 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTRRGDVEDVEDVENNKTWRKKQAKEYHACRERAVDHSERARNWRMKGGGSSIEVKKKT >PPA18192 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1158224:1159349:-1 gene:PPA18192 transcript:PPA18192 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTPQISVQLPISVVVLPHVNELSGVKEPDTGLAPPALWDIAADKQAMQQEQRLQVARCTKIIIAEGQDHSLTMVLTEATAEDDCELDGDHTEYNQDHE >PPA18062 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:254208:255415:-1 gene:PPA18062 transcript:PPA18062 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVERLACLCLGIMSIFLNTFVIAALVRHRRRVLKNVFYVIVLNCAILDLTRAFLLTSVGGLQVFFSFRDPWVFPVRIFLRSFGANPEYSGHPNCAETPH >PPA18363 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:2347408:2347735:-1 gene:PPA18363 transcript:PPA18363 gene_biotype:protein_coding transcript_biotype:protein_coding MIRENGDDGEEDQHVAHEWRFAAIVVDRIGLLSFTALIIVTSAILSLRAPYLFA >PPA18130 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:745852:749995:1 gene:PPA18130 transcript:PPA18130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-59 MDYYVGGDMLTLLSKFDIHMPEDIVRFYVAEMVLAIDSVHQLGYVHRDIKPDNVLLDGLGHIRLADFGSCLRVMEDGCVSSNVAVGTPDYISPEILCAMEDNKGHYGQECDWWSLGICMYEMLYGSTPFYSESLVETYGKIMNHNDMLEFPDDIDWVVSEQAQDLMKRLICAREVRMGRSGLHDFKEHPFFEGINWDELRQATPPYRPEVSSPTDTSNFDADIGDDFTPANNAPAISTNFTGKHLPFIGFTYTHGSGDATCCTCIR >PPA18020 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:52004:52798:-1 gene:PPA18020 transcript:PPA18020 gene_biotype:protein_coding transcript_biotype:protein_coding MPYKGQNGVATCARLRCPDNYDMFVNTAASARMGNRILDFTPQRVVMNPQTAVCAQYQNAETYKFQIRDSEGFLRLVNDVQCQQNNFPQE >PPA18159 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:996253:999606:1 gene:PPA18159 transcript:PPA18159 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIKGGAMSWIVDFIGVWAAHFRAFIDNRKKSGSHRIRETRSYQEQPPKVATLLDDGSEGVEVAQRYDMTRFAAHNLALLYQASGNVRLAMEMFVLAVLKDTISIRPHEFAKNVEEVVPGLGLCVAFYDLLEVGQSTLIPGDGCGHTMVKVRYFRGMVGLL >PPA18232 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1473961:1474396:1 gene:PPA18232 transcript:PPA18232 gene_biotype:protein_coding transcript_biotype:protein_coding MNMVVLKYNRRIMRTARSLNARTRMQGTCAHESRNYQQQRPVDHLAAEGEGALA >PPA18172 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1056955:1057568:-1 gene:PPA18172 transcript:PPA18172 gene_biotype:protein_coding transcript_biotype:protein_coding MYEKVPVEQMTSIYCCHHLPYLLDGSLGRGGGITDVLEWIGLAEARSSPTIVFQIF >PPA18014 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:34372:34972:-1 gene:PPA18014 transcript:PPA18014 gene_biotype:protein_coding transcript_biotype:protein_coding MNSALFLLISVLALSAAQMTFSDGWEKRQPNRPYAHNYAHQKSLRPAKLAGNYDTTNPIRHKTTVKFA >PPA18213 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1293439:1295610:-1 gene:PPA18213 transcript:PPA18213 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLLTSCLLSAAAAFIVDPIVTRAKRDCPMSPYALFLTNDQQQTLHELITEARQSGASEDDVKQHMDRYIGEVLPPARFAEFKRENEKFEKNRAIFVRGKRSVEESGASKPLPQRVFDLIDYYSTAIGDESPFTRFYEESSAKLYVHLGSG >PPA18179 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1096192:1098777:-1 gene:PPA18179 transcript:PPA18179 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVTWMIWNVVGGLQAIIVYFCTGYDRLDMIIPLCCLWVLQTASSIWPLGELLRYHWLLISLNETTCEQAKIPNIRGDPKANYDVGRWKNIEIVFGWGLWLFPVRTTTTDGLHHPINYVEPAADAAQFRVTGTAESDPTRIAPELRYSRSKLELQNTDPA >PPA18336 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:2189478:2189845:-1 gene:PPA18336 transcript:PPA18336 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDQPNFFLETPLGTRIVWTGIGIVITAVIMALYCGCRGKLCRPRPPSCPIPSPPGNDQRTYERMY >PPA18321 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2041595:2048401:-1 gene:PPA18321 transcript:PPA18321 gene_biotype:protein_coding transcript_biotype:protein_coding MWTYRVPGSSSSAPATVAPIVRLSLLLLALPTCGLARFPIEKWECGSEEFTKSWSHSEVITQCPHFAEEINHCCVVHDDCYGRGKGQKLCDEQFDVCNKKVLEDTRAEPCSTIIEIAFSIVSTFGESAYKASANYTEPPESSLPQLCRPEKTVGVFFDYLYLSCPTMKKSISSCCDQLTVCPDLPLGRNRTECANRAMRCLAAARADEHHGFNDGHCDRALDRTRKYLALDYIMEGSGGAHGSPKGQHGLPPPTDLFANSNQAFVLLMVLSMMCGLCLLLVTYKYYRLRSQNNERKYSSITLSTA >PPA18251 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1608217:1609440:-1 gene:PPA18251 transcript:PPA18251 gene_biotype:protein_coding transcript_biotype:protein_coding MESDNDEYNEEEIIDDEWPDDYFDDPMIEVNDGNDDNIEEYRPETPPPPPRPPRISQAAITDPMEHRPITPPYTTQATFSDLCQHVLRRIVESLGLADRCRLMVTSRALKEAVENSRWTNLGNGKLIVTTFEKQIISQGLIVHVTFGGILIVNSYEEEWGQMFTKLHRTFETLHLQELQLNNLTRHCNL >PPA18154 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:924266:924860:-1 gene:PPA18154 transcript:PPA18154 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLIVLSMAVVSNAFLSSLFGGGGGGCGGGCAPPPPPCGGGCGAPPPPPPCGGAPIGGGCGGGGGYAPPPPVFGPPAPSGGGYATAPGGGFGGAGPVGGFGGQQGGYAQAAPAFGGAPQGGYAQGGPAIGGAPQGGYAQGGAPLGGNSYAGRK >PPA18343 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2247926:2248445:-1 gene:PPA18343 transcript:PPA18343 gene_biotype:protein_coding transcript_biotype:protein_coding MGASQSSAPAAMPCDGKKTEPKGEEKKLKPCCACPETKKIRDICIIEKGEEHCGDLIEAHKKCMRDLGFNI >PPA18012 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:20276:27166:1 gene:PPA18012 transcript:PPA18012 gene_biotype:protein_coding transcript_biotype:protein_coding MHALEKHRDSLPVKECEIRVAVSSFPTLSLTGQSDERVCEKKRRRPTSVARHPPRGDQARARVHVDEPEERRRAQAELEGACEEELEPARVLRALSHHTIATKLEGASVEMFRPADDSTLRSLEHWANYNCTSKHLYELKLRLCLTRRSLTKQDLDRLNYVDCDFHNRAFSERSLIHIMQRHIVSNFRLPPLRLWKDFEITRKELDEKVKRLVNVVLVCQRVGTSAITRKITEEITAINVMTDSDASDNGPSAFPSATDAAAIQWTDVSVVAIATMEICSKNELRMDDLHNMETFERKFHEQLERLKEINIKLDLKEDHSKGITRNHSSDSLREDSSLTLEAVAMAGAVGGVPGMKSVRCVRDVLSPHLLSTRWIVSSPLDATNATTVCTTRSPIEIALCYGLHAPALDIVDFKNTVTVLDLSSMNLSKLSGIDDLPQLTCLSLKNNKLATIGKIAKLRNLYCLDVSENKIAKIEELPEMMTHLVVDGNKLTSLHFCVKLQILDHLSAAHNHIKSLKGLEKSKQLRRLLLADNSIATVEDVQPLQGLSMLSFLDLRGNAVEHAENYRCSVLSLVRSIECLDLQKAPVGGRSGGGQTKSVGRALTMELIVKTHPNLSSSDSLNCSGAQLEIVSMEPGDVEKLSHIYHVDLSTNGLRQLGDLVEMANLKHQSSLIQLNTHLRHWLH >PPA18013 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:30706:32003:1 gene:PPA18013 transcript:PPA18013 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLRELILGSNRVKDLAGLAGAVALEILDVSCNSAFKNREIRRLTSLAALKCTLNMHLLKSLDCTGNGVTERRVYASYMRNQIRTLEILDRQLLSPTEERTNSVVSVSPGPEATSQQIGALCTGYEEQLGQCGGEVSMDLSQFSWAASAAPLDIVTPFNQRRTGKRNS >PPA18188 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1136033:1137101:-1 gene:PPA18188 transcript:PPA18188 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRIVFGLVLVAVHLGFLDGCSHRHEDHHMRKFRHKLLRGMGMREEQIKRIEDDHISTIQKHFLSIDEAKQLLPMLNVHEPTEEKRQTRYVIEKDAEDLLSDEHLFELPDEAVSQRDLLNAFVNFHARPVLNSDAKVTIDVKAREAGSETFYYVGSQEINAYPEMVHGLHLIDNSHFRTA >PPA18291 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1848583:1856280:-1 gene:PPA18291 transcript:PPA18291 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFAFVGSAVGWTSSVSFRTALEAMVAAGKDSIGKKPPVTKGAAAARARPAAAAAATAAISRQQSVARSETFSVSGEAAVEKKEEEEVKKQSTTASTPIKGAAPIRGRMASPATPAMSRQQSQAKSEKAESALVEKAEEVVTVVEKPQCLQKPPPAARPVGRGDSAANRDRPAATRAVPAAAKPAAAARAKPVAAAAAKTTVVKSENKNTAVAVEKKEVKNSEKPPSAPIGSRSATPAPAAPAAAAATAAASRSSSRSVSSRQQSLARSEKEATVVEEDEGGTEADKPDDSRRSSATRSVPSRQQSLARSEKADSIQADSIVEEKEMKEGVDEEKKEEAAKEARRDSQVSNASTTTMERRRRFPDTDAERATPYCRDHRNSEIQIEEILERVFLDVTSPQTASCDVQIPSRVSHPKKILAPQPLKPAAPFPSSQARAKSAAATPKPAPVRSTPAAAVKKAALPPAKKPTAVVPKATTPSPRASIPKGPPAKVASASSPRPAAPAASRAAAAAAPRAASSSATRKAAAAAAAPQKTSSSMTRTTSRLPSQKSLDAKPIHGTEDTLPNPLMIECQSEEVTVRAERVMNMPGAAGKKTAAAAQPAAKRPDTAKALATSAPSTRPAAAAASRGAAAAAGRSNGVTRGAKKA >PPA18351 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2279972:2280870:1 gene:PPA18351 transcript:PPA18351 gene_biotype:protein_coding transcript_biotype:protein_coding MFGQKQSLEDGKVTLYRCDTEAQKWIEVGTPSGDRVSAPIREPTTVGSRILFMAPCRIIHTAKTKKMGYVLDFEPSLLDRAAVILAKDPHRMGQARRILPAHIVLVMNV >PPA18129 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:737306:739145:1 gene:PPA18129 transcript:PPA18129 gene_biotype:protein_coding transcript_biotype:protein_coding MFAQLKTPLRRRKSVLLTVQAMAPAEDPAARHRELEADYTGRPKKGETLSIEALLDTIICMFDECSSPSLRRERCIAEFVESVRSVVHRAKFLRLRKDDFEVIRVIGRGAFGEVAVVRMIQSGETRGESVQP >PPA18187 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1134282:1135014:-1 gene:PPA18187 transcript:PPA18187 gene_biotype:protein_coding transcript_biotype:protein_coding MLELALATRSRSKRRTKGIEKECKEGVQGQECCIRSKIVKFKEMGMKNVLSPEMAEISWCEGACKLESSFEKLFSTYTTMMHHDNSTGEACCHGTSSSHIDILYTNEDGEVNSQRVYDVAFTECRCA >PPA18096 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:539932:540761:-1 gene:PPA18096 transcript:PPA18096 gene_biotype:protein_coding transcript_biotype:protein_coding MPYKRESPHSTITSERLEDQFQCAENYRCIYDDGELAWYYDDADAPEFVITAIEKELADAARIAGNDTQGSLEFEEHHNEPISEYYDTPHAL >PPA18162 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1010996:1011392:-1 gene:PPA18162 transcript:PPA18162 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLVKHRPLISFPEIRTARELDGTLASYRLPVSSAMLRQVLITLVAVVVYGQAFLFGSMGGGGGCGGGCAPPPPSPCGCGK >PPA18340 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2242800:2245046:-1 gene:PPA18340 transcript:PPA18340 gene_biotype:protein_coding transcript_biotype:protein_coding MHYAAVSGLLPLVELAITSAQQEIDLEDDLGWTPLMIAASSGRDDVCRYLLSHPTCNVSHTNKNGQTPLHYAARVLSKMLKVDRLVPNVVDLLIENGADVNGRDRFGATPLHRAASQGRDEM >PPA18315 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2001497:2003251:-1 gene:PPA18315 transcript:PPA18315 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPRKVSLIYRASGLTCGQQRYRVTIKKIDSEYRLKCTVVLPDRFYRDKNSSECVHVEWFDQSRECLKTAQRLHEHLQQQKGTLRRRDREQCFTKHRLPDDWMDSPDLWLRKGDVICRRMDGLLGVIGLWHGAIYIGNGDVRYLLHEATGVSIVHNAVTQSSIGFVSSLMKHANDVKVSDLSDFSDGRRDTWVII >PPA18182 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1112326:1118237:1 gene:PPA18182 transcript:PPA18182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase [Source:UniProtKB/TrEMBL;Acc:H3F7N3] MPVIYDSNDGAALLVGGCVLLLLQMCLLGVDPDAGLHAMDLIRRWGYEAESHDVTTRDGYILELHRIPRGRDDDEEWSDSAEPRPAILLMHGLHGSSLNWIMNLPEQSAAFIFADAGYDVWLGNCRGNIYGQRHARIDPASRAFWDFTWEDMADHDVPAIVDYVLNVTGQPSLHYVGHSQGFAIVTAHLAASDERFAGKISEVFGVAPVWTNGHSWGPAVILSHKRPYYEFIVKHFGSTQYHARTTTFLSTMAKYMCVLPGVNYGCGLLLESFGGMPTLQINYTRTSVYLASIPAGTSTKNCVHWAQTCHRCTGRRPAIGEGGTQARYDYGETRNWEKYGRPSPPSFNLSHIHTPLHLFWSREDWLADDTDIRLFLDQVDPSVIKSAKIIPGFNHFDFIFGTEAGDRVFKIVQLRTIEFVG >PPA18259 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1647980:1652788:-1 gene:PPA18259 transcript:PPA18259 gene_biotype:protein_coding transcript_biotype:protein_coding MECLHVSFGGVVNRLFTFEDGQMPVFSRMHQLFEKLHLKEIKLNYVHLDLNLLRPFNEFIDSGVTFDRLSVCWDINDTITERDRELMQRAKKEVVIEAPLSVLTLEFILLVEVPVKFNVVGARRNHIMLIDEDIFMALVRKGHSLIKLTVKLRSMKLLKKVIETITSSTSPRRLDVCILGLPAMVERELRIVGTEIAPREPYCNWFINGSEYPDMDVMCFAYLGATIHLGTVRGRIKEARLVVQSSSYEDSAEERLEKLANRPKKVTRRLSK >PPA18073 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:343766:348008:-1 gene:PPA18073 transcript:PPA18073 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYRNAAFLVWCRDVEDDQDTEFNCRGRCRMDLIREFCGCTGNTLVHLVKDEKELDKYPLCDYTSCDIDVQQGNFTEDECTDKCFRDCLQIRYDIDHESLGRMARPDLTLIELQWGSFEYLNMEQKWEWTVATFIAALGGSIGMWLGLSILSLIQGSSYLFTLCSKRLKKRRAAQRIAAGEQPEGGKKISKKLSIGANPFASPYAVETSPMPGHEESEDKRRSRRTWE >PPA18106 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:587676:594609:-1 gene:PPA18106 transcript:PPA18106 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDVSARVSALLLGREAPAELIKEHASPESVETLMLMASDMRAADVAKINSFMDIPASFWFRFPCFCGANIQNGFALITHFNAPRHKPLNPSPSFFFAFFANILEATGLVSVISNFQEASGPAPVSKRSLPLFQFDVDYWIDTIDKLKHATDDRNCISGSWRNELHQLRAEFDRRNCWTDVSSQFGMNAASRSRKIDLVVIDDVESPRVPATVKTERGNQPVPTPLQSFAPSADEVRERIAKLRDKVANLEKMFQPVPPPSPPSEVVELRERVAKSERMFQKACRAAREAELRAERAEFLLGSHTKRDVLESTKAQLAAQQKEKDLTQEKDALTEEVGVMRRNIQSMREKSNYLSGRVTTLTVQLEDERSIFKDQRQIYRTRIDELSKQLAQSNHVAQQRTLPVMARQHPEIDAPPTKMARMGAVDAGREQEHPNGPPVEMARMAVMDVGRGQMKEIWIPQREVDMRRALTGEAGGHALGLLLGRRLPTDSSDTHVSISSRDSLRFDMKTVIFEKIGNRLNAVLKNSHFICICGANIQSAVSLLSHYESPKHIKKRLHPVYQSDVDFWIKAIDKIMTNDYDSLLN >PPA18151 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:908582:910746:-1 gene:PPA18151 transcript:PPA18151 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPKSRTSKHRRRRPAQPIASAPSLVILVAPDGKEFSVDERAARMATAVDRSLDCTPTPADGAPRKIPVENVDAKSLELVIEYCTHYIGAIPYRETPVVRGEKIPEWDQEFLDRIATNDGDNVLFALYNAAFHMDAKRLLRSGSHYISNIISSCKTTENMRKRFNIKNDFTEAEEAEMRAENTMRRALREE >PPA18364 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2348141:2353403:-1 gene:PPA18364 transcript:PPA18364 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acr-15 MLLLLIFGLLQWTHASPPELRLMNDLFSGYVKEERPVLNHETPVMIKLGVILQQIINLNEKEEQLEVNMWLKYQWMDENLKWDPEQYENVTTLVQPAGTTWQPDVLLYNSVDNAFDSTYKVNQISNSDGTQEWMPPGIFRVSCKLDIYWFPFDVQQCFFKFGSWTFDASKLNLVAGDFDMTEFIPNGEWIIESTIVNRSEKTYSCCPEIYPDLSFTMVLKRRTLYYAFNLIMPCMLTMLLVVLGFALSAESGEKIGLQITVSLAICIFLTIMNEMTPQTSESVPLLGVFFQSCMVISVLATTFTVYIQSFHFRCHQNHHRMGFWMRWLLLEWAPWLLRMKLPKRENNWKTIKTSWIERADSDEETKTAFDYQDGTAKMITNMGAAMKENFDNLVFQVHVNADVKRDLGLTKRLETLNRIYEHVKIQKLREAASKKEQKKKDCYS >PPA18043 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:140289:149736:-1 gene:PPA18043 transcript:PPA18043 gene_biotype:protein_coding transcript_biotype:protein_coding MKGCLSVAEPVSRREMLEYSTFIHEVEQAFHQPKAPSAQRTLLHIGNGIPLGATKERLFHVGQITQLSGGDGKSMRKRKGGPSREEFRPLNQSSPKPQYTDGVRAAREGSRMVDDMEDAQDEDQSTRSSGIAVEEEGILDAPEFVRQFSFPVTIICYYDIVDSLNAQQAALNETFHADNEDDQVPNNDNEREKVSQNSSGNEDDSSNSTGDKGQTDVSERKTIENSQQKAPSAQRTLLHIGNGIPLGATKERLFHVGQITQLSGGDGKSMRKRKGGPSREEFRPLNQSSPKPQYTMSTIDGLVAINAMYQSAFGNLLQHFSPLLASQTASDESIHQMKRMASNLNEAGVSSLDETNDNQRDRQVALKRSSSSSFHSSDPKIHQQLTPSDCGRHSSSSIHLNSAGQGEQLTPSSQDETRESSSFIYPSSIRQGEQVLQSPQNNAIEALKDRVMELERQADSQNKKLEEKEAQFKAANESNVKLKKEADSLKKKLEDKEELLLAANSENIRLQEEVRAAREGSRMVDDMEDAQDEDQSTRSSGIAVEEEGILDAPEFVRQFSFPVTIICYYDIVDSLNAQQAALNETFHADNEDDQVPNNDNEREKVSQNSSGNEDDSSNSTGDKGQTDVSERKTIENSQQLKRSGRLAQKNRAENGNVYEEETDNANSHQTEKSRLFAKKNRFSKKNSIKNNEHHGKKPYRCQECTSSFEHPSQLLSHSFTHKNERNYECHLCDAKYKWSADWRKHLRSVHGDTSQFKCKTCGMAFNKHRDALHHRKEVHPNKCEKCDRSFERKGDLLENNGYGKLNSES >PPA18057 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:240472:241479:-1 gene:PPA18057 transcript:PPA18057 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDLLNKFISEIEQEEGVADARRRAKAAKRDTRRGQGALRREQAAIELKTL >PPA18303 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1929895:1931972:-1 gene:PPA18303 transcript:PPA18303 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRPATHYIHSLDHNENRKKKTRTVFSRHQVGQLEHAFDMKRYLSSGERSALATKLSLTETQVKIWFQNRRNKFKRQATEEESATMHLQRSSIFGPPTLSSVAAIVNAAAGEALDARIGQGALPRDEPVLPSSIDPMAIPGPAIDPATARLFLSQLNAMMQHSKPIA >PPA18035 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:107828:108568:-1 gene:PPA18035 transcript:PPA18035 gene_biotype:protein_coding transcript_biotype:protein_coding MYKFSGEYTVAEPRLVVETEHAASFVWQPLQNLGDVTYQTAASPPPAKTAMRLTHAASPFACSFQLV >PPA18054 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:208310:209095:-1 gene:PPA18054 transcript:PPA18054 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDKKYLSAYIEILSKPIPEYLLAQTIKEDKLNPSKTRDFNNVVFNSATACWGTAGLILFENLLNPANDYVKDDSIIMELDLKVYPTK >PPA18022 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:55299:56089:-1 gene:PPA18022 transcript:PPA18022 gene_biotype:protein_coding transcript_biotype:protein_coding MPHCVGFATALTALMILSKKGQIHVMFGAIEIELWQFSYPFSIPPILAFQCIMLVWAIKICDELNANLFGEVKKEREAEAAVQETMARNRAAEAV >PPA18075 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:357388:367485:1 gene:PPA18075 transcript:PPA18075 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIVSVVNLASALADPGKSRAESAAFFSRHWGENYTPNQTVPPSDLLRAIAAPTLLTQLERNLKTYKKFAHTKRTLKKQRAQAAEDERADVDDLPKFLVSDAYDLSSCASFDALFIRPPEGGKDPLGGVKQSVRSSRSTNPFEEEEREEKKGKKKSTGKNPFEDESTPAPSTPSASSSSSSSRNPFLDSAPPPPPKNPFDGPEWRDRRELQTKLEAMHDAVDARLASRLRAKSDAFWRIVNSYGSLQTDIAEARERIGVIRGSLRIAAGSICERTERMRKLYERREEKRKMLAKLHDMSCLRDAQTAVQSLLAQGLYTQALDCIETGREVVDAELAGVVAFRHLSPQLAELQSVIGRMMKEELATLVQREFGSKSDEPGAIIHEGELLSVMLGLAQLRSFSFIAMLKNEIGETTKTIVRQTCKTVIVEKGDVGLLE >PPA18248 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1600780:1602878:-1 gene:PPA18248 transcript:PPA18248 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCRAFKEAVEHSRWNNLGNGKLIVSTVYKPDLGLIVHVTFGNMITVKSFRDDWQEMFTKLHRSFDTLHLKELRLNKVFLEHPLLKPVIDFLDSGVSFDRNVIAKAKREVVVIDVKANAITDAQIQPTLNIINDDNVFIAMDACERGAVSGELVRRGHSLIKFEITSITVEALAKAIETISSLSAPQICKLRVPYAVGRSYVEAVDVRHIFEGN >PPA18176 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1082485:1089685:1 gene:PPA18176 transcript:PPA18176 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIISDPCLATPRQAGVGDFNAQRWTFEPTTRRCIAFLYHGMRGSANNFLTKESCEQRCPVFENPCKQGEPFSSSGFYTQCSPQRGCPSGHYCHIGAVHNFCCAVLSGDPCGQPADRGIGRSQLARWYWEPNQQVCLPFAYCGLKGTQNNFLSRQDCERTCYELDNPCAGGTPELGSDERPLQCSANNNSTCSSSFWCHIGAGPATTVCCPGRVPPPDTCSQPLTVGGGEESLQRWYYDSNTRTCSQFVYRGRYGNQNNFLTREQCEGTCPVYVNVCPSGTPLLGPTGPPRTCTFAGGECGPAHWCHLGLVPTEFQCCPGTPTNPGACTGVPEAVGELGAPAPAQERWYYDETARECKQFVYNGRKGNQNNFLTQEDCEATCSGKEKDKFGDQRSKINDQFFDNPCPGPIQMPPQSCSPMGDTCGATAWCHLGATPETTICCPNEGDPCSLPMSRGSGNSQLDRWYYNAQTANCQPFTYTGMRGNANNFLSREACEQRCGPNPCFEGQPYRAADGRPQTCSATATMNTCPVNHFCHIGADSQTTVCCPGATTGVCNLPMSTGEGNANLERFYFDAMTRTCRPFVYNGLKGNQNNFVSLRSCQLACQPFDNPCIGQPAVTAAGQVLFCSSTNKDACPVNFWCHIGATPETTVCCPGATNACSVPLAPGTGNNGLSRWYYNPDDRECVPFQYNGKRGNQNNFETAAECMRTCPEQLCLLSIDRGACGGRQTRYAFNRQTNQCIAFEYTGCGGNLNNFVSMADCAATCGNIGF >PPA18236 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1511157:1515459:-1 gene:PPA18236 transcript:PPA18236 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLNAFMHPPDPSTFRPVLPLRSLVNTVAEESCPGFCGKIPSKDSNVSFCGACPWGSRVETGPYCSPCNNPIEAYAWLYLLLVALTPLLFHCYHVRRSVQMFKKHAVLAEFAEHLCIFLECAIAATAALLVFEPHGSLQLYGCRANRIKQWYTWMHNPTVRFAKTIPCAHEVVYPLQSLPIVYLTFCLVNTIVLRSILYAVYVRKHRPARSYYASLFTLPLLGVLHILASGVIYHIFPYLIAIYSLVGQVGQFASLKPISRADLWEKLYKVPREVAHLLTMMGLFAFSIVAICVRGGADWVSTLHLYGTN >PPA18274 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1717299:1719662:1 gene:PPA18274 transcript:PPA18274 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAFFAFILVPLILIAIALFAYRTLYALVIRQRQKLRRESCAPCDANVTVLGPPLACAPVRPQSLAPSTLPYSPQSLFAPPTITIPTSPFENRSPASPPPYPEMVPLPSPPVTPAVHMPNVPAPPYSEDMETVDLRDDPPPAYEEIAAKIEKIEKSKK >PPA18235 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1503221:1505656:-1 gene:PPA18235 transcript:PPA18235 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQRTRGESTSTMKEKTAALTDLYGDDDELEPECVVEEAGLAADFLLHGREKEIEQYMIHVRKHLLEGEGETIIEIGVPISTSGTGPSTGSGLSEEELERALKAHEAVLKKVGPRRGYCNRDEEGAEREVHVRAARARPALGQGLYRARDALKLSSLSLISYQHQSTLQMRVAVVGNVDAGKSTLLGVLTHSALDDGRGAARTKLFRHKHEFESGRTSSVGNDILGK >PPA18028 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:79648:80985:-1 gene:PPA18028 transcript:PPA18028 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVYSRVCGPPRKRLNVLMSGLSASGKTSIQCRLRFGIFPTEICSYVSTISEDIEINSSSLSIFLHDLGASAKMREQHVRWWRDVAALIYVVDCSDEDRLEEARIELHEHLSDANMKDKNLLVIISKRDLPNALSDEMLVDRLNLNVIKGREWKIQSTSAKTGEGISEVAAWLEQLGDS >PPA18218 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1331726:1337702:-1 gene:PPA18218 transcript:PPA18218 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPPPLQDWATASSALIPHTVCTLVCSPAGRAPPSIGWRTVVLSKQYACRRANKTCAINKEERYLCRYCRYQKCLAVGMQADNVQWNRDKVLSERMKAQAAARIPGQVHKDFFPAIRFFKKPDSSSGLSHPSNAPNILLDIGPVIIRVASIFENPPLMPDLGIRMTLMQRMLVGLQHVRRNQIRKPEICSFISAARGKAVWERQLFSIAEWAMHCEEFAQLPGDQKLAVYKGSWAAFFRLERFHLTVSIFGRALMEKGFDKSMILVLTDEIAVDFLSTDFDFTFITDYDQNSVKSPLNKNLVIGASKSHIMNREAAARYFKEGLVPKGHKVTILNIPKGGTSLAQPLDLCYNQQWKCVMRRLNDAILVHDIDFVLHTRDNLLRCISQVYWAFGAPMFKEYRKYGWYRGGFLTTHPAPFVTPPNMFQPFCTRLYEEVAKPMIELQITDTELVYMLGQLMWHLEGRKGIAPETQAISESFRARISNELHDYYVYELKMNNYAARLMKLMGIVNDVEVGGFTR >PPA18264 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1672590:1673021:1 gene:PPA18264 transcript:PPA18264 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTLLFVFLLVALLSIAVVNGDFTCTMGEWMCKDVTCRSCKVATCITGDCVCTLCS >PPA18038 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:118878:120760:-1 gene:PPA18038 transcript:PPA18038 gene_biotype:protein_coding transcript_biotype:protein_coding MCTFWAVLNRLGIPLNVYEFIPAQRIFVKKRLEAVGGKSQLEAEGVEPPTFRYASFSIAIPKHSYLLSKLAPGTVYNITIQAGTSFGYGQLAWTAVSTLQDDEVVLTQHDVTPNSIVLVWPLHWMTNPTAKCTIRAKSLLIEDGLDSEQVNTAAPEVNGNAPDH >PPA18017 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:41903:42490:-1 gene:PPA18017 transcript:PPA18017 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTLIILASLLAICAAQMTFSDGWEKRSGRANYGTQKLLRGFNTVVPACT >PPA18037 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:112161:118295:-1 gene:PPA18037 transcript:PPA18037 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKTGSPFMARKRAPGMPYGIDGQCSLFVRVYSWFFNLITCHKHLLPKELMLVKEKGIDAILEEGEVITPVRFTLKFDGLNDIEDIMRSVYEQTCQTVSSPSSTVRGGRFKRGIDWVETAGVAAIGIVSTIFYPVGAGIGAALGTMNAMKIADLDYKMRIGDEEEEDSNNGLDIGEQKGTGPRITTTSRITVKDESEYFAHSPKKGEQKGTGPRITTTSRITVKDESEYFAHSPKKGEIRKKLNRKRKAILKRRMKTAKKDKKYDQTEECATALRTPTWWKKSSQFNFLHSYSIYTPTFSNPLPPHPFIIYSDDNANYGVIVSIDDLDITIISGSPDAERLGYLAAFTSAGAREERVSVRVLPTQTTESQNEKLPLLLILLLAYKTDSSDLRTVKFNIPSHPTINLIVKASEKRIPCSPHNAIRKKTYTLQCACARPSHKEEMGFMIPRLVKCQKCGWKYVDCDLGPIPESSKSKWTCTDCISFWNVPKWGGIETNPEGDKIIHNTCKFVSGFSEDARLIDGFVYDAGQKAIKRIAHFLKQTGKVKVPEWSDLVKLGVTKDMAPPTLTGSTSAPPLGLAACTSALPSQHLPYGSVIHKALKSLEALKWVDKSEDGKGRLQAGSAPRTRQVLGLREQRVEEICVVRGRFCFVLKGDTSRRIKPKFKHPPQLMIWGGVSWEGATPLVVMRNKVRIDGGVYQSMLHSTYLKWAEEKFGGNVVLLQDNAACHTSESTQAFFKRSGVQGRYDMWASECEILDRLFEYSSKLLFNLKCRTCSERKKLTRCHFETQ >PPA18270 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1705364:1707627:1 gene:PPA18270 transcript:PPA18270 gene_biotype:protein_coding transcript_biotype:protein_coding MIALRILNNIGSWLLCVKECFNDAQCVSVAYSDLLRVCHLHKTVGLSACNVVPFRRWVKTETNCQATAAATTVRTDPSLEMGIDPCFPDRSRLHPELVVLDGVSPPCPLTDGSPWPSYTFRRYNLLLPIAPFASQWRVIREALAPVDVDGNTAMLFCSASCESSFGRALKVM >PPA18133 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:778683:781232:1 gene:PPA18133 transcript:PPA18133 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLNRGGKKQPKRGFVVRPHTKEEQRAGDRRSILPISGPSDFQHLHHMGPGNATDLMKNMIDLSATSNTSLNTSASKLLPVMRSGSSVNSVRKDDPNASRGVRPISSQSRSSDSSSLDRDGKMSTSSDNSHYMEKRGANYKLRIMMH >PPA18084 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:419228:420070:1 gene:PPA18084 transcript:PPA18084 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAPSTSLVCNFCSATSSAEGGPLLGCANCQVAAYCCSDHQNLHVSSRLARAFSILR >PPA18092 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:499128:501636:1 gene:PPA18092 transcript:PPA18092 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRPAVYHSNYYAKGVFHDGEEGTRVEFSWTKIIGQYSFWFGSFIAQYYIYKWVLFQLLGLLLAPFGLGWTE >PPA18040 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:128582:129553:1 gene:PPA18040 transcript:PPA18040 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKNRTDQKLAYKIKCTSNDLFKILKPIDLIEPRTSTEISVTYRAGKGMADDRHHMGVYFIPAPEGCTATSVWAEHYGKAVGEYRVKIR >PPA18312 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1982683:1986043:1 gene:PPA18312 transcript:PPA18312 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVISWMSFWLDPRASPARISLTITSLLTLTTMSNGARQDLPQVSYIKALDIWLTFSQGLIFLVLLEYSFVSFYMTKRSFDCVHRRAYQHKKLRHSQSFTSKSDDERPEGNQTQNIEEIPFRCLSFHSVNLPLNHGGFVGWCTYRISLTHTSAFRRFPILVHTTVFQKRPSATEFDDMLQPPPTIHLNGVKHRTPQLPQSNGHAPFSLSQGLSKCANNAAVLLRSTGFEREFIRRHSWYEREEETAALMSATPHAAAHIYNKFDFTKPYSRMIFPTVFILFCSIYWAYYTYTEDSTDTV >PPA18282 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1781410:1782204:1 gene:PPA18282 transcript:PPA18282 gene_biotype:protein_coding transcript_biotype:protein_coding MELLAKLLALLALTYTVPARVTFHVAPKYEECFQVAIDRIKELGCPEHDIDKTLGMSQLMQQSIKRLETEPKFVDTCCGTRQYCSDKQFKIN >PPA18217 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1323021:1323928:1 gene:PPA18217 transcript:PPA18217 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLRLALENNMSPADMTKLEPLIVKKKYTRVPPPPEAPAASWNQYFKARSAWHNSGSCCSCCCCSSCCCSSGNSSQHKMPEPNSLGIITAEPRPADGSARAAAAPAAAAAAAKQPASSDPPGADPKDPAPSKPPPPPPAQPPSN >PPA18161 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1008581:1009287:1 gene:PPA18161 transcript:PPA18161 gene_biotype:protein_coding transcript_biotype:protein_coding MICFASREDLKHCMDKMDGKDVNGRKIKLIDDSDDRGNSRSRSRSRGRSGSRSRSRTPRSRSRSPRGRDSRSRSKGSRSPRRDDKSRSRSRSASPKRDKRSRSATPKKRDDKSKSRSRSRSRSVSPKREKRSRSRSASREASRSRSPADRKSDKENSRSPSPEKMDD >PPA18327 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2096854:2098975:1 gene:PPA18327 transcript:PPA18327 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSICSSPTRSPWNWPKMADEWAANEVNEAVDVAPAFELESDLPEVKLFGKWNRQEVNVADISLVDYITVKEKYAKYLPHSAGRYQNPVQVLVNATSLIGHAGTVRRQSVDVALFRRVNQAMWLLGTGAHEAAFRNIKTIAECLADELINAAKESPNNYAIKKKDEPDRVAQFNV >PPA18346 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2258511:2261335:-1 gene:PPA18346 transcript:PPA18346 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHSMAPGGAHAAQTAIDFSDVSVDNFQFYDSGGYSQQAEYATPSYDGGLSGSMQHTAGYSSRADTTAGRFLESKGFGWLLEVQDGDEEQLPLLEELDIDLKDIYYKVRCVLLPLPYFRLKLSIVRESPDFWGPLIVVLAYALLSIYGQIGVVSWILTMWFCGSFFVFFLARALGGDVGYSQVLGIVGYCLIPLVVIGLLNPIMSARVSNN >PPA18146 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:874629:879778:-1 gene:PPA18146 transcript:PPA18146 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acl-4 MDVMVLSTDNVYALIGQSHGGLLGLMQRALSRASAHIWFDRSEAKDRSAVSKLLKEHVSDPDKLPILIFPEGTCINNTSVMMFKKGSFEVGATIYPIAMKYDSRFGDPFWNSSEQSWGEYILRMMTSWAIICHVWYLPPMTRLEDEEAVDFANRVKKVIAARGGLVDLEWDGMLKRCKVPPKLVAKQQEKYANRLSRYTSTCERSVPGTGGGAMNGDDAEDEGIASSPPISGYASLEELPEAEVVTDEEDNERPIPRSRSRPASHVVEPGH >PPA18299 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1910686:1919184:-1 gene:PPA18299 transcript:PPA18299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acs-3 MIRKPISSDDLPKSAVHSVLMPGEERIRGNVFFPEPITGMPCGKVHTVYDVFRRGKEQSEDGPAVGEIRNGIFHWTKYSEVICTSNRLGAGLLSLGKQTKRVGVAGINSLRYTLAVHSLVNFSITSVPLYHNSKMEDLCYIIGSCQLEIVYCDTAERAQAFVEKKASGEIPVLSSIILLHGLKDDVVGAGEACIEIWEFDEVLARGVLYPREDRPPTPDDVYVICHTSGTTGRPKGVQTTHRNLLAAVGGLYWQWCCAPNNISFDSSEVYFSFLSLAHIYEHLMQNFIAYCGGHVGIYSGDTTRLLPDIQLLRPTVIALVPRLLNKFYESVHARMATQSFIVQLLFGLAKWWKLRMLASGEMRYDTIWDRIVFSKISAMLGGRIRLLTTGGAPVSAEVKNFSRIAYGAPLFEGYGQTECGAAGTLNIPSDMTPLHVGGPAPWAQIKLIDAPELGYYAKDDKGEVCFRGAAVMSGYLGDASLTAKTVDDQGWLHTGDIGQWLPNGSLAIIDRKNAFFKLAQGDFVAPELIENVYGQSALIFVAGRTTRSFLVAVIVINVAALRTALSNADQKLHHLITLPDSDLLEARETRDFVLGELNTLAKGKGLTPIELARAVHLTTEEFTAEGGFLTSTLKIRRHILTKHYEDVIGRLYGEVQSA >PPA18353 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:2284369:2285680:-1 gene:PPA18353 transcript:PPA18353 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRQYERNAIAAARRMYWRCEVAKYCGGQTLQIRQRLDELRQISPGTLKMTTVHVRVTLL >PPA18255 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1620370:1621301:1 gene:PPA18255 transcript:PPA18255 gene_biotype:protein_coding transcript_biotype:protein_coding MANESNNRSKRRPQTGQPAQPQSAFRQYVPDPDQQRDARSSRHYDTPPQQCAYISIAWSKSDFKIRVAEGRVKGRLCRSAQRGMRGRGRGEGERSTLAQELM >PPA18229 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1441342:1443609:-1 gene:PPA18229 transcript:PPA18229 gene_biotype:protein_coding transcript_biotype:protein_coding MYCLLFSFLLPSHCGQTSIPEEGMTTVELIANAGYPVQEIRAVTRDGYILTMHRIPFGRNHVRSSSPRPTVLLQHGLLASSADWVLNLPEQSLGFQMADAGFDVYLGNVRGNVYSREHVNETRCSLIG >PPA18221 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1357844:1362931:-1 gene:PPA18221 transcript:PPA18221 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVPYWLKTLRLHKYTGMFSDMEYAQMMALDEHELEMRGVTKGARRKILQSIAKLRQRAANVRRLEATFDVLELACLRCAIVELRQLVATPIMQYTPAFGRRESEEEIDGVCAIDTIPDENLPALMVRLLMRVYAMGVAGDAPHEQIKPELLLMYFTICDRMLMNEAFTSSQKRRIVQWRRAARRFAAPADLRRCVLPHPPPPRCDACTPVATFYFNDSLEEIMRVQNLQRLVKQYLNSDGAAPLMQQPVVPSAYSASYYEQQAAHAAAVKAATAAAARSQLQRDAALCGFSAAAAYAQRPSALARKMMPRGGPVSAPPPSFYATAAPAPHPQHQSCCPTAVAAAAAAAAAYQQQVQAAAHRMAAANAAAAAAAVAAPAAAAPWIAESVYSSADLFELARPLDLDFTAVPSRSIWSNTPASSSSAEGGCDSTSSGTSGYSSGSSERGSGAGSPRAADAAGASSAPLAATTPAQPAMIPIRGCDK >PPA18119 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:641202:651645:-1 gene:PPA18119 transcript:PPA18119 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pme-5 MAQSIAAIPAASVAQNGTAVVETEPWNRTAFHIAIQHSLLETLPHFVLTDAQAAEVDASGRNAWHYAAHQLTPTTIKLFELLEQKNVPIVPNAYGQTPLHVAVNAEKTGSADAFLDPIEWLLERVDSGLLDNECRTALHYAFEKVSVKGKTKELKKQDPIAVVSLLINKMDGKAIRQRDSSGNTALHLAARADANICLVSMLAKGSEVDSLNEDGNSPLALALLSKSQAAALTLIQAHADINGQVLGPEPSPPKDKLYRYLGAPVHREKRILSSIPDTVVRNEWHSLVYVILDLLGKNQPRWATPDTIAKLVAAALRNDQHNFSIYLLKLLGKPTKEVQQAMLSHDFLALYVESLAGPVEGPAATVLERILELKVPFIDAFGHSPVVELACRKGHYQLLEKLAAADAARFATLKPHPSATSPIQALVERQMAGVLVEHGTGNNSVLAAAIRLIPGTERCKDALVDVGTGNGCMVSPLCAAIRAHDAELVRFLVTECKVDVNAPCPKFPIADAIVTNHPAVIKALVDGESIDPHAVVPATNGHVKGTNGTKRAAPFAFGIHKNQAKKAARAAASDDDDDDEDMEEDESSNEEEEAEENGVETEEDEPAAAVATPGKPIDLKGKIASLDITAKDRVSGRSAAHFLVEPCGWQNVELLEALVRVYKTKFTQLLTMRDNNGETPIALASKNNQHKMFDAMIKLLVKKKCTVHDIHSTKPSSESLPGLQFEVPAATTHDVNTRIPHKKSGYEKTGEIMECGETKQLFHVLLNKTDLRGGLYGFHNYYKMELIKRKDADLFILFTNWGRIGDPYGEFQCTPFTNIDAGVKEFKSVFKQKTGQEWCPLADFVDKQGKYRLTKTEDNVQTAADVELENFKLEKKDEEVAEMK >PPA18046 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:155556:157989:-1 gene:PPA18046 transcript:PPA18046 gene_biotype:protein_coding transcript_biotype:protein_coding MMMQHSATPTDHSTSVAAAQTTTDELADIFDEFKGRDEEELLTGKLRSDTSANANLFLQPLQPPAGVRPAPYKPELGLSHDELLSTATRDELLSTSSITKDLVAETDSKTGFIPKSKQLPVSITAQ >PPA18032 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:92162:99216:1 gene:PPA18032 transcript:PPA18032 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLLVCVLAASALAATPPSKANNTVNTFAGPLKYNEDWGYSLIRTGAHTFWWLYAAEQADENRPLFMWLQGGPGSSSTGYGNFQELGPKTMTDEDNQATWLQLGDLIFVDNPVGTGFSYVESNSQYTTNVAEIGNDLVTWAIDFFSVHPEYRTRPFFIVCESYGGKMTAEFAAQLHLSYLDEQQLDRVNTKAASCQSLVDSGKWSQATNCWSAMEELIEIETDGVSWYNVLKQGDSDAWSAAIKTNRIDTRTHVEKLYDRHVRPLQLDALDNYMDTVIRNKLGIIPDNVHFGAQSGMVFNKQSGDFMTPNWATVDKLLSYGLQVTVFNGQLDLICDTIGEYTGTSSEGKGTERWINRLTWKGLDQYKAATKKPFKTKSFPLAGYTKTYQNFQMIYILRAGHMLLPEHF >PPA18288 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1816414:1816794:1 gene:PPA18288 transcript:PPA18288 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSPARNEAPAPAAATSDQVARIVKLREENDQKSKRLVSRACGVCLTEAPLRRAVLVSCGHLLCLACAEQIREDKGSAMIDCPFCRARTVFVPIIEDHIE >PPA18323 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2061486:2061814:-1 gene:PPA18323 transcript:PPA18323 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYALVPDVRLEDELYGEGEDDGGARELTRDGCPSTLSSMVLECWLGTWEIHNEFMTAL >PPA18173 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1070813:1071240:1 gene:PPA18173 transcript:PPA18173 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSSTLLILLLSLTTLTAAAGSDTEFIDAIKNILFGQATGIEKSTKEGCLRWLNATGQQQYPSADPPLAPHSSVRTFHQYPPIVKNIPLTLHQYPPIGN >PPA18116 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:634186:635745:-1 gene:PPA18116 transcript:PPA18116 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDTDRTRRVEMLRAENEKSSTARFSRFCRGCRSAHPLERVVLTHCGHAVCRQCANADGRHSLIVCPVCEKFSVFLKLFEGRASGDEEETSDALLAPFSRACGVCYTPNPAVRAVVKTCGHVACLACMEQLKRADRVKCPFCRENAPIVILLEQLLNEEGVECGVWEIPANAHAAADDPEF >PPA18239 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1528085:1549510:1 gene:PPA18239 transcript:PPA18239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gei-6 MEADEALNDATPPLVEEQMPDQDDVIMPDESHGDPEESIEEPMPVATDAAREGVDAVIGHAVAEGVADEEAAMEVGEGEETMGGEEETKEEEEEAEEEKGEEEADLDDGSTRDTLPMYDDEEEEKAEEATEDAVEPAETIEQEVKEPSPSPEGETTSQPLPDSSEASESAADAADQGAADAAEAAEVGDAEEGGEAGEEEQANTEAQPSLTFPHCHVCGFDRETVFMREKKAPSGQFKNKVLYRNRCVRKPCARFFGPSYVWMSKEGEYLKVTEESANAVRPYASGPREEKYPELDYARAAQSGMRPPIDNNGAAARTARAARAAAGATDEVNMLANHQPLSVHGTDSPSLSRTPSTSTSASPAPPPKGSSSATKASPSAASSKPSTSRGTGQKKSSTAPPKLRKGYEWVVEDDEPAQPPPPDRVIELARVIAMQNAAATATDKAKSMSRRNYCETMRRTFGTQTGDEDHLVLLLVEEMKAKASFEMEPAAESPVTAALSNRLFLTQRMMLTQTQEIKNLRAERDTLRANMKSMEQQVTAYRKDVVADVTRLKEDVQMAGKDLRNFMHEMQNEANKDIRDINKIKVQVAQRIDDMNRSALEYQKSLTEEADALADTYHRVEAELRSQLIDAKTMEEYAHTKLMDKIYLKDNERCTHCQVNERIKNMLTEQLADKTLSANNLGKERIELVKKLENSERIRAIVSKENEKLKFEKNAWEMNCHRFLGELAQSRGTKAGLVLASMQPCLPSSLPASQPQPQQPPGEAAFTPMSTSTKETDEISPERSDDSVEMDRPPPTSSTSSAEEGEYKSPQSPSSSSLARLPPPPAPPAAILQPAPAKEPSPEGAAGFASWIPKDRKEQEMPSAMAEAFRKVHEALEKGRPPREEKKGPPKKEKEKRDERGKRSGAPPHPSSAHHKNGEKKPKLDGGARKEEKVASRPIPTLGGAPKGGPSPQMPPKDKEQQRRMEERRRNDPSPIPFTSAHEPAYPAVVTKKPAVKQPSQEHCHIALVGYYRN >PPA18261 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1662115:1665268:1 gene:PPA18261 transcript:PPA18261 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGCTVLSAEMAFELRNFDIEHGGQRMFPMRNAIHGNDDFVAISDPIATISSNGAANFRFDLKRAPAWSGSITPHGGTAFLSNGWLSESESYNVDYHNDFVLTRDYDFGRSTFTQLDHTALGWATAATSIEFTCRDPSGDIVIKARDSNHFWFDDMTYCSSVFLNVTVDLGSSASTPSSSNTIGPRFKFVVTSGATKQSVFIVILATLVARYVQ >PPA18249 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1602992:1605888:-1 gene:PPA18249 transcript:PPA18249 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSMSAHRESKTTSRVRCFVNRNMASDDEEYCDVELDDYEWPDDYFDEEIKQVEEKVYRPKTPPYISQTPFSELTLDVLRRIVEPLELADRSSALEIAIAIPWCEWCAGPGISLGGDPLEETDAYVYLGRELRSDSTMHTELMRRKRAAWAAYGSIREVTRQLQDPKLRSSLFNSHVLPALSYAVETWPLTKSVLSFIQTTHRALERSLIGRNLYTMRQKNMTSTDVRRISLLTDPINVIRRAKHRWAGHVLRREDDRSSTRVTHMFIIDSQVEPICWLKDD >PPA18168 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1047901:1048625:1 gene:PPA18168 transcript:PPA18168 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFLALLLNCSILARAFLFGGGGGCGGGCGGGCGGGCGLQLPPISFPQISLPRFGGGGCGCCPPPPPPPCFCPPPPPPPPICPPPPPPPPVCGGGGGVGPYLISSPPAPIGYPVGPVGPPPPPGGAYQTGPSYGAPPSGGYQTGPTYMVPPSNSYVGK >PPA18068 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:322983:323849:1 gene:PPA18068 transcript:PPA18068 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPCDIVHQYNTYTPLHVVQGFQISFSVLSIIVVVYTAARFLVKSIFERVFKVGQQELLFVLYLFIFMHSIITVVLQSCTFGIGTLTPFATYD >PPA18183 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1119913:1124230:1 gene:PPA18183 transcript:PPA18183 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dhs-21 MSVAFDFTDKKILVTGASQGIGRAICIALCNAGACVYGMARNEAALDALGAEAGPKFTAIVADVTLGAEEISTLLKKHQPFDGLVNNAGIAVLEPAESTSEESMERIMAVNFRAPVVLAQIVLRGMRAASVSGSIVNVSSQAGLVPLKDHLAYCSSKAALDMATRCMAAEFGEYGVRVNSVSPTVVMTEMGKKAWSDPAKAGKLLDRMPSGRFAEESDVVQSVLFLLSNGSAMTTGHALPIDGGFTTC >PPA18140 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:827731:831104:-1 gene:PPA18140 transcript:PPA18140 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rsp-1 MAARIYIGRLSERASERDVEHFFRGYGRIREIILKNGFGFVEFDSSRDADDAIYDLNGKDLAGERVILELSRRGPRMRDDFDRGGRGTQSRYGPPTQTRHRLIIENLSTRISWQDLKDMMRNAGEVTYADAHKQVRNEGIICFASRSDLKRAIEKYDGKEVNGRRIKLIDDSSAGGRDSRSRSRSRSRSRRRSRSRSRSRSRSRDRRSRSRSRSRDRRSRSRSHSKDRRSRSHSKDRRSRSHSKDRRSRSHSKDRTSRSRSKDRKSRSRSRSVDSRKHSRSRSPKAEAKSRSASPAANGKNGEHSPKSERRSRSGSPMD >PPA18268 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1691967:1692648:-1 gene:PPA18268 transcript:PPA18268 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLIFVGLIFISTAFAAEWSEWTETPDSICSKDCGMCGTRVTAERTCPTPGQCVGSSEQTGACPPKMCLFPQRTCCKGYRKGLTTDYRLACIPREGWFTEASTTDQSTSTTESSTTTSS >PPA18242 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1558565:1561041:-1 gene:PPA18242 transcript:PPA18242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fkb-3 description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:H3F7U3] MKVLLLLLVVVVTTAADDRVWETEEGIKIEIIKKIPDSKCPIKSEEGDELEQFYKLTDKAGKVVGSNFGSKPFKFTLGRSQVISGMDIAMTGMCAGEQRKVVIPPEDDYSEFDEEEDEEKEEKKKKESLYYFVELKSIFRPVPGDSWIEDDGLRIDVTHAIPADKCRKSEPGDKIHQQYSLHLETGNFIDSSWSRGKPFTFTLGRGQVPWACRDRFEVAIEDEQLSSTYP >PPA18050 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:178030:179612:1 gene:PPA18050 transcript:PPA18050 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPSPSSLLSSTRSKQQQRSPACRVLFPDAVAKAGPLSPCSAEMRLRPQLMPRKRPASAPLPPIEAPEPQLPSTSQPLQQLQPEQQAAAPQPQPHDPLPSFSSSFTSLLYNPMQPAWPEPAWAQPLYVHTDYSYFPAPYFPVPATGYAPVLCIDGYPPAPVAAAGSSCFYTPEPHSSF >PPA18196 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1183101:1183303:1 gene:PPA18196 transcript:PPA18196 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHLLLFILLLLCCDDRIGTVIGNPACPNGFCGQRFSYQSSCNPYTNAVQQCRIR >PPA18292 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1857392:1865083:-1 gene:PPA18292 transcript:PPA18292 gene_biotype:protein_coding transcript_biotype:protein_coding MHMFGKYPMLYEKMSDLIREAYRLTADPLYCSLKLEVIMAAHECTTEHSGRDACLSDPCHSLAWVLDICLRDKHIEPAQIARIKNLFESMKRMSHEKVGDLAMVTADPHVVHFLCTMAIKLLRDHRHIPREQGCKFEINTLAPVREYRKSARLPSHRRRRESVEKRESAFLCSVYALSQPHKLSSGEAKEEGGFVLIIRLLTLGSYAHHIVSCDSLPPQMVEVIFFTKFLPAFGCLIAEDVMRLELAKHEKLETAEAMDLYSEPNDAITVFLKSDMAAALLWIHYVADLMPRRGLELRGLLRFMRLLPILKEQTACRSPWSHLFMHRILTACQVETLVNDPELIAIVIDQMFLAHLKIDLYLKYQLLRLVNQTHRSLHPNISQAIMEHIAPGSIGDCADIDRYTFEYDRATEKFTLHPKTEEVVMPPPKLLTQGSRKWLPLSFPGSAPAVATPPSPAPLVPVVVSPMATARRRTPISSPSSSPHREFEIRVIVSSSRTLSRLSDR >PPA18026 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:73294:75261:1 gene:PPA18026 transcript:PPA18026 gene_biotype:protein_coding transcript_biotype:protein_coding MFLEPLHLIFVLIGVNANALLIVCIIFASPVHIKEYSIILLNSAINDLIADVSDVLTWQRIVFSGTSWANLSFGPCLAINRDLCRVLTQFLVISLLHSQILMLSSASPLKDVQEFVRNTYPFRSVETDHRVLTGFLSILQPRSAVMLGYFAVVPIPLYCYIIHARQKTPLAAHSSKEKKEGDCQFWFPLRREFGFVIGDAESSRTSLKWNLRKPGKVRRSIT >PPA18087 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:453537:460070:1 gene:PPA18087 transcript:PPA18087 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERRLRPEIENVEELEGVKIIKPKVFPDSRGYFSETYNAVEWKEQLGFEEKFLQWKEQLGFEEKFLQDNTSFSHHGVTRGMHAQPGMGKLVSVSVGRIYDVIVDVRPGSRTFGKWKGFYLDAANKHALWVPDGFLHGFQVISEQGAYVSYKCSGVYDSSTEYGIDPFDADLSIEWPICDPAKCISKKTYVLNDDAFKTDDDSVVFEKTSGTTPKLISTSPLSPLMWRYIFTRSPAHPVDCEDGEQAVIRRTHTRASTTSSAGYGSQTSNDSIPRLGASSVCGESSQIEVFSSKESSPIPEVRTKSYWGTPTDSPVAGRYTLPAVTESSPIGEAPGIWGKPMFIPAADHETSLESSTVKRVEYVTLHAGKTPANMHNMEYTSSTLPPKTPYRFDVNRLEVRGRKIPSAPKDEVDSPVGWPDARDPHFHDELTPTVSYDVGTPATLPPKTPYRGEALRHEMRTRISMPAMEFLEEDEEECSSSRSDSSAVVGASIWGEPDYSPASSTELDTSHTRHSCTPYRPTFARHESRKISLRRMPSAPISGRVQQMVQFFENDSMRVEVPRRLDQAPPEFMRSCPQMDITPSPPRPVHGHTHSDRVRGVTSASGKRSAAYGATCTIVPQPHDDQWDKLSITKGITTRSS >PPA18205 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1245556:1245873:-1 gene:PPA18205 transcript:PPA18205 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPGDNCYWVTTGYKTTAGVTDLPCPREYRREWQEVALSKFSRKNGPNPKSTDASTMVVFVANGSMGEKEFAEVIACSYCQET >PPA18287 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1815508:1816086:1 gene:PPA18287 transcript:PPA18287 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPRGPAFARLRRAWFDDEIDTDTYWQFNRSNEDTIAAALESLQISTYSIHPESQTDEDDGSGIALENSATFALDHAAPPISASRRLARAYLRDEIDSETFGRLRNESDARIITALERRGITIEQFHLPGGAGVVVMGKCCFIGNVSMAFNSTSGSRKRGPS >PPA18308 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1955458:1957095:-1 gene:PPA18308 transcript:PPA18308 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVMTLCCLSRCDLIFLPPFEISLSAPDFPCCVSLKLYEIKSEQDKLAAK >PPA18184 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1124186:1127862:-1 gene:PPA18184 transcript:PPA18184 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIPQLRCNLFMTTAEEYDCSVNGTWAIDWPTRGTVRPYFGSYCLLYSCITIPLYLMSAQVIWTMRRAATYKILFLLAVSDIMALVVCSFFFGIFLIMVLGIFEDDRYEYYNVAHIFNNTMMPLFSCIAYVIMLLYLLFKGKTSNNQNNSNALNRAAALLSIQSGIIVVVHSTTGVTYMLFQYVTPTDAFLYIAQISWQLLHGIPPFVYLVFNPSLRDGVKQHASPVRSSFMMMPTSITPKSTLGPASQFPPVSQNAYPVSTRSSLHDELNHLKKNQNDNCPTRMRYFMYENIEPFYIKRHIIDRRIYSTSSESSIDRQCMT >PPA18277 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1756063:1759556:1 gene:PPA18277 transcript:PPA18277 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-daam-1 MAVGDVFQNLPKFGLFCIACASTTNVKLQIAAIEILSGLCFATAADDDEGGPTRVLEALTEATKPLGERTRFQRLVDDLHREFHSERETDRLRTSIMSLLNALLRTGQAEESTEFRLHLRYELLALGINEVLENCRGEASPRLADHIELFEMMRKEDEMSLSKKRDDSGASSPVDFESPGGMAEALAARLSNSVALNHFISLLQHLFMVPSDETHIPLWRLFDLVLQHICLQSTLTGISDVQKGLPTIDMADVMCRLRSHGEYERVEKELELTRHQLKEEKRRREEVEGAGTIARTAN >PPA18056 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:237684:239376:-1 gene:PPA18056 transcript:PPA18056 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNLAEQRRVTGRGATPRRPGVPGDCARGAQPEQVVSRRRSKGVCLIDEARSRQAVDHTARTLAYMKYSAGKLAMTKDAVN >PPA18344 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:2248993:2250356:-1 gene:PPA18344 transcript:PPA18344 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIAFSRIQRECREVVTNKDIESTGIMIEMLNDQLTRMKGTINGPPDSPYEGGKFDLDIVIPDNYPFQPPKVKFSTRIWHPNVSSQTGLICLDILKDQWAASLTLRTVLLSVQSLLALPEPKDPQDAVVAKQKMSEPQLFEKTARFWTQHYAKGNGQKDPEFLHKIMKLKDMGVSEDKFKIKATRSSDLDSFVLWMGSDSIN >PPA18166 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1036564:1040680:-1 gene:PPA18166 transcript:PPA18166 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAVSLLLLCAAPTNAFLSSLFGGGGGGCGGGCAPPPPPCGGGCGGGGGYAAPAPAYGGGYGGARGGGGYATAPAGYGAGPAAYSAPAPAFPAGGYAAPQAVGGFGGHQGGYAQAAPALGGPAQGGYAAPAAVGGFGGQQGGSYAAPAAVGGFGGQQGGSYAAPAAVGGFGGQQGGSYASGPAAVGGFGGQQGGSYAAPAAVGGFGGQQGGSYAAGPAAVGGFGGQQGGSYQAAPVSNFGQQAVQGGYQAAPAAVSNYGAQQGSYNAAPAAVQQQVQEVIHTETHVAPAAVAPEYNAAAVQVAPQSSVHTQTVVQQQAPAASYGEEEAAPAPAPAPEYNAAAVHVTQVSENHVTEQSVPVSQGYDRLRVHRRLAVAGKHQQLARIAS >PPA18109 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:609437:609855:-1 gene:PPA18109 transcript:PPA18109 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQVLITLVAAVVYGQAFLFGMGGGGGCGGGCGGGCGGGCAPPPPSPCGCGK >PPA18053 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:203033:208082:-1 gene:PPA18053 transcript:PPA18053 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATVNELVEAFGKAFSDREKDLSIRIFEPYLAIIQTQKDELVKKDMEIEKYKVVDSMSLFPTEMPFRAIIVCTKMKGDTKYLSVFLQITTVPIPQSWSCSGFWKTKLIRQSSKGNNFVNQIDGIVFDSSKVTGYGWGEYITFAELMKPSNGYVKDDSIVLEIDLKAYPVKMAKATVNEAFGEVKRRKVETDLSMNEVDRYKSELEAVIANANLKAESHKKEIDGYKRELEAVTAKFMHEHSCLSEELKKSRTEIDVYKAERDKSTAAFKDVITLKNAKIRQLEVELVKEKAKSMVEAESTNGIVALQLQQFKTEAEFRKNEIDRYQIRQLEFELVKFKTESFVYKNELSSKALAEASEINAVQKKIVEIKGMLERKGEDNSDTSETVIRARFTDISKLRGEYVYSQSSRYCGIDWSIALRRANGHLQFHFGGHKSGKWSCSVFAEIQLISQKSVEIVHCAELNAVFNDDNRMWGTDFISFKVDLVNRENGFVKDDSIIIAVNFRAFPSKMSSNELVEAFVKTISAQAAIIDDLKTEVKKKEMEIYKHLSILSLTTGGRLSPPLSSTSSLENPRPSAWATRRVSPTWIIKRRTQDGF >PPA18320 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2038483:2039296:-1 gene:PPA18320 transcript:PPA18320 gene_biotype:protein_coding transcript_biotype:protein_coding MTECGLDSPTINVRGYTKFPGTRMLSAKTPLHDLGIVLILHNKDNKDKDKDDDSVDTRR >PPA18076 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:368542:380465:1 gene:PPA18076 transcript:PPA18076 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vps-54 MGEAVRSLAYGDWLELLAAVQTALHGFALRIETLQTAVVEVLDRVWKDGFPDADEERYDLINNALLDYSNRHSPTPASVDEDEDDSDLDEPSFSSPSSSGPGPSPRPSPSSAVLPVDIRTLAQLRKALCRLREYATECAHARVARLMNARDLGDESGRNAAGAPTVEKIQAMRRVVDEYLERGSLQGWSRGRLLETAVEKTTIEYVERFHRKRKQTVCDVLDRELWRPADVPPPIQEMVVLFDRGEEKEGTGGDASASSSLATSLEDADSLSLPGSTTQSLVGESTTLAIREERFVVVASALLTVSGLHDHLAHARILPSMAGRILMRTIELLKRLEVLRLQAFNSRTCQLILGAGALQLVGLKTISVKNLALASRSLQLVAHFIPVLEREFGRILGEAEAAPQLRYFQKVRTDYTDHVNEIVAKLISVIEHHTTNCLATWSVGGAPPSAAMQTLCKQLGKFHAGVNGIMPEEQIKGLFSCVHANVCRLLRDRFVALKISPQDVLAYGIVCEDFGFYTRAVNSMAGCGSLELGTMAEYSG >PPA18281 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1775211:1777331:1 gene:PPA18281 transcript:PPA18281 gene_biotype:protein_coding transcript_biotype:protein_coding MLALLIVFTSLSAAAVFAQLCDRTDCAGRGTCVGMKLAPLCVCDLGYSGMRCEKGSDLLGGNAANAICEPKDCSGNGLCSGTKAAPKCVCNLGFSGARCEIGMGLPENLCTNAIACSNNGFCLGTAKNFICLCNLGFSGPSCALMLALADEILRDDDRDLLQAHL >PPA18153 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:917801:922611:-1 gene:PPA18153 transcript:PPA18153 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASVNCSRFNGKVAIVTAATQGIGYAIAERLALEGAAVVISSRKDSNVQEAVAALRKAGCKRVEGIVCHVGKEEDRQKLVEFTLSKFGRIDVLVNNHGINPIFGDILDVDEKMWDRLFETNVKNGWQMTKLVVPHMKKVGGGSIVFNASFGAYKVPAVGIAAYAITKTAMLGLVKGLAGSLAKDNIRVNGIAPGVIKTKMSSALWDNGDKSGEENIISNSEIMLGRLGVPEEIGGIQFIPGNSRVRHHIDHHDLLHTDDADASIHGRRLEQSMEAFLSYRDTGDPSGVR >PPA18195 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1180023:1182571:-1 gene:PPA18195 transcript:PPA18195 gene_biotype:protein_coding transcript_biotype:protein_coding MPDKLPYSTECDKPYGEAPSYPPSDGQEPIASLGQSNGITYPFGIRQKKVQEGTHDSIQRSDMPVNTLQSTLRDFISHSIFLAIICIIIYPVHWSAARRLTNVAGSAVNNLFTRTVAPSGKRFAEIDRIDDIWEYMNYQLVEGLYWEPRMNNISDFSFGKYQSDKALFNESRLLGQPRIRMVKVNLSQCTFVEQLAGVEEPCYADYEERYEEKMSFNPKGFDDLPAFTYSAAFELDNDYLHGSLATYQGGGFVQYLSEDHSKESLESIAFLKVNRWIDRSTRLIVADFAVFNGRLNLFCVVKLIFELPRFGGVITTVNIDTLRLIRYLTTFDYFVGMCEGVFCQFVLLLIFKEFIDICRYRSSYLKQFWNYIDLAIIGSFDQVIKAENAYNTYAAFLFLFVVLKTFKYFGIILKKLSATAKEIFVFSGMIFTIFFAFVHFGFLVYVSYKIDAHVM >PPA18207 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1254709:1257826:1 gene:PPA18207 transcript:PPA18207 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPKRDPRDVLKHLTRSLYELYYAYSIPVFTLSRFVQLAIPYMLIFATLERLLWISARRKSSRRESRYARIGVVLLICALMRVPTLWALEVLPFPECPDVFRSLTTGPSTWGEESWEWQLFDFQIMTVVQTLIPFVILIIVHRLKSMTEVRSKKSVQKGDATLEFIQVAPFEHMYSARSSHSQVRSAILTMVAIVTSYLLCNSLQLILTVLEKSGSELLNDAENPEQSSLFHTSFGDIVSFLYTFTSAFRLFIYAICNNEIR >PPA18260 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1655439:1657130:1 gene:PPA18260 transcript:PPA18260 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLGNGKKLRPDEAEKRMRERNDILPAQRITFDQIRNRITTLLSQKKEHQRKEHGNRQRRYVKLIDDFERDLAEEGISLDDIEEEVDLERPLDEDDLIITSDEMYELYEKSDRKLHRNSMLAVR >PPA18039 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:122468:126019:-1 gene:PPA18039 transcript:PPA18039 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPLAVFLTIFASGRAEDYETEPSIYDDEPTSLEVISVKNDKASVAWKLPELVSNQTTKQRLMITIRPGSTSAGATTYSVFVKPHTRSYTFENLEGNTTYRASIEAFNDDRSIWYSSETLHTSLAALDWLAAPKDLTLIHKTNTTIELSCRGIWHI >PPA18097 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:541381:543052:-1 gene:PPA18097 transcript:PPA18097 gene_biotype:protein_coding transcript_biotype:protein_coding MYVMIGLMPLLALYIDVHFKKWAGLDFDLLNGFGVLVLIVSGIMGVIIGGAVIHDSLFVYNGKSGSDDKCPVWTQTARVLKDRYININHRCDRTIDRMARYFGYSTQGAARLTSGRDLKKGFN >PPA18072 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:342804:344911:1 gene:PPA18072 transcript:PPA18072 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKTAPSGFAIPPKAAGYPRKCSYCSSKTEYYDASEFGRHLRVAHSTKEGGSFVCKYGENGVCPHFPPEGVSDYDYEAHLRKFHTVGKGINADIALRPESGGKTTTTGGEMDGGTFTIHRV >PPA18298 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1905392:1907665:-1 gene:PPA18298 transcript:PPA18298 gene_biotype:protein_coding transcript_biotype:protein_coding MTREWGTDVDAGATRSALATQSSSSRSASGHDAALWYGDGNSSEGEHVDARAAGASIAVDASIAVDASIAVDASIAVDASIAVDASMPAVSTADYASEYEGAIVNRNDGSAQRFLFTAEFVSEGHPDKMCDIISDTVLDAHLAQDPNAKVTCETVTKTGMVLLAGEITSEAVVDYQSLVRNAVKKIGFDMQVSYAIGVAKPLSITVISYNTSPLSELELLSIVNDNFDLRPGMLMKDLGLKNPIYEQTARNGHFGHEAFPWEKPKELKIKPELLAKLKARDFNQIEQD >PPA18158 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:992501:995853:-1 gene:PPA18158 transcript:PPA18158 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVGDTRRVAQADGRGFSKLGMEESEGESGPPVASARMENGEWRMYLPSNFTRRKPDPLELPLDDSPFHQTHLECLLVEVDGQLEDGQLVERPPEHQACHLKNASASRRNCKCASHLECLLVEVDGQLEDGQLVERPPEHQASSGM >PPA18098 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:546467:548981:1 gene:PPA18098 transcript:PPA18098 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLVKNEPCDFDFSRFVGSRVSSKRRLVVIMSFLAFLHFKERNSAPLERDVED >PPA18177 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1091129:1092466:1 gene:PPA18177 transcript:PPA18177 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNEWQRRTRNRAAAAARPAYDERDLVKLKMPSNHQQLEGDRAAAAADAANRAAKRAARDEADLLALVVVLIVVKFLIIICWIHSSIMNREEDVPEEEDDPKCLCVFLVVLGILLLIHCFTK >PPA18355 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:2292949:2294089:1 gene:PPA18355 transcript:PPA18355 gene_biotype:protein_coding transcript_biotype:protein_coding MWVIAHANGAADFDDVPLSIVWRTFSAMPFDKNASIDAGRSRIKDLIKEDQGH >PPA18202 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1224429:1228495:-1 gene:PPA18202 transcript:PPA18202 gene_biotype:protein_coding transcript_biotype:protein_coding MWAKSPYYAHTPQLSRNPSVEVPSKAPSLASSRQASVDSQAHPQYAPMPGLAPPSSPPPFHEQMHVLQNLQAPPTVVVQPVSILKPRSSSLAKTSGAHVDFRPSSGPVIHPIKPSIVIDVTQLAAGLVPIPRKISHVESPPTLVREKIEEIDQRVRKISKTLDGLADDAAADGDGFQEEFCCNRGGDHEELKMIRSRALARVKRQTTRELRFSFAESPSTSVEVSQQASRPRFHSISHDTEFVQHRISQPTEPVKIIEMEPKLTRKPTSYYEVYPLEAYDNRTERKKRKHIARHDPHYQASLREEFEIRKYTLIAIGICIFITITVGGFTIWQLVMDFTIDF >PPA18273 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1715020:1715456:1 gene:PPA18273 transcript:PPA18273 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVFHICGHKAEFMCKEEETCPGCAYENRQHPETWRVTPFEYLIVCHVLFFPLAYIIARSMICLDSFDFGTAFFDSSTLVNRTILMANKIDLHNPMY >PPA18030 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:84382:87590:-1 gene:PPA18030 transcript:PPA18030 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYSIFLLNNAIIDMASAVASALGTVRIVQDREGSMIFVFLGPCTLINEELCRFCQGLHVNLVQHSALVLLLSFAYRLYILGGDVFKDRRTIRPIHAAYYFEQTSVAPEMITKLRLKGYTAANYNIFGSTRAILLDALGVLLSPTIMTLIFIVRHRLLLKIEKAKPTEKRLHVSIARALPYQLLLPCGVASAAVFWLLDVCEIWSSEFSERVIMLTCSIFSLASPLINFAVLPPYQQCGYMDDPEEERCEMEARIVPIPSSEEQAFCSNLDDDVMEKPIENAIYFASARDGFDYDLFLDTHARVI >PPA18334 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2186048:2187329:1 gene:PPA18334 transcript:PPA18334 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHLLLLLLATILTLAYAQQPFVINGANIRGGDGGDGSANGNGGQGGTGLIIGTGPIAEPPPPQPTTLAPMIEAITSAPATPSQDGSLVEFEIRGLYILWAAGGAVLVLICIRPPPFAPPKLSEDTNDRYTPYVERGVVE >PPA18081 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:394824:397909:1 gene:PPA18081 transcript:PPA18081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-daf-21 MAEEQFAFQAEIAQLMSLIINTFYSNKEIFLRELVSNASDALDKIRYQALTEPSELDSGKELYIKITPNKAEKTLTIFDSGIGMTKADLVNNLGTIAKSGTKAFMEALQAGADISMIGQFGVGFYSAFLVADKVVVTSKHNDDDCHVWESSAGGSFTVKTVNDPEVTRGTKITMHIKEDQIEYLEERKIKEIIKKHSQFIGYPIKLVVEKEREKEVEDDEADEEKEEKKEGEVENVGEDEDADKKNEKKTKKIKEKYTEDEELNKQKPIWTRNPDDISNEEYAEFYKSLSNDWEDHLAVKHFSVEGQLEFRALLYVPQRAPFDLFENKKAKNSIKLYVRRVFIMENCDELMPEYLNFVRGVVDSEDLPLNISREMLQQSKILKVIRKNLVKKCIELFEEIAEDKDNFKKFYEHFGKNLKLGIHEDSTNRKKLADFLRYSSSTSGEEVTSLKDYVSRMKENQSQIYYITGESKDAVANSAFVERVRKGGFEVLYMVDPIDEYCVQQLKEYDGKKLVSVTKEGLELPTSEEQKKKFEEDKVKFENLCKAVKDILEKKVEKVAVSERLVSSPCCIVTSEYGWSANMERIMKAQALRDSSTMGYMAAKKHLEINPDHAIIKTLAERVEADKNDKTVKDLVNLLFETALLSSGFTLEDPTQHASRIFRMIKLGLDINEEDEEENLPSGSGVSSDAPKVEGAAEDASRMEEVD >PPA18198 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1189716:1198172:1 gene:PPA18198 transcript:PPA18198 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVNPALHIIPNDVPFVELDCKTAFQNLSPTERLYAHHIARASYEGSLIISLQISPESAGIFVLLHRLYSSEPVEDLKKKAHAAGFTDEEWSAFLTWSAAFFSYNGNYNDFGDSKIVPNIPSGKLKMLVISSKAASIFVDFLSTYGAVEKGIFSLDKRTAGLGFNDGGVSTYHSDNVTKEDSDRANRYMKEKNLEGWNTRLFKQEMNGKTVLTIKSASVAAWNWENYHDDQSIAAGQSTVSEFENIIVRLEAGDYADILAKVIMHLVQAAEFAANDRQKAMMGKYAEHFISGQIKAHKDASRLWIKDVNPIVESYIGFIENYRDPAGVRSEFEGFVAAVNKETSKKFAQLVAQAEQILPRLPWGAAYEKDAFLKPDFTALDVIAFGVSGIPCGINIPNYDDIRQNEGFKNVSLSNSLSAMPKQKINFISEQDEKLIFDYDGKAFEVQIGLHELLGHGSGKLFQKNADGTFNFDHENTKDILTGDKITSWYHPGETWASVFGQLSSAYEECKAEAVGYVLCAEEDILKIFGFDGELASKITHVNWLSSMLKGLNALEFYDAEKEKWGQAHCWARFVLLNVVLEAGQECVTIQEIKDASGAASLSFSVDETKIRTVGIPAVREFLKKLQAYKSTADVKKGTELFYKYGTVTPTALAWREIVLAKRKPRFLFVQPNTKLTKDEKNVELVTYPEKAEGLIQSIVERFSSDSIAVLEKLWKADQKHFPAAYGKK >PPA18311 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1977702:1979058:-1 gene:PPA18311 transcript:PPA18311 gene_biotype:protein_coding transcript_biotype:protein_coding MLWILKSIDSPQSQHSLAALTHSSTSVSLVDVTNSSTSSLEEILAAISSTIWN >PPA18120 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:653170:654850:-1 gene:PPA18120 transcript:PPA18120 gene_biotype:protein_coding transcript_biotype:protein_coding MEILLSATSDEGYTPVLQLVMSLSSLSNPHSMEAGQFSAFSATVMAGLRELLKLRPDAVMDRRKPKEDDKTPDAEQISVIKLALQGRTNGKGKNLLLEALLACAAENDNLASFLTQKIVTEEAKCPVTPLIKCLLKRQEMEAILILSAARDGGIAEEVGGAAIETRPKEGGENEDDGPPAAKLWRGTVEIETGLIEFRMYFFMLFFPAMPELN >PPA18332 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2138862:2140294:-1 gene:PPA18332 transcript:PPA18332 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRHISVHRGFPTRLAYRILAVDAVLRAIAAPADSRNERSSSQQQYGRRNRNHQYAPLQSPSSSSSVVASPLSPPRSPSGLKGMSIKFLSGLQFKNITN >PPA18304 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1935345:1937308:1 gene:PPA18304 transcript:PPA18304 gene_biotype:protein_coding transcript_biotype:protein_coding MVYQFNLLPILSIHLFFTSPFLKKNAKFEDRTLIPDYDSGCFVYTTGGSREREATEWQSIYT >PPA18160 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1006153:1006834:1 gene:PPA18160 transcript:PPA18160 gene_biotype:protein_coding transcript_biotype:protein_coding MANRVYIGRLSSRATERDVEHFFRGYGKLRDIVLKNGFGFIEFDSSRDADDAIYDLNGKELAGERVMLEFSRRGPRADAYGGRGGGGRDSFGARGGNRERRVPAFQYFASSFKKNKRHVARIDVTMARVLR >PPA18234 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1489732:1502035:-1 gene:PPA18234 transcript:PPA18234 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cgp-1 MHFILLPVVTFIDLAGHEKYLKTTIFGMTGHAPDYTMLMVGANMGIIGTTKEHLSLALSLSVPVFIVVTKIDMCPPNILEETMKNINRLVKSAGARKIPVIIRKTDDAIHAAVNFPSKRVCPIFQVSNVTGHNLDLLRQFLNIVPLRRVLCEHDPAHFQIDDVYWVDGVGTVVSGTVLAGTVTVNETLLLGPDNLGQFQPLQVKSIHRKRLPVNSVRCGQTASFALRKITKRDVRKGMVMVEPKTNPVASMAFEAEVLILHHPTTIKPNYQAMLHIGSVRQTATLEVLRTGERDTVTFRFIRLPEYLTPGTRMVFREGRTKAVGTITKVLPHNTLTSARRQQKDKLRPPHIRKGPKPPNGKANKAATPDKKEPAAGDTVAANMIIGSPPLRQSPLASPTSPFDYTVSALGRRASTASMRSEGTVIDDNDVYAFVKPYTKRFCNLMVQALVQSRQNEKYDTVGDMSTNSRAWFNLEQDEIGEITVHMKKTVVNYPPQIPSFSIDFILYTADGDTLPLETWCLTYEPLTQNPFQSSTSGRVSPSTVADTKSKFYKDLGIMLRSIIVAARMTPMHRYYVKKQSAETFVITYRVLEGRSTIDLGAECKKKDLGMVNAPYGNFCLQLCYRTKMEIDRAATPASNDSFQGVHISPGTSPMVAGTPSSFCDVLSQFSASPGSQMANSPIYSRSRAVSEATSDPGIHIVREKKSSGGSLKSLDEPYGRSKEEEKTLVEKKEGDSDDDDDEMNQFKLESPEMKKIPFANLLISSHTGILNEVSLPLILSSRRMTRRLSFFMKNDLH >PPA18018 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:44253:44559:1 gene:PPA18018 transcript:PPA18018 gene_biotype:protein_coding transcript_biotype:protein_coding MISDSYFSSCHPRYVHVQTATTHGENAPTPTFAPARMVGNLGDLTGLSWRLAFER >PPA18100 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:557922:561560:1 gene:PPA18100 transcript:PPA18100 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSVKTLHWVGIYAALHAVIHSVFIVLRFSTTGVDSYRVKRAHTLAWAELGMLAGSFILFSRLSQAFANIFLPNSRSENGTLSFIPLGNRWRHICLSDVWRAIVLLFLVLAHASFLFYYIWLSDEPNLLSLISLWAMGLYLHLAVLTVLFELVYFVVRFIERRRAEESFKLTKLTPITRDQITVSILAISFLLACGGLVTALSDPVVQTEQIFSKHLPSSMGHPVKIALLTDIHIGPSIGKSRVEKIVKMTNELNPDVVAIAGDLADGFVADLKDAASPLCNLRPKYGVYFATGNHEYMHGNVDEWFAFLRACNITVLHNENRHIALPQNASLCMSGMDDLETLKLHVPGHGMDPQKALKGCRAGELQVLLAHQPNAAKRVIREGLATNVDLILSGHTHNGQMYIFWPIVWAVNAYKRGLYYDVLTDTQVYVSAGVNFFGPPIKMLGNCEIIDLQIFPRLH >PPA18316 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2005185:2007173:-1 gene:PPA18316 transcript:PPA18316 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVLVPLAFFTFIGALILMVVCCFQYKALQLELEDINLEDSKREKKWGGGGGEFQMRHPRKMAHAVH >PPA18325 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2085484:2087673:-1 gene:PPA18325 transcript:PPA18325 gene_biotype:protein_coding transcript_biotype:protein_coding MMANSPQRRETQPKTGNRAGILLDAPSGLPIPRPLRTSLLLLILLLLLDAVDRRVLTVDVVGRDAVRRTLSPRLSVPAEIRPVRTRPVPDRFRVSASNADSAVVNSPVFPSFSLSDLETEKDVRAVVTDLLSTT >PPA18085 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:437648:438713:1 gene:PPA18085 transcript:PPA18085 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMIPPTILQSTQPSTTQPTQPSTTTLQSTQPSTTTLQSTQPSTTQPTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTQPTQPSTTTLQSTQPSTTTLQSTQPSTTTLQPTQPSTTTLQSTQPSTTTLQPTQPSTTTLQSTQPSTTTLQSTLPSTTTLQSTLPSTTQPTQPSTTTLQSTQPSTTTLQSTQPSTATLQSTQPSTTTLQSTQPSTTQPTQPSTTTLQSTQPSTTTLQSTQPSTTQPTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTQPTQPSTTTLQSTQPSTTTLQSTQPSTTTPPHPPTNCKSHC >PPA18201 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1204263:1214463:-1 gene:PPA18201 transcript:PPA18201 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRIDNRLPSAGTRTVEWQDVPGASLYAAQYRTAGGQFEEDKSMVGKLSTTSSLSNVQLPGGRFCDPFAVRIAAFAQTGVSNFSADTNVESPKPSVSPQLKLVSIKYEKEHFISDFYRANGTLIVTFEFDDQHWPLGVDDLTVLPMFNLINCQIADFAQTVPMPEFVSTNVPRQLSARYVYYTQSATSNQCKTETNYYSPPVNALRTLDINCTTVQNSPCLASAAPAPICGQINSYNVTPITPEDSIRADLNRPISVNLTSNEVPTLYFVAMYGNAVPFEAADQQAYFGVNQTDVMGTTTTCPGGRMSCNKGTDDTSFIIDNLSLDSLYGVTICAIKSHENVLLPAIPSEIKASKPRSLSVKIEKIPYLTDSAPLIVGLSVGGGVGLILAVVCIACCRMRMLTKKNRKYAETLKYMEKKNAANQYHEMPKRVDIWEIDRRNVDVYTNKKLGSGAFGAVYLGKHTNLRYSNYAANSDRIFYIAFECNPAVPVYPNKRGCLMTSKLIGKTLASHDATSPLGVNLMRAENCEVAIKTLPESADEESKREFLREISLMKSIGYHERLVNMLACVTESEPCLLVVEYCSEGDLLEFLRKRCKYMMKLDEQGVNYTAPVEENDIDFTMIVTMKQLYMFAVQISYGLEYLSQKGFVHRDVAARNVLVGEGSSCKIGDFGLCRQIGKDEECYKSRAWRSPSAEVDVARGHSRLRILHEERCIKDQRSPPDSSWAFGVLMFEIITLGGCPYPEWRAAEVLPNLEKGERMPQPDNCPDEIYSLMNSCWNMDTTRRPDFSLIRQRMATLLEDVTEEYSYLKLDAAQNYYNVQYEDDGRIDVSEMPARLPRRPQQQQPRPRPDAAAAVAAAPDAGMANPAFTVEIESEANINEPLRQIAYFPGQPGYENIFQ >PPA18105 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:583591:587222:-1 gene:PPA18105 transcript:PPA18105 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAPRTRKIDLVVIDDVESPRVPVTVKVEGGNQPTPSHLQSLAPSADDVRERVANLLRMFQPVSLPPLSSELVELRERVAKSERMFQKACRAAREAELRAERAEFLLGSHTKRDVLESTKAQLAAQQKEKDLTQEKDALSEEVGLMKRNIKSMREKSNYLAGRVTTLEQQLEDERTIFKAQREIYRARIDELSKQPDHAHPEAGAPPMKMAKMGVVDVGKDQMKNSWMPLREVDMRRALTGEAGDRALGLLLGREVFSTADSRDTHASNVHSLRCKANAPSFKKCLSRLDAILNNPRFICICGANIQSVLSLFSHFSTGKHISERLYPVFQSDVNFWITTMNKLKASENYSPDIYTK >PPA18060 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:248899:250571:-1 gene:PPA18060 transcript:PPA18060 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQFGIKYSTPAPFPQVGIKQAYIPAVSSTHFAYKVAFHGPVLTAFGHECDRLPTVPRSYRNSHRFLKITQVGIKHFPQVAIKLDSNLWELLDSKLIPDTRGHGRIAPLPLAKKAMRASDAAVCYGFILRTIRKFHRAEENGEFSAEGQKINHRATVKPCEGCEKTRGTSK >PPA18181 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1106797:1107442:-1 gene:PPA18181 transcript:PPA18181 gene_biotype:protein_coding transcript_biotype:protein_coding MCPETVPMPTLIASPLPSSVYGAHLRAPSHELEQLVPKQLSEERRCRGAPWE >PPA18150 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:903917:904593:-1 gene:PPA18150 transcript:PPA18150 gene_biotype:protein_coding transcript_biotype:protein_coding MQHHKEGFKVYCISDAVTKEETVAYCHGLEQRFELVGDCLYGNLRFKESGEQMKKDDTAVADQKKPSIRGEKDSNKNSEEEDEDDLARLIFATFMSSLPEAPPGFPMQSRGKKSNPGRRFPKESKKSVVPSVEDEEEWHDEDTHGSFNPADPKCK >PPA18191 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1153321:1155232:1 gene:PPA18191 transcript:PPA18191 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLVGSPIAMLRSALLLTVLLQCTSGYLLFVKRSQPVYSFELPSDDIVERLVAEKMKRSVSHHITNAIGDSQEVCNTIVSENHQPTHGHTIDGTRIEIQQDSTANFVATFRVCGNQGERAPCTGIDTKHFTSSCVQEWEFRKASVRPVGSSEPFSLGDVRVPVACSCRVRAILAARR >PPA18165 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1033559:1033967:-1 gene:PPA18165 transcript:PPA18165 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDIMNLNDYTPPSQMRRISGQAVDQVERGVLLDQRELFLLIFKIFPPPRTFLNNFLA >PPA18080 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:393003:394026:1 gene:PPA18080 transcript:PPA18080 gene_biotype:protein_coding transcript_biotype:protein_coding MTALRKDFSELKTITPEGLMFVKEDLIIPHFHTFQDFIVSKKMGKTGPLYIFDAVAEIRIRQDAALDSGESHPAKVVLRSWYERNKHIYPASRWEPFSPNKEYKRTIDDLSTI >PPA18276 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1743356:1743763:1 gene:PPA18276 transcript:PPA18276 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLTLTTNNIAVSPFHALSRNSDRPNSSYRTKRRANWPDSQRRRSGRC >PPA18115 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:630819:632962:-1 gene:PPA18115 transcript:PPA18115 gene_biotype:protein_coding transcript_biotype:protein_coding METALPETTIDIVATPAVAQMNSPEEDTDSSVIVAQPVAAPENSHELPSGAPVDRVVLRYSQPSHGAFFASVMQRLQQPEVSPRQLLEALSTAVDEMEAEEARKAEEEARKIEEEKRREEEEKRRIEEEKRKEKEELQKAMEAQRIEEEKKAEEEAKRIIEETKRAEETKRMEEAGKALEEARKAYEDAKKAQDEARRAEDEAKKAAEQSARSCGVCHSPNPSARAVFATCGHVACLKCAESAAAHSAGRLECPFCAAPTTYMRLFEREMITGVESSPKPSSRSVRFYDKDEVKEFDKDEDVVDTSTVSLETTVSAIPKGGNPLGCGLNNWFGKKN >PPA18147 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:881618:883568:-1 gene:PPA18147 transcript:PPA18147 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFIFRYACLLPMRVTLFIIGLVFMLVSTAIVGVFPDGALKKALNHKAMLTCHRILSRSISAVVYFHDEQYKSSSHG >PPA18061 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:251704:252881:-1 gene:PPA18061 transcript:PPA18061 gene_biotype:protein_coding transcript_biotype:protein_coding MKWLLKPLNLMTIFNLLVFTTNEFVVIRWCIAILYTALSVATQMSDHNSILSAENVIALLCYFCLVVVLIAKVNAQTGNRTRGFRLPGRSSTTELPVHLSYLFPG >PPA18210 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1274128:1278747:1 gene:PPA18210 transcript:PPA18210 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIDVTKIRSRRVPITKKIIVGDLCRAVLKPFPHSATLSRERSKPGTHGKNATFKLVILKIAQQLVTSPDCQFPRNFLPRVKVHPSEGSARIKGGKDANKIWKQLNKIITEVFQSQQAQMAGSRPSRETDPKFFELSRFDFVVDDELNVFLMEANMSPNLSSGHFKPNQLIYEQVLMSVLSLVGLANPLSETAVEEFGSRRRSEFPPVSDRDLALAFPFCDQCGDCGSEERCALCGACLAADAQLSDALAELLREEHERRKMRRLRIEWGEGREPFSRLDRLQALWIEKKCERDSAWLIYEPVLN >PPA18246 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1577548:1579895:-1 gene:PPA18246 transcript:PPA18246 gene_biotype:protein_coding transcript_biotype:protein_coding MENVVATSKWWVGRRTVKTESGVVEKKGVHGVQVMIKQRNGGAEVRLYLNEKRIKADWSGRFRLCEMLMTNSLEICGDTYGNEALHFVSDLLDNCTVNTLHLSLAPRQQLTPRTHSLITKANKAHLYVNRTHSLTDEEYLSIAKPVEFLGSTKAFDSIVSESHFTNKILIETPIAVGEAFVNFANPEKVSEQGKNVA >PPA18093 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:503600:505250:-1 gene:PPA18093 transcript:PPA18093 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMLGELISVRSSKDHLESGGRGIQMIVITHDRRFVDNHTEHAGPSQVLEQDEREWMSTGRRGRGAAATRAAPAKRAPAARKRKGHQEEEEDELK >PPA18137 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:797395:799921:1 gene:PPA18137 transcript:PPA18137 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTLTLHLQSQEAEKQKLKAQAQTAESQARRMCQEIAWLQDQLAAARNERQESEQRVAQLEEENKHLAFMNSLKQYEGSEENGTSVKQEEQSPVKSHEDTLQELGFGPEDEEAMGVSYSYQSSMAASANGGYEVPARIRIIQNLVLQYTTQGRYDVAAPLCKQVTTIEDLERTSGHDHLDVATMLNVLAFVKRDQKRYKEAVDLLGEALAIREKCLGDSHPVVAFTLANLAVLYSMRGAFKEAEPICKRALEIKEQVRAYGGS >PPA18295 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1878044:1882975:1 gene:PPA18295 transcript:PPA18295 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKNKVCVKKEEEIKVVKLEKPRANMFMIRCLQWTTIIERTFYSENANVREQWIEAINVISQRYRQHMDEGGQDQEMMDTISQVSVDELASSGTMSSAAAMMSARPSMISIRSAQPGHGGQAPDMMSIADASDAAKRDRITMEDFEFLKVLGKGTFGKVILCKERKTQKLYAIKILKKEVIIAREEVAHTLTENRVLQRCKHPFLTDPPSTHQPAYCVVV >PPA18058 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:242188:243223:-1 gene:PPA18058 transcript:PPA18058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rps-30 MQLFINAVDGELTRLEVTPEITVGEIKAQLGAEEFILSYGSAILDDNTASLYSLAISEGASLGLNARLLGGKVHGSLARAGKVRAQTPKVEKQEKKKKLTGRAHRRVQYNRRFVNVALSGPGRKRGPNSNTP >PPA18349 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:2269529:2271898:1 gene:PPA18349 transcript:PPA18349 gene_biotype:protein_coding transcript_biotype:protein_coding MYFALPVSPLHTRPGPTTILFINDQYEVRSELAKALEGVLGLSRPTERGGGLRRKEQSGGYKGRSGSPVSVLSKYQMIVDLAGAFGGLLVQVEGPLREVNGEVARLTRAVQERQRDITMRKLLLRKEEIEGMVLSLESVRDRVRALIERQKALRPEAQAMWEEELDRVTRQQSIFRRKEV >PPA18328 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2100563:2100893:1 gene:PPA18328 transcript:PPA18328 gene_biotype:protein_coding transcript_biotype:protein_coding MRINLIFAVCITIAKCKPSLNRSEKIRLRYGQYANITAAELSIAEEARIVGVKEEKHFEQCTTNITQLWETIEMKEDEKHP >PPA18204 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1235159:1235442:-1 gene:PPA18204 transcript:PPA18204 gene_biotype:protein_coding transcript_biotype:protein_coding MINRLLRITYVYDGCDIQDWVGHGNNKMIRKHQAPGIRDEPAAACADIP >PPA18254 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1617277:1617662:-1 gene:PPA18254 transcript:PPA18254 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVHVLSFKGPLDDDKLRYVNGLLDVCEFGNLSLKLAPGQRLTERAYNLISRAKNSVSARILM >PPA18324 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:2066721:2068033:-1 gene:PPA18324 transcript:PPA18324 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDVASGAAVQRGGREKLRSLRGHLQPECEHSEAQPYSKATGGEPLSLPPAGRKQERMLCLSPFPYSLASLTGER >PPA18300 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1920571:1921237:1 gene:PPA18300 transcript:PPA18300 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRDTKTSTVALRAALNALGQIIGDHKSELQRSSVEIRSVELGDGVLCALLVGELAEAEALRTTRLAIDDDTATGWGINGELSCLLYKEFRFCPTH >PPA18023 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:57783:60525:-1 gene:PPA18023 transcript:PPA18023 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDTGIISIAMDAIATRSPGLVSEGLGILVNSVYEARDMKEQLMAMDGCKKMMYDCLDSSWGTIRVRAAMVLVNLMGASTRNGIDWFSKEVEVIALLIGLMTHHDSNLKLEVSRVFLNVFCGINRNFNSDSSSSLELFVENGVRIIVESATKSGADIRLVNIIREVLPTKLLADQEFCSEAQEYLNDIDVPPPNET >PPA18267 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1689966:1691186:1 gene:PPA18267 transcript:PPA18267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3F7W8] MTLKNRTDQKLAYKIKCTSNDLFKILKPIDLIEPRSSTEISITYRYLPLNSALRRHRSNTSLKTARLIQSMTYRNYRNPPSHVRPGKGVADDRHHMGVYFIPAPEGCTATSVWAEHYGKAVGEYRVKVSAKIVQF >PPA18197 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1185997:1187683:-1 gene:PPA18197 transcript:PPA18197 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHVATAGSGVAIFAVMASLAILTNDITTFHEEAMMDLKEFKMVANDAWVNILTAAPSSSSSSTPFNVRKVRQANGKTCNCGEQPNNCPAGPPGPPGAAGEAGFDGAPGKDGNNGNTGLPTEKDPYAQPAPCVKCPAGPRGPAGPDGEAGRPGPDGAHGAPGVPGKDGENGQAGPVGDAGSNGRDGHDGRPGSNGRNGKRGAGAPGAPGSAGRVGRAGRPGARGERGPAGAPGAQGPAGGPGRDGHRGENGADGVEGDTGLPGSDAAYCPCPARSFEVKHEPETAGYDAPVAATTAAAPAPAPAGYDSPAPATSAPAAYETPAPATAAPPAPAPAGYDTPVEATTASIPAPPRASNPYRLRKLRA >PPA18250 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1606685:1607889:-1 gene:PPA18250 transcript:PPA18250 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAKHNVVFGMHMGALTEEQILSFTRPVTLNILKDDLSCWTGTKEEMRVSEGLFVELVRRGHSLIKFGIKLITLEVLAKIIEAQRMSGPDVQCCSCNYTSYTHLGAKIMLEHSPIPDLRRLFMRERADGGPPPIARPIHYHPAVVAVTSRDHPAGPATTLEPWVSLHSLSKVLM >PPA18231 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1464072:1468879:-1 gene:PPA18231 transcript:PPA18231 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRRKDGDSPTLPTSCAYSMVPTRTCVACEMSKPWRDMVKWTPDRELEKRWTSALTPNDNEQRILDAKLDGLRGKNQTIYVCESHFDRDRCFKLTPSGFMLRPNSVPVDVRKLLNDRSSQNAPSSSNNQDMPPPSPLSVHALTSPSPSSRGGGKRSKDMDEMEMMPPPKTNETRVVLEMAVVCTSNLDNMNKMTKI >PPA18047 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:162739:164480:-1 gene:PPA18047 transcript:PPA18047 gene_biotype:protein_coding transcript_biotype:protein_coding MIARCVVVAVLFAAVALGQEVAPAETTPAAAVEATTVEGSGAAAAAVDASAPTTTTLAPLASDAPATNSTKCVAWKMCNSTADCGEGKGNLCLGTFVGKCNCNACINFWSCKDDAACGGLKGACDMKSNTCRCWEALEKNGFPFLKAVSDLCNVAECAADGGASCLGLPCNTGRCVCKALM >PPA18042 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:137755:139568:-1 gene:PPA18042 transcript:PPA18042 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGEEFAFADWPWAVFIGADVPEINQSEVASEKWKRIVIYGGTKWSTAPETFKRVGIRKKIYPVNRDHHDIGIAELEHDFEGSAMGVACLPKQSDYANDDNFEKIGRFIGGGIGGNGQETGDRLKILTYNLTDPDVVLYTPRQAAYAQLFFMSFRTVQSREAHGYAARGDSGGPLLRKRLTDDKFVLFGVLKGSNSSEHCSKNNCHDGWDMFTTAKYFVITGICPDQPTPEDTVADIEIDGN >PPA18138 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:819355:823930:-1 gene:PPA18138 transcript:PPA18138 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTTKKNNWRKGTKVGDVEEVLAKSNFDQQAGQATVSARSNDELFVVDREAREELPDPMENLTHKKKAILERIMKRVDEPIPEPKAAAKKPTIPKAKKTIIKRKEPKKAEAAKMDLWTTDLEPKIDLNDADGARIYKETLKLTRVKQPRTVLAKPSLLRAVALPDAGASYNPDVDDYSEFVSRLVEDEKRVIKKDEKERRRKELKPGQKMITAEEYRREMLEGLGVLEEEKKDAEEEPVTAAPAAAAVDEPMEEAAEAGKKLKKANKAGEKLENEKALPKTKKQRRKEREQELASRKKSKMQTQKELEQSVFKVKKLAREVREEESAREAALKIKREHRAIAKATRRKRHGKGTVVFDQELIVFD >PPA18339 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2201345:2204866:1 gene:PPA18339 transcript:PPA18339 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fce-2 MGLALATAISIPFHHVGLINLFDYNGTDRNDPVSIRRRMAGLLVSNLISIVSTCVVLGVNSMERAREVFGLSTVGMGGAAIAAGLHTAILYAPNIIDYCKTFEWSQFKQDVVNLENVRDVAVAPLAEEIAFRACAGSLVATALGSTSTAVWTTPWLFALSHLHLIGDDMRKGYDMRDAVMRRGFQVAYSYAFGAYATALFFRTGHLSAAVVCHMVCNTFGLPLIVELPARRPSAYRTAIIALSVVSLAAFVASYTHFTDPALFR >PPA18335 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:2187462:2187801:-1 gene:PPA18335 transcript:PPA18335 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRTITYLKFHFTTTSTSSSKRRQDRQGVGFGTGILSMFSAKSGANRVLAVTFITQ >PPA18209 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1269000:1271394:1 gene:PPA18209 transcript:PPA18209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ttll-15 MGKRKKLHEYALSKNSGSCYYEAVQNAHWPQAVNHVPGSGYYSSKVHLATAKLSAGVPLAFKLPAQADQFKEYAATHPELLWVHKDNTHRNIRIKSVQDIDVTKTNSFVQKFVDNPLLIDNRKFDIGIYTVVTSLNPLRVYVYDDVLIRFCPKRSDGADVYRDYHPFDAEDVDKYVVGDDYTPIWEIPSLMKLYNDDRYSMRETISEHLR >PPA18224 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1378297:1378625:-1 gene:PPA18224 transcript:PPA18224 gene_biotype:protein_coding transcript_biotype:protein_coding MELTDSPTTQTERPSYTDLSGEKVQYQQYEVAAESSYPNGMMTQEMFIE >PPA18141 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:831496:837463:-1 gene:PPA18141 transcript:PPA18141 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLGWHTEWDEFSASTPHGQKNFRNLIATSDPRAPRRLVLACHYDSKIIRGQRMIAATDSAVPCAMMLDVATTMTPYMYKRAAQNIALQLIFFDGEEAFNDWTATDSIYGSRHLAQKWESMWYPTSSKSEFELSREIDRIDVLMLLDLLGAKNPRILNAFGLGAAPLFGVLVDVEKELRGLGCHRNPPFSNVFAAPVVQAAVEDDHIPFLKRVSAIYRTPTLVAGVPILHLISVPFPSVWHTARDDERILDYPTIDYLTAIVRVFVAKHHPTAPGL >PPA18029 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:82372:84098:1 gene:PPA18029 transcript:PPA18029 gene_biotype:protein_coding transcript_biotype:protein_coding MLRESEVHCTMSPIFMGYARVLWFLQAMLTYAASVVIYLIVAIIIRYRTITLKETPLANVHFLSRCSADIFLRASWEFCLEESCGWSCSH >PPA18307 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1954326:1962023:1 gene:PPA18307 transcript:PPA18307 gene_biotype:protein_coding transcript_biotype:protein_coding MIITPARKRGLTFVLVTVPAIFCCIFLLIAKFVLFPRVLAELFRLRQNSDGSLPLTTKLWANYPADVLYKFYMWNITNADEVMYDGAIPRLQEHGPYVYIGHERKENLTWSDDGMEVSYRNLRYWHFNPALSCKDCLESDPYIVPNVAYAGAAHIFAQNVNPLTAIVLDLATLITGSGPFHFSETQQGKSGADRDISKGGRKMRSHRDKQHNVITPEDMFDALNATLQLRATSVFLAELVENSVSSTKIKKITELSYFEYHGSDARVWKFHGIGDGEVIKDLKHTNATLDIKKQGGKLATAAVNIEDRKRILASFDKNHSQYEEPTFWLLPHEVAPMLDIEPNARDDDIVTPNRPDPSNPAGAAKQSLFYCRDCGSLFILYRNLLKHIEKGKHFIRLEHVKLLDRVLGLFMRAIEDTLVPEPLSPVSEVVKAFKRGSDPELPQGWAIKHGRKFDEYAKRGAKLKADEAERLMRADRFIEPKDWMTKSQLRNYINSLKSQLPKMRAWRKQVEHEDMDDEHFEVEVEPSDEDIVITEEDFHRHLTPTMLKKFFSDVDKPRSIKLLGGTLFGANIPEKPEHAGLLPFYNHTHEPEYVVQTGKRNMDDVAKIIAYGVERSTDWPIGDDLQHCNNEGALNKQFLKPEDTIRMFISYVGRSFELELHSTSYYDSVPVNFYRINREEYDTNSEKNIRIRYENEEGIDYFPTWPACRSFNRSFNPNSTQCGDIDCTKGRNLCNQCCNGSHYNGTVFTPPGFYHMRVFPGRRDKLPTPVFISPPHMLWAPEEVSSIYVGNQPDEERHRPIDWRINPTIGNLVHANARVQFNIPIWNGPLTQSSSLPNAMAPIIWLHIEVQMHEDVMRLIKLGGLYLPLFFDLGIYALLLISIISLSVIALDWITRVCDKDNEEKPATRIRIRRRVAPVQTEIRVEAGTDALSTKVAAVRLAQIPKTDTRLKYSRTHRHY >PPA18031 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:88279:90718:-1 gene:PPA18031 transcript:PPA18031 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIRVCVFEHWHGLSKASKEASKKFDTKEGKTVGFFFEEEPVVAWTGDKPPGARSTGEAKYSVGEIDYKECPIMLDKPRTVIVAYP >PPA18356 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2295272:2296822:-1 gene:PPA18356 transcript:PPA18356 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTDHNEHKALLQSLTGQKFELDLRTGAVGPLPCFISPCGRGLDIEDCSRTVLADSELTFDELSGGRREEEAGRRLVIYLLSSTLPLSFLSLPDNQYANQHIEDGWPVDDAVYLKEAVTTADRAIVGDFAEDLMDNRPFSCYACAQCSEFSSEQRFEV >PPA18238 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1524668:1526741:-1 gene:PPA18238 transcript:PPA18238 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRMVQFVVDVQRATIVKPLSHHGLCQSIFFRCQSITYRTLQITDFAILLTSPTSIMAAIGLLLQFVAAALLAVIVPISIRSLILPSSIESTTPLNLVFDACEDQIHAICSFPTASLHVDEIQIYPDIKA >PPA18094 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:509292:510785:-1 gene:PPA18094 transcript:PPA18094 gene_biotype:protein_coding transcript_biotype:protein_coding MRAADEKFIKALAKKMAYGHAVDDLQQYIDAVDKSMLDFHKEKMTKINRIVDELWRSCYNGGDIETIRIMSEQTTVSEGKKNQYNYRVMMALASGAEVDMRDRCSAGQKGWLSYG >PPA18361 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2327311:2329473:1 gene:PPA18361 transcript:PPA18361 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLDNDVFIKELGKFFFNSRTGGPKNVAVTMKRYDGRNKPKPDETAVVEPSVLFRAVCGNKKISTVVKAKEVNNFTIIYTSLMKESMDNLERRVVKKGEEEKKAPKKQQAKKPPAAKKPESAKGTPTALKNPRI >PPA18016 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:39602:40981:-1 gene:PPA18016 transcript:PPA18016 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLVELPELFSDEPESLIATRSIYNTREMDENAEAAGAKGAQPVKKSEGNLAPIKNCMEEYMAGARALHAAMMILNERFQVCNTAMVNPFLPGTHEKI >PPA18286 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1812497:1815011:1 gene:PPA18286 transcript:PPA18286 gene_biotype:protein_coding transcript_biotype:protein_coding MRILSASERLSRAVGNSEISIHTYIRLQNESDAVIDAVLNKSQPELSSISSLISVSSPRCRLLQAYLADEINQEGYARMQTESDAEILAFLESRLISNGDSDEAPDFEESVEESLPLFRTHLQLQESDDTDRDGRPSEQAPDGFLQADDVNDDSYFDRLEEQVQFLSERNDAIITYEDVQIHNNGDEVTNDESSLHRRDHSIEDNVIVDHSVEDNVIVDHSIEDNVIVDHSIEDNVIVDHSIEDNVIVEQHAESSEVRNANSAVEASDAVSDTDDHREENSISSFRTPFTQTRHRLVNAWIDDEIDSDIYFQLRDLDDQTIRDALDSLGVEITERDSSLATNEDSIRATASLVDQVTRIARLREENDQRSTRLVSRSCGVCLTDAPLRRAVLVTCGHALCLACVEQMRMDEGGAVFLL >PPA18269 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1698573:1699084:-1 gene:PPA18269 transcript:PPA18269 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVKVTHQRTCPTPGACPGDAEKKEKCGSKLCLFPKRTCTKGYIKGLVANKLQCVQKEESTTEMPTTP >PPA18090 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:486144:488015:-1 gene:PPA18090 transcript:PPA18090 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLGGIELAPLHVPLQRRLQTAGVLYHFLFAFLLPIVAWILFCWLLYAGYYLVIAAYIGWLWWDWDAPRQGAYASRYLRNLGIHKWFCSFFPIKFHATAELPNNKNYLIGFHPHGIISISAYNFIGNGTGIMDRFPNINFHLCTLTTANVC >PPA18111 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:614046:617602:-1 gene:PPA18111 transcript:PPA18111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-81 MRDRTETLDVPLRSRNPYEPSSSGYSRQISSDMPRGVERLRLQIVDFDEEIDRLKAKQLVSTRGMVCDIEQATDSGIRAVASLEDQDAALDRIEKGLEQIESDVGVMKKNLRRMRSCCGLGHYYFKYIRSPILRMLMGRRHAKSRSESTMGGPLVITLSQREKPTVVRRESQRAQSVRGPTDSLTAEDLEIERNLERVDDGVRTLKEVAFDIHTQLEIQRPKIDRLNKLIDRNEKGQKAFCHCSSRGKRYQHWRRESNSQEAAQ >PPA18034 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:105604:107040:-1 gene:PPA18034 transcript:PPA18034 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGEDDDIFPVVPVNFSKYSEELSEQQLRCPKFGCTWMCALLFNLPRKPRDLSFEIRARVEGMWNKWAPVQRKPWNILERVCSINPPPYIVHAVDELDFQREIDIDSSETVNTRDVW >PPA18065 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:277190:285560:1 gene:PPA18065 transcript:PPA18065 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQSGYVRAHPYRNKPFPFDLVLAPDAFPLTKEMEDGDAKLTEEVLAAATALEPTDKERAEMGEFVTKVKTVLDKMMTTTDVDYLPGVVLEQYSEVGSYKKDTTLRGHRIADIVVVTKSMPTFEAVASIGQKMCNELSDGSGVVSMVSRDFGLILTKKEDKVRLLVSTQQSNTSSLEKDLHLPLEMISKNQAAIYHAKRFEGFSATPEIRPVIRVFKAMRRRFPGLEPINIWAFESLIQYCLITTPSGFSLSAGPAFKRVLSMLSAGGLSTVMSDPSNFVVYPRDRTPGIFLPGSSGLGDPVDARFRLCDQLGFDEMSLSVAPFALSVNCEDDTACSTAQTLLRILCNGGAAVLLGTADKIPDISVEVTDLNGICVAPLEKAYVPPVGGGEEKNGEASMEAATA >PPA18347 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2261762:2263783:1 gene:PPA18347 transcript:PPA18347 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLSSTLGLLLAAVAVTSALRFNVPANQKRCLKEEIHKNLVVTGEYEITEGIGYTASVHVTDTRGHTLYKREQFGDLKGKFAFTADEYDIFEICLTNHAPAGQNTQREFSLVMKHGVEAKNYDDIAKAEHLKPLEVELRRLEDLSDAIVKDFAFMRQREEEMRNTNESTNSRVLYLSVFSMLCLLGLAAWQVLFLRSYFKAKKLID >PPA18216 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1318032:1322529:1 gene:PPA18216 transcript:PPA18216 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARSPDSFSAMETAPTPKEGPVRSTPEVTSFTDSERRSGFIASTKESAAVGDEDFNDLFRRAQDAIHAGTQPELIPEGSSGSYFVRDIAGQRIAVFKPKDEEPFAPLNPKWPKFFQRVMCFCCFGRACLIPNSGYLSETGASVIDQRLELNVVPKTRVVKLASPAFFYERRVCCCSYEGAARLRPKEGSFQTFVTGYEPADHVIARWQYDPTLLSEEEKRRFVYLFQKMIALDYIIRNTDRHMDNWLIRHIPGQTLELAAIDNGLAFPVKHPEVASRLRRFPFKWSALVWAEMQWDPTLRAHLLAKMTPHFVHSICKEIKNLFRHDIGANRLLVNNQLRVMRGQNF >PPA18174 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1074084:1076225:1 gene:PPA18174 transcript:PPA18174 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDLFPPPFRFYNPSRLRCELFYWSGCCGNSNNFQTFQSCQNLCEVDPCSLPLDQGVGSSRLFRYHFDTDLRMCQQFFFFGAGGNRNNFPTLEECQDTCPESPQVCSVPTTTAPQQCVPGNGCTEQGFCHVGASAQSTVCCPKPLPLDRCQQPLNIGVGNANLQRWYFNPMTQTCSACVYKGMQGNENNFLTQQECENACLAVNPCRIGVPFRNAQLQTVQCSAANPSYSVSTC >PPA18069 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:331188:331995:1 gene:PPA18069 transcript:PPA18069 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVTLVYPCVLAYFSYSTDNYEGRVPYCTGATAGSQQISQFNLIMLFVMDVLNLVLDFGLLKYNQYKLKEHKSYDMMVTFRRRQNVYSIQQFLPSAIFHCLCYMAQVFMMYYGRSFRGRVSDIEFNTINAYAYVSLWKKF >PPA18350 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2272820:2278387:1 gene:PPA18350 transcript:PPA18350 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAASLEEQADRALTVSRMLLPFAEGIEKMTEILDRKRLHPPDPAPMESICIQINTLSPDSRTRVQAIEKAIEKEEQLRLAREVRRKEEEDESTKQKTVQSLKHTKRREHRKSQHRLVDDRRERSPGGTDTALLSPFHRHTNSITSEDSEVESVTVGVDLLFESDRERSTRWRHETTGTVTTEDDEDATSAVVTEERSSAAFRVNMRKDAQVAERQQSALNVASRRHTVLSSLNRAIEDYGGGEGNLKASEVKRAERSRANTGDPLEHDRPLSAPLLLPPVSISIDDRRATVSGEGGRDTVPDHPVSSASMTSLLSPHGVVPAVPFVPQEVFQRANSTASPDSSLKMGAFEMRERMLESLKGRVTRYEDEEEEKDGETDEMSLTDFYHTAVVHNKKVFIWNYEEQHLLEGSDGKLHFEFPTTYQPSSSVE >PPA18132 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:753902:756955:1 gene:PPA18132 transcript:PPA18132 gene_biotype:protein_coding transcript_biotype:protein_coding MPELQENEDLSDQMTMKEKEVKEAIKHRESAKLDYEELNTELIDERNKLRKAEKESAEKEKERAQLQIKIDQLREEKRSIENVRMAEIEKLAAELENERRQREGMQAQQMAASEQREGMQAQQMAASEQLDIEH >PPA18157 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:979930:981841:-1 gene:PPA18157 transcript:PPA18157 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAEGEYRFERLLVAALPDPHACNEKLVTEIAYRVLNTHRLDDLSFQPCYTHYLEFEIRTSKAICSRKPTPPYC >PPA18124 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:680528:683569:-1 gene:PPA18124 transcript:PPA18124 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAIVLIFLICNVIPFLNNCVEAWRIYTSSEDGEENEELDREPQAWFDISVEDVSVESLKTKLGNILISLNSSSSLFVYLFFSSKYRSIIKQWLGLQRRTRNSSIHCAETYQLRLQRRTRVNGVALTTAVAAQKALELGMFPEEAERRERRRSAFGMARGGCGKGRGVIGRPRLASTGARKNQMQLMITSSCCQETNIVECTFTINDP >PPA18319 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2035765:2037623:1 gene:PPA18319 transcript:PPA18319 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPNKYQNRQRWLIVKKLGAGGCGAVYLVQDVNNPDAKAALKAESNFVAGGSVLKMEVEVDNRRRFLQNRQIILSRLKEKDHYARLLGSGKRDRYQYMVMTLLGESLGHLLKKVHKCSISTQIRMGIHILHGIKLVHDIGFVHRDIKPANLAIGTSVHEPLLRPTGRAARIIHILDFGLSREFVINLEGEWRMRRPRKKALFRTKEDVAECKRRTPDQLLLKDCPVQFLEFVTHLRSLNYFHRPDYAKLYGLLNSVMEEGEYQMGDPWDWERKEFVKAMKKRGDTEDETKETSDDDKSKPIFEINPEDFDVNPFNF >PPA18226 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1412087:1426789:-1 gene:PPA18226 transcript:PPA18226 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVKLDPEAFFTRQERIGRGSFGEVYKGIDKRTGQVVAIKIIDLEQAEDEIEDIQQEIQTQPQLIRDVALNESDMPTLKHEFVVLSQCDSPFVTKYYGSYLKGSKLWIIMEYLGGGSALDLTKAGKLDENQIAVILREILKGLEYLHGERKIHRDIKAANVLLELPNCRVKLADFGVATQLATVSGKKAQTFVGTPFFMAPEVIDGGRYDERNEKRRSSSTHSSAFPCPSGVCAPVLSWCQLVIVELRFTAPMTSGVMVSANVLVSEQGDVKVADFGVAGQLTETVKKRITFVGSPFWMAPELIRQASYDFKADIWSLGITAIELAKGEPPHADLHPMRVLFLIPKNPPPQLTGAEWSRAFKDFVDLCLNKDPDNRPTAKELLRSPFIRRAKKNAILVECIERAAEYRARMAAGPSSDSDRDSDSDGGGGTMWDYPTVRGADGVTRPVRGGGGGGGGGGQEEQRRAAQEDDTVRVRSDRGARTPLDVRQQQQRKYEGSEDDDVGGGTIVRSSPRVASVAEQLRTSAAVSSSPNGSSRSSGSGGSGGSGSSHPRDPRDARPQLATPSAAAAAAAGQSREVGPSIGGGATTISIHSPTGSPPSSLNRPSASFHIPAEPAAHHVQSGHHHAAGGAAAAAAMQHHHSAAAAGKGTVFTGHEPVRSSSERMNGAGVRQGGPAGGGRSNGSSANGGAGLPRTASDRRYEGGRGYENGGGVEMRKRRGRDRGYEGGRGYENGGVEMRKRRSALEFSLLPALEKLSRTRHAGAELEQLAEALKAAEEVQLLAEALKAAEEACPGLCDQLVAELLTTLAHPQVPTAELSAAIARLTTSVSQLFMGELTSDHVRVVCGVFS >PPA18360 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2320187:2326467:-1 gene:PPA18360 transcript:PPA18360 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAIVLNYIISITAVITVSALMTLYSPIGSVIGEFTAYGKTNEQKLRNITKWISVPKRWFRHFYVVAVCSVAAWIYLGLQVFTWREMKSEQLVPYMRMLTNKTPQIPFSLGMIVLGLLLLHAIRRFLETHLISVYSDTSMNIFHYALGIVHYLILPLCVVCETLGFASKSVYPLRLDLDGWTAIQYMGLITFFYGNFHQFKIAVQLAKTRRDSSGKLRDANVHAIQYGDWFDLVSCPHFLMEMIIYASIVAILPRGATAFKYLTGFVVVNQVFAALLTHRWYKSHFKDYPPQRKAVIPYIFIYPLRV >PPA18071 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:340116:342312:-1 gene:PPA18071 transcript:PPA18071 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-aps-2 MIRFILIQNRAGKTRLAKWYMNLEDDEKQKLIEEVHACVTVRDAKHTNFVEFRNFKIVYRRYAGLYFCICVDILDNNLYYLEAIHNFVEVLNEYFHNVCELDLVFNFYKVYSVVDEMFLAGEVRETSQTKMMIYAHIP >PPA18279 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1768876:1770517:1 gene:PPA18279 transcript:PPA18279 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYKYVCKERYCERFLMKLPSNREAHIGDIWYVKVGAKRRFGYLEDNRRNEYDYVVGIELVEKYTLSIPFWSDFICKHTGACKIGIGETYFPH >PPA18285 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1807030:1810842:-1 gene:PPA18285 transcript:PPA18285 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGREQVIDDIDHAYYYRLLLFTCCCGACDTFHRCDKMRLDRQSCDRTDCSGRGTCAGTKLAPLCMCDLGYSGARCETAPCDSAVACKGNGLCVGSAAQFSCICKAGYSGAFCETVTSGNTGVNLPTPSKCDASDCGGKGVCVGTKGLCMGTKAEFVCACEIGYTGPKCETAPFPLCEASDCNNKGVCIGAKDQRMCACQLGFSGDSCEISTGKPCDDSDCNKQGVCLGTKDLFSCLCGLGFTGQRCEVKIGSDLLGGNANALCEPKDCSGNGLCTGTKAAPTCVCNLGFSGMRCEIEPLCTNAVACSNNGFCLGTAKNFICLCNLGFSGPSCALFVGK >PPA18101 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:563369:564347:1 gene:PPA18101 transcript:PPA18101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fkh-2 MSFLIADLCPDLDLTQTFTSLASTFPSTMLTSKTMQSAEREEDEGIDESAVLSPSEETTTPNSSPAKTDSDSGKEESRDSPQTSKDGKDEKKTLNGHEKPPFSYNALIMMAIRTSKEGRLTLAGIYDYIMSNYPYYRDNKQGWQNSIRHNLSLNKCFVKVPRTYNDPGKGNYWTLDQNCEDEIFIGSTRATSSKKR >PPA18258 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1643541:1647417:-1 gene:PPA18258 transcript:PPA18258 gene_biotype:protein_coding transcript_biotype:protein_coding MLATVESLCSMDCRKVEKTFLLGDTIYVQTVDSYHLLHAIDRTTFSIEEVSMHYHDIAWHDLYVTAAIQVHDGIAYKWTARGMAAGKREPDGVHWRIVETTGPVPPNGNFLSTGVQDGDTTARYFMLMQEAMADDRPESLALYVYELNLTTTEWQRSILIDAKDLESNNGRYFSDAKLYLVATSTKLHVFSKSGIADSVVIDRVTRRCSLIKFKSSIANPSFAPSFVLHDKLLTMVFERNGDDIILILYRFHEETDEWKNWSTPMPCGIRCALKLMFMFVINLNPSLFDWALTAIRRCDAVWQQASSVLPQTMLTQVCRRVSSGDAVAAWPGFRKDQVHFKNDQWNFTYKNENGRKRRHSEDFEGSHSLKTAGGNYLRAWQGPSGHRDLYADLAPHCRSCEKWTIEVQRGGKVVLKSIHHYFLSAHRNGRVGLVEKAGATELWEPIQNDDGSWSLKSAHGAWLSYFQGDGVICTMPRNGKTEQFWLGSW >PPA18113 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:623457:624884:1 gene:PPA18113 transcript:PPA18113 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRFYGIFLFHSFSFRDSFRSLSPLVERHRKIHSANPTHCGPFKTINGSAAFCYTTGKFLITLNRLAVLANLKSSLNSWTPRKTRLLIACQYILPLIPHCYFAIAPPHWIADDDAEIQYKGWDDVTGSIYRSITGACYALYAVVGVAMNIIAYKRLKVLSLSSTLRYKQQRSLATYSITSTSTHLLFALHQFAWSYSFLVGDREMLANVRTVRPFVQDLTTFVDPIVLLILSKQVRIEFCRMILSTNVKVHSASVQMTS >PPA18223 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1371792:1372384:-1 gene:PPA18223 transcript:PPA18223 gene_biotype:protein_coding transcript_biotype:protein_coding MIALSDWRAHAPERQQQRSRRAAHDEFGYGMQQMQHAANRFILHQQQQGYHQVLS >PPA18358 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:2300732:2302131:-1 gene:PPA18358 transcript:PPA18358 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLVSLALVGLLVVAPVLSDNFESALEAHPHMLVFRSMFPAAHQIFIPSSPIARSCEHCKSLVPVWEELGEKYGTSDKVLIAKVGSSHIEIGETTEDEKKEEHTEFDKRVLALCIQPLQSHSRLSLWPSGKAPVLLDLPVFKPKL >PPA18194 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1178199:1179860:1 gene:PPA18194 transcript:PPA18194 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHIATAGSGVAIFAVMASLAILTNDITTFHEEAMMDLKEFKMVANDAWASILTAAPSSSSSSTPFNVRKVRQANGKTCNCGEQPNNCPAGPPGPPGAAGEAGFDGAPGKDGNNGNTGLPTEKDPYAQPAPCVKCPAGPRGPAGPDGEAGRPGPDGAHGAPGVPGKDGENGKAGPVGDAGSNGRDGHDGRPGSNGRNGKRGAGAPGAPGSAGRVGRAGRPGARGERGPAGASGAQGPAGGPGRDGHPGENGADGVEGEAGLPGSDAAYCPCPARSFEVKHEPETAGYDAPVAATTAAAPAAYETPAPAAYETPAPATAAPPAPAPAGYDTPVEATTASLPAPPRASNPYRLRKLRA >PPA18317 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:2027968:2028797:1 gene:PPA18317 transcript:PPA18317 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGVPRPALLLTYPKQDVDHRGAGLRLRLTRPSGRRFRQLLVIVKAKFFSHFAEQKIKAAGGTRVLVAKGTITCVLFLI >PPA18228 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1435108:1441040:-1 gene:PPA18228 transcript:PPA18228 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFDLEAMVDKALSVSGRDHLHYVGYSQIDRYCYSTPGDYGILFKGTMMMFARLSKDAQFGKKIKKFFALAPVGSVAHAKGPIVTIGRDLLPEIELFIKLMGEEEFLPSSFIMKLLTRAACGASSLTNPLCQNILFALVGPNTNQMNKTRLAAYLSHTPAGTSTQNILHWAQMSRSGRTEMYDYGSESANLRYYGQSTPPQYDLSQVAVPTYLYHSPADLLADPEDVQFLLKTLPRVADNVKLRDFNHIDFVYGLRAGAEIYDKIIREIKDHESNTIRA >PPA18077 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:380930:383545:1 gene:PPA18077 transcript:PPA18077 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTENAAHMHISVNSVYRVVSAIAIVIEFEIESLHSSYSPTGQSDERVCEMKRRLHCSRDPALHTPFYRIFKVNVLYAVGNMLSRTNFYACFGSPLEIIVVDSLKTIGILGYCIGLLYVEGLRYSILRKSTLEEQSWSKWRTGALFSIQFSIPVGITVAFPDLEYTTNDRGCFTYREHDVSVSILRSTIHSIFVFPSLLFMKLTVSKLREFRNKKNVSPVARRQQEGLIKYTIYCAIIQIVQGTVILIRIIIIEERIPEAYHYTPLFVYIMNLSYENLPTILLIVLSNSVRRRLIRLITCSASTFQTVDLPTTNSANDYLFTRKYTPSAYTEYNESS >PPA18041 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:131528:134240:1 gene:PPA18041 transcript:PPA18041 gene_biotype:protein_coding transcript_biotype:protein_coding MNREADNVCSSCKNCGSAVTGQQMAFPSPIRPSLKPTASSTPSTLVFKSEPSQGLAKELLREDYLRIVVDKIGTANKSITQDFVQIERLQVFKQKTLIFVASKTMTDTLGVRPPTRMVESMMTASQEAQTNGADGDSQREGTEGTTFLEGVQECDQEGSERVEVLRLILGLDRQETIEKYEEYREKLGKGVDIRWCSKHFNTGETLPIDLRLKPLLATTPLREKLQPHRLNLRYRNREKLIPNDAVKTMESSATR >PPA18208 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1258854:1262755:1 gene:PPA18208 transcript:PPA18208 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-grl-10 MVTRVLLLSAVLGCSRASFFSPCGAPCSGGCPAIPPPCPPPVTAVCPQYPPCAGAATGAYVAPPPVQSTYGQVVDQFVQPLQQQQQYAQQPQYQQSSQYTQGFSGASNGVQKPTVIYLDQYANSKVGEAVRVAPQVTYQSLPSGGSRLIVPPGSSVYALNTGAGAPYQYAAPTVVYRTAQLNTPSYQAVEQSVDEMDLKGLEAGIPLQPTAQKRAAALEAIEKLAENAGEEEEAGLIRKVRSLMGDDVISREDKEEHKREYGEMIDSDEEVEMIGEAGGEEEEEEEEELEPSTPPKKSKNEKVQKSRRSSKKARAEDEAEEVNSEENKKSRRSSKKARAEEEGAKEANGEERNNDDVDRKKCNSRRLYKIMLENMNESSASSKRTINTVAEAEFGTSIDVICSRGHFSYVFSSNLYCEASKGHVTCIAFRQAPPAVTEE >PPA18086 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:440388:451848:1 gene:PPA18086 transcript:PPA18086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-egl-9 MSYCCKCKKWRTEAQLLLDKDHDCERERDTRDWSRHKVWCKVHSSRAHSARSSAESSLSAATAAASAASSGVPNSSTSTAAAAATLPATKRMESIRRIDSGHALDEQPSSSTGSIGGVKRMAMTTSSSGSSQTSGHSSGTDCSLASMGSTAAAAAAAAAAAAAGNNDDDDPMAGMSTDQLLAMLQQEQAAAAALSALPLPIDPTALLAATAANPEFLAQLTLMIAVQQQTTAAVAAASAAPPPQPQPQALPAMPQLFPPLGPLSQQLGLSGFSMPPPPSAFPSVSTFDPVNVANMISALTAQAKQHQQQLPQQQQQQPRFDLGAADPTPMMFVSSSSSSSSSVPPPRGSAPSTSAFAPPPARQSVVTSTFAQQQQQLQQVQQLQQQRPFAPPPPLPAVSSSSFSRSAFSSTSSLHSAASSSSLRSVPTTVPNPFTYDTTPSSMQKIIDPKPSATVPAFLRTKAVAVRRGGKPAAVAAAAAAAANGEALQRLLLQQQLQQLQQQQQQVRPSSSGSGGGAAASSTTSSGGAEGAAQKRRSPDRPEGSRKRTTPSPKDIIKEERPWSYVNNDDIKNMPIDYADVMKQLQGPACTLAKVDKPPVVEDDDDDDCQVIGEIINRRYRDHQQNLIYNFSVTEHVENMRSKNMIMTRHQATMLRIRYMSEHVIRSLNEFGWAVVDNFLNSENTKNCAKEMEKLYEKGLFTAGQLVDNSDDEDFHHERNEDDVKSVRSDYIFWYDGVDPRAEEAVSTRLLVSMLDALMCNFNGRIVDKEVGGRSRAMLAIYPGGSTRYVKHVDNPNYDGRLVTCIYYCNPGWDLKQHFYLKYIKERQLDPAGGKHTAAAIRLATLASHGGALRLFPETSDTPIDIDPQADRVVFFWSDRRNPHEVMPVHRHRFAVTIWYMEKNERRAAQDRKMKRKAAAAAALGIKVEDLPTNRAQLARMLAMVQPQYGELLKLGSIPQTHASTPHMANAAAAATANATALGLPPQAAAIGRSASPRSRSENNMARRFEVARSECTQDDVESGDEDIGDFIPTNTREAQPDYEI >PPA18063 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:262154:262558:-1 gene:PPA18063 transcript:PPA18063 gene_biotype:protein_coding transcript_biotype:protein_coding MELDAAGCYNCSLFVGEQDYFPAGGGNDSFPVDGNSTNNSSDFVALATLLLGSVSEQEKRMLAMVR >PPA18104 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:578546:580990:1 gene:PPA18104 transcript:PPA18104 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQLPHAQGVSQHQLQQYQLHLRRQREQADQSAQQLRLAAAGDAPLRKRVALAEQSSVNSTHKSSANKVLHCQPTTYYVSNGHAISAAATANNGRPPDAAALSVQLDRAQQEVYRLKVQIESMKRSAADLLKRGKEALMKEIEFKNEALAHLSKTKDVLQNDMNLLKEAQSELTLEMERRKTEIMSMEKDAIKKENEVVNGWANLEILLRTKEGELEEMRKINETLVQDNEALQMEIDGA >PPA18099 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:555800:556869:1 gene:PPA18099 transcript:PPA18099 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRTVFLFAIVGLLLVSSLDAAKVKGGKGKKGSAEKGSAETAKSAEKVAKVEKNVQKEKPVKAEKAKVEKAAKIEKVAKAEKVEKVEKAPEPAPVVEEEAVVVEESPIVGEAPTPKIYRPKTLKVVTAYDKCKMDCQKIRDQQDLHSYATQLRDELAAAEAALESAAHLEEAPAAESAPAA >PPA18326 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2088587:2091550:-1 gene:PPA18326 transcript:PPA18326 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNKTITLLNIDQSAATTLSTKTKPYQDEFRRLYDEIREACERNKTAALAAMSAHAEEIMDGVDSPMGERRASEEKEKEEHEVERKQEENGDGEEKENVGTPPAPSSSSSEAPPVLASNGIVDDEETKKTVENGRESSEESEAPAPVFIWTPPPPRPQSASLSEVDEVKEQRKRRVHKFVRSTSLTCSETVHDINRV >PPA18045 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:150614:154544:-1 gene:PPA18045 transcript:PPA18045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-grl-1 MVAPASVGPYGSSGSSGSSGSSGSYGLGTASYGGTAGSYAVAPRPVALPQPTSPYVRPYPFGPHNVPARPYVSPYGGTRPQPLPLAPCGAPAPLPAPAGPYPAGPYPTQPQPIPGPTYTRIGGGAVPQAGYALPLHPVPIPGPRPLPPFVDCCGKCRSPCRNRVKRQFAAKLFDPEGKQVDIPPTKDGRCTSEELRTTMLKATTSTSTLSKRVIQKAAEEQFGGFFSVFCSKEDFSYTSRSDFYCQTSKNNVVCFALK >PPA18121 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:655126:665480:-1 gene:PPA18121 transcript:PPA18121 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pme-5 MARVAVVPMKNPPKAKKTTAAATASPMRRSKRESKQFVPFAADEEKKKKKKKEEKKGRKAVKGGKRGKTTKAVVRKIGKRVQKKKGKEPKKPTEKKASKKGNDRLVARPEIKFRREHASFGQCDFVSHRTFSRQLMAAVLKGDTKAIEKIYKDDRIPENAAGQRYSCMDHRSPIVAAIQEGNEKVISTLIKCHNARAAKKNVDIVLEPNLLKKKSTGTKNFYMLGRATRAIETARGGREGNNAFLNYEADGVDDVDDNVLLHLDFRDVSYAFLNQLAKEKKKGDASILTDYSIQGQFLNALRAGNRALASDCAQLQSHNFNNLQLESLKTKFKIPKPILAISVRKKSFMFRNITPIHTAAINPDSAFLAALRDVDPVINVPDQNNWYTMHYAAVCEGDGPLKFLLSKDVGVCDVNKQGELPLHLAAKQGREANVKILLEAIAKLEKSEKNDDDGQEEEQEEDEDDEPRAKKAKKAAAAGNKKSIVNAKTRKGRTALHYAVWHGRTEVVKLLLANASVDKECPNSANDKKLSPLMIAVGRGYLEIAEMLIAAGCLVEGRDKMKRSPLMIAAINGHTNIAAMLLQKGADVNRKDTSGNTAIHYASAYGWMDMVKMIAEIDKDTLAAKNEWLITPLSIAYLKGHYGIVSWLLENHADVVDVNSKDNEGITVISSLLSYHDEDSTAHLPEQIDYLIKHGADCSITDTAGNSPLHILASVPIILKVDKPTRTAKGNDERITSAQYKKCIDQLIGAKADPLAKNAEGATAFTVALSAGNLYLASLLLDSYGKELLKDESLLVDKPNALHILLKVPQMIADSQ >PPA18009 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:762:3032:1 gene:PPA18009 transcript:PPA18009 gene_biotype:protein_coding transcript_biotype:protein_coding VFGADHPHVAKQLNNLALLCQTQGKHDEVERYYKRALGIYETKLGLDDANVAKTKNNLSSAYLKQGKYKEAEELYKQILTRAQEREFGKINDKNKPIWQIAEQREEAKSRGEQDDGRPAMTGWHKAASVDRPTVMKTLKKLGELYRREGKYEAADTLEDVALRSKKQDATVIVVGVSSSGQMDDQMPLSMMGASQMTTSQSGIRSRILNMLNSAVYDREKSE >PPA18341 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2245438:2245841:-1 gene:PPA18341 transcript:PPA18341 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSTLAEVHSLISERRIEEAKRLIDKDHSLMTKKDESGRITVGSRKACWNAMHIRALT >PPA18024 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:63107:63317:-1 gene:PPA18024 transcript:PPA18024 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFAKGDSTKIDEVAAIDYFVKHFKENEEPPEFFNHGLIDVFLRVLSDPSSGLR >PPA18134 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:782687:792960:1 gene:PPA18134 transcript:PPA18134 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEILQRRFGRTMSSLSQEDVLRNTKTVLQGLEALREEHAAIRTGLNANVGAENAELVAEKKTIVDTNMDKISHAIEDAQVMLALTQHLQTQEAEKQKLKAQVRRLCQENAWLRDELSSTQNKQQQCEQRVAQLEEENKHLEFMNSLKQYDDDQNGQTAPASKQEDPLPAKSHEDTLVELGFGPEDDEAMGGSYAHPPTSAHAMAASANGGYEVPARLRTLHNLVIQYASQGRYEVAVPLCKQALEDLERNSGHDHPDVATMLNILALVYRDQNKYKEAANLLNEALAIREKCLGENHPAVAATLNNLAVLYGKRGKYKEAEPLCKRALEIREKVLGSDHPDVAKQLNNLALLCQNQGKYEEVERYYKRALEIYETKLGPDDANVAKTKNNLSSAYLKQGKYKEAEDLYKQILTRAHEREFGKIDDKNKPIWQIAEQREEAKSRGEQGTAAYQELGGWHKAANVDSPTVTTTLKNLGALYRRQGKYEAADTLEDVALRAKKQQGGSTVVGVGGGGGAVGMGGQMDDLMTQSMMGSSMTATMGGGGGGGTPSRMTTSTSQTGIKSRILNMLQSKKDREAFE >PPA18354 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2286035:2292167:-1 gene:PPA18354 transcript:PPA18354 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIDASPHIVPLDTPICELDCRAAFQNLSPTERLYAHYFARASFEGSLVVPLQVSPESAGIFVLLYRLFSSEPVEELKSKALAVLMCSQGLSYQDWHAFLMYAAFFFYNSGNYASFGDRKFILNIPQDRFTQLVASSKAASLFPDLLSTYTSVEKGIFSVDKRSAYLGFNDVGVTTYHSHNVTKADCDLINRYMKEKNLEVWNTPGSIEDEFEGVRIGLEKGDFAEILARVCPLLEKTAEYAVNETQKAVLRKAASRLWIKDANPAVETFCGFMREYRDPAGTRAEFMGIVAAVNRETSKKFARLVAEAEKILPRLPWGGAYEKDTFLRPDFTALDVIACGTSGIVLGWIIPHMYNDIKQNEGFKNLALSNSISAMPKLPVNFVTKEDEKLLHEYTAKSFDVQVGCHELLGHGSGKLFQRNDDGTFNFDRESTVDILTGGKICSWYEPGETWESLFGHLAGGIEECRCEAVGFVLCCESDILEIFYGADAAFGQQMKYVNWLSTLLRGLNGLELYNPEQKKWNQAHSWARFILLKVVQEAGQGCLTIEETTDSSGAPDLSVRLDAAKIDSVGMPAVREFVKKLQAYKSTANVRAATALFEKYGVDATDLRWRAIVVSRMQPRRFFVQSNTKVTPDGKGVELVTYPETPQGVIQSVVERFSSESIDVLEKSWKADQKYFPDV >PPA18170 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1052950:1053712:1 gene:PPA18170 transcript:PPA18170 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRYTILFSTAIWDDGPSAAKYRLRKTAMRNLKRRFDIICSEGNFSYYIGNDNFCELRARGVVCLMFEH >PPA18167 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1042991:1045573:-1 gene:PPA18167 transcript:PPA18167 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPCGGGGGGGGMYGGRMMGIGSPYGIAGYGSGIGYVGGGGGYGIQGMGCRGGGGSMMPYPTMPMVPQQQMPCQGVACSPILPQPLPFPCERSSSCEEERTKVIVLKYPMPYAVHHKTVVYRDRIRPEPAYLPAPKYPAPVYRTTPAPEPEPLTRPLPVIEPEPPQFVQQPEPVYRPSAPVSEPEPAYGPAEPVVQQYTAEVRQPEPVYRPSAPVSQHYTSAEQDLRQSEPVSELKPVYRPSAPVAQFPVPEPSGYSGAVVAPPPPPPPPQEGGPYPPPPPPPPTIEDSVREYRRAKATAVKLRDYRGYRGPPAYVPREFLEFRKA >PPA18114 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:626181:627366:-1 gene:PPA18114 transcript:PPA18114 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYITIRLQISIPRYQKALFFNDPTAANKIMCSTNPRRQKKIGDKIVGFSRSRWDRKSTEVMREAVILKFSQNRGLLDELLATGEATLAECSPRDTRWGIGFRRDDPRACDRREWRGENRMGNILMDVRNALRMGINEYNELGERKRPDVTALRDKPSNLKG >PPA18243 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1565211:1566511:-1 gene:PPA18243 transcript:PPA18243 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTVSSKLVHHRENYFIHVLWQLFWYERGRGIARSPVHLKMILEPELSFAFPKGAHPQPQPAISKQTIHISVMTCAAPANNHTDFAKKEHLFPCLQEDSGIPTEPFLSAFQGLADFVGFMGTAFAPVKSDIAGNVTGSYTMAKGPHRARHPAKA >PPA18199 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1198765:1201214:-1 gene:PPA18199 transcript:PPA18199 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKEALVYVATAGSGIAIFAVMASLAILANDISTFHDEAMMDLREFKMVANDAWTNILLATPSAGASERMPFNVRKVRQANGKSCNCGEQPNNCPAGPPGPPGAPGDAGFDGENGKDGNAGLTGLASEKDPYAQPEPCIKCPAGPRGPAGPDGEAGQPGPDGGAGAPGAPGKDGEDGAPGLAGEPGKDAEDGKDGRPGAPGRNGKRGHGAPGAPGKAGRAGRPGKAGPRGAKGDNGAPGPQVKSEPKSQGYDEPAPVVSGYSRRRKARV >PPA18190 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1149190:1149717:1 gene:PPA18190 transcript:PPA18190 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSIINNKMRETIEYSDTVYLIPPPSRRNAHFEGEQDQSAEVDERGGHHQMMRQVNFGTECVSSLLLSLVEGFGADVVAPK >PPA18301 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1922325:1927194:1 gene:PPA18301 transcript:PPA18301 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGITDDEGSMEQNRSTSSNWSLFTNYPGSPDFDPEQPGPSKAPEKETKKKKEQRKTLKLKAKGPNNDDISDDVTFVIRSSARILHSIHLSILPHSPLIRPSLARSARLPPPLPSRARMMLLHIIAGWLSTLIVLACPVCALLIGCGGDKKEKSLQKKRESLDMGVSDLDEVQPVKREVLRAQEIKDGARGKKAEYKTLAQMEKSDFDKSMHALSDAEEAQAVHAGALSLLATARKAAAKTHSADTGEVHQLLASLAVSAAEWTQAVRARKGESLPVEAPPSVEAPPTCQAPPTLAAPGVIAGPASCQTPTLIRNKLHA >PPA18019 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:45421:50299:-1 gene:PPA18019 transcript:PPA18019 gene_biotype:protein_coding transcript_biotype:protein_coding MRIISPCHSCVTDGFLQQTCTKKDRCTQIRYLSDYAGNKCNEPFKLMMKFDSDHSRWVPMKKLSCDREFGWWRIHFPNGTWMHTRHGTIATCVAMKVEDDLSSRWLSIAIKTVLLIMITLLTVAVIFNAYMTRAVVIWEGSNWQRIWRQELLEATQLSENRDYVQRDIVRGMKQQKWHEYYARGLHMDLRQYDVMQRVVDKSDVVPNMMHWTMLDDFDYLAQKDEEKCFSQGEPCVIDPAWSVLNLYRIPPCPTVPHSPVSYRITRLFVIRRQ >PPA18110 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:612687:613114:1 gene:PPA18110 transcript:PPA18110 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPEIRSIGQLLDKISLSLIVPFMMLRQVLITLVAVVVYGQAFLFGMGGGGGCGGGWLVNDTGTSIFS >PPA18186 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1130624:1133444:1 gene:PPA18186 transcript:PPA18186 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLTAVGWLLVAVQLGLLDGCAHPHRKHQESAQARQLRHKLLRGMMMGEEQITGMDDEDIDEVREILPMIDAHFDEEKHERRHVIEKDVEDIAFDEHLFELPDSISLRDLINVFVNFHIRPVLDFNARVTIDVKAREIGSETFFYVASHEFTPIKDVNKIRIWFTAPSPSLILAFEVRDDVSELIINKDDEDADGKRVTLELDLAARSRKKRQVKGVERVCEAGETEQECCVMSKKINFKEIGLKNIISPESAIVSWCAGTCNNETSFEPLFGKFSTQLHTTKNMDGPCCYGVKSNHIDVMFMNEDSEVRNTRIFDVAFTECLCH >PPA18122 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:666830:670217:1 gene:PPA18122 transcript:PPA18122 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGLFSVAWPPDQKRKIKDQRSKITPQVRHFETRDGDVFGIDLNIFQDADGGYTMDENVVNELISQAIAQYRIEAAAAMERRLEELRAENEKSSTARFSRFCRGCRSAHPLERVVLTHCGHAVCRQCADADGRHSLIVCPVCEKYSVFLRLFEERASGEETETAREIGDQRSSQSDASPASFSRVCGVCYTPNPAVRAVVKTCGHVACLACIEQLKDGRKVIRNPTAYSRENSSIVILIEHLLNEEGVECGVWAIPGWAGPATAAPAAANVDDDDDDFDGYDDFWTGSSVSSAIVDDTSGSYNTVEGPSPAQLMSSEKFEPAPTIIAQEIASPKDNLDIVATPVVASKNSSEEYTDCPVIVAQPVAAPENSRQVRLRRRFTWSELTVMDRVFSAVSREDSEEFADEYRSPTVFP >PPA18247 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1594396:1599270:-1 gene:PPA18247 transcript:PPA18247 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEVKLEPVDIKEEDLDDYEHAEPLEDMFCPTTGDARPRAFFDEMQGDNTSHDIYNDNGTSSGVIEEELEIKKEDEFDDRTNVKQEEEQDDVVMCPPSGSTKQFYRPPFALERMQPGRAKETVPRFNINEMQTEIHRCLLCKTVSKTLTAPKTNPGRAAFLNKLIPLTELKKNSRTRDMYFCLAHFKKADDVDDDTIEAVNNDDEVKIQKEVFDPTLVKEELLDDEIKEEPVDEDQVQFDDLSQPSSSRSNVNWIGIVPARKMQMRGSVKNLYAEHMRLLRTESVKFNAQKDAVSRILDRIEQHPWPVNTPGYSARFYEAHRTIPKDASSLPRATRKRTLANSDDSSMKTARNSDDAANLLDDSFVDDLFKTMHNSRPAPITSHPNRVMQSSSRIIRVHAEASRAMNLEDSDTTAQFPFPCSSFSGASLSSRGGPPKDSSKMPRKKPVSLATAPFEVDSFKAIENDALHECALCGRTPGLCFEVPCDASARGQLLRRMLPRTKNEIILLEAMKLEDSAAVANNDLNGHQRLIQILINTILLVVDNLLVVKQVQWIVPTEGHVLIRIDPVL >PPA18193 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1160997:1172396:-1 gene:PPA18193 transcript:PPA18193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-lysosomal glucosylceramidase [Source:UniProtKB/TrEMBL;Acc:H3F7P4] MTPPAADTDDPSKLLDGIGWTAAGDWKPTERRIPFIPRLKHIPLILPMVWRFFVKSVYNWWNGEGIFINIFKQNLHMAHTGVPIGGIGCGSIGRDFRGAFNRFSLVPGLKELWVDNIRANQFILTVRSVTGQLLTQRLLTCAKQDKSTLHAWDKTFPTSSVRYRGLFPRSWTEYELPDLGLTLVCEQISPVIPHDYKDSTLPVANFVWRVKNSSTADYNISIAFTWRNGTGCAQWAQESVCRVNRYSDSHIDGMELANKIRGKNVTYGVYAKRNEKRLSISSTSFDPTTDGGPLWRALGEDGNLQGYDRLLDGYRECAVAVCAETQVVSSECGEIEFALVWNMPEIDFGKKSKECKRRFSRFFHGPSATSAMGAHAVKQRKNWQRQIEEWQQPVIADRRLPEWYRSALFNELYYVADGSTSWIEYDAKEDHVSEHTAKHMKEWGRFGYMESWEYLMYNTYDVHFYASAAFIENWPQLEVSMQLDFSEQLNREDTRLHKTLMDGETVIVKKRGRLPHDMGHPIDSPWEYTNTYCLHDTCEWKDLNLKFILASLRDYQQSIKTENPKATEVLAHLFERSMEIIEAGKKEWDVDGDGMIENGGFADQTYDVWIMTGTSSYCGSLWIAALEAARRMAEILGRKTEEASLAQQVDKARSIFIKKLWNGRYFNFDETSSSIMADQLCGVWFMAALDGTTDKMLIDKEKVSSVLDTIFANNVKKFGSKESAGKSGAANGWKEDSGLDTTAIQSEEMWTGTTYALASFFALMGDRERCFAVAEGVYDSCWKRAGLQYQTPEAMFQWMFYRAIGYMRPLAIWNIHAALRNTESLRNYELDSTSGESSS >PPA18297 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1894404:1897610:1 gene:PPA18297 transcript:PPA18297 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTEGHPLVSIRAPFHARGIGRRVTAERVQRQREDDSMRDSSSLVHWEARDVRLPLAHSSLLPFGEGVLGLGRNLAVGMRVPHGHAFLLLFDEAFWPQKKGQLIVPRSMLWEAAVPPFSVPFRALLTSLMDGRKELPSLGDTHRIWV >PPA18263 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1669422:1669982:-1 gene:PPA18263 transcript:PPA18263 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTLLFVFLLVALLSVAVVNGDFTCTLGEWMCKDVTCRSCKIATCATGDCVCTLCS >PPA18142 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:847763:857471:-1 gene:PPA18142 transcript:PPA18142 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-scpl-4 MASECANTRPASGIEQCSRANMSCIFVRSVRASDWERKASKTRRFIESTETDKGRIVEWVTTRWAKGSSNVVRQQNPPAPHHSRDRAEPSQDSEGRNAPLAASSLRRPFSSTNDEHDKFKSMLSSSSVRSPYADPTRTRASPDIVIAAPQKRQLSSENPPVESPKETVVRTERVEEERKVESPACPLPSKKAVAVEREPIKFVEEPVKAPAGEGKSADRLSHEIEEQYRMAQALPRGGVFRRFKDKWGLSIDESASAEEKKRQRTTRNTILGSVFVFGSAVVGFVSFCLYYGRAERDASGHAIKDEFTGSFFAPFSRIVKNMKAWRDKVNRKLAGETIYDELLANSAFVDSNQYVVEPSREKLLPDPLPPGYIQPKYTIVIEMKNVLVAPEWTYKTGYRFKLRPALDYFLDVIGYPNFEVVIYTSESSMTADPVVNSFDPKQRIMYRLYRDCTKYMEGRHVKDLSRLNRDLTKVIHIDFDPNSFSLHPENVLRVPKWDGNMNDTSLVDLAELLKTIHLSDVEDVRPVLEYYSGFDDPAKEFRKRAIYMAEQVEWYEGVDDPAKEFRKRAIYMEQNSFVTVFLSI >PPA18338 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2193672:2197138:-1 gene:PPA18338 transcript:PPA18338 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEPALRWFFVNKLVNYHDIKLDSEHVLPSTCNVVLKSVVAKFNASQLITPRQQVSSLNLFPSRMNRLVSLALVGLLVVAPVLSDNFESALEAHPHMLVEFYTPWCGHCKLLAPSTTRLKDEGSEVKLAKVDATVHGNLTRKFEVCGYPTLTFFRAGKTTEYTCECLFATRGRDVDAIVNWLKKKTGPAAVRIESSDDLKAFAEGKAVYTVAYFEFFDLTTENIVSFNERFLVGELKQHLMSADVRNRVMYNRSRGWDTKPVKVLVGKDFNEVYKNSGKGLLVKFYVPWCEHCKSLVRVWEELGEKYGTSDKVLIAKVGSSHIEVRLERLPRTRRIRSTPSLFVVVRYVLIVQKLVNL >PPA18310 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1976319:1982498:1 gene:PPA18310 transcript:PPA18310 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lgc-39 MLLHTKTTPRAKEAKRGGGGEAGESWLRSGRHPTELLSPITVVAFLLFAMTAMTEAKAEGNNLRANMVAEHSRRTFRTHDPNMMNFANPIVDEIAASISSRLDVDEFVTSTRETLVEEEEEDYFREIWYDRNTTKASIHNICEEHRSGPARKNLTWSEPGDLLLKIVGNGIVKGGYNMFMAPGQAKGRETKIHVALYIESMSSFKAQTMAKYQEMKNEGEFGDFEVDTYLAMGWFDRRLAHNCSYPILVTSKVISDRIWQPDLYFVNSKFAYLQEVTTPNMMVIVYPDGLVFKSMRIDVTLSCSMELKRFPMDTQRCPVTVQSYAYVEELVNLTWFKKGDDFPLGVNADIRLNDMVITKKEYEVCSNPYPMFRGQARWSCIRAYIVMRRLVLFHIIQTYIPTAMFSSFCTVSRQ >PPA18272 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1713047:1713681:-1 gene:PPA18272 transcript:PPA18272 gene_biotype:protein_coding transcript_biotype:protein_coding MTTADSPPDSEETRAEIFKHIEVLRWGPNMVNANELILEAYFLRFSKDMARSFTHFESSLRIRRTTNQ >PPA18359 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2312181:2318830:-1 gene:PPA18359 transcript:PPA18359 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVTCSGGVLARARFAFRRLGNVAAKQKTCGDQDDCDEAGFFCFEGKCRKSPCTVSSQCEEDEICKYTNVNGKKKTACVPYDEANQNILNKNRFCTGGGRAVFNFDLKLATCDHKQGCPEGAVCNPLYGVCCTKLKTCPLPSKTQMNAKTGRPIMCQLKGGMKLQCPRGGYCELQTGFCCTSVTEEEKRKVKENKEKEKVVNPDEEEGEERGGSIGDLIEKEKAKGRGKKLELFCQDQTSSKKHEHRSPDRGAGPPASRRSDAVAAQHASVQASTAAGEEIYRNIDSVPSAKRCCECAAEFGYTVASDGKTCQRIRRRLKERCKSDMECSAAFSECATGGCRCKRGFQRDGDGGCKPINYQCVNRQPPLVQDNAVVTCNLRMAGKHRVSRDADASFEEYYHDVNSTMAEGNERDDCPEGHYCVPVFDNAGKKGYYQGFCCPSPTEVVPVCPVGMAHDSSAYPDFGCKECPGDHYCHRDSISTDKEICCPKPCPSLEDIYLDGQCLTVSYYGDSCTVSAQCTYHKESMKEDEFTTLTQMECAQNICQCPAGYTFSEGHCIRVMCTVGLRGEPMVDRFNNLIRCDRSGDCAQGHMCDPNTKVCCKGINRCPKDYVETGALCNEDNCMGSTEICHRTSKGKAKICCKLEDASEYSHVEEKVEEEEEEAEGMSETRRR >PPA18333 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2165561:2173683:-1 gene:PPA18333 transcript:PPA18333 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWRSQPSGRSSSALLARQRLVSELIESSDAENRLPPEMELGNIEYKAKLVSPSVSRLEHLITQMKWRLSEGMGEAIYELGVEDDGRMVGMCDEEYDESMRTLRTMADALQADIVECTEREVREASDDESGETERRRVAEVLIRRVPESQPFVESRLAVLGGADVGKSTLCGVLTHQQLDDGNGKTRMNQFRHPHEVLTGKTSSVCQDMIAFDSKGKILNYSATSVSEMVEQATKVVHLLDLAGDAKYLKTTIYGLSAYGPHAVCLIVSATSGPTTITREHLGLAVALSLPVFVVITKRDAVSKERLRSVMDAVARLLGRAGLRGGVVRVRRKREAVRAASVLLSSGGAVPLLCVSSVTGEGMKITALRCLLNALPPSTAMMGHRKDELLRLPLLFTVEETYQVPHVGEVACGVLAEGSLRMRDRVMVGPSKEGKWRIATVAGVRRAKVPVLSVEPGQAVSLSLLPADNVDEPLGLRRGMVLQCELAPRSACTRFVAQLMLLSHEGTTITSGFEAIFFIGSVRQLARVEKVEGTTLRTGEWATVELRARPGESEMWWR >PPA18103 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:573148:573850:1 gene:PPA18103 transcript:PPA18103 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEKLRKQYIAISSRFRRSEKSWEEERQRLEMREATAVEAATLLRAQLAGSATEAVKARETKMLQLELEKHVWQVKANNFEATITR >PPA18271 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1712505:1712820:-1 gene:PPA18271 transcript:PPA18271 gene_biotype:protein_coding transcript_biotype:protein_coding MNIYELMVRFRMKECSWVEKLQQIKLDMIPDEYGPPGHFWWRKTFEIPEIYLEPADEFRLED >PPA18010 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:3941:5039:-1 gene:PPA18010 transcript:PPA18010 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRATPIRLLMALKRPTTGGNGNVQLAEFAPVSLRHCLCPLVFLGAGWLVSMLAFVAVWIRHLARTPNRQFLAK >PPA18144 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:867087:871655:-1 gene:PPA18144 transcript:PPA18144 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVAITYRIESIHRTFLRNHFQSNASDGAKVTSGRGDCEHWYIREQWRTVELMPECSPHLSLRASKNGSVDLDNEQNLWAPFRNNDGSWSFEHISEYGGWLSADENGTVQAQRGARDSTTHFWIDEWTESSKVEQTFCLQEDRHLTAKYIGISMPNWRVGLSDQCGPCGQFIIEYHQDQIALKEFCSGKYFAPIELSTPELQRRHRDKGWPPFYVYVAFTEYTEGALLKPYKTGGLSFKRRGSTHAHSEDFTVIYCVLGFLILFGAPFSCLLTHKEEVEAEEENVYEIGASNLPTNVVGEHCIKTWYNVYLRAWANQSDWHVGTAPVCERCEQWTIEKHGEKVALREYCSGKYLRANVEMYVDLAEFPPKDHELWTPIKNADPPINGTWSFRSHHGTFLRAQPHGRVSLQNNHLGDESFWLEPWAELVPTSAAPLSVIPDKLRRLILSTPLPPFPGMTDLSINTETTYASPTTSQQITESVM >PPA18156 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:972693:994818:1 gene:PPA18156 transcript:PPA18156 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-315 MDESMDTGDESMQNMYDMTPMRPQEDANPEALGYDVNVGGVDVAAHFNEQPVPSTSHDAGYDEALARFMRAEIDYDEFMRQAGGTSLGEETLEADDDDEEEIDAVPTPPQMVLPKGELDEEEDVGGTSDSMVFQSHTENGGGAKRARTELPKDLRVLMQEVMNQDELTKPLTFIHNTAAEGVSGAEASALSKTAIYREKKKQTKTLDALMGQANLIYAKGHSAQALTMLLESAQALTMLLEVIRQEPRNADAYRQVAEIYREMGEGEQSLHYGMLAAYLDSKTPCEEWVDLAELARELNCLEMAAAAYGRASRIDPGLWLYWERRIELLEATNVRHLAMRARLLALQQTDYVRSSLDFDWFHTMVKMVAEYFIEINDEEKAVQSLETFVMRARQFGRSASSQHETLAGMWIGKGRYKEALKSILALCHDHLKVVDERGEKIVTVKLHGFAGYDVTPFPLPADARFEVDDEMPVTLMCKVAVCLINLGSKAPALNMCEIIMMRSYAVVEHVEPIAELAQAIYGAEWYAFGRRFLEQLQQWQQLSEEPQLWFVYGNCMAALKEFDRAMDAYERVLQLDPSHVDGRINLSGLLQRAGKLDAALDTLRDHDLEGCTHLPALDTLWDYDLEGCTHLPALDTLRDYDLEGCTHLPDERLLQRQAEFLFENNRTEAFIKTARMLLTPYFYEIHRNPDAVAKKRICKNTGIYFLYFLWALSTTLRQAALHAVQNSTLEKFVKRLGAATYQETNRKDAAAPRETNRSYDDMDAVALHDYCLKLIESLGKLNRYVDMLTVCCYAFLQPKITKDQKSITFQNLLYYCAIKAENWLLGFEYARWYHQTVNNSALFSLPEKEVVIKRIFNAMNYVFCHSQNVSYHRTTLAVPGLTWVLHQAWYVMRALVKNPGNHALQAISGNNSLITGTYRHALGEFLRVWVANKNNPLICMLIGLTFTHMACKKDLSSRHMLALRGLAFLRRYEQTRSVKQEVYYNLGRAFHQLSIFQLAVHFYEKTLEVYYNLGRAFHQLSIFQLAVHFYEKTLEVG >PPA18033 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:99917:102040:-1 gene:PPA18033 transcript:PPA18033 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAPFTSRERADRTAAEDVRIQREGDSQFDANNDFKSGAITGFFECDLTMEELLTRLPWWAKISFLFLMVLIIAPAAFCLYCILFKVRRVKTIKEESNRMYYNEDADGRGGVGVTQEYRRREQRAFDPRRETEERIEFLSTE >PPA18139 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:824215:827511:1 gene:PPA18139 transcript:PPA18139 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSHTASSAARRPRFKQLNLDPLSRVIYTQAFTALKPLRNAKIEDTKAARTVVVKEHRAQLSTLVSTVSAADAEGLTEHQKLALNLGKVTALFSNRLSAPLRNGVPQRPTVPGPTVAIGRKRGASPAAAPTPEKQIKDEPLDDDEPCSSTIAASTNETLSSLLEAQLNSILTAAVPPFSTTVPRPTTVARPVTVVRRAAPGAGQPPVKVVVANRRNGAAAPVVVAARRPTVPARTTVPANKTTVPANKTTVPSAAPVVVSRPSVNAYRPRLSTGGRAVPGPVDRPTVRVKRPIVPGQRSVQLATLIAEKPSDKGEFLIKGKLSKRLAMHRDACYGILDRDKPEIHPSHACPFCGLMVTGAERQDHVKETHPDQYIEFTPYLCILMECDYRTTSRAAVRKHCMQVHTPLFDKWETAGRLLLDNDTVCPLCEDPIPIRNLRELRLHVKATHDTMPAILCGSCCEPCTSTSELFLHWVNSPFCDGNAKLLDCRQESFLALFA >PPA18253 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1612684:1615949:-1 gene:PPA18253 transcript:PPA18253 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPPKKKRTDSPEPTKVTTLIDFPAEILRKIIEPLDLEDRCQTRLCNRALEKAVATSKWRIGNVKEEKREVLIKNEDEGAVVIYLNGKRLHAAWNSKFRLCEMMQTSSLDTYNNDNLRFVGELLDSCSFRTLNVHLVRGQKLTSRALNLIANTKDGVRISVSHQSLTDEEYLSIPRPVAYDGSVNKTAFDSILAHGNDGVFLELLRRGHAFPTMFTSVISSETLMELIKIVSASHLSNKMVIETALAIGEDFIEFANPEKTTFGGFQIPIEILRKFIEPLDLGDRCQVAHDHSVHFSKFPLPWYYLTKYGFKLAKECIVLIIAMV >PPA18290 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1845250:1847651:1 gene:PPA18290 transcript:PPA18290 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVHEHMHTGVRSEEWGKFASMLADVRQTVEEAEELSEAAGFGAPLSAVTSTPVTGGAGGSLSTTPRTSFAHARTVDCGGAGGRFVFPSIALLEPIDRPMDYRTPGNRAETHNRSNTNDPPLLSVISEK >PPA18306 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1942734:1942937:-1 gene:PPA18306 transcript:PPA18306 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTSVLENRDALVKEFEAWINSKPHAFWKRGIETLPDRWRQVVTTKGAYIDY >PPA18294 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1874734:1876365:1 gene:PPA18294 transcript:PPA18294 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTSLSMRSRKPEDVMLEGWLYKRGEHIKNWRPRYFMLFRDGALVGFKIKPENALPYPEPLNDFVIKDAQTPRYGNRLAEYGRLSIE >PPA18066 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:294358:297000:-1 gene:PPA18066 transcript:PPA18066 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPGLPRSRSDYGSTTRDSRWSILDYYETGGGEARSRLSSSWIVRAQLFHLRASSTIDNLRLHAKVKLRGAFRTLAAPPSASPLPPLRQNSEDQGSRDSGIEREQFFAHPLLPFVNELHAKLTDLQKRALKVTWKRLSEAPKTSGRGTIQIMERILDKLIESEPSVPSLFYKSAFLSCIEDRRRWATTIATLRDHAHILVKFVDTIIQSVKKT >PPA18125 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:687455:688392:-1 gene:PPA18125 transcript:PPA18125 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKYVSRYAYPLALITQTCGVYFTVLVSVHRYLGVCHPFRAKRWVSSQPVRLAIAFSILFSIALNIPTWMELEIARCHSHQWNQQLR >PPA18163 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1017423:1018110:-1 gene:PPA18163 transcript:PPA18163 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRLAALAALAQCVASQHYPMPPLPPPPAGEGYFVPKPPPPHPTPYSPPPHDSGPPPPLSMPPAPPAPTGGSYGQPPSERTVFEKVCATRAPLQRVFRFSF >PPA18048 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:167748:169891:-1 gene:PPA18048 transcript:PPA18048 gene_biotype:protein_coding transcript_biotype:protein_coding MEETPAHAFFPAPPKYEDVMRAKEEESLEGGSTPPRYSIQSSLASTVTSSDGGSESPLSEHDAPPDYSTMARPATVHRTRRITVAKASIDGPEEVVVVHTSGRDNHAYAGDSSDAPSTSSSSSSVAHSPRSARSLAPSDRRESARNGGETTQRRNGETQRRDGARPVVLAVETLQDDAEWEVARC >PPA18215 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1311547:1314525:1 gene:PPA18215 transcript:PPA18215 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDEAVCKRFTRKFKTIHSSTFLALLSLAGAIAAQQQQYLPWEQQTDVGSIPAWYAPKTAGFAAQAGSAMNVAPPLGSPFPSSSSFAARPSYPPNFPGYPTFGTVQPVGPSSASKSVRMPPLARASERGGNPYSTTYKPKTTTGFRPKPSGGRSNLDKYLPLMKKKKAMTTTTTMKTTVRPTAAITKRRKLPAKKTTTRPTSRPTTTVRPTTTTVKTTTTTADSTEWESLEVVEKTSSTMKPTTSTLKTTTTEKRPKEEKEDRKRAELVKGPIVQKGRPEKALPPSTTPTTKSRKSSEEEEDKEAEFNLLGFLWDKSELLASIKNMIKQLKSSIDEAGLEEDVKQVSDHLKNTIKGFASASPVNEQLGRLFERAQNADVNKLE >PPA18131 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:750177:751690:1 gene:PPA18131 transcript:PPA18131 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDARSIADSLASNSAAGNGEGSSSLESYERRMATMEQEKAELLRKFNEASSLLSSRFSDGASGDGVNEREKEYEQMIAQLRDEIQILKMRQDESSAAAAAASAKPKH >PPA18240 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:1551237:1556586:1 gene:PPA18240 transcript:PPA18240 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIESFNTATFVLQWGPKSERLLGALIDGDVVPAGRRVVRAAATAAAAEQGSASAAATAVGPATRCDSAGGGAAEADGARPANEKGQGPSGLANFPVFSLSCPRIVAVSAQAVHQQQRQPPPPMNGGFDEGLPWNQGRAQPAAPPRGQRPRTPVQDWYYEEPAVGHGPPQHPQHLQQPRPRSPSRYYDDAMYDPRAPRDQFGRLDPTMGGMRHPAHHPGGPPPQHLHSQHPQHMQHMQPPGYRGGAPPPHQQAPPPRRDWQEPNWSGEPPRRGYWD >PPA18348 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:2264579:2268499:1 gene:PPA18348 transcript:PPA18348 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSPLDCATCLKELTEPIYLDCSHLFCKECISGKSDCPTCSRPIPSDFQLNVDPLTAFLIDTSHEAAETCANCERARMFARHRMVPLEERARVRGATTCTLHPPQPYVLWCGETKKLVCIDCFKGRTIESGHSFIAIDQAHRAQLEKLEQWAERLQAFQEERREEAAARERLITEINESAKATRNDIWSVCQQVVDTVVGVREKLTRQLEEERRKKEEECRAEIGVCTRAVASAIDKSA >PPA18052 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:190496:202584:-1 gene:PPA18052 transcript:PPA18052 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATVNELVEASGKAFSDCNKDFIRILEHYLAIIQTQKDELEKKDKEIEKCKAKRRKVETDLSMNEVDRYKSELESFIANANLKAESDKKEIDGYKVERDKLTAAFKDVITLKNAKIDVDAKTKMVAVNDAKIAEQQKMVEKLEKENLSLKCRWVPDATIRARFTEISKLTQSHRYSNSVQVAGMNWAFRVRTSMIGGKKYFSAYLQITSTPIPQFDLLDPANDCVKDDSILMEIDLKMSGWPMPPGPPPFPFPMPQFESTNVWPPTAPFRFPTAAILDENVQSIESLLTKISDLQRTLTMRETDHKKELDKATASFQTVLALKNREIRTLKEENHTLKKGQGYGLSNELKESQSIMASMNQKLASVEDKLSAFSPSVPLQNQTTIRVRFDGISSLTSTDKYSHSTHLVGNDWKVCISIAGKWLKVELTAAKITQRCSVFFKFHLISHKSDNIVYTAGDSAISQFNQNGMTWGYNEFISIKDLFDEKKRYVHNDSIIISADICQLSQNVLGLARDSKELLQAANAELRRGIVTREVEIDEKKQELDNATITFQTVLSLKNRTILSLKDELAKKDKEIEKCKIDAAQKDVRIKELEAEVIQLKAVSSRKRRINDEDMVILRRALEKIDRNTSDLCNYQQKTTETTIRARFTGVSNLKLAYSSSMKVAGMDWAIATCSSTEKNTKCFSANLCVITNPIPDAWSCSVFYTMKLIQQTRGESYSTSHERVFSSEKPSWGTNNFISFKELLDPLNDYVKDDAIIMEVDLKIFVMGKRCGEVVPVEVKVETKEDSDSKAGLLAENAELRKALATRDVELAEKKIKELENKVLRFAGGKDSDDNRVVLNAKRTRMDELYDTKNKITTATIRSKFNISDLSLVFSTKRRVAGIDWAISVATMNRGSTKYLSAYLNMLSARIPQDWSCSVSYTIKLIEHRIGIPYFRNEVKEFTSKNVLSPFAGYIKNGSIVVEVVAIDGSTIVINLGSEPKKFQFDGIYGENSLQIGPSISFDNHSGIIPRVSSVEHIMKLADQFQMKIFFAFTPSLTEVKGVLGQTEVFLMESTLINMEKKLILADKYSCQKIMSVPDYAEFSDATKGALGQAEAFLMETISIKVEKKMILADQYNMQKLMVHCLNSFSNIIVFRFRLLHLLGDYEGCDNEKNERHESLISLSMAYLPNQFKAPSEFTNVILVVEGKKLHVSKETEQKEVELKEIVYSEAREQAEKFLIETNSVKVGRKVALAGQYNLEKVMSHCIKSFSCFKEFSALKACFLFCIWYLRYEK >PPA18233 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1485661:1488688:-1 gene:PPA18233 transcript:PPA18233 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGLSPTEKERVEAACTTSMRVEKAGESVYRSRCDSAVIPEEETRSEGSHEHSGESGGSSEEERTVKGDESSSSSDDPSDPDCCEGLSDELSDTIMQASTRTVTDLSMTATLTVPAKKASEEEDEDEDSVMTSTLREDCTILRKSDLNLSVSKPGEKGDKRRDDSGSEKSEGGGSEDEEEDSFVRIHPLFSTTSPSLPGEDLSELISQLKGSPEIEGNAGYGIDHLIEIGQNELSVFSSLQGDFDRLVAHVREAVEEDERREREGAYGSTSVVHKMTPT >PPA18070 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:335199:338545:-1 gene:PPA18070 transcript:PPA18070 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rdy-2 MAASRIFAGVSFCIRSFVLLLSIISLILILTAPGACFTRYLNGQQISREICPGQNSIFPMNADRWSNGLHFQGKGQNIYGQVALMVISLVLALGALGLSCIHFAVNTAMVIPQVAASVLCIIAFIILGGVETWYATGFDHIAFFIQLANPH >PPA18266 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1677741:1683107:-1 gene:PPA18266 transcript:PPA18266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-twk-46 MQLDNVHYDSVAMDEIERLFKDIREAARNEIWIVGNQTNDPNWTFGQAFFFAGTLISTVGYGRISPRTEHGKLFTIVYCLLGIPLTLALLSALVAKLRRPSLWVRAKLNTKLGARLPSNQIQLVHLGVISAALLVFVFIIPAWIFSTIEPEWTFLDSFYYCFVSLTTIGLGDYEPGSSQEQSFRGFYKIVCTVYLLLGLTCMMLFLATLYDIPQLNVARFFLVDQMDENEDEELKSAPAYTRHAENGSSYPNGSSYATGVYQSVGVENGLDRGLRNGDGSAYHSSTDFCLHALASQS >PPA18015 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:39192:40984:1 gene:PPA18015 transcript:PPA18015 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKDVLFRYKIICLQLGVFRRRLLHRLGALGSGRFCVFVHLASVVDGASGLLIREQFRKFDENAH >PPA18108 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:606853:609296:1 gene:PPA18108 transcript:PPA18108 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLLAVLPLLSAELVSVPDTSGLCKGKEFTPFSEGNFFKRCKLTEFGTRIEIVGCRSISNEMLVIGKEKTADNGLIEEKCSKVGDQVVYGVGPAGKSNDVATTTTMSSSETSVDGIFYNCREHYGPPLPIGCTPVMSLEKTYNSFLPFGREISIAGIKQRCEIFPNRTALITLKNPHEDQIDFNRQVQTPKILPEACYFNGREMKRGTAVRIGKVTIACVKNGDKSELRFVGCYDEPLHFDTEKYSKYPEWLMVANCEKPGLVTPNEKSDFEFYTL >PPA18330 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2107823:2112473:-1 gene:PPA18330 transcript:PPA18330 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDREKRLCLGAYSKKEVKVVLVLALSHPLIIEYFACLARLSIDSYRTPCPDAILLATELLAIPTSAASVEGLISTPTTFTEAAATAAAAAAAAARKQQQQQPLMAPHSKRPQLARSTSAPEPEPEDSPLASPNADHDEEEEEVPLPKGKAGILIDARREGEALVNGKRKLRREGSGTTGRGGGGTVIGDIGGRVRRLWRKLNNEEPIRRCSHNRTVHFPGDEELVTGFHDAPRSPFLVFLADGTCTPAAPPVAPDPEEIIVEYRKACRLFNTRPLQMVINQIKVRVGMNLRQHCNRKEKNQNQA >PPA18280 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1771807:1774416:1 gene:PPA18280 transcript:PPA18280 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPPNIVVNELNEWKYSRELDWDQMEKEMASVVNKLSEHKYRLNRLSPEEYEEVKHACGKIAPHLSQTYIVLYGSLEAGKGDIQLSPQTQMLCISDYSDYHESVLSPIARVYGGGRGMCEILESTVEGGEFRGHGRIQYLEYNLTRGVDPSFIRRIDPDSSAVEEFGWRNREGRAEIIQGDSGGPMVRKRLSDGRYTLYGVVRSGNSNTALVQITRIQNSLDEICKMSGVCPNGDIDPVNMREITVNGIRLC >PPA18064 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:264824:272471:-1 gene:PPA18064 transcript:PPA18064 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRENVKGTYWLNDYASNIGVGIFHTGIEIYGVEYAYGGHPYPFSGIFENSPQDAEELGENFKFKESILIGETDFYQKDVRDLIKALGMDFRGDRYHLISRNCNHFSAMLSKTLTGKEIPSWINRLASISGSIPFLERCLPQEWLTPVALQNSLDERKGSRGSIDSAEEAKETSGGGRSLNDSRTTVLNGRASNGATLNYPASNNGHVFSGQGSVLGGGGQPSSSTSSSSSTQLLANGGATGSQSAPVTARERDTSPGPSIVRLWNQLKGSMTGPEQNNKQP >PPA18107 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:595157:595573:1 gene:PPA18107 transcript:PPA18107 gene_biotype:protein_coding transcript_biotype:protein_coding MIAMADLSNFDKNRFISPRWNSLSEGMLSDMNGPLYTQYARFNRRKLPLACIHYTNRIVYTNFTSIHRY >PPA18362 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:2331804:2336350:-1 gene:PPA18362 transcript:PPA18362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acr-16 MRDYNNLERPVANHSDAVVVKLKVSLQQIIDVDEKNQIVYVNAWLDYLWTDYKLVWDLTEYGNISDVRFPAGRIWKPDVLLYNSVDSNFDSTYPTNMIVSNTGDVHWIPPGIFKISCKIDIQWFPFDEQKCFFKFGSWTYDGNKLDLQPQEGGFDITEYISNGEWALPCFNLIMPCILTTMMTLLGFTLPPDAGEKITLQITVLLSICFFLSIVSEMSPPTSEAVPLLGIFFSCCMIVVTASTIFTVYVLNLHYRTPETHEMGATTRAIFLYWLPWMLRMKRPGVHLSYANLPSLFCMRPKRHSESLMRNIKEAEANDSRNNSIDVERRVAHLMHSHVGNGGTATFNLPMSQVPAVDIGQQATLLILQRIYHELKTVERARESERPPQAPSKPRFPNVFTQCNFRLATFPNLHTPQIVTKRMVESDREETAANNWKFAAMGPQAPPTLV >PPA18079 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4:389286:392080:1 gene:PPA18079 transcript:PPA18079 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAVAPDPVGLDSARVKRNSPLTRLKRGDLLRAKRRKDPTVNNDIDTKFTANYDALEETIKSRTVGLVTLEEMRDQQRNAVHQRVHEVAQAAGETTKKDEKKQDEGKATKREIVKKPLSFAFDDDEEEDGEPIVIPKKRLGMDPSVDTSFLPDKDRELEMARKKQQLAHEWHMQQEKEKNEEITVGNDSCHSALFLRPIHSDFNFLFLCVCPNVDD >PPA18082 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:400582:408408:-1 gene:PPA18082 transcript:PPA18082 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTTKTLCLKNKVKHVLPSTCNDVLKSVVAKFNASQLITPRQQVPLCRPSTCSPHPSRMNRLVSLALVGLLVVAPVLSDNFESALEAHPHMLVELKDEGTEVKLAKVDATVHGNLASKFEIRGYPTLTFFRAGKTSEYTCECLFATRGRDADAIVNWLKKKTGPAAVTIESSDDLKAFVEGKAVYTVAYFEFFDLTTENIVSFNERFLVGELKQHLMSADVRNRLMYNRSRGWDTKPVKVLVGKNFNEVHKNSGKGLLFKFYVPWCEHCKSLVPVWEELGEKYGTSDKVLIAKVGSSHIEIGETTEDEKKKEHTELAISVTSDKLGSDRVCVKPEMRREINQPEAAITNDNKTLNWAGTKSSVNRVHPSPTMADKRKPPGIKADKSSSSGSEESQDASTSAASFSDRAKASASEIPKTTNKLCIICHDDAAASSPNPMLTCATCTLTTHAKCAKPPVEKEEFSSPRFSYTCTACTRRPRSESPKPSAARHHSAILLTAPHDGRRKKTVEMSDRKLIAARLRKEERRREGRR >PPA18203 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1229613:1232080:1 gene:PPA18203 transcript:PPA18203 gene_biotype:protein_coding transcript_biotype:protein_coding MRRILGERNQNNKNAVVAPKAASPVFEEWKAVHEVNRAEIGNNGSFLEFGEEAVDCLEANVNDEECNENIFLIDTAAAAPTTTMHAKRLQKPTTEREAGFMSQEEYDVKEEIDGRSSIFSSDASDNQSCENDQSEVESASMEIEFSDTDNPLMMSKSVHFTERVKPDRFSARSAHADSCSTPLPSPEVIVVAPSVPLISFIFSRDRIGVTIGTRALWRVMKWSILPALAVASYFYCPYLQYRVDKFFMQF >PPA18091 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:494422:496453:1 gene:PPA18091 transcript:PPA18091 gene_biotype:protein_coding transcript_biotype:protein_coding MECDVGEAVHQTRAVAHEVVRGDGDDAVRVEPDEVVLREGEETRPPIKYVLDVTIAYPNGAVSCKLFKAGEVRS >PPA18227 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4:1431462:1432700:-1 gene:PPA18227 transcript:PPA18227 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLQQQPVQQRGSPGSSGSGGAPPPETTSHTDTLLRSTTVTSAIERFGGAPQAGGRPPGLARRATQGSGGGGQLSYGVPQRTSPASSTSSSLETRRWSAQLEPAALAPVPMVSGSKFGAQGVLGAGIKGMYDEMEREQQKGKRVEKKESVRDVGELLGEERRPKVEKRPVQQQQAAPAARAAATQPPPQMGQWHLMCVEIDANCLIIQLCVSGSWDKEDEKGNSIVS >PPA18553 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:897814:898848:1 gene:PPA18553 transcript:PPA18553 gene_biotype:protein_coding transcript_biotype:protein_coding MFACGIPLVDQPYRFIAERGLNDLLDLEFHPAILIHCMPQLVRACRAGLYSFDIEKKKEVLNLISRITKRDICGPALVPFYRQLLPPLRSTSHGGLRMDVRYAPGRPLDEMIELTLNELERTGGPNAFINIKYIIPTYTSVFDAL >PPA18452 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:406377:408060:-1 gene:PPA18452 transcript:PPA18452 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLKRTKAEFLRILRFDLNSHWELLYHDIGFIFGFTHLLNWSTWRSHKKEQKMGTKMITSTKDSGRSVLPLFTKKSTYQDEVPLWTRFISELKRLFRLDLHSCWEVFYLDVMIVLTAYLLSFPFCLFIYHFHLEKTIYEPVIEYIEKPLENGIVRAVAPSGLTPASYRLITLIAMQIFVVIVFHLSCCYTYLHHRDPKPVKGDDYISCVVTEGENEKHAGKIYQSIAASSSTN >PPA18373 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:45366:45804:1 gene:PPA18373 transcript:PPA18373 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGSYPVGQQGGGGQSCFQKIKMGFFMGCMIGGATGVLLGGFAAFRGGYRGKAFVTQFQKFI >PPA18501 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:642577:643606:1 gene:PPA18501 transcript:PPA18501 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sulp-6 MRWLPEYRREWICTDVFGGLMVSILSVPQGLAYGYLAGVPPIHGLYTSIFLSLIYVIFGSSKHAAPGAFAIISMMVGTVVEDVLSPPDMASHEITTGFCCSPKATGGNNSEEALDVVMSVTLLVGVWQIVFGLMNAGILAVWLSEYLVKGLMGGAAIHVVTSQLKSMTGLRNLPGTSEPFGLITFYSCFFKQIRHINHDVLIISIASIALLLISAYIFDPILKKWFASFKFPMELLLVFDRSMQQKTECIR >PPA18396 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:178127:180528:-1 gene:PPA18396 transcript:PPA18396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cchl-1 MLEKIGVRVRTSELVVDLWGGYADKSCGRLWDAETLATFFSCSKALTAITMAMQADRGQLRYSDTIEKYWPEFGQNGKETITILNALQHQAGLQYLREDDGNAAVLDHDTLTDLKAMDRWVEKQSPIFPPEGPKNIKESSGEISIYHALSFGWILDGLMRRADEKGRTVGEFLKEEIVDKLGIQDVYIGGTQEVEYRIARIRSHTRSNRMVIMEWMCDVAAITTTAPIYYWPKSIFRKEIKNVNGAKDFKLANHPATRKVGQPAANGVGNARNLAKVLDVFESGKLCSREMLDKLRVPQIVGKFDPVLGARVHKGYGFCYSKSPLYGHLGVGGQNLRTDTKRRITFAYITNGMKAGQGEHTRTMKRLEAALYRSYQKIHCPKDDEKIKI >PPA18448 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:387025:389867:-1 gene:PPA18448 transcript:PPA18448 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVDAVKKTKKKLKLKKKVVGSTSNGKKLTINVKEERKRVTDEQILEGLEKHEVSTFDLVPFEADEESEGIDALKLDESEEKSDDDDEEVIAPPSTPKPFVKKEKKSVRFDATEEKKDPAKVDDKKEDKKLKKIKDLIKEEDDSDKTVFTSLDDLKSHLERFKQEQHLRRWMKKLLLTKSTVNREMEDYYHNNEDLMAAVEMWLAARGSSEQEKKCGIHTQEVEGKREKESHTTDATCPILVRTNLECFNCRQRGHVFADCPEKKKKLDEGTTNEMVNDERTGICFKCGSMEHAIYKCPKKDKIKGFPHATCFICGARGHLSKECEKNEHGIYIDGGSCDLCGSKMHLRKNCDKQALKIR >PPA18440 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:357087:357638:1 gene:PPA18440 transcript:PPA18440 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPCFRCKRPTYFNDKMGPLKDGSLFHKGCFKCWICGTRLTLKTYHNNRNDTQDLEVYCAGHVPTPGPHDPIPHRSNLLFSPKTKGEYPHNLMRPGNP >PPA18444 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:367300:372018:-1 gene:PPA18444 transcript:PPA18444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vab-19 MQENGKFGKKLNRPLADATSQTTTATRKEVGIATDVRRLIDAATDPTVAATNEAYSETDRRDFVHFHVQTDEVEVEVEVKAAVVERGSSPVPEEVRAVIDRASDAVHVQVNENEVQTDVMAPPSPVEVRRHNIDRCSDAFHAEHSDGEAQTDELPREISEKEMEESSWILPNMEQMSPAHGEKSEEVEKTLLGKDENANGLTPQQSPVSDPPPDYEIIDVVDEELDSEVIIRDDAEDRTESPEKCEMEKEDEKVEEHQVAQEEKKEEESRKLGEDEVASLKKLLVSEEGGKAFVRGGVFRSLRARTSKSTEDEDDLRLSATTTDTSTPSEKPSEALKGKIALKKATSSDAVPPVFSKIPEPRDARIPRPKFVKHVVESNVKAETEDEEDEAADRLTPLRSELRSLGSWPTAGASTALLAVPPPLFEEETCSDSESDVSEGSYDTNEQDDGPFEPSEPLIDAIKTLDAHVSSVLTGVKLKQEAVEWAMKLVQHEWLKTAASRNSKADRVAAFLNCVKDQSDNLHEFIINIDDANANTALHYAVSNGNLTVASLLLDFQAAVDCANRAGYSPLMLAALVELKDASEINIIHRLFRAGDVNTRAEPHGQTALMLAVSHGKLETAKLLIECGADVNVQVGAKTRKSSTDGSGLGKDQKSSCRTKKGRQR >PPA18591 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:1071937:1073832:-1 gene:PPA18591 transcript:PPA18591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-165 MSNRLLIGYGSHTGQAESISKALAERATAVGLVPEIRTLNDIEKELDLTTESFIVIVVSSTGDGDAPENSARFLRRISRKGLKEDHFKGLTYALLGLGDSNYSTYQGVPIKMDHQLSFLGATRVLERGEADDQVGLELTVEPWIDKLFNEELNTRFSIDESRLAAAFSSKITLGEAKTAEEKERIMEEWKARAKSEESVEGETTVSPISSISSLSLVDFDYPVGASVRSGADKISGDPNLRVPIAPLPYIKGTLGRSIFRGGNDLPWQNDAPMPGVISTKPLNAVVVSHSILTSLDEALIKPKRELIFELTEADLAYEPGDAFYITPQNDEAEVNFVLERLGCLSTADNEYELSIDPTTTKPCRVVCDDY >PPA18382 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:100172:102132:-1 gene:PPA18382 transcript:PPA18382 gene_biotype:protein_coding transcript_biotype:protein_coding MSCDNTITDYSPELPEMGDEEEKEKLNLRSIEDGEIFDTPLKDNKMERKRFGRWEDSEEDLRENEDNGEQKRIQTLEKEMELRKGFIIELNESKEKVAQLGRLLDDQVKKTRAAEARAEEMEKSIAATKHVEESSKWILQTKMRDIIRNKDLESKILKLKGELRQLTAELMNSKNDPMSDRMIEELEGENKKLIREKESMRRQKDEADERVKNLEKKLADSVKNVRNKRSLRFASFESSGGMEKGADKELFVMKTNMEIMKKELGATREINTKGATIALPNALKELSMLKEKNMQLENRVRNNLLLQDNLKLKLEKKTIDEIDVTTKKKYNEVVQERSKLLKENKDLLIRLTKLETAKWNNGGNGTVCL >PPA18398 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:186550:187161:1 gene:PPA18398 transcript:PPA18398 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVDIAEGAVYIIEKMVGGATDHTDETFKRRACENVESGRAQCCCIVSEVTCSIQKSFDRDNPLLNPSTAQRSQSKDGSFQEKKREFYRPWE >PPA18467 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:463289:465482:-1 gene:PPA18467 transcript:PPA18467 gene_biotype:protein_coding transcript_biotype:protein_coding MCTIVEEEPYVAVVEDPYLQDICSYCFQRAPPGHLLKKCSRCQLVHYCNKECQKKDFCIHKHECSFIVNSLFKTPNTKLRLLGRLLIRKYKGDSTSVKAFNGRCFDDLMDHAEDIQKSGDYFQCYTCLCAILPHYVGEKFTVQAPELLSIYGRIKNPSVFKQYPDCGIIVNSFGITTEEFDDVGEAIYLGISALDHSCDPDACVDFRGRTAILRTANSEITQFSNQITISYTFPMKFTKERRERLEKHYFFKCNCKVCTDPLWAFRMNEEVEQRLKERRQSEDNGENGDF >PPA18428 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:297866:299449:1 gene:PPA18428 transcript:PPA18428 gene_biotype:protein_coding transcript_biotype:protein_coding MREEHCDENISAVTQLPKLSPTRKTNLLFVHTRARPDDFKNANRLDRASMLKKLFGGAMRVRLAELPPQRANWDGEYSLVRPPLEAIYFPLGEMKLREMSTAWQDERSGHNTSAGSAHSAHSRSSAGPMSPGPARTPQQRAREKEKENHRVNEFIAGLSGAVPFDEGIVDLRRVVHLLPRESFTPQGALLLTETQWFRFACGAWRDQTFRAAVSLLESTLAARRKPRVKRENDAMTERMRTMKISDKV >PPA18534 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:803587:807534:-1 gene:PPA18534 transcript:PPA18534 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQRDEEKRYNALFGSRVRFFVMLVVLFCLTAIWSNILTLNFVVICMAPIGNGTIEQNADRYSYTEFQWLISVVAIAALATNGVVVYLIDKIGIRTVFTGLGFLSAIVTMCIPMAIRQGYYFLLAARFLQGVSFAANFPVIGAFCAKWAYFKQVGLLVSVLVAYVQLSPTITMSVSGPLCQSSFGWPSVFYSHAVATFLIFVFYAIFYRNSPQKHPFVGSVEKAKIAIGKATVADKKSRIPVPYLAILRTPAVYAVWIASIANFGAVNLMLAFTPIYFSRVLGISITSTGFSAALPPLSQFVVKVVAGWISDRVKFCSETNKLRLFNSIAMIPCGGFFVVLGFLGTDHPKLNMLAMGAAAGFLGAATGGFFKAGPMISKQYSHVVTGAFSLMLTLMMLLIPIIVNAVLDGEMGIERWQRVFLGTAALMWINNAIFCIFVRAEPCKWTETTGVSPSATGLVILLGLSSPTRPQFVEVMVRFFVSKTETKRHSYAPN >PPA18551 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:867557:884529:-1 gene:PPA18551 transcript:PPA18551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-let-19 MSTANGGSLDDCITNLFALTELNGLQWRQYECPSWNIDSNPRTLLQNEPILVAYSKLQSDGILSVWRRVVKKSPPTVVNLLPTLDGTAPKELWVFWYGATEPEEIEKHCKDLIKKKNEDESEDGTVTGIPYDVRCLLYKSWNTQMEKHYRRKKFLQIGRWFVRPHKLIDPSIAFMIDDFVLAHCHSFNIVGGNSMCMAIKTQLQPNLVHIAPFHIERNKKNKDSRINVLLAPWSLNAHVSLRYSITPITKKEEEEVEKQLTQWKELNMFADQKRKKRRREEGGDTEGEESEDEGWGDIKTPKMIRVEVDGKQRMWWPTQYVLVTLEETRRIVRDRFPVADLLKCVPEKVSMFSRNRFAHLTNSDGSVVDDSNFNMIENGKKIEMGLPKPDADIVSVYLTKRRLSDRKKKRKTQLTFEDRRRRKLENGMGAQRMVRVSSVSTDEGEDEGKEPNMKKEYKRMMVPNRFNCRSKNGRKNSKKETALRSMGLSSSDDDEEAEKKEVIEEKPEREWKYDNEKAIKIPRDISSMQPMQSIRALHKSTSKYRRKESNEIADESRLSKSKFTPVRALTPSSPQSEAAESEKFRSDASMSMGFQNEYTSYYDKKAAEMVPDIKYKPYHSRRNGPREHKERTRNKTDGRSRIDEVCSSDDDLLGEIHSCKRIREASPDVKPPRSHVIPDFEMEEVGGVNIPPPLDIPPDTVIREKKTSLFHPLRRSSIQFPELEGGDDFIELHYLKNLENRGYDIGSDEEPSDDRYSIGSDLQPPISTAVIDDAGEGTSSGAENWPRDEDEDMQDEYHHMDSSHDLMDTEGPSHSDSVLSPPASNERADSNNSMYPRLPGGPHSVGDTALNRIYPTPPSLLQVDICSPANMGGPHSQARKDDRDDASSTHDDEDDMQFLGDIELKDEDDSRGDHQIMNLNYKLHSRQFFDNAPKSDVPLSTRFGTHKHRSRVPEKRALPVYNKKDGERKEQLTPRIEEALAGADNFTTLSKISTSATAVGGQGWPSHAHQMSHPSMISHQQMQHQQQLHGSQSGPSMGTPPQSLHPMHPGYMGGTGQMGSFPGQPAGMRQSYTPQGYGVALHQQMQQQQQQYPMGGAQMMMGGQPGQSGYHPGAVRPPGYPGYPAQTGVPMGGNHPMQTPGMPMGYQQGMQNQMMYRPGMPTGSYPPQYGSPMGGMMSGGNGMGGMQMGGQYPGGGPMQQGGMMMQQMPHGQPMIAGAPHPMGMPGMTGHPSFPITSSAPGVIPPTSSAMIMMQRAAEPLKKNRPLALIDEEQTLIASRRGEDPYVKSLSIAPKGLFYKGNRQLKNEFDLYTTELILAKEGRKERRPFGPPTGGSEGLSIVAAVMLQDTILNLHFDWVFDSCPICACTTSIRSKELGVYITPPPILEETVEVQNVWIGTWHGMHLESTDSNICICGFSVIRHRLLSMRSGLFIEDSHEATGDLDSRTNPSKGKETKYLWFNPSSAADHALVETLRSMALTTDIGRLVGAIRNISTMGMKNAKRRGRRRNRNKKIPSIGPIGSSSEYVISQMDKMEVLLMGNSALTSAHVSGNKPTPSVGETPYFHPWGLQIASDVADPTEMEIKNLLEDVKPLIEGAVREARKLTTSTSASSVIEGPLTWRSLAAKNVKCSGNGDDDSHIAEPIPLLEMATEKDAIRIAPTVMKNWEQHNLGPIDVPKDVLYLAVTPDDDKVYDMTVVYVRRISKMYEQNLRLGRHTGYLARDEPNKTNVREGIVRAGVARSQHDSLDSPSLLFVREVEKEAEKHGCSKEFIHKLVTYVQSVEEILVEHLQKNCRIFERDVFRNEVDRDDASPMQIDESRKAESIMFNGPLAEALGMTGGSSSNSKAKKKVKRPFGHCSPYGMVGERLFKIPDEEPAALPHIVVIYLSIWPCFGSEGRNGDAARVAMIAIVKAFNSVMRKLPGKHRGQCQLELIPIQLVEESVGSAADLDRLDRSNNLNTWQIGNMHRSAEIYPNDRPKIEDVLKEIAFGIYRKPRFLHCDALKNALPKSMTKFGPASAILDWIDKKDGKKMTMYKFSQLCMDEISLYISYCLVSVDFIVVTVTDNLGARSDSAVLNLKPNIDQIGSTFRSQNKSSVVDGLHKLWKYIEGVMLHESKPLRLVIGKLGKMGHGEFKAWCHVLSRSNLKKYSTRVRESCSPCNANAGAPILLSACLVSTEPEAHLQVLPSYSISPDPNGSQKKIRPLHSPGDNTITHIMVFPISPAIQLNQSKENGGAEDEDDFSALDDIPDMEGNDMNDIMNDILMNKEEEGEGRAAARNHHMNSFFDAPLESGVQNQPLAAGWMISTAPGGDLPDWFWSSCPSLKRRLPVHLRSSLHINESQLSKSDDIGMKKEKEQEISHALDSQKTDEVLRMKSLSLHSEE >PPA18511 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:682965:686154:1 gene:PPA18511 transcript:PPA18511 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-amt-2 MADPFAGSLEGLLPGSTSRLEQGNMTYQQVQDDGVWVITSSFTIFTMTSGFGLLESGRVSSKDEVNIMVKNVIDVIFGGLSYWMFGFGMTFGDSWPNPFIGVGKFFFDPDGEHEIVQGWNYASFIFQMSFATTTSTIVSAGMAERIRLKSYIVIAFLLTVHLVGGISGLVATLYLKPRQNRFGEKGQQQLSNPTNAVLGTFMLWWGWLAFNTGSTYGVSKYKWRLAARSAVATIMSSAGGGMTIVFLSFLPKFTDKKIKVDMLIDGLLASLVSTTVGVVPVHVIGSTWGMIAVGIFAETDKYSKEVTRGMNGLMYGGGFTLLGYQLLAVLAMSVWSALCTIILLVTLHHSPIGLRVEQWEEELGADLREHGLAGHNIAKYKVEKKLTTQ >PPA18454 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:411028:412595:-1 gene:PPA18454 transcript:PPA18454 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVYPCESSEALHTANEQNIVIRLNSTGHLSTFLLYSAHFSCEFDVRRFPFDKQYCYYCFIFVYYDPNDELAFKMKIGETAYTYDTSEWSLGVYNTTYMEYIDPATFNMGLLYYSITLTRRPQFWIGLVITPTFLIGSLIIIGLFFGKAKDVINNGIGLGLVTMMSMMVIVGILAEAIAKSQFIPILGWYIIAEIVIIIYFGRVLCKITSSVVNTLCRE >PPA18400 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:188542:189506:-1 gene:PPA18400 transcript:PPA18400 gene_biotype:protein_coding transcript_biotype:protein_coding MNWLGVFALILLLNTLLLASILGFFVIHHLTTSTSPSSAAPAATTAAAAASPIDASLLLPDPLAVPVPSCPICSPTTVLQTTTVTESKTTTTVTTTTTVDVDSQKLLFKLLDAYLESNRAHLSHHSPYDAPPATSPEIYPGVAEGSAVYEELLKKLKFAEAKLVSSSKAIDFQYLRLSGSQLRFGTSLSSRFFCSLFNAIGCGSRVKVRDLEVIFDLSFATTTIL >PPA18515 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:703156:703922:1 gene:PPA18515 transcript:PPA18515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3F8L6] MAAAPAAAAAAAPPMPKEIEITPDVVTFFCGNAGKSTYFDIKMANKGAKRAAFKIRCTSANVFRVQPPVGFVPAGGTLIVRLWFQNRRFIESTKHYFAAHIIFNDTAVQPTEVFANKAAKADGVKRLTVVFNKALPPASPAGADEPVAPKSLMAAPSIMPSAMGAPSQAAPPPPAAAPAAAPPPAAAPAK >PPA18441 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:359315:361580:1 gene:PPA18441 transcript:PPA18441 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-42 MENCYVQCAPQYSPTHRERVCEIDRFLHTPPVDNIPLLIPSEEGRGLRLIARLAKTDERRKRKGWDERLSSLAESHPSVRIIALLDPSSCSTEEAAMLRRDWRWLVVDRDDLSSRQRLGLKQGDVALFDRCGRLSRSLPLASTEELHQLQQIFLQQVMKAAQHHAYCGWCQYGTESGGEGGRVDKKLDAFFQQAIATEAPNQQGVYPHHHQQHNQQQHQQLQNQQHQYAQHNQMQRPQHQQQPSYQHNRQHSQQQQQQQQQQQQQQQGRQPQDPRQQAAYEEQLRHYHQRNRKRIDHCTIFLMSTETTKML >PPA18391 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:141313:145071:1 gene:PPA18391 transcript:PPA18391 gene_biotype:protein_coding transcript_biotype:protein_coding MTDENIVESEEKMRAESEMEKFAQVEEILAGWEPTEKITGGRLCTVHELFDIVIEKCPSSFSSSSSLRQFLTARPHLFRITKCDGVAVRMKEDRANFIQVVRFIRDSPSPVTRLELIEKFWKEEAEKLRLKEEKANMKYAEDDRRSRWMKGGGPWATTGVWQSIRRGGDRIFKVDGDTISLTSEALANDYLFDDIYLNYAEEAVRRYMAKITNEDGQVEKGVPKQRQCNSSQVCFLSMAVEVKKAYAKGLNLSPDDYIANTTYILPTQIGKSVEKFHLGLMMIIRAHDVYGDENLKNRFRADELSTFPVGTLEEEQQELAYGFRDSKLRKLIKFPSALRTRFCRKYNEAQSEELIRLMNEQDEMQIATEIVIHEEERFSDYVIPTKELMFEWNARWANEKFMVDEFDRLIKSGIKKIKEHGGEGEEKPYPLDQLLADLISRSIVNPNADPLLAENTQEELIFELRKRPHLYSINCEDSVSLLNREPIDRLLKCLDTRAQWTIDTLTIALGEGKAGEEWKDEPVFQLVRSFQNILMRQDGAFCLAVRRARDGLWVEENLSHVRNRFKKGNVRVKTEPKDEEKVRRRE >PPA18365 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:668:2455:1 gene:PPA18365 transcript:PPA18365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3F866] MDGIDIEAFLRQHLNEENTANLKRIEYDVPTIMAICGRVKEILLSEPSLVEFGVPIVIVGDLHGQVDYGSSVGQITDGSACQIRDLLRILDRFSDGKTHGCLCMRFVFLGDYVDRGFHSLEVVMTLFALKILFPNCYGLLRGNHETRSLNRIYGFYQEMKERFQDLDTAEEVYLRINDVFDCLPPSALIGGKILCMHGGISPHLKSLDDIRAIARPVGDLTNCALLADMLWSDPAANHPNGLFCPNTTRSQSVYYGQEAVDNVIQKLGLSCIVRAHQFVSCGTAFYNDRKVISIFSANSYNADIRNHGACLYVDDKGRITIKSFFTSLFSDTISRDEDLALHEVQLNDDSWMSQAGGEKNNDDTVDPNHNSTETSA >PPA18431 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:307446:310116:-1 gene:PPA18431 transcript:PPA18431 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rga-1 MASDGDDFVEPEDGFADDDLLSPDDINVSLDGVHGGQQARSFLEREDFESELGSVDPFSEDFQDIAQHDIVEIIADGDRVGRPIIVFYAYRLPSNKSIDHDKLLRYLQATLDKVVDLDYTIIYFHYGLRSHNKPPVRWMVQAYKVLDRRYKKNLKALYVVHPTRFIRVIHTLFRPFISAKFEDKFYCVNSLTELEKALDLTRQLNVSLPVREHDATQRRASEVTVGKGSTTPPTPPRPSQQFDVTLEFILAHHTDHDVPPIVLDLCWFIDNYCLDIEGIFRKSANVGSIKRLREKINRGERVDFVNDAEYKDNVETAAHHAAVLLKTFLRSLGEPVTTNELYNKLTDLAEKPQVPKLEKWKFVRDFVALLPLPNYRLLKTVVCLLTKVAQNSQINLMNANNLSVVFGPNLAWPTDQQVNIAQLGNLNNFCYQLIVHYDEIFTR >PPA18597 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:1097132:1099483:-1 gene:PPA18597 transcript:PPA18597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ntl-2 MCNCGVVDGGGIVPGEGVAAAMSGEVPDRPTDLHAAAAAAVAGGGGMTHGDPNAGIITHISVNCVSGVCANIPPSMLADQFGMAGLLTYLRQAENPSIVSLALGTDLTTLGLNLNLNDSRRHLYTTFGGPWADAPCRTQDLDAKVPEEYLTNSTIREKLPNIKLNKLSEDVLFFLFYNCPGEVYQMAAASELYGRDWRFHKQEGVWLTRSQYGGVKVLL >PPA18530 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:792108:792559:-1 gene:PPA18530 transcript:PPA18530 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVSILVLFACLFSIGFSFSLRDLYTRIEAVEHPDGHHLVQKESGFYGGGNVDEIGTIGNRMIISRQDGPHMFLDDNGIPVQQNL >PPA18443 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:362797:363807:1 gene:PPA18443 transcript:PPA18443 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFTKNDEEEEEEAMQMTRSTQSRPRSVDPRGYDPDGQDEDEVPCKAITDDICYHQKKSGRKLSKCCKKGIYLADFCMPGKCSNTTVQACCMQKYLQAKFQCCENAEMEGTSATDAFSRCCHAHFVGDVSDERGRKEDTHLLPS >PPA18402 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:194921:197382:-1 gene:PPA18402 transcript:PPA18402 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPWYQVIRHADRAAMHGWATNISEEVFFRGNGELSDEGIDNAFKQGLDYRERYVDSGFLDDRMLTNQISIRSSSVPRVLMSAGSFTSALFNQTDGGSTRVPPIFTKEPENDPLLVPPLDCLDGWDDVVAKYNLPSPKGAQSQALIALLKTEMPEDCKIVPADLIETIVAELPNSQITLPEEWIPCAQNGAKKLMFKYMELLAGAGEDLNVQRLQRTAGLLTATLLDNMAQMLNCTATECDEPKLRVYYTHDINVLAISFIFDALDIDDSPPSQKKTPAFSSSIVFELRNGKFGPYVNFFLKNGQDAPFVETNLCETNCSLDAVVETFRATAHHQSE >PPA18556 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:925911:926684:-1 gene:PPA18556 transcript:PPA18556 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTEEAVVAAMIIIYYCDEKMVGVGRINEILSRFLSWFPFTGESRECNTIYRFLLDVIESGHFSTSVEPNLNSPRLLFILTKAIHDEAVNDNEVKSFDSNKVIRMIRVMASSSDSFNQWIRLSGLNREEQVTLQAIITLPPTTE >PPA18527 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:776542:777984:1 gene:PPA18527 transcript:PPA18527 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLELSFLSIFIDDQANLQSPKKFGDDIAKATGDWKGLKVTCKLTIQNRQAKIDVVPSAASLIIKELKRGQEWYVMPQLCEAWTRAVALSLTRVKHNGNITFDALLKIARIMTPRSMAHKLEGTVLEILRIAQSIGCTVDDIHPHDLVGKIKGGELKIPVE >PPA18369 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:30150:33830:1 gene:PPA18369 transcript:PPA18369 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-wrt-1 MTSTVIVLFSIGTVALVSAGGGSCGSGAIPFRFEVSADGTPVLGCATPQCFGYGDGGEGAMYMFYDSFHAANPLNPSPLLTLIPPEVEKYTESVFLREGDERRRYIRYKDASAERAKCAFDTESQTCAATVEWVGGFEYDGNDLKLQCCRYDRLKYSAEVGRPVVHAGEVYSGGEVLRDGRQTGFDLIKNVRKISGDDGSIAYELTVARMNCLPDPPEELIEVEINQRDEISRILDKVVTSESNDVPEIPATLAPAPPPPAVERAQAVNEPIPIESARSSPGSFDEGVGQNSISNYQPPSNYGGNDRYVQVGEQITPVITPGYYYPVQRCDWCQSIPACFTGGMEVETRQGSRRMDELTIGDEVITIHDGSPAYTRIVSWQHRLPNQEATFLRLVTSMGETIEMTPLHFIWKVNCDAPKFNIELTHAEKILPGDCLYTLNAKKDGVNLRLVNTTSSFTSKGAFSPMTSTGDFVVNGIYASCHNSSVAAQTVLKYTSMLEQAMTYILPDWIMHTISSSSDGTHLPFAAEFLLSIAQWCLPTALCHDI >PPA18581 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:1046147:1046938:-1 gene:PPA18581 transcript:PPA18581 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTTAYIKLFLSLIFLIVDIFVLVGIRRQTILSSHPIMILNAALFTTEILKIGAQVTNEYPVEIAGKVLIDCPYSDIWAVWSLIPWFSSIYIYPILAVLHFLAIYRPVYFKMLKKKSAYISILIVFLLSILMSLAYVLPSCGIYYSHISEIRRSEDLNLANDEKY >PPA18368 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:28454:29368:-1 gene:PPA18368 transcript:PPA18368 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLISLLISLLVTLVVSKYITVAQQVQCADTEYLTSFSLLPDPAMSSSIPDCDPLPCGITLDNCTDGNISCQDGQYRIVETFILEHFLVNPRAQYKGGTDKYGNGGAEYNFIANILAEKRNFRVNVSRAKCRK >PPA18463 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:438186:441031:1 gene:PPA18463 transcript:PPA18463 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCCIAVALFSLAAANDGKDYGIPNWKCDAEVMKRSKMVPESVHSLRFADIDVIAALGDSLTAANGAGAEVNDPVAVLIQYRGLAFGIGGDKSLDEHVTLANILRKFNPNLFGYSTGTGSANVWKTAKLNAAIPGAESSDLVGQANDLIRRMKEHPEVDIKNQWKLVHIFIGGNDMCDWCDYPDVVSDQHFRDNIGKAVQILKDNLPKTIVVLVGMLDLSLLRKIDKGKYFCDKLHTFECPCEQELNFPDDDISAECKKYMTAEQELMDGRFDTTDDFTLVIQPFFEEINSPPMTPDGEPDLSFFAPDCFHFSAYGHAVVAKTLWNNIVQPVGLKDRYANLTDLSPTLACPDKLCPFIRTTKNSVDCAPYMTPVGN >PPA18586 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:1058632:1062718:-1 gene:PPA18586 transcript:PPA18586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase [Source:UniProtKB/TrEMBL;Acc:H3F8T7] MTNPHDEQLQKQKEAQPTPHRMTTSNGAPIPNKNAVLTAGRRGPMLMQDVVYMDEMAHFDRERIPERVVHAKGAGAHGYFEVTHDISKYCKADMFSKVGKQTPMFIRFSTVEYNLDVRPSHFPLSSGGESGSADTARDPRGFAMKFYTDEGNWDLVGNNTPIFFIRDPILFPNFIHTQKRNPQTHLKDMNAMWDFFSLRPESTHQMMFLFSDRGTPNGYRFMNGYGSHTFKLVNANGEPVYCKFHFKTNQGIKCLSASDAGRLTGEDPDFSIRDLFDAIERGDYPQWNMFLQVMTFDQAEKWKFNPFDVTKVWPHGEFPLIPVGKFVLNRNPQNYFAEVEQSAFCPAHVVPGIEFSPDKMLQGRLFSYTDTHFHRLGPNYIQLPINCPFRSRPHNTQRDGAACLYSQGGAPNYHPNSFNGPVDVAAVKDSAWKVTGDVDRFNTHDDNNFDQPRDFWLKILDESHRDRLVENIVSTLKDCKPFIIDRTLNNFKQVHPDMYEKARKLIEKHRSTQGQARI >PPA18406 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:211921:213536:-1 gene:PPA18406 transcript:PPA18406 gene_biotype:protein_coding transcript_biotype:protein_coding MMEQPSRSWSITQKMLKLISFYDAYEVTTSSLNSKDRLQVKIRRNSDKEATRTTRGAIDGEWYDENESSLAMDGISPARGVWRIDLYKLGMDYFAVFLQGFFVGISDYPFPLNNIKSLYIFEEGGNIDHDNIIIDIKKPNDYIQHLRFRNFSDIIDKRSETMRSIEFTEFPSFPVGSHIDMTIFLRVSGNAQDLVPTIGLRNNEVGKSMALAIVQKWEDNASILRLVRIDSAGSWKDQILCSPVKPMGDLQHIRLERTGMTTVTMTIFFTHTEGKSEWTCTLKLTDNSGLDNPFEIVGIDGGRPLYLDHSVPRQLKNATETI >PPA18558 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:937047:937803:1 gene:PPA18558 transcript:PPA18558 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTEEAVVAAMIIIYYCDEKMVGVGRINEILSRFLSWFPFTGESRECNTIYRFLLDVIESGHFSTSVEPNLNSPRLLFILTKAIHDEAVNDNEVKNKVIRMIRVMASSSDSFNQWIRLSGLNREEQVTLQAIITLPPTTE >PPA18446 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:372920:374672:-1 gene:PPA18446 transcript:PPA18446 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDLEDALARETRRVTGPSHSAHSTLRANHHFESLNSGYISDSSTYRGTPISFSTSTPRPPTRGTSRGTAAQTALGRALNASRASESPIPQRVLSPVHGEPVPYEPVSPTWRRRAFPPSTPSSTPSLVQSELRSRVEGLPPPPPPVYSPPTNSLGEMYSSRRSRESELNNSRNRYFSTSPKMPRRLVSVTPIEDFSTPDFATPPVRPTALYAGRDTTSSSMTSSTPPSAPFTPYSQAPTFPVRDVADAACWTGPPTPAKPCLECSQWRALVEELTER >PPA18583 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:1050126:1052529:1 gene:PPA18583 transcript:PPA18583 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAHSIRLIAILFLLAVAVNWQYGFSSTYLNTPVDQFKEYLNESLGGDMSEGTYNLWWDLIQNIWFVGFFIGIFLNPVLNDRLGRRCRAIASTFTAVAFQAVVLYLQESPPTHIRGTASFLSEISFALFTIEGADRSVVEHSVRFFHGPDANVATILKETEIEIAEEAISSTWSSILDLVRIPHLRKALILAVCCLQNCVALWTFIYNSTLFLEDINIDSGIAKWAATAMCVSYFVCTVIGGTFVDRWGRRKILIPFSYMNVACIAVFTLFSQLQKLQDFWKYFGVATLILFAGIFPRHEEGKFTIL >PPA18529 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:784745:789780:1 gene:PPA18529 transcript:PPA18529 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nos-3 MMKEGVVSHSGNEFHPYANGNMQHMYNNSRQTPDSGLGNEELRNSMNNASGDNSGSSAGGGAPPGFQPNSVPNPYFLGNAQQSQYNDQHQSQYRPKQYGGGPHESGRFERSTTGPPSIVTMHTSPPNMDQDGQPTYNPNYGNYSQYGNQGGPQYGGGMDRNYNGPHPMAARSHSNYSESSSSTLNIASGGPPDPSALSGQPLMSGGPHGGLMYPPGGGQMGPPYPYPQMHPQHMQQGGPPPGGPYMYNPAAAHAAAMANPQHAQMIQGGQMGQQGGQHPQGIVRYTLHWVTPDSLQPMPPPPSVGSAAGAATPAGPSQSASPPQGMMMMQMGGPMGPMGAQHGGPIHPNHALYYQHNSMMGQQGPVSQGPDGFVQFQYQTPIPQTGQHQGGFPPMRAPMMQHQRSLNSPAPSDNTDGQSVHGGTSSIGVWHNPTDQQSQQNSPPMQQMQPQQGMQPMQQGMMMMHPHVMMTASYPGTGSMPYGGPLPPQPRMAPGGGIVPPQYTPQHMNSMAMQQAMSRQQQGLQQMPHQQQYNAGGFPPQQQQQSGYWPAPTQGGRGGYRGVRGGRGGGGGYRAGRPDSRQYPSRNNSITSGGGRSYERRDNEEGSINMSKCTTPTEEDIIISDMSAAMDSSLRLGDQSMDIGRTSDVAHPPSHRKQLLELAETKSSSPPAEPHPSEEESGIERDQEILEEGGGTISIPTTPRAEPRKELLEMKKTPKREGDQSCSQSIPVDPVPPQSSVVSGAMKWSEVAAKMSCVYCKGTLKLSEEEYSSHTLHDPSRPRCGPERRVTCPRLRETGCQYCGLKGDLAHDQFFCERRHAGLPPVTGEQMVGEMSMGAPPVHHGQRDGGYRGGGGQKRGGGHYNRGGRGHATDRKYDNRRVQ >PPA18438 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:339449:350301:1 gene:PPA18438 transcript:PPA18438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-apd-3 MALRKVRTNLDRLFDKSLTDLIRGIRNNKDNEARYIAACIDEIKQELRQDSSYVKANAIEKLCYLQMLGYDISWASFNIIEVMASTKYTEKRVGYLAAAQSFHESTDVLMLTTNLIRKDLTSASVYDSGVALAGLACFVTPDLARDLSPDIVNLLTSSRPYTRKRAVLMLYKIFLKYPEALRPTFPRLKERLEDPDPGVQSAAVNVICELARKNPKNYLTLAPVFFKLMTTSSNNWMLIKIIKLFGALVPLEKRLGNKLLEPLTNLINSTSAMSLLYECINTVIAVLISVSANTPGDQTASIQLCVQKLGVLIEDSDQNLKYLGLLAMGKILQTHPKAVQAHKDIVLRCLDDKDESIRLRSLDLLYGMVSKKNIMEIVRKLMDHVESAEGSHYRDELLSRIISICSYNNYSYITNFEWYISVLVELTKVEGTKHGAKIAEQIQDVTVRVESIRHFSVSQMALLVENAQLLLNGTVSQRSNISEVLLAAAWICGEYSEHVSNIPSVLEAMLKAKVGSMPGHILAVYVQNMAKLYTALLVKAEQDNDWDAIDSLDNLMLSKLPAFELAEHLEAQERACTLSALIKIVESVHQKREKIGEELSILFEGELNPVAPKAQRKVPVPEGLDLDAWIGEEWPETEEEEEEEGGDVVQMFGLPSSRSMGEFRNGHHSSEEESEEDTKKGKKKKSSKKSSHSEEPSKEELERRKKARQAQIESNPYYVKGSATTPVQDISTVTAAAKRPKTIVSSSSASEVEKAKEAIQSPLEIPGVVGLSRYMEQQEGTLSWKSAKKEKEKKKGGRAKKGKKNRTVSSSSEEEAPIVHLVNRDAGEMPEDAKSTDGEGDENGVTDEFKALDIDLDDINLAPPKYPQRASPSAYSYSYNNERGSHHERMDYGRGMGNVSRQPKTVDGEGKKKKTKKSTSSDTKEKKEKGGKKKKTVKEEEKEGSLLDLDGWGDNKPPEVIEPPKEKKGGKKKKEGKSLKKRAGEYEEASGVCTPSSGPGGDGPSVSPMTEQREKMLACNQSVAVELLSAECAPSLVCTLRLRNIGQAKIVRAEISIADGPSMRIDSEHSNLSTTEELASMASSPLSVAFEGQPEVDSYRMRGTLVYSIEEGDSSREEQLKVLLPVNSFAFLQAASLSTDAYSMLLTSGRVECTSPESVHSSLPFKTALALILAASKFGVIEEEATAASLYSSTVYNQPICLLVKKNTDVISLCVKAEDQSVTDAIFPIS >PPA18455 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:413529:414959:-1 gene:PPA18455 transcript:PPA18455 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDSYPHTAVTIALQYARLIRVLEPELQHQNLIGVLLNWQDPRLRWDPTKYGGIDHIYVNRFTVWMPDSQATLVSSEQTLTIGLNSSGFMDTFVYKFPFDKQDCYYCFIMFNYNAQNELRFNAKIAPRAYIYDTSEWSLKLGAIDYQDSVDPDFNMGLLYYNITLTRRPQFWVGLVITPTFVIGSLIIIGIIIGLFFGRGEDIINNAVGLGLTTMMSMMVIVGILADAFAKSQHIPILDVV >PPA18390 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:139314:140457:-1 gene:PPA18390 transcript:PPA18390 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLSLFLLATISALLYVEAGRVGECRTTCIERNVQRIVRVHLRDNYVMVGACNNATDAQRAGGILAGEAPFKSIVTPYICNKKIGVWTIDELDEEGISKFPVRCPPVDQVSQERIDSCPK >PPA18470 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:483794:485126:-1 gene:PPA18470 transcript:PPA18470 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGQSVANVATFTSAVAIIGSLISVGFLFNDLNQFHEIALEDMQEFRDIANDAWTQMISAIPSSGSSLPSSHPFGRVPRAAGGSSCGCAAGPNNCPAGPAGPPGAAGEPGLDGENGKDGNAGSAGITVTDYTAAASNGCVSCPQGPQGLQGPDGEAGEPGRDGHPGHPGRAGQNGHRGAPGARGDAGRAGIDGRPGRNGGKGRNGRRGQGAPGGPGRVGAPGHRGKNGAHGRRGDNGAPGPQGPQGHPGQNGQPGEDGYPGEQGQNGLPGADAAYCPCPPRVSPVAVESPAPQAQGYDQAEPATAAPAYQPAPATGYEGGAPVAHPAPAPQGYSRRRVA >PPA18566 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:980101:980456:-1 gene:PPA18566 transcript:PPA18566 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCGKDGDDCKCCGSCTTCDCCKTGKCNRTTDDSCCMGDKCRKDGCRCGDKTRVGTTSRKH >PPA18477 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:535378:540433:1 gene:PPA18477 transcript:PPA18477 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDDTERIAILDFGAQYGKIIDRRVREHRVLSDMFPLQTTADEIMKKGNFKGIIISGGPNSVWKEGAPSVDESIFTCGLPVLGICYGFQLLNKHFGGTVTRESLRADGQSDVEIDPSCPLFDCLSTSEKLLLTHGDSVTDATVAPEFKVVARSTAHVAGISNDERRLYGVQFHPEVDLSVNGAKIFDNFLYKVCGCRGDYSMESREEACIAEIRSIVGPDQKVLVMVSGGVDSTVCAALLHRALGADRVTAVHIDNGFMRLHESDAVVESLNAINLKVHHFKCAEQFYKGKVNGRKEAGITLDQTTEPEMKRQIIGNTFIHVKDDVMEELKLNVEHYFLAQGTLRPDLIESASSLASGHADTIKTHHNDTALVRELRAKGRVVEPLRDFHKDEVRQLGRDLGLPEEIVERQPFPGPGLAIRILAAERPYMCDDFASTQTLLTHIVRLNQQPKDAEEEAARATLLPLLHGFDLATVIPPECVLSATLLPIKSVGVQGDSRSYSYVAAISTDYSPIPWKGLEKLAGLIPTLLHKINRVTFVFGGAVNSSISDLTTTHLNAQTVEKVQRADKAATDTLFRHHAEDAPEERHLPHVNIQQMPVVMLPVHFDRVNGEISQKHSFCLRPFMTSDFMTGTAALPGRDIPEEMILKMVDRIQKAVPEGTSRVLIDMTSKPPGTTEWE >PPA18509 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:671163:672045:1 gene:PPA18509 transcript:PPA18509 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVLYMMRFICAAEGFTGFILNLILFHSLTHIFYDGTLAVPLVGPSVQFIPKFWRDIFYEIAFVVMSFMWTLTPSTCILQNTALSRSDLTQWKRLLISFIPTVFCLILIACTVPMTMPTRELSEIMGRTFKELYGMEQEEFLECYGITIKYAEINVGMK >PPA18471 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:486646:490743:-1 gene:PPA18471 transcript:PPA18471 gene_biotype:protein_coding transcript_biotype:protein_coding MPITPATPCLTPIPKSESPPATTTTRTSPYGTRANDSVPAKKARLEAKINGLAKGKIESFQEESMKMFSDNNTTLTDLISKGSQPVEIRVGSSNEMREEENDPNDDSGVMDASGSGLEENPLIRLLQEQEKKNIIVRHVDGADDNSIEEDDQGDVSGEEYDFSSLLLNNSNGMLNDSIEFSDPFINEPPIFSPSVSTIRKNTRRKIAEKGCTRVNRDPNAPRQVISAYLCFVGEMRLRRNNGELHCNGGEFGQMLKNEWTKLTDRTRYEMQHEEDKRRYEAELAAWARSERRPVPGRKAYSFYVLEHFEKFKDENPELAEEEVITKLFVDWRDNADKAYYFQLQQRDKERFRNEMKIYTARMVQSGINVAAPGQVTITSKQKMNGSTKDLLTMNTENYLKNEILKHLEAAPFKFELAD >PPA18489 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:575622:576064:1 gene:PPA18489 transcript:PPA18489 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPINIYHWVVRVIEGDIFVGGNEDKIDPHNNYNSFLCRRSVREGAPRSTGYRRIDGEFPSRDSS >PPA18457 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:419910:421769:-1 gene:PPA18457 transcript:PPA18457 gene_biotype:protein_coding transcript_biotype:protein_coding MNFHHAIGGSEDIFLLNNHKLLGTLMEGYDSGLSPFNTHSTRYNGSLVDEPGATIISQLNFVRLIRVVSHEEREQQIYTVLVIVTKWLDPRLKWDPADFGGIDHIYVQADHIWHPEVAACDSSAYTPVLPDHAVFVKVNHTGHVVADRAYAVTYICEFDPSELMLVGTFSDDLMVFDTPEWQLGDFSVHVQYKDKYELLTYNISMTRRAEFWVKMIIAPSFLIGCLILVGLLISVGEDAKSNAVNLGLTTMMSMTVILGILSDSIPKSKDLPVMGKFFDDSLSS >PPA18588 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:1066469:1067066:-1 gene:PPA18588 transcript:PPA18588 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSGMISCYITDGLDGTMAGKQEREGANNGEIRRVFSLLRDSSSTPYPARVYVCGDAKGMSKDLWECFVQCAAQTADCTIDEARKYMMELKKGDRYIEDVWS >PPA18561 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:944686:945932:-1 gene:PPA18561 transcript:PPA18561 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFEDEENTEEGYCSDDTSLDCDTYSIFGGGIPSHHTVIIPGPFADRLAALLPPIGTPFLINGCLVSSTVSNDASITLSSLFLRDRSISHHSILSSSSIDSHICGSECYYYDRLTSEDEREPLLGELTTQVSITCTGECESLLSESTARCTSMTYTGNYTNRSPNYGYTRYLKWKEDGVLDDSTTSSSSDSSIETYTNYLKELHFKWIKDHRQVKNVINDSTSSSSSESSIEETDISKKMAIEMMKECDETIKRMEEMKKRLKKMIE >PPA18433 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:318823:320354:1 gene:PPA18433 transcript:PPA18433 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMWITNSISLPLIALMGVTCNILNVVILTAQRGARRMPSWNLLVALSICDTLFLLFALLETSPLGVKVVTTSPLLNRIYAHSVLYVRSAASTFYKSSILCVWFLNPIRLLIVDLYLRIVVAFNIERFVYVVCPFRASRMCTERASRMAIGISFLISFACSLQWPLCYRVRVCLDPRSGSPLHSIVMSDSPALQSYYSTLDNLTLLMFNLLPIVLLLTLNMKLIFTLKRVAKEDSKRGGLTLSVRFLSLYLFISEAD >PPA18520 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:729495:731582:1 gene:PPA18520 transcript:PPA18520 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHVLNHLGDLLEMKNGTIRREAVTVFGTHSIVEDEHVLKVVTKHLDSLSWDARVAASDALGAVLTNTLNDRAAPYSIAMKSEEGRSNRVSQLDIPALISNYAPLLSASNDEFHASGGVSSKQQRSFLDQHLDFTLKQTGLSSANFLSDAEITVAGGASPSNFSEGKSDSGISTTCVDTELEKTISELVIVRLTKMVEPRWQVRHGAALGISKIIAVASKWLSPRLIEEIVLRLLQVLSLDRFNDFVSGRSAAAPVREAVAQAIAHLVNDMKKAPEGGTLGELLTHIKTLLGMEGEKLWVCRQSALLVMKYYFAISDDSPLFETFFDCVVEKLSDDVDDVIDMPYVRYALKDG >PPA18417 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:254763:259525:-1 gene:PPA18417 transcript:PPA18417 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLRRRAHKHDHDDGHDHHDKEEGHKHRRHLEHDPNDPNEDHSTHNHGRRSVDHGPNDPNIDHSTHNHGKRHAGDHDPNDPNIDHSTHNHGKRHAGDHDPNDPNIDHSTHNHGKRHAGDHDPNDPNIDHSTHNHGKRHAGDHDPNDPNIDHSTHNHGKRHAGDHDPNDPNIDHSTHNHRARRAHRCPLQGYRDDGSNPCNPPPIHHVSQRTADEDDRIIRERRSVVKDVVDSSSSSSAEKSVYGRADVAEITYDSLPVITDFPEQPSFPKTEARLLRARLRQVVDIDETAAATIAAPSSAYDGDDYSRRDEQDSRSDEKEIKPVEAEEEARVLPVDPEFGPGDILDISGASSESSSDKFDRALEERELPRASLSTHDESLHPSSCLPDEDLGPNAAEDFKKLHKARS >PPA18565 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:977501:979255:1 gene:PPA18565 transcript:PPA18565 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVKDKAPPRLPKSLNQSQMQRYLNKGKDETSSSMQPDIFSIRSTESRISFNASQSSESPSSSSMGVRPESVVSRQSRIVTVKSKTSVSSITQIGAERRSVSSLPPVSRPDSELSIAKPEESTELPHFVHSLVWKLTEFVFIGNESVSTNAHVLCRLNIGATIELYDGPELEDNRRTFDNTCFCEKRSHARSQFKLKVPDNEHDAHLMALNKANGETYSLSDMLRVFCGIVEKEVAAQRRVLVFSRRGRNRAPAFCVGYLMKLQNISRRNAQQLVEQQMDTMRPKIQIEQYLIRALLQWQLKLNLAMDVSDLPLPFPLYAPLFLPSKRKLNSNRHRIQ >PPA18439 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:353655:355552:1 gene:PPA18439 transcript:PPA18439 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGRRKSSTRDNTSVIWRALKTVKAWLWLDDSDDDEEFEVERRIDPEKLYPTIPPPLATLVQSYLIPTWLHAQQKRSAREETQFDPKWITFLYRNFKQVGTTYSDKIFAYLSAPKGFITFEALLRFIHSLSTDDQALSKFVFALLEPDAAGRVTQQAFADYVHAVFLLRDGQRLDENGNGQIQPLPLRAIRMFAAARFKELDTDNDGFVVYDDVQKLLADLRMSSLLNSVLPTAPRTPAQTSIDLGSAFARLNV >PPA18584 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:1053746:1055174:-1 gene:PPA18584 transcript:PPA18584 gene_biotype:protein_coding transcript_biotype:protein_coding MIQCLSFTWYLSVDFQLFAISPFFLYLLGKTPTRGVIASVAAIGASTLYNFYLVYTYNLPAKLAMLQQQIENNSDLVTAMYNSPMIRAQIYLLGIVAGFILRSVKRDRINPVKPIRSLLFWPGWSIPSRLTYATYLVHVITIKWLFDMNTLSPRFAGFLYESITLGIPSIVLSFSTAAVAHLIVERPTANLYALILRSSQIGKTK >PPA18375 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:65833:66645:1 gene:PPA18375 transcript:PPA18375 gene_biotype:protein_coding transcript_biotype:protein_coding MHGWPFASIRNNSSTSTITSLVHQYLVGLFYFAQNINSSLLTIDRFVYIVKPNWVDKWRIHWWKVAASLYALVGVLNFFVAGFDSYIVSAYIYNEATDSFIMKTRTGARINVFIGIEAITGIIFVVFCATINIITFKNLKRMSIDKRTKIGY >PPA18503 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:647407:648314:1 gene:PPA18503 transcript:PPA18503 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rps-8 description:40S ribosomal protein S8 [Source:UniProtKB/TrEMBL;Acc:H3F8K4] MGISRDSWHKRRATGGHRPCPHKKRKFELGRPAANTKIGAKRVHIVRARGGNLKYRALRLDTGNFSWGSEGTTRKTRIIDTVYNASNNELVRTKTLVKNTIVSIDAVPFRQWYESHYAQPIGRKKGTKFTEEEDAKFAKAGKKVYKTRQTTAAVDPHVTEQFMAGKLLACVSSRPGQVGRCDGYILEGKELEFYLRKIRAKKQK >PPA18518 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:714696:721705:-1 gene:PPA18518 transcript:PPA18518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:H3F8L9] MTEIPSQCLFQFIFSLYSLFLHEHFHNGKGTILIFDAHSFSLPSHSGAVSSIPLHNKFCWFRTIRTGTHESDNHNLLEWIQLPDEVFVVFVCNFHIGKRSREGEGKGKGSSYSVPSSSSDIDRFGSSANRTSIRNRSTSVTASYRTGPSVTELNRQAVERERRDKERQEYKDWEEREKEREAMAPPAEPLVKDFRKRVAVSSSSGGSTPVKEKTTFTVTASGGLGVNNSNATTPGKYTPSYATSNGLLRSEPYTTGRVSPAPSSTAIPNMPPPSYDSPYAVKPTAKVTPYEERGTVVQSGFTGLRNIGNTCFMNATLQMLINCMELRTYFLDGHHRSDVNSLNPLGFKELVAEKASQFANFAQHDAHEFLSFLLDGLHEDLNRVKTKALTGTVEADGRPDIEVANEAWHNHLLRNDSIFVDLFHGQLKSRLQCPKCDRVSITFDPFLYLPVPFPKQKKSMTVYFWPLDPALKPVKMSIHYSAEGSIADVLQGVADTTGAPAKTLRLVEVVSHRLHKTFYPDEKASLLSSGDVLYVFQLHSPSDCNEEVIELQAIQRQLYPSNLRYVCAQCGSSRSLSACQDCYNVYYCNEECQRTHWDAEHRKDCRTRSNLEMVGQPFIVSLPKSKCTYSHLMRVLEARCRHSVEVFQPPVSAGNGSVCSSAIPFIDEVGSPVPKPRRSSKSPSPPVRNGSSNGLDSAASPSAPSFLPSYSKCDEKTPREKTPKRQTVLPEQRTPTRPDLRMFVVRKVSGQSTFHGEDLVEEMGDLPLTLASGSTLAINWRNVRDGKPHVTVDTRKHLDVDEEKGKKYAAMTGSQGQSGSDPTLQEMLAMFSETERLKPEESWYCSRCKDHVEATKRLELYRLPPVLIIQLKRFVYTASVHTMHRRSKDERRVIYPLESLDLSTFLADGAISALPPVFDLTGVVCHSGSSYFGHYVSMGRLPGFDSAKTEIDWRNFDDSIVTKISPSQVQSDDAYLLFYKQRGYSSRSIFTRHYSFDPAARATPEVNGK >PPA18494 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:610405:612596:-1 gene:PPA18494 transcript:PPA18494 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIHILVLTRARMRRSAVNRVLIGVAVCDILTMASYFIYILRFVIIRKMREEYAFSYEWAFFLRVHATLSIALHSTNLYLCVVMAFIRWKALSSHHSLLLKPKISVYVCMVVFMIVATICLPTYIIHDVQTVRGTEGFTVDFSSLAKSNTCRLLKANLWLIGIVLKVLPCCLLFAFTTFLMLLLKESNDRRMMLFVSKGSIRRRNRTYDRTTLTLVVMLTIFVLTEFPQGMVAVLNGVYTNDVYNHIYSIFGDMLDLLSLINCYVGFIAYGFLCSKYRQTFVMLMITTTEKMSSKYDTTFGEGFSGFFSTNGAVRKRSSPTDNEKDHGKKVFMLNKNTDDFTEEVLLREKTSS >PPA18514 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:701870:702403:1 gene:PPA18514 transcript:PPA18514 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVRSSLIFEVLLHINRPFSCIFFVIMVILMAYKGSILPYATHVFTVELLLLLLFAPIEALRFAWGTRGNLTETSAFVTFFVLLTIANIALCVYLGVFQSYVLLIEEVRDPNLH >PPA18595 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:1089623:1094625:1 gene:PPA18595 transcript:PPA18595 gene_biotype:protein_coding transcript_biotype:protein_coding MDACNKELKEGCPLAYSYKLEGDILSIELAGYQPAGTGRYLAIGFNEEQKMSNARVTECSAIGAEKKPSVKLSWNRDTGTVNLRIDDEEAHTLILQNFRKDIISDPISKYENGMIYCSWKQKVGPYTNDKVFQVKAGVKYHHLVAYGSTNDNPPKLNKHDTAQYTIYESFLPSVLKCDEGRTCVYMDDCDGELKKGCPLAYSYKLDGEILSIELAGYQLSDTGRYLAIGFNEEKRMSNARVTECSSLGTEPLSVKLSWNKDGGTANVRIDGEKDFRDKIITDPVVSYENGMIYCSWKQNVGPFDNPKVFQVQEGVKYQHLVSYGNTAVDALTKHDDAEYTMADYFIGGPDTGKGLNCGDGRTCVYMSECKDTFDAKCPLAYSFKLDGDILSMELIGYQKSGTKRYLAVGFSEQSGMAGSKVTECSAIGDETVPTVKLSYNNYDPVNVRIDDEPNFRAQIISNAFTKYEDGIIYCSWKQNVKGLTGNDKVFQHKAGLKYHHICAYGPTAEDGSGLLEHENADSPLFADFENPDDPGEVSGDGLNCDGGRTCVYMKSCKGVLGTKCGLAYSFKLDGDILSMELAGYQQAGTNRYLAIGFNDKEGMGEAKVTECSAIGAETAPSVKLSYNTPGDLSVNIRLDDEANIHKSIISGDEGRYTDGMIYCKWNQNVSGIDGNDKVFKYTTGVTYQHICAYGPTAQDGSGLDHHDEYDSPMKTDFKGTDVTGDTTNTKLLKAHGSLMMIAWLICVPTAAVFARFLRSHWPTKKPFGLALWFHVHRTCNILACLVLIAGFVCVFIETNWQWRGVGSKSWVATHSTVGIIACVLAWMQPFISLLRCDPQNPRRPVFNWIHRLIGVTAFLLAVTAVAIAANNFSIWTDHLTYLIISFVPLGSVIVLFIIMTILDAKVRVNDANIVKIHAIRFTLVCIAIAVAAAAAISLVVMLILS >PPA18482 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:552486:553558:-1 gene:PPA18482 transcript:PPA18482 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPPLIPKYSTFRSVGPSFTFPLFSSPGTWKPETFGRGKTQGKFTEAPKNPRPKGWALRSTKKTGRYDPVARKLIDDLFEQYFSNGKKLRPDEAEKRMRERNDILPAQRMTFDQIRNRITTLLSQKKEHQRKEHGNRQQRYVKLIDDFERDLAEEGMSLDDIEEEVDLERPLDEDDLIITSDEIYDLVHSNMEFFDNPSEPVFSDLGEFEQ >PPA18386 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:118486:123671:-1 gene:PPA18386 transcript:PPA18386 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRSPEDSPFVEEAPFPSKGPFSEVQCQYWYKEGFFYNGLEFKFAPDGEIETLESLKARNGRECPFQEGGGQQSVSHSMQLMVSTLMDRVGNLSAEIDLLRGEKMELQISRKLEVDPLTNRVKELMDKDVNNDHYRRETERRLKEMQDEIAELKERSVSNVAVNADFEAESSVTTDGRDIDFSETPSLCERTAVDPSICEEVTEVRNELERLQNNVRSLDKFTFKISKKVEEFKCTLKVVDVIGEGLNSKDGVYDRLAYLEQSVEEIQDAIEPKNNRTMTEEVIKTDEEIVLPVNRVNGENILSDGSTPDEWYSPSREIVTPQYSEIDLKFASISESLAAASAKIWAAPENIVEIGEHADSWENVDEEAAFSKWNGDEMTMKRDELITPPMDENAQLIVGDIDKENIEATIHTDSSTNDEDFENLTGAIKGAKMLQIAESLKQHFGKKSRGADKMVVTHFTYLLKLFKAQIPIPISLAKRQYAEREKNKPWLKRDKTPPNGKTPGKKRK >PPA18435 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:323593:324350:-1 gene:PPA18435 transcript:PPA18435 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRGASRLGEYPHLLDIVNIFLVCGVLLCLAIPVVVPPGTVIIYLICLLSLLISMCYCGIVIWELDTASFHICGVKLPILVYGTSLFMGFDFPNSNYGSS >PPA18593 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:1080800:1081418:-1 gene:PPA18593 transcript:PPA18593 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFHRYRTHSTNRLRMNFSDKSNSCCTTWSTMGTDDLRRADRTVTEQPSSSSSAVPTVLTYTPDLSTIKANGYHPICQEETETTPRVKLSPAAKKCTPRSTNLTSRSDDTLVGHPSIVTDYESTIFMNTERMDTNVEGTIREKIR >PPA18393 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:150608:155080:-1 gene:PPA18393 transcript:PPA18393 gene_biotype:protein_coding transcript_biotype:protein_coding MYTFPPPHHTDKTFASAVRAPSSDHSTHNGNQSQNTADRYLPSLLHTTASAPPTQNLQQSQQHPPGPLPVQGIQHPLNNILLQTAQFMAMNEQLRKEIEQYANLRVRAASLKGGTEAQVAAAAAAAYFLAPPVGPHGAPLGTNSQSDLPPSLVAPFGGMGNVSRLPPPGGRKSPLPDSYKTVMCQAWLEAAMCSFGENCRFAHGEHELRPMTQPQRANRKYKTKLCEKYTNTGLCPYGTRCLFIHPDNTGGNNAYIRPDKLEELKKRQQNEGEGTKKGEEETTKTAVTPVVVPECSSLISPPSLERERGAGDEKIATSLMTMTTSTPLMTMMMKNTGKHPVAPMMSIGVTPIRPRQSLRPHPSWPLEPSHFFDRIGGEEDEEEEGEYDEEHREMMQAAEAVAAEAAASVLMNCSVSTEGRTLHGSSMDYMTQPPPPLATAMTQQQILQHHLPRAVSPVFGLDHTAPDHSSSPTGVMDCGTPCSGSGSSSGYLSEGGCCGVITPASGLSSGTASPLDALMLSGGTHSLGSSPPSMLVDSSDFSLFHPSSSLLAPHPSAPLGMTISLPPMSSSGGWAPASDPFRTAFDIDKMAREMAKEFGGL >PPA18522 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:743795:747394:1 gene:PPA18522 transcript:PPA18522 gene_biotype:protein_coding transcript_biotype:protein_coding MMTNSITWLGYLRRFGLSGILADDMGLGKTLQTLAAIVRANEEASTSRPSLVVCPRTLVAHWCAEWERYFPNRKGIAIRLEGASRKRLEGAELVVAAYDELKNNAILPQIVWRYCVLDEGHVLRNPRTQIFRAVSSLRSETRLILSGTPVQNSSADLWALFTYLIPGFLGDERYFRQQFIKPIQQCRNAKATEAQMKDGQEALTRLHRLVLPFVLRRLKSEVLKELPEKVVSDYECTLTPLQRNVYEMIVDRCCSKSTDQKSLQPLHALISLRKVVDHPSLILPLADSLQLTDDIRRRLEEGAESLELSGKLQALGQLLVECEILKKKEDDEEGEGGGGGKNDPDSVSAVLSSAIGHPHRALIFCQWRASVTLVAKALTQGFDDAGNGTPISHLILDGSVAPDGRQTLVDRFNHDKSIDVLVLTTHIGGVGLNLTGADIVIFMDHDWNPMKDLQAIDRAHRLGQKRNVNVYRLITKGTIEEKVMSYQKFKKNTADALIGSENQSLSSMKTDELLNMFALEESSGGGGGKMNEPRKKKAKVDVAAAGEEVWNLTEIWDESQYDEAFSVSNFLKDTVS >PPA18573 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:1018927:1020477:-1 gene:PPA18573 transcript:PPA18573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-clhm-1 MVNSTTWRIVYGCCYRHRDTRHSLKTACVYWIEVFVQSSVAPAAWLFVAFLDGSPPQFSYYTCLFAAQFCHLETSPQCLNSTWKGDTSAWKSMSGDGMYCPQCICGLFDRDKVYLESTSNIIAWGLLICYGTAAFLCICCVRMCDRYTMIQRKYVETYKNEESNRFENVAREHAAQIADNNARAFFSNLDWSKKDWDWATVNVSGVSEISNPFFARIRIIAEEKTQTTLYTPLQLWSSHRGYKILKPDVEAPTLAEEDDHPQNMNA >PPA18572 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:1006465:1007384:1 gene:PPA18572 transcript:PPA18572 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRFIDIDAISPAPFSSRIKDKSCAHYFTVFYDNVSSRRPSTLPSSLLLSRLLPDNWIETKRGMAGKDESQLPTPFNAALFSPPQSTTAGQLDRDEKRNDRQGFPLTSSLPSSLPSPLQLLR >PPA18544 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:832907:834338:1 gene:PPA18544 transcript:PPA18544 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIVDASKPRAKSPEYVDNLNKIHNSLASLHAIVEKTNAEKEELKKLQCSGSSESVSPRETEKEGKKEGEKSPREKKEERERFEKKKMERREDVPSKKVESIDELFDDKSEDDERAAPAAKRRKEETRDEKKKRKDEERRKKKGGEDEYMSSDEDENEKKEREKEKRREEREREKRQAIMSISGCYSVKSNEQEERERERQRVKEKERERDAESGLGF >PPA18430 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:304836:306179:1 gene:PPA18430 transcript:PPA18430 gene_biotype:protein_coding transcript_biotype:protein_coding MADKATYYFKGGYPFYQGGPYNCEEMKQLYEGGYLRPETEITCRYEKNGEEEKEITKTLEELRIRDGKNNLFLRIEADESKENILHHMLIESKDKEDEKAAEERRAATREQLLALQKKNVDEEIEMEKRRQERVSREFEARGDRENKKREEENARLKEEMEKEKKRIEEEREKEKERIKEEEERRLAERLKEKQDALDDKKKR >PPA18508 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:669030:669531:-1 gene:PPA18508 transcript:PPA18508 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVLAIHRSLLNGVCKDLTGREQECINLAGRLIRNDLRKLPDKDWKCKGAKNLPGALDQSYRDVVSGICSNLKGCINKQHN >PPA18418 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:262121:262964:1 gene:PPA18418 transcript:PPA18418 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRRRPEGDPNRDREERQLHKSENPWRPPSRDCSERREDNNPRVISIIFDEAGKSPDYCGLYAAMCTAQVGSEFKQAGDTCRFKNEIKKLAGVIFNTNKIFGQAEDSQRKKWMGKIRFIGYLYLDGIVNFKFIYHRVLELQASIDGRTVVGWEKINNYSNLPLRTKT >PPA18490 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:589976:592282:1 gene:PPA18490 transcript:PPA18490 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVNGEVMDQVNGEVIDDDVIEEQRGGDGDYDDVVILHNVQQLIPLVDIADDLPAERNPEILVIDDDDDVIVVDQANEVCSVLEKIEIEDMQFVKKRGFVSPLAPHQWMNDGRVVRGNVIFNDNKTVLIKGLKYSDTSEEVSAFFNPLILETVHVGFYSGRLTGCMVAKFLTPEMAVQAVAMSRIYKHRFVRIFSKDNWPHSEKKKYFFPTLPIDH >PPA18480 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:547554:549478:1 gene:PPA18480 transcript:PPA18480 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDYDSTAEHNDEEFDKELQAAFASGMLSKEQINIAFRPGELQTRKKIVKPVELRQKTEEINKKLPWVEYLDVTSPCEMTDEIAKNDFQRELAFYKQAEHSAKIAVPRLQGMGVRVFRPTDYYAEMAKSDTHMQKIRKRLLDVQQSKDKVETMKRLREEKRFASKVQQEAEKRKLNEKKKLAAAVKAHKKGMKGQLEDMLNNARKMQLDEDEDVRLTEKRGGSFGEMNRAKKISRDARNTRFGYGGQKKRMKHNDRFSNDNVFAKPTGARKGKLGGGKRR >PPA18486 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:563647:568328:1 gene:PPA18486 transcript:PPA18486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nfi-1 MLKDVTPSTNNAVNSPAGISRRVQQKMADRAALDEQPMKHPIKSEYHHYGDTHHQQSQHQQHQQQQYPTVVRPVQHGGGASNGRIMASQPGTPVQFTPSHRMNQSGGPSGNIILRPVKKRYIDELAEEEAARNLDKRMCLEDQSGASTSSSFAPSSAAAAAAAGVTAAAGRSDGMQQQQHHDQQPPSWSPDKYQRIAPRMVPLLPQGGVVSRPLHRHIVLNNGSVQRRVVVVDSRQLNNQFKQQQQQHPQQQQQGVYLRHAAARPHMASIHAANHSAAGQHQTPPTNAAPSGVSSQKIVLGSCVRGARMGDAPLVTVASRRRPLTPSPINREYALAFAAAAAAAPTSPPMSAITPTCAKTLFSTPLLPASGGAVPKDEHSPPISSPRKNPPVSATPGPSVQSVVVKAEEMSPLLGSGSNSNDSTANLSLLFSAVASMASSLTGSRPSTPSSSSSFHPSIILPLPSTTVPLSLVMGGEDTMSCDSSSRSLLDLALPLASPTTTTVTMAQSATHVVNTNEHNQEDIHSSIVSAWLCGENGSGEDDELPDVDMNDTM >PPA18575 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:1027151:1027359:1 gene:PPA18575 transcript:PPA18575 gene_biotype:protein_coding transcript_biotype:protein_coding MLYTIKGDSIVERWHTVDNSWPDEISTYVVQGDNMIQSMVCGDATCKKFFKRKN >PPA18483 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:553977:555322:1 gene:PPA18483 transcript:PPA18483 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLNGGGDSTPLVDGLFDDDEDEDMDAIVFRKEGGNDHTNPQHGGHTGHSHAVSLSSGLSLRTLFLLLGLSVHSLFEGLALGLQSEGADFYSMMFAIMLHEILCCLAYGVSLAQQRAPTGAAVVSVLVLSACIPTGMLIALVVSMVEGSSAALIRFVLEALAAGTFVYVACVEMLANELQQLQGRNGVAASFAVTTGVLLFAALQSASHHH >PPA18380 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:89643:90738:-1 gene:PPA18380 transcript:PPA18380 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRVKALAQPKDDHKSAQKSSRFREQEKELEEERGRNYGLEEDLMSYRDQLEKCKEEKTKILHDYLELQKVVSNVERAKEISETQLSKELSLANEKIMKLESAMTAWNEEMKCSKEPRAESLGTKKRKTTDDGQFVVSGEIELSESNGETSSTAHIATKKTDRDKLESLDWKNLAGLTRVKTTDGRK >PPA18466 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:456085:459796:-1 gene:PPA18466 transcript:PPA18466 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPCALCKGKNPHRTHVFPQNSRAELQLKWVKALGLPQKQEDAVLAEMRQMEQKGSRPRWCTFHFEYDTSDIHFPKYFDPEEGKAAQGWKEEDEEGQGPSTTGDAADTSRDRSSTPNERRKRSRKRPTASTSLSTSEAPEIEISLQKILADAGIVIPGITDKEETPKPSTSTMKKREEKATSVEKTLQNILMGAGLPVPETLQAKTGVIVEEGSDAPAVSPSASSRPYIREHPIRAAAVNSIQKTPNTVSEARRLGTFPRRFSATRPPGGSTLAMPKQLTNPITSSRNGGDYPKVIVPQSAATKLPVSVYNHNTMEKYDDTDRLRHFKMPCKDQDCRSELTVIMNRARALEDHFDELSNNVLRLVQMYKTKAPQAYRPPQSLATIQGRAAAVAGPVYGRSLLDDYRVIPSDSLAVQERRVKRMGLSSKKEPERLLTDDDLASTVRRRIKEEQSSADDEDESTEKEEESAEEEEYKPSHPKTRRVESSRDSCSSDASPPRLDMIQ >PPA18570 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:998598:1000715:1 gene:PPA18570 transcript:PPA18570 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-abch-1 MDDILRCLDPLPSFQLMSCLSAWAKRKNRIVLVSMRTPRSDIYQLLNRLTILYYGEIFYSGYTKKLPAYFKHAGFTCPSNENPAVYYLSLATVDRETSDRYEETQEQAAKLVEHFKVHSVTPREGEMTKDSILPMMPIGSSVALCYLERPANLSKLKTLIRRTLTHSLSNWPLLVTRFFLLPFIIFTLLSVIAFTTEAGSPSLPFSVAGSIHLAICIIASSSVLLGFAAFHPLRTLLYIESTEGVYVGALPVTAYLIVHVPIEILSVALTALLWLAGPSSILWLLPSVDMIRIALSSLPLLIATAVFSHAIIIRSSLIAISFSLALISAGIVHAGGFIRYISHPSSLLLSIPSRLNIFSYISHGLTTLLVPFLASVSMMRNCTRNERQEVDISKWKNFCR >PPA18531 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:793962:795302:-1 gene:PPA18531 transcript:PPA18531 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYIGIWFDFQSVFNRLPFACLISKKVLCMHGGLSPHLDSLEEIRSIVHPCDPLDKGLLIDLLWSEPTNKGDEWYHTIRRISYMFGKQIVAQFCEKTGVDLIIRAHQVPEPEPTMEPTTLPTVSQIVVPWLPYPIRFAGGAGRVRVHGRPQVDHGLLRAFSSNYCNQFSNAAAVVCIDKELKVSFQQMAVPLPAGSRAKHAPIIAADPNEPKVEPALKKDYNNNNNVVAASTAQVAPASPTPA >PPA18381 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:92318:99050:-1 gene:PPA18381 transcript:PPA18381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-eea-1 MAKRAAPSHHFAPQPISKIETASPIAQANKKISDLQKKLVDLKKELDVAQKEVTKVHESKDQAIQESKRECGQLKRVIHQLQLENENLRQEKEREAPSNAYPHVNTVQRQQQQIKDLEEQLHRVHCEKNYIEEKHNGEIQSRQKLVTKMNDANEANHKLSQQVLQLQQQLQQSSVSPAETAEVKKIRDLNKNLQQRNDFLENENDFLKNEQANAPPPPPHSPNLERALNEEKKTCSMLNEEKIIMALELKTANENVEKMKAQLEKSDWMTKMLADQLEFCKKNTEMWQESNRNLTHHIQRVNVSTIHSQQTMGMQPYSPPSFLHQMPSVSSTINSFMTPPTRRNPSATPSSFPSSSDLITIDEDDTQWFRFESEDELENEENADENPKEWWEEKIEKLEDERDDLKIEMKELKEENIRLKNEHELSTHSALQSANTAATASVEVVNCRVEIKQLKREMASLVEKHKEKGGGCSLEMKKDLEEKVAYYSKSFLMERNKSKDVQFDLRNDITVLENKLKQSEEEKEELNNKISTLEAKVKDSEDEKQRMDYWLKQSNKECEVLINENKRQNEELKRMGETSNRIAEELKSSLEVRGKLNSNIDESEETLKIMKGRIDTLRDGMKCAEEYIKELENQIEEMRDRTENEDRLDRRSSKDEKKTLSVQQKCREVIILERRNKEIVIEIVNAELEKEMANLKKENENMKSGKEENANLMEEVSTLREELKRLQRHVTTLKQQKRKAVAKNEELMANADPTGDAALHAKEKEKMKKKIKELMTENKQLVKEKEKGEGRKDEKNGSSKEEYDSLKEQNKKLAKELKKSIEIREKLNATVDESESSNKILTRQLEWYKKGWLTFHEFAREEMNKNEKEENHLGLDSISMPPDHSPLIGPPPFCGPSPSYDIPYYPSPSPVNTPLYNPPPPAIYRASVPRTVAYTSGYGTPFVPPSPAYGTPYLPPPSPAYIAPFHPPLPQSQSLSNTSLYPPPPCPPSSTPSRCATPSFRPFPLPPRPPPRRLPPHQFRVETEPIKTKNVDDGKKSH >PPA18426 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:292827:293494:-1 gene:PPA18426 transcript:PPA18426 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKDKLEEMAKAIEKVDTVVNRLAENTITKPEFQYAPYSSKEEVDEIDTTQTLTKFTTKVEKLVYNEGNPSDFNDLHKNYKDKLNKAKARWTVDCIMNRRMISGGNDQEGTRCAIVRQLNDNAGKRRRAVEAIVKKNEKSLRMRSERSYNRNEGQGEGRLEMEDDDGRGEQDTEDEQIDIQF >PPA18423 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:277845:278684:-1 gene:PPA18423 transcript:PPA18423 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sip-1 MVTLRNNTHQRLTFLEITTVVNTSEKFVVNVNASQFKPSELEVNVTGRELIVTGKHDARADEHGSISRSFTRTYSLPDDVAVENLVAKVVDGKLVIEGFKKGSTKSVTRSIPIPISSE >PPA18587 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:1064995:1066242:1 gene:PPA18587 transcript:PPA18587 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGGFWGIFKRRSAQNADIKKTSLPPKVKIAINSMNKYADTITAVNEAFLMYMQPSFTDCPKANSEQICEDNATNSTALSVMRMFTAVNGYWNREDLKAHADYALFHTWKATFDFQVDGRKALHFTRSFLAVDYANFKENVDLLEKATTNMDQAKAQMVDQKTGEEIPEKKEEYEEYKKEFERNCAEILAASAKLPERQEEHFKEIINFVPVIETYHLRVVRALGWVSKLKNKSIPTPAHAANK >PPA18596 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:1096328:1096791:-1 gene:PPA18596 transcript:PPA18596 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEYRELEERPKLPAAVGQAAGGTPAAAAAAALTGSMPGPTSSGSTPNSNGTGHVPPPSVPA >PPA18538 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:821650:823658:1 gene:PPA18538 transcript:PPA18538 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVISQETSLAENALLKRLADKDSIVDNDPFWNQLFSFNLKIDDNDSRLAAHIESSVGDLLQSLMHNTATTGNFASLIRVFLRRAEQLRESEQYENKIFLWQCANSLIVLRYVCAFLTQRMSEGEFLRTFDKGEEKKVDSDSDSDDEQEEEEIVYESTAEQFLHSLIEIIVELPVTDYTMDVQMEAVRCIITLLSTQLYREGIQTEAVVFGYLLKGVCSIRCMDLSRALLHNYLHHNTEYKRGTTGQKQAESIVFGLAASMWSVMQFVTGGEETEEESEKRPPASLGSLSALLLLTLACHPLPEGRLNPIKDALQHFLNAQGEMRKK >PPA18546 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:838700:842046:1 gene:PPA18546 transcript:PPA18546 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-alh-8 MQYKRKNRDLCIAVALPWFDPLSDHAIFPFYSRPPRLCYPHSSCGNQGSKMLSRLAVATPRSLSSSAAVSAKAETVKMWIDGKAVESKTSEYIDLTNPATNEVIGRVPKCTTAEMNDAVESSKQAFKSWKNTSVLTRAHTMFRLQALIRRDMGKLAANITLEQGKTLPDAEGDVNRGLQVVEHACSVPSLQLGETLNNVSKDMDTYSLRLPLGVTAGITPFNFPAMIPLWMFPLALVTGNTMVLKPSEQDPGAAMMLVELAKEAGVPDGCVNVIHGAHKTVDFICDHPDIRAISFVGSDQAGKYIYERGSRNGKRVQSNMGAKNHGVIMPDAAKEHTINQLTAAAFGAAGQRCMALTTVILVGEARSWLPDIVAKAKKLKVNAGHHADSDVGPLISKASKQRVEKLIAGAKKEGANVALDGSNVVVKGYESGNFVGPSVIAGVKPNMECYREEIFGPVLCVMEAENLDEAIDIINNNPYGNGTALFTTNGVTARRYRNIQLLSFVHMDEGKRKGIIFTNEIDCGQVGINVPIPVPLPMFSFTGSRGSFLGDQNFYGKAGMNFYTQWKTVTQFWRSDDAPADARPQMAFPQLK >PPA18465 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:449331:450412:-1 gene:PPA18465 transcript:PPA18465 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRFIDIDAISPAPFSSRIKDKSCAHYFTSTTGARRLCPLPSSHVDYCRTIRSRQKRDNRQSAPEALQLCPLPSSHVDYYRPIGSRREEGWQARMRGTSAPDAFQLCPLPSSPVVYCRPIGLRRKEGWQERMRGTFSSDVFSPVVPLFFLFVFFDEII >PPA18528 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:778816:781821:-1 gene:PPA18528 transcript:PPA18528 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sulp-2 MGPFEPIDVVSTLTFTVGIIQLVMAALRLDFLTAYLSDPVVSGFNLGAACHAFIAQVPSLLGVKIPKRPSQFFILFKNIGDIISSLPHSNLSTVIVSILCIIFLVGSKTICGRFRVLKLFPNELVLMIIVTVISLLLNLKSSHKVRIIEHVETGMPIPSIPDLRLIPYVIQEAVSISIVAFAVTVSMGKLFCKKHKYSIDTNQELLALGLGGSISSFFSVFPCSTSLSRSLVNEAAGAMTQMSGLFSSFIVLLVILWIGPLLSSLPLCVLSSIVVVALRSLFMKVSELPYLWRFSKTDFAVLVITALSVICYDIIEGLAVGILFALFTVILRTQFARTRSLVEIAPNDYRDRRHFPNAKIPAVPIIRFDAPPIFTNCELLRHSVKEAAIEMSGEDSVSDLKRGSVRVQYSSSGLYNVLSDNQFYPTIEDAISIAAHFTRQDPFSPFPDLQNKLSKYINALSTEYNHSCFSPHICGCCVHKL >PPA18456 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:416278:417055:-1 gene:PPA18456 transcript:PPA18456 gene_biotype:protein_coding transcript_biotype:protein_coding MFNYNSRDELRFNAKYSAKPCIYDTSEWSLKLHGIDYLDSIDTDFNMGVLYYNISLTRRPQFYVGLVITPAFLIGSLIIIGLFFGRGGDIINNAVGLGLTTMMSMMVIVGILANAVAKSQYIPVLGWYIIAEIVIITLAVFAVLSSEMLCRVCSSMVHAACKE >PPA18504 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:648495:659190:1 gene:PPA18504 transcript:PPA18504 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-age-1 MNNYPNPLHYQHEPERSPIDSTMRQIEQRAADAKGMIEELLFLLDLQSKAPWPTMLEKMSSLGAEMSQLQSILHKTQQHGRDDSVQMLRAHLLVPQMLSMDIDQRLQEATQGRVHSFNHDLVPDYLRTKADTSHEQEEAAIEGDRTNKDVNAIVKQTIGLNRNVDSLVSGHLNADKAQIESLADNLPTFEASETARLVKAVCSGFGLERQRAPPPPPLQVTGGTGGLAGPLGGAPPPAPAVPQMGMGMGSGMHMQQPGMMHQQPHPQQQGVPQGGYPVHQNGMGGGMGGMQGGGGMMGGGVPGGPMGHPMQGGGGPAQPGMMMNPMNPMMRRPMTRSGSSRCDFVGLEPSPSPIPTSESRRPSQAVVNSVLQALHDEWNDRPRPQLVEAEAHMLSANSFDAVKWIELSGTGDRLALTVVFPWMLTTVRCGTDISLGQFKQELFDAVKKMSVVGYSMVPTDYVFCALTQSLGEKEDLFDEEVSVSWVLHSLTQPFPLLFLHIPDGHQQEKEIASTIGRAIGRPLSELELKLSDELKQARVELFLETEQAHMFRGSKGFEHFAFPEEIQAVNVGECAPKELINKIASAQLRYQLYFRSKEEEEKNVDNNNITVDVEFDVNYTPYALIAKAIANLETNYPSEWKNILESTNPNDYLLQLVAQKKFLTGNKRNLIMYAGVRTLLEDYRIPKFVVRRKSIVLEDYPVPEPMYRPSYVRAYESRCGTDEISRGSNEEDTMSLWEIDDFFQIRPISCTNLISSDPTMKVYAAFMVVVGNSVIARGRSGLTPAYNPRWVENSVSFTHLYMKDLPCSAILCISLVGVHESKQTKRKNEKQRRRDSIRVKDAKEVKEGILEDKEKKKKDEKKEDTCDEDDWDKEFEGFDRQPIGWVNMTIFDWKNELIQGMFHASLWPGAGPGSKRENHFVAIDGPCVSNPAKIKEVCRLVFDTPVRKKKVVPPTDTLFDNFLQTQYKYGAPKRPNVQPKSSPSYGRLEEVLRRHQLGERMTEEEEEFVWEMRTSIQSNCPSALILLVDNGFTWKQRENFADLYDLLMKWPTLDIGSAFSLLDNRYMDARIREMVVSQIAEQLDNSIFPLYILPMIQALKQEQRCTSALSSLLLKRALQDYRIGQKLFWLLRSELSNLEHVYEKQIQYRLILILEAYLRGNSEHLKMIVKQVEMVERLTTISVTVKAYSDKDTATKRLREELRSQQSAVQKMDCPLDPTAFLGELEIDECRVLGSAKMPLRLRWKNTAALASHFNPFFEILFKNGDDLRQDMLVLQMLEVMDSIWKKHKLDCCLCVYPVLPMGTKIGMIGVVQKCSTIMEVQNTHLKIPKLTRSIKTFDTNSVNLFLRTEFPCVKDYLESVDRFLMSCVAYSVATYVMGVKDRHNDNIMMTTDGRLFHIDFGHFLGHGKSKLGYQRDRAPFVLTEHIVNVIVKGSKNGRDAHEMTKFKQLTVDAYAYLWENRTLFISLFSMMRSMRLPELSTDADLDYLKYALCVHTNDRAQAESFFSQVFDDALKSSWSTKTNWFFHSVKHA >PPA18554 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:920961:921715:1 gene:PPA18554 transcript:PPA18554 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLLIQTCCRTVGEKEMLFCMPLCSYNTSAEALGSTTGIKCVSQLTTWAYCASDNYDTTGCCKARGEIIDFESSNVLGGCLTHMRAIMSCQKESLGSKPRYDPDWTSSCEWEGK >PPA18411 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:226716:228678:1 gene:PPA18411 transcript:PPA18411 gene_biotype:protein_coding transcript_biotype:protein_coding MVYETKIVQMDVLTSQLGGLSISDSTARSNIVYLIGKNRNIASYFHPLSDKFLTTHCVSTPDTFEVGQLYNLKKHSSGCAIFTELDENIRRAYVIRGEMMFADSGYEDFILPSLLLGSRLRDYDQSCATVINRSPLILSSSSRPVFLTTTSFRTETGEAVEVMVEGVDRNPDLKTMKIFGDPVQPLPSSIVKGSHLQFCSDTERIPLVTKALEQVHKSNRSIINRTLLDRIYGKSGSFTYRPMDHRLISVKRRDGTTIVLNGEQSEAVHRYNSDCPAFAVESPPGTGKTMTAGAMAITYCRGGLQLFLSTANVPVFNMAIALAAIDYGDLNIVHLVSAEREATQERSPFSIFEADDEENEAAARFKELGEEMETASKSRLRNLKKMMRKVHAEALAASGGAHYDIILATVDMILGKLLKPKNKKNPCPIQRQLETDVRRIVIDEASQLTEAALNAIILCFPRAQIVLIGDSKQLPAFKYEKGEIVSEMAAHSALIVLSRKKNLPMITMRTTYRQSPSVMRHYSDVFYGGHLSSGKPESRLLLPSAFPPHIKKDLMFIRIDNSKTGRNGTSLINDAEIATLEWTVTKLRLKGYDHQSVMIIAYYEAQRKLAKASLPEGYEVLTVDSAQGE >PPA18492 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:596491:599077:1 gene:PPA18492 transcript:PPA18492 gene_biotype:protein_coding transcript_biotype:protein_coding MASHYHVCGQAGKHFDQGRTLSPADRRILKLSNGVGQVPRRAPLRTSSTSAAGGLKQDEVLFADDRRRLFRWMTALTRARILAMRFLTASQNCTGIVQCFDGEAFSCPSCAPRLFFNEVDSQCDPIYLNVPLKLIQSLSIILRLRARVNLKEPIWRMIRTILSTIDEERRGASRFFHLPNLTDGVELE >PPA18468 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:467786:470538:-1 gene:PPA18468 transcript:PPA18468 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTVICCLALLTTVSSAYDSTRLRDLPVDNCAHGGAIRDGKCECTLNWTGPKCEEEVCQNGGRPHTNSEGSVKCSCPYGLKGLRCEQATKCDNGKLINGVCECSKGFVGVFCQSRPCHNGSPILIPDMTEYSCTCDIGFTGSFCEEKLECLNGGFVTSNNECGCINGFTGDICNQCEHIVENGECVPEVSEMSLAGTSTAPFLEWHLIAVVGGTMLVLILLACAVYFALRSWRTKPSRVSSAQGSAIVPHPDGTDV >PPA18429 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:301037:304374:1 gene:PPA18429 transcript:PPA18429 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLIFSDDYSVDVQVSDSFHLHCLTGSFFSSVIWVKHWHYNYPITVAIANQVLIPVLAIPFLRMWNVKEARLTKSQYRRYLLPIAVGRAIASSSAAFSLSLMPVSYQQTVKALMPLFAVVMGRCILHERQSTVVYLSLLPIVLGVLVATVTEISFSLTGLVAALVSTATYSLLNILVKKLLKQADIHPVRLLAMTSQACAVVLFPVWLVKDALPMAAEIMDTTTPTPSTPSLHLLFLLLCSSICSFGQNVCAFSLIHMLTALSYAVTNATKRITVIVASLLTLHNPVTASNMAGMMLAVVGVFCYSQAKQYQKEHVVEKLSEDDDASPFLSRGRSMQLPMTRSHTTLSDATLVAMDSGSSADVMYWATKKSNGIGGGSRLATVISSSNNRSPNFVLGSADDIEIQLY >PPA18568 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:984076:989055:-1 gene:PPA18568 transcript:PPA18568 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKNRSSSKEHLHDWSIYRQNVTSSMADLILSNASSSSYTPYGDFRLRDDTVKEVLKKSRPGSPLQNRNDFLRYGLPRVDPIDFHYSTAKRPEKRPIKDPALQYRSMPRKASSAWDLRSTQNKGGFFTSSRHHSHYFSNTDLFGSGGFNYLRYAKASELQLMETTHPHLLIQNLSFSHDLRSTTDCIMLRLPKYLHTIGDFSLELFGGDTLALMYTSELEMRTLLRVLTQHSPPPGNVSGRLSINGHRLRLPQMAARIAHVPIEDPSDVLTVEQHLRCSADFKRPATESNKTGDMIEQLIRSLALGPFKNRLVKNLGKTEKQRLKIAMALLKDTGTCIQDDPSDSDILIVDNITRDMDIYDVAFLVDFLRDWALRLGRIVIVSIAPTTVEILTMFHKAAIFASGRLVYCGASNEMVDYFESIGYPCPPFKNPCDYYVDLVTCDHLTPDASAESLERIKKVADAWARRSGAFQPRPLPVATVSPMIRDASIPGTSVAILKFPH >PPA18543 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:831574:832702:-1 gene:PPA18543 transcript:PPA18543 gene_biotype:protein_coding transcript_biotype:protein_coding MPYMFISGSFNGDTRIGGKHADPQLMAELGGKKGTISQIYTTPWTAQEALNRLDIRGWKAKKGNITQMYTTPWTAQETLNRLEAKGWRVVSSCALPTGEIAWTLHKDVNGSTMGQKFG >PPA18523 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:747766:749831:-1 gene:PPA18523 transcript:PPA18523 gene_biotype:protein_coding transcript_biotype:protein_coding MSAADPLQEVSDLKRKLRLIKNKLLDPTERNVRQAINMIDDTFATEEIHLNCSRYGITCTPPAMYVVPGFTPQFPESPVTVQPAATDSPARTFATLYTTTQTNLQNAIDLLTETKNSLTDRGKKRKHDGVSQRSSGPSSSSTAVCSISQFPRAVATPKGRPVTHLQRVHKSSESKPVPSNMLISSEKLAIGDGFSGKYTPVRDKNFDPVSADKRKKIKDIEFACKYCDFRGDSEQCLFYHDCTILPQVESPFICCGTSYKHRGYYARHRDMKHKKQYNV >PPA18476 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:529906:531775:-1 gene:PPA18476 transcript:PPA18476 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pax-3 MGGTRSRLPVEAVDAQLSVIVDEHPSATPCELRSLLIKTDACTKANAPSVSAINRYLRSRTARRERKVGARLSHSIESILGLDKEDGRSSSSDDDSFDTRRNRTNFSPQQLDMLEAAFNANSYPDPPTRDELVRATNINEEKIMVII >PPA18414 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:242724:245742:1 gene:PPA18414 transcript:PPA18414 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPSEVKEELLSEAEDEEGVLLERIDGDEEEGEVDILEYEKNVMIDTMDDDVLFVTARGMGLERLFINHLVLYSDQKLLVFVLNTSTLDESFLIPRLKKITGNGHTAPKIINSEVSTKDRESIYLEGGVQFITSRILLVDFLCGRVPVENVAGIVVYRAHQVLTSFQESFILRMFREKKRGGFVKAFTDFPSAISSLGELQRLVTRLYVKRVRILPRFDTDVKVTFERTPVSVTELSVDVPPLLRRCLSTLVELLKVCGRELKTTVGSSRKNKSGDETEEESLTGIAYLPTQLEKEIADRRSFATEKQRRLLNEIAQLRKLLMTAENIDACTLLARITAMKTENEGTRY >PPA18563 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:964982:965628:-1 gene:PPA18563 transcript:PPA18563 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVDDFKTGGGIIIVNDYILEGEVADPGLQKLASDNFFFTDLSENYINSLGVFCEANCFCSPDLHPFNDDDNSPRTQANRGCFHPVNNGIPQQKARETCQKEGAALVSIHDALKEFFVNGVVSIFGPKKKFWLGYQNDGTQWIWDDKV >PPA18377 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:69659:72646:-1 gene:PPA18377 transcript:PPA18377 gene_biotype:protein_coding transcript_biotype:protein_coding MSANSALLSGEWPAMGGLSEILNQIQSNYPPARDNRQPDEDTETSSPVTSESASVNTAFPFSEADLANYAMLPGSMPRPPFHFTSSDDMSGVPLTYADFPFCDAEREVYDELTGPTDNSIYSRKVFIGGLPKTLTAKELCHFFSEFGPVMIDWPHRERMPDRPPHGYAFAVFSRATSVDALVHRCSVSRGKHCIYLGPYCVQVRPWVKMDREHYMSGYDEVNSARTQRYSIFIGGVARTTTARNLAETIDNAIGDVVAVRIEVDYDTEYPKGAARVIFATEKAYVTAIARRFIQMASHDLHKDEYKEVEMKPYLEDCMICERCFKMSTRSFCAELRCLKYFCDMCWKVWHSSPGSSSSTDHRPMLKGLHQQPRYSASTTAAESFRSRTSAPPSPFATGGAHSPPSSAGPFGHAGLNPFWPHSTAAAAAAAAAMAAVSARAAAGGSLRGLGTSPYGPYPSLLTTPSALLYANGALAEANSMGRRAGLSTAANLRLADLQNTFGRERMLIDQLVAEMGVRPPSAFGGSSSSSSLAGGISGAPPTPGTGGFPSASASSSANTQFTFDDLSSFSCGTAIIVYLDVSKYKRKDLVRFSPPAGSPHSPRAEKSKNSNNYFGF >PPA18432 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:310767:317915:1 gene:PPA18432 transcript:PPA18432 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYSTRSHYLAPYARMKIWSSNFSIFPYSFDELVSTFWDRYPNSHATHIISEDVLEREITPDYIRTTKLIVKQGNSIMKRIPSWLSSMTKIRQVPTLEESVYDRHTHTLTTYTRNVANGDLFQMHERCIYRPVFPESKTIPASRLLRSVYISARKMSSVYENVMLLGFKKSVTGTFKGLTEKLEERYGMRSLNTLADKHKLSQKFREKLEKLKEFKVIAAVSIAALVHAGYSAAQHRLYLRLTEQPFTALPADIVAQTLASLIFLCWAYTHVAGAFQPIRADIANGRRKWDDVGSCLSFATFEHRAKALSPTYAFDSGRSVMLNLSLSIIMSLSTPSSRSLPSLLFLARHASFSSSSTPAPDFSRLPGARTPKVAAEKGPRPLRVPSHDAARSALSHCVELVKKHDKASYLATLLMPSSARPHMFALLAANVEIALTRDKVSSVSTTAMYRLKFWRDAFAAIYKGDGLVPQQPVATALVAFGRWSSFDLLDGMAAARQRTIGDRPFNSMNDVYSYGRDSTGALLRLQMAALRHARGEGDTDGGTTAATEEAIDRLAEAHAALTLVRSAGPFTLRRVVLLPEEILIGEGLTPDNVVSGKNPEKLQAAVKRLVDLGSSRLASSRSLRSSIPPSDRPALWATAAQCEHVVKTAAAAKYDIRSQLLQRPRPLLPWRLLAWKITGRY >PPA18371 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:36948:39224:-1 gene:PPA18371 transcript:PPA18371 gene_biotype:protein_coding transcript_biotype:protein_coding MVITNTVCLLVNIFLFIICIMQILANFIVLYVWAKHRKLYRNDNLILLVSLAFIDFLYAIEQFPYLIILMAGHKPDNELLDYDPATIIWVGGPSAALMKGGCTITSAIALDRIFALYFPVFYYQQSKKWWSIFCFLLALTLAGIDWLICILIVPITRHPGASNFGGFVNHPFRVWWGLSNMAMNSITTILTVVIIYHLVRRKKQRAALVEIERTNRSKVDRSANRVAFYILIVSTLIGVVPGLMNGFGLFIEIPMLNEISFFVGTCATLSGLSHAFIFAMAHR >PPA18576 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:1027681:1028327:1 gene:PPA18576 transcript:PPA18576 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIPDEFLGITWAYDKQEGFDAYLASKGVPWVIRKLILVSGHIIKFEKVGGSKWSADHQMSKRSTKYEFVLGEEFQGKGFDQAEHKILIAMDGNSLVESHQRIDKPDDPAEIYTYTIEDGRLLQAMKSGNASCKRYFKKKN >PPA18506 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:665969:667326:1 gene:PPA18506 transcript:PPA18506 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQFNSDELFYYLKLAAVGTIGAMTLHTAYKFYLNLRHEKGRSRWKEFLAAIGFIPPLIPTALSSGPLSLSLDSKHPLNHNVTRFRFLLPESDQVIGLRPGQHVAIAATVGGKRVVRMYSPVSGNEARGYVDVVIKRYEGDHALSKHIHSMREGEKLEWDGPRGHIVYKGRGAFLIGRSKKRDKRSFSHMTAIAGGTGITPLLQILKSSLEDYSDCSNLHLIFANSTEKDVFLKEELDMLAETHSNRFRLTYTVSQPGDGWRACVGRPDSAEVLKLIAPPSDNHVVMLCGPNPMVKAVVTALKGIGHQERNVLVF >PPA18532 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:795870:798401:-1 gene:PPA18532 transcript:PPA18532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:H3F8N3] MAKDVFYNIFKAFVEIGPENIIANDIVNGFFSYFIVCIGGIAVGLVFAAISAFITKYTQEVDILNPVFVLVIPYMAYLVGEMLSLSSIMAIVFCGAAMKMYVKENMPERSASAISYFIKVLSLSSETVIFVFLGLSTVSSDHHWDTSFIVLTVVFCFIYRTLGVVVLCHILNKFRLKKYTKVDQFIMAYGGLRGAIAYGLAVALPNIPAKPMFVTCTIIIIYFTVFLQGMTLKPIAQFLQVEKKNEHEKNMTEYIYQELIDTTMAGMEDIAGQKGHNWIRESFQSFNKKYIKRLLVNKEALRNMDNTKIVRMYRRLQLQDAQDLVQGTGDFSKNQVFVNALIEHTRSRSNTVASDPTTENRVQIVLPNGEHIV >PPA18388 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:131133:133105:1 gene:PPA18388 transcript:PPA18388 gene_biotype:protein_coding transcript_biotype:protein_coding MANAVRRRNRDDPRVGEDVMAREPDFDFGASGPHAPILYTGLSRKRRSSKSDEEELIESEPIDPSKSWWQRTRENLDGDFSSIALLLFLYMLQGVPLGLIAAIPLVLQDRGVTLGQQAVFSFAYWPFSLKLLWAPIVDSLYWRAMGRRKSWMVPCQYLIGVFMLVLSYKVNAIIGDARGDHGPDVVFLMMVFLPLNFLAATQDIAVDGWALTILSRKNVGYASTCNAVGQTAGVFLGNVVFLTLESKDFANRFRAVPQDTGFVDLAGQTLFYYY >PPA18416 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:248115:251696:-1 gene:PPA18416 transcript:PPA18416 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHSSPIVCQKSKNCVEAKPQALLVDSPFTRRDGDTLHSSYTYRRNALARDATTGALKVTPQVHDFRFRTNLKPSRTGVMLVGLGGNNGSTALGAIIANRHGMSWSGQTDDETMKITFANLRNQTTKIKSALVDFLVSSGLKPESIVSYNHLGNNDGKNLSEQRQFRSKEISKASVVDDMVAANHILYPDGKGPDHCIVIKYVPFVGDSKRAMDEYTCSIFMGGKQTISMHNTCEDSLLASPLIYDLAILTELSVRIQYSSDGGMTYQPFHEVLSLLSIFLKAPVVPEGTPVGNAFMRQFSALTKLFTAVAGISSDSDLQLEFFSKLPAGKAIGLASPLVAKAPVQTVTDDALPGLHDFHKAIDKVSTIERMNII >PPA18383 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:104398:105097:-1 gene:PPA18383 transcript:PPA18383 gene_biotype:protein_coding transcript_biotype:protein_coding MEMINGATLLLWNGRECPFANFSEPEKREGGREKSRRHSGSGDAVIDENENCVESSGKKDGEREDHQAVFTRFIAYMDQIQDRMTAIEKVHTEQQSLLAGAELFIKKYVIDTMEVIEVDAASLLL >PPA18541 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:830216:830563:-1 gene:PPA18541 transcript:PPA18541 gene_biotype:protein_coding transcript_biotype:protein_coding MPYIVISGGLNTPTLVGGKSADPDLMATLLAWRHPLKKEWYSSQCPHQVLNMLEARGWKVVAMCYADKFRKNTWTLHKETTPSQ >PPA18447 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:377933:378394:-1 gene:PPA18447 transcript:PPA18447 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTFQFIAGEDGDEPFKPSDNEEGPPHKRMKMFGGRGRGGGGMRGGGGYRGRGSGDGFRGMGGGDGGFRRGRGGDRFDGGGRGRGGGFRGGDGGRGRGGGGFRGGRGGDRGGRGGGGFGGGRGRGGGGGRFRGRY >PPA18498 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:629198:633754:1 gene:PPA18498 transcript:PPA18498 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVARALLSTIARGSRLTTGTRPICSLPTMVTSTARAGTVGGGVKDPALLPKEIRTSAFFDFERVEPNVFRAANLSTSGQQSPHAAYGGLIMAQALAAAEATVTEEFKPHSMHSFFILNVDTTVPVDYHVRLLRDGRSFCTRSVEAIQKGKVVFCLQTSFHVVEPDSCVHQSDYPKVVKPADECPELSLSSKELLDRIASGEVKGSEHTRAAIVKHSQIDRTQWLFDIRCTDTAALFGLGDPTRTFYFWMKARVPLSTADDRLHRWMIVFASDSTPVGVIFRPHTKNDFTPSMVFSLDHVMHFHHHEIRADEWMLYEVESTVAGAGRGFARGRIWGTDGKLLVSLTQEALGSITVGYGGLVLTTGWTRSVCKCLEKRSTRSSMQLHRYKCTIRSRNDDTERDTTHYEVTTSIVYDISGPGKSFTTLIPKYSTFRSVGPSFTFPSSPPRERGNRKRLDVGRHKIRQEKISMYDFALGIFKRVLEEVQQGVGIVLPESFKEFTEAPKNPRPKGWALRSTKKTGRYDPVARKLVDDLFEQYFSNGKKLRPDEAEKRMRERNDILPAQRMTFDQIRNRITTLLSQKKEHQRKEHGNRQRRYRFG >PPA18459 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:423448:426262:-1 gene:PPA18459 transcript:PPA18459 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRLIHDGTGILSDFDQFEDNADKVEGVYRQFNAPGHTILFLSCPAKDLREESNVDVKNKLCRFIGEMAEKRNDSWPDLHRFIRTSLSSNKCHKQTALQLIGNCESPVYEWASVKDFIVDYILCSDSTLREPAMKALGKFSNLVNDDQGMMEIMERMTPVVLQVADPDCSHMECDYEHITAFICLYEMARGSLKVLRNHVEQLFAMCIKIIIDQIICKNRDNGLLSLQMVTCLSMKYGPSVPLHLIPNIGISCLFAIANNLASCKTDKWLLFNPAKDDVKNGENFAMTVGILYMERIATAIGKKRMGSHLKRAAALIGWASVGDSFRRGRVLTIVDWAIAAFNDNASH >PPA18395 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:171786:173605:-1 gene:PPA18395 transcript:PPA18395 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAQSTVAPANCGDACEVKPKSEGERIAAARRHIAQLSAAPSECPSAGGAAAKGCPVDHTGINPLNNELEHPNQKPAPDQPFPLQTKREKSTIPKAEAPGETWTYPSPQMFWNAMLKKGWRWEEDRLSDKDMENIIKIHNANNEEAWREVLKWENLLHPECDTPKLRSFKGDAKNISPRARFRSLLGYDLPFDRHDWIVDRCGARDVQYVIDYYDGGAVDPRSKLFTHLDVRPAMNHPGNMWDRVVVAYWRFKFEYLGMVPNLPHPPIEAEAKQ >PPA18562 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:949871:952357:-1 gene:PPA18562 transcript:PPA18562 gene_biotype:protein_coding transcript_biotype:protein_coding MVATIMELATGQLIECNVKYNSTDGNPIPHATEHLEKLPLPSTLALALATTTSLATVGLAALQLIYINAYVTHTTRRSLIMFLASTAPFVSVTALVSLFMPRAWFFSHLSGFMYFTLALYVIICLLLNLFNGRQGIESRLAEQKVSIETSTPPFCCFFPCMPKLPADYRTLGMVEWMVLQAPLVRLLATIVSLFIYFEYATDGFTALKVIDFLALPSLLIGIYGMHILVTTACKLEELSSYRYVVVFRLIDLFLAFFGIQHGVFDFFARAGAFGCGMGNLAPLETAFFWKNVLVTIESFIVVLLSTILLQPSRSSFFDKHPSCRNVQSPTSSTTKDTLETVN >PPA18407 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:213962:217144:-1 gene:PPA18407 transcript:PPA18407 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPLNSGTLSQKIDACTELGSRADKLQAGITKEGSFASTYCTLRCAFTLVMGDSLVCAERRLPMQWTAGFVLPSRMTTKVDCSNMSLPFTVDKYPENRVILQFSGSGLLPMDILSTINSLPVDVELLHLPLAAKLNVSVYKSVAYNISKSMDTITKIAITNDLNSAVDPTPLLSILTYFPNVAESVLFEWCEQDHKPSRIPEEQIEVESAEIGEQWTEDLPRVGDEFGQFAIPESEHYNERANSDRSFIHLQIKSPRTRAFHHDWTLPSSLAHLVNLKFLYLAGNLFRTLPPTLIPQFPNFLSGNTFEIGSAPFICRSLWFSNDSIAAQHPGIESFNKSHLANAKNLKVLNINGNMDIVRLDEGIFEQTPKIKYISMRRIGFPSLIGLGLCGLCNLEFLEVSYNQFQSPNWIEEACGLGWPTISYIGLAYVNLTYSSPTLALMALSSESMYSKIEYFFYTNPIFNNTCELADFYSMVEGTLSWRSTPTCLTQATKKISEIAPLRNEKYSVRPCSITDSSSSVITLFVFILILFTMF >PPA18589 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:1068259:1070306:-1 gene:PPA18589 transcript:PPA18589 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHAALSLVDVLLAFPSAAPKAERLIENLPRLMPRPYSMSSCVAASGRRLRFAYSIREDAAVEGRRYARVGVQTGVLCDLRIGQQIKLLEKEPARFRLPPLNCAPDVGLYTPLILIGPGTGLSTFLSFTQHIRSHLKKNPEDKECRETKRILYFGCRDEKKDFIYREEVETLKELGVLSTVYLAQSTVEGQPKYVQDSIRANAGEGKRDDDKKERSERHGEL >PPA18505 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:663448:665083:1 gene:PPA18505 transcript:PPA18505 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRVLLTGAVGCGKGTIAKKVVEHFEGFAYFCAGDVIRQHISNGSEFGKRASSFLKAGEHVPENLVNEVLLQEVQRLRDSHLVLDGFPRTIAQVTAVEASLPVSLCIELDVPRKVIEERLSKRLVHIPSGRTYNLDYNPPRVPGKDDVTGEPLERRVDDTDEAIRRRMEVYKKTESKVAAAYREAGLGLTIKGDSSDKIWPVVRAQIEDLLEQKRQAKSG >PPA18464 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:444936:445160:1 gene:PPA18464 transcript:PPA18464 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTPFNSAFFPPPTLTTLAGKDESLLPTPFNSAFFPAPKSTTAGQLDRDEKRDRRQG >PPA18578 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:1034916:1036364:1 gene:PPA18578 transcript:PPA18578 gene_biotype:protein_coding transcript_biotype:protein_coding MDTITVDLYPRGPTPLPHIGNLLQFNPERLHFFIDKCEKEYGDVFTVWTPSPMVILMSYESIKQALVTKGEDFTGRMGRYPDDLFITTENGGVIFSEGESWREQRRTAIHIMRDFGMGKNVMEGQVKSSMHEFMRNLDSIEDKSRVDFRWPLQILVANVVNEVLFGYHYKYDDCKRLMDYSDGLAEQAYPNLTEHVRQDVLRCEKTFDENDEAGCFVHAYIQRMKKSDGSLK >PPA18374 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:57110:58882:1 gene:PPA18374 transcript:PPA18374 gene_biotype:protein_coding transcript_biotype:protein_coding MQMCVNQNNNNDELWTGKRRPKRDFHFQVISLPQNQQYYVWPSRNGHDENSNKRKQVASSSSSSAYSSVPTTFSSIPSTSSEGPETPKATVTPTIGNGVRRGRFAVQTTKAVSPAQAIATNHRLNDDHSVSCSAPSDTFKILSISKNVSFDDESDDEEELMCSEVTISRRLSSAPPPSNR >PPA18512 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:689117:689563:1 gene:PPA18512 transcript:PPA18512 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGSGGDHTGAQGGGGGGHSGGHGGGAHHGGGGGGGAHHGGGGHSAPAHTGGGDGGGKGSGSGGFHQVNTSGAPIYRADPGHANPAAAGYVQVNQGGGAPLYKPA >PPA18474 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:521133:522888:-1 gene:PPA18474 transcript:PPA18474 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSIFFDILDIFASCSEKEDRDTVVIRYFRILRNAIAVQSQSTIFNRDAIWIETIESFCWDNEMTEAMLALTEDCMYIADAFGAVQMGDA >PPA18569 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:991319:995463:-1 gene:PPA18569 transcript:PPA18569 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSASFAVDDSPIIVILTIGGNLIFVDVDSLTVNVIKKKYEHTGIRHIFKGVNSFRRIVGVYGESIVVEVDVISGAAAHSSNYKVLKAHLPQKYREMMKRVQNGIHKKLFAKSSAPSLYEVLWEMAAVREILEFKEKVWLGDTILCGQIADGTRFYYQLIDDVLYLRFQQFETFTKIPGNLFRIARVGDSIILHTKEKKLHRVKFIKDSPPQIVFFRDIAENEHYGLESIMGREVGGDHFYYRISETPEKGSIRIDLSDEELEDAYPTGIHRGKIVYNKRVYGLAAPRIINASQNIIIIEHDEILANSRSEGSHATAFLADDSSPLIYLINIDGKILVANTENLQIAALKRKPQPGDNMKNTVFRWTNIVGIHDGIITCEGRTLQKGCAYCVYSAPLPTDSMGKESVSTEPKADLEPLLKLVTLLTGKEVDMAKLTQLLGAATASTPPAAPPVDTNDAKMAEMQAMIDKLSNKLTDLDRSSQQKIQELESKLAQKEDSVTSTSQSVDKLSFTKVEDLADHLVCFQVENGTLFYFKNYKPHQLFTVVGEKRVEADLSALNGATDCSFKGTIGNRAYFTSVVGKKLKFHSATFTDGQIDFHELSEVKTTDISLLPSQPYYCLEKAKEWNIFRYDESFSSSEGETFDISEVDYLRKYEGRYHRTALLRGHLYLFRESAEESIKKVNDKVITIEHPLLEDAFYHVLQHSDFIYILNGEEHVLLILDTESLQLIKFPSPKDCDAHSIVGVRDGIITVKFEGSFGRHLASSRIPDI >PPA18453 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:408514:410056:-1 gene:PPA18453 transcript:PPA18453 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIKRLLTLDLHSYWEVFYTPNGLSDETYYMITTILIYVIEMVLIHIILCECYRLKKKTRKLEINPSADEKCDLTLPLYTKKNSSKKEEKVSICTRFWKELARLIRLDLNSCWETAAGLDGRTYEQITLILMIICEIALFHVMATLFYLCTRRKVLKTGKDVKKNGGRFSRTRVSPTEEKKERPLKTIYESVYTISSSSLYPIGSMEKLIDKAAFP >PPA18549 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:859217:863047:-1 gene:PPA18549 transcript:PPA18549 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLVSIALLLSTIQEVSSYVFSCEEIKSKLINSPRIENTLFACVFLEEGLDVNTPYLNNIFIQDQQDKTSYSLSSIAASPSHCIRGSGPWQVVSDKPGDLRCDLEISLLFTSDEKTEYVLAIDDDTVHRTGNGRVTFVSPRSGMKITINNIDADLTVYTGAGKGPSEFMYELKTWSVWDIPRYFASFDNVLTFDTQAKDVIYYVTAEYRNVSNLGVGEKAVILTSGKSDNLMNKHPDENYVRYNLWEAATANVHGNLAFDPNFGGSVNFTVDFSFTDATIDWKFYASYFEVKYLTSVKPEDVWDNEDTFVIEIEMSELPTDITPIPGIRTTPQQEEITTQEEGMTTMKPSTRPPSTLAPTIIPVAAVDNYCNCAITDGWFDNDWDPANIWVDVIIILDTSKSMGAMKFAKAKSVITSFVGIMSTDVTVEFYSRIGVIAVSDTVEVIYNLNMTSSDDLDNIQQHKIDKIDVGAAFQAALKMFADGTKMTSYRENARQIIYYLTNSAPGANMNGVDDFKTGGGIIIVNDYILEGEVADPGLQKLASDNFFFTDLSENYINSLGVFCEANCFCSPDLHPFNDDDNSPRTQANRGCFHPVNNGIPQQKARETCQKEGAALVSIHDAQKEFFVNGVVSIFGPKKKFWLGYQNDGTQWIWDDKSTDPYTDWDKANNQPNTNGGKNMCAYAQQGTGFNTPWTAANCGMGGVVYVCESAPCSAGNKKC >PPA18536 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:811730:815650:1 gene:PPA18536 transcript:PPA18536 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSNMPLHDATRDLILLNQQRLSDVEMNLQLEASNEQLELMAKDLEKEKTKTDQLLSEMLPPSVAHQLKLGQSVDAREYDCATVMFSDVPNFQSIVPNCPPKDVVFLLNELFTKFDRLVILQKAYKVETVGDSYMSVGGIPEDCENHCEIICHLALGMLFEATTVCDPIQKTPLAIRAGIHSGPVVAGVVGAKMPRYCLFGDTVNTASRMESHSPLGRIHCSESAMNCALKTGRFQFIPRGKVQIKGKGEMQTFYLVRSYKKSVWEIVERPRDEEVNTIDGYDEMLDMFANEDELGKIAKTRVCSLQPALAEKMNEVYKERPANFFNEKNCEAELKRILNEPCPKPIAPNEPSSTSYSRATVIDAWIDDLRGKINAEYDTRIETTLVDFRKMTELIERLMSKDNRPSMEEMKAKLEQINEENRKRSRSAEETAQLERVISVLRARISQIFKERREANNDADKALPPGSLSISPTKASSIALQNAFRSPSPIKSPITSPIRPLAEAIEEANAVLGVEEEEMEETEEVPEEIENEEAETEDEPKMEVDTPVASPLNRITSPIASPIVAHIPSPISSPIRSHITSPIASPIRSAVVSPIRSPIATSDEKRDKEKSVSPRTAAERAIGDGGLEEEMEEEDDEFWAALHVLSSPKV >PPA18401 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:189944:191309:-1 gene:PPA18401 transcript:PPA18401 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGERVICLLAIAANPRDAWTYVTSISCADQKWIIIKTDNDSMPTPPEFNVICEKPEPIVTSTIPPTTTTTIPATTATTTIPSTTTTTTTAAAAAATTTTIREKGSVAIHQDAPPTTVQPGSGKKNDDGGSGGSGKWLWIGLGGALFVIIIVVNIVVCVICFKKKNSTAKKSSKTQQPTNRNTKKKGGKGPEDSTTGLPSSSEMIVEPSKASKESLKTPASGSAEKNAQPTSEPVAATEMCLDLLKTEKFN >PPA18379 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:78286:82440:1 gene:PPA18379 transcript:PPA18379 gene_biotype:protein_coding transcript_biotype:protein_coding MTDWIREYRITEDLKIRFKINGKEFTPTLAKMRIKNGSRTPFIFSKCDRIELEAKFREEIEKFRRSTQEIELILEKARSAQTVTTRDAQKLLERMERRLEAEIRLKKEAEGKKNVPTTSTASAAKPTPLPPQATSSTNEAPTVPVPTHPSESAANVSNTTKPSKRVLPLFDVSNLNKDEEELGDDRVPIRIFRNNLDASDLDMAQRKLKFPVADKCRGCDKAAVYGSTPGADLRTWFCHITSENHIAKFRQKGGRVTQKCLDWYSNQLFQFPRKNEAVVEEEVEETVDLEKKKLECFGGVIVLPVYVPLLHIDPSDTTKLNGWKGRDEVSKLRKLVFGPTDKYAAAKSLYLPKTTCEVCNETFEYAPRVVPHIFTNAHIDKYLAARGSISQHDINFWTEICKLFPEADKDTKDIKDVRAQLRNMGKILDIDKCANSFAVYEGKDRRKHVVDFIKTHGIKEKRPVVVYVRSEEMGMGMVEYVKEHLPDTKVGWVTCTKECEELEVIVTDSSLRLTRSHVIVFPSAPMDARQRADYAQKMCGEHVEANLIIALPEESNELRKFSRGATVIFPPPLQATWSTKPMEPTVPTML >PPA18497 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:627131:628248:1 gene:PPA18497 transcript:PPA18497 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVAARSSINLATGIQQSKSAAYGGVTLAQALAAAESTTSLEFKPHSVHFFFILSVDTTVPVEYHVQSLRDGRSFCTRSVQAIQNGKIVSSLQASFHVVRCTDTESFLGTEEGSRRFFFWVRAKVQMDLRM >PPA18458 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:423065:423353:-1 gene:PPA18458 transcript:PPA18458 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVQNIPGSAFESISYLAEAVGKDRFIHDFPKVVSVIGPCLKSIASIDSSDHSLVLLSRNLLLSSEFVFCSGLIHFHS >PPA18370 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:34464:36010:1 gene:PPA18370 transcript:PPA18370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-wrt-10 MRFLLAVSLLVAAALVAAESKPPIRGTKCAPNQVINRLTVYENGAIEAECGPLPCGSSGYKCTDGIVACRSDNDIFNGMSWAPNGQSVLLRCCGLNVPKKIYVGTDLVTAGSFYVGGAISEKDKYGSGGAEYDFITNIRTEQGGVRVWVYRVMCDAPGAHAAAPRPAAAAAPVQQPRPAPAAVPAVPAETDAARQEAFEARRRYLLERLSGQQKQQAAQSEEIEEQGDEEGEYEDEEESVKLNLQSPASTFNPLRYRPAVRGNKAPRV >PPA18559 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:938708:941163:-1 gene:PPA18559 transcript:PPA18559 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLNEESVQEVELTSEQKATQLNKLMSDGKRELKALNYEPASQKFGEAAEFAATHYGPLAVESFEPNFMYGRTLAELSGVENDLFQSALVNIKKKEGDEAEEKDAANESMDDEEREDVREMVAEALAETAEELEKKKEGSDEESKSEEVKEKESVDEAAAGGKMEEDEKAENEEIAADNEESEEAADGEKEEGADGDVENAEKEDIEDGDDEDEEEEVDTNQLAWEALEVASQIADKMITETGDKEWKHKRVDVFVQLAQCSCNDEKYETALEDLGRAHALMEEIATEKGDRLTAEIFFHEGRINRLRNEFGLAEVSFNKAGDVFQKILDALKTEAGDSPTEDQTKEIAEIEQIMKDFKERAEDSKESAVQKKKMDEEKEKEKAEVPSILTTSNANSDATANDITSLVRKKRAHDDSEVEGAPKKAKSEEVEEKGEENIAV >PPA18519 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:724882:726040:-1 gene:PPA18519 transcript:PPA18519 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSGEDVDVEKFAAAAQHHTARHLCSGLHSSLEFLSSEGLIREGTSVVMAGGVASNSYLRACVEKICSLHSLQFLRIPPSLCTDNGEMIAWTGVKMIEERSEWIIPWESVPSSLYVHARQEIGRNRTEEVPRKARNVISVVEIDKLADVFNSHQIHIGNGKIG >PPA18516 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:704146:706001:-1 gene:PPA18516 transcript:PPA18516 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPSGFLEKFRDLQSFRLHTGNINAYNELKLKRFASSAEELSACLKIQLASTPAATDFRNNGTLLLCDNSHIDKYERDDLKITMKLFLSRWCEKELKEAVDALKDQLATTSIELLIVAFPELEIVDGESEEEENKRWIKHVLPLWTSLEELIKSGEVVSLGVSDLSLNQLQTLCDEVDTRPTVDHLRIDGCCVVPPELQEYAKNHDVQLLTHNDPIPFPTREVFHSFCTLNEETPLCSDLFEPTWTVRYTVWVRRRSVMASKGYIVAFVGTQHNKE >PPA18580 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:1038629:1044873:-1 gene:PPA18580 transcript:PPA18580 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLANTKSPYILQHKNNPVEWYPWGNEAFDRAKSLNRPIFLSVGYSTCHWCHVMERESFENQEVADILNANFVSIKVDREERPDVDKLYMSFIQALNGGGGGWPMSVFLTPNLAPITGGTYFPPENKWNQMGFKSILRFISQKWKEDPEHIKSSASEVLKAISSKTVSSQSGDPPTVEVCFMATYQKLKASFDEENGGFGDAPKFPKPVDLSFLLHFAQSQAKKRPEEAKEAIRMLTKTLHAVYHGGIHDHVGKGFHRYSVDAGWHVPHFEKMAYDQGQLLSIFSDVALLTGDDICKRAARDIVEYLDENLSQNDGGFWSAEDADSHEVKGAQHKKEGAFCVWEEEEVKKVLHGEMVDSIPLSDIVIPYYGIKKEGNAPAYTGGDPHDELKEQNILIMHNTHEEMSEILGVKSDKLREAIEKAKSLLFAHRSLRPKPHLDSKMVTSWQGLIISGLARAAIALADPMLARRAQLAVDFVKENVRSENGDLIRAVYTNEEGGIDHGEPIVAFADDYAFLIQGLLDLYETTLDEKLIEWAEELQRKMNEKFWEGDSGYFVSEEDVVAEAGTRMIDDQDGAEPCSSSVAMNNVVRLAELVETDEMVDQAEKIVSHAAPRLAKFPFILPRLLEGYERMEHGGIKIVLIAGEARDSMPFLSLIRQHLIPNLALIRLKPSESSWLSQRMPSLEAIRNTEKPSVFICEDHACGLPITTVEDLKKRLEELSRRSILVEMNPPPMDLSTNEPPSPPAPLTVKYITGLPVELLGMIFDIVDAQTRADARVAHPLLARGVWHTENRLANECIQKGVTMPPKAFFRKAIRAGVAFNYRLIKNLDIFGVNLAAYCNTNPSADINVNAGLVLKGNYHRFALFEEEKEYGDDIPSWGKQVTIKLGTPTVYTTDGILDLFSNL >PPA18478 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:541121:542233:1 gene:PPA18478 transcript:PPA18478 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVNGQSSAITNFEAMRLLQQIKNRENKRPKHQKQKLHNLNNIVFEGLKYLKSTPAAHQTEESIKECIEALRPFGLTTSETMQLINTRPTTQVDIEVMIEESEERLPSEEQVDALITAVTSTLPERFIPKGKKKNVKKDMEEPLKTLNIKEEEYLYFGD >PPA18415 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:245874:246364:-1 gene:PPA18415 transcript:PPA18415 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGKPVCVDCFMMETHPKCSACNKTLLETALMACGRYFHKECLKCHACSAVCRDSRYMVDNFGRIYDPDCYYVRVLRMRYGVHFGPSMHPPPDSELGR >PPA18434 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:321870:323009:1 gene:PPA18434 transcript:PPA18434 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-drr-1 MDAGSIFLRLPHAFKPLQSLNAVILFVCVASTGSAENGILWFVIVVSFLISVAATLLFALNLQDTLLDRLTNGSLTWNMVEMVYSFVVGVLCAISVWVAFGFANNHLGGTSAGFIATGLFLILQTALYAVPCVIIYDAVRTEQNGGGDSFAHASAVEAAHPFSDRPYQ >PPA18533 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:799105:800736:-1 gene:PPA18533 transcript:PPA18533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:H3F8N4] MGWRGLLFVALIGAALIAVAAAAEDMEDYDDEESEKLTRYPLMRVDFEGVKLPLIIALWLVTAAIAKIIFHHIPHLAEMFPDSSLLIMFGLIVGIILNLLNVDKNEFYLDNEVFMLYLLPPLVFDAGYFMPARQFFDNLGSILTFAMVGTTFNIVAIGTMSLWAVSLTGLFSVELDVVQVMFNWLLLFGSVAADVDPVAVIVIFEELGVFGIKYSTPAPFPQVGIKHFPQVAIKLDSNLWELLDSKLIPDTRVPS >PPA18410 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:225018:225644:1 gene:PPA18410 transcript:PPA18410 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVLSSPIVFTAAFFLRSRTLRALSKTSLLMSSSTKEIHRMFVRTLNFQLALTTFVGIGSGMYVFNLMGLTDHQISEITPCAIANLLPSVAPIFNLWCIRPYRSFVKDVVKQWLNPSKVTFLRGVAFTFSIDATGQSERNELSKFLLLFP >PPA18564 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:967616:970451:1 gene:PPA18564 transcript:PPA18564 gene_biotype:protein_coding transcript_biotype:protein_coding MTDCSSSVEESAAPVPLETGHMLINDVNIGYCRYGSGPNFLLCICGAVGCYAKDFPESVLRQFDPTLVTIICIDPPGYGTSRPPDRKQEIMRCTKDSEFCVKLMQGLSLVPFTVCGWSEGARTAVDVAARGATIVNKMILMAAGTRVTSMGANAFRGMRNTDQWLPTARQPYLKHYDEAFLKKQWADLCDVVVEVYEMMGGRFPCDHKLNQITQPSLIVNGGQDRFCSDPKKDFLNVLKNARLETQLHGGHDFHIKYPKWFADKVQAFIKEK >PPA18397 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:183163:184572:-1 gene:PPA18397 transcript:PPA18397 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLAEPLSIIRRTITQGDNGKGWDEKGQMKQTKRRGGQLSFDYDRFLPSSPLLHSSSKMTSSITETPQSGAEVNKCRVLKERERRARETAAFRNLRHTLELADTQAFWRMEKVDIAEGAVYLIEKMVGGSTEEIPEREPIVFDGEKTAPREEKLNRVEVEKRRRARESKAVKKLKELLMKYSTDVHNPLLYPSPAPGPRSNDSSTEENKHGFHRPWE >PPA18462 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:434388:437219:1 gene:PPA18462 transcript:PPA18462 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSFNEIESTPSAVNRKAIRKGVEDRRRKREGKGFEKLRDAIQSVAPNIGSKFEKADILEQAANLLIELGKEKKRKVKEEKDTTSPITSIKSPLSSILSTPTSISSSLPSSILFTPPSPPTNDEKKEEGEIDKTPVDLSLFANPLLNQIFLANLAQAFSSITPPAVPEKESRKRKAKDEPNSPGKKKIWRAF >PPA18560 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:943094:943757:-1 gene:PPA18560 transcript:PPA18560 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVDMENMIQDGNIKRVLNRIFTGYDKKLKPNENGQTEVILNPLKLDLINVIPISDFSIKIEILTYEKTFYAQISYNGNVMWCRNKAVTVYCELR >PPA18535 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:808931:810428:1 gene:PPA18535 transcript:PPA18535 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYFFGFIHESVRQLMIRKYGEEFWQKVLARAGFEAGKENIVNHYYADSDTYLLVDAVSVISKMPREQVWEMYGSFLIEYTMEIGWDDLIRSMSPDLKGFLDNLDSLHYFIDHVVYKANLRGPSFRCEENADGSITLHYYTGRPGLYPIVKGVLREAARRVFKLDVSLTITGRTQRSVQMSTGERTEEHVIFLIKTAATSGKTDHDMFTAVMPSPTSGVCRLTAQDFVNTFPYHFVIDQDCKFVQVGRELTNYVAPDLLTSGSSLIRAFEINRPQK >PPA18525 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:758023:769727:1 gene:PPA18525 transcript:PPA18525 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ptc-3 MRVHSEEEPPPSRSKEHKNNGDSKLRQWVESWVVGRSDSGDYSAAWREKFSHAPTWSDADMSLQQINRGLASGNRIALYARSFFQHCLYWTGCKVQKYAMVTIILGILLYAVCCVGLPYVQIETDIVKLWVPQGGRLQTEMDYVHEAQAMARERQEEKRMRHKREPLPAAISALMKNGSKPVISSGSAPPELPNLSDGLGGGFQVVIQTPSYEGENALTKDGLLRHVNLMREISQYKVEMFGESWTLADICFKPPGPKGGNGPLAKVMNTMLDKIIPCIWITPIDCFWEGSKPLGPDPPLYMGEEITAFVNSLPKGNVSWKNLNPTAVVKEVSQLFDMGPISNFFERAGIDAAYLERPCIDPLDPECPSLSPNYFNRCEALTAFNKWNKALPANERVNLTRIPYVKEESKDIADSLLNDLFAGKKRRKRATASDDYYDYEKDDEYDTTNGTDKKPSKEEIECLEYGVGLLEWMTNNEDKWGMFLTDKQKPQTPDFGHLMTGGCKGFSKKIMDWPEDLIVGGIHRGGHNIDSAEALQSVFLVASPGDVYHRFKNPKDIKPNLDTKRWTRWHASNIIQTWQRNFTKNLYHHKANTQVEGVRQFHPLASTSIADMLEEFSQFNYGIIIMGYILMLIYACFTQARIEGRWLAIQSNVHLAIWGVVWVTFSSISGLGFATLLGINFNAATTQVVPFLSLGLGIDDMFLLLHNYDEISNIVRKHEMGVLLKETGMSVLVTSTNNILAFLAGMVLPIPALKSFCSQTAILLAFNLLFLMIIFPAFIAVDLKRRRRGTRDLLCCIPQRRRTMMKDSSMNGMPHTQSNVSDYPVSSQTHLTTLNNVPGDIEHFEREDPWYTVGGFLKNHYIPALKRKDVRYVVLGLTLAGCAFGLIGMYRNTLGLELSDVLPANSAPAAFMAAREKYFSFYPMFSVIRGINVDIPKQQQQIEAYRQEMAESRFVIKGEDGQPQEYWLSLIRTWLVSLDKVAEKAIKEGELMVGGQIPEGKKPEPEVYIARAMLCSVRDSWNCTDRVGKIKMVNEDGVIREDAFYNYMTGWYNVDNMMYYVSQASFIPLPPHWEYTKDDVLVPPAEPLLYSQIPFYTTGLTTTPTIVESIKELRAVCDKYTVAGLDNYPSGLAYTFWEQYLTLRWNLFLAILIIAAAVFTVIASLIFNPYAAFLVMIIVVVTTIELGGFMGLFGVKMNPISAVTLICAVGIGVEFTAHVVLAFLTSLGSVETRLQSCLLHMFVPVFHGAISTLLGIVMLVFTEFDFVFKYFFVVMSVLVILGIINGLCLLPVILTFIGPKCELTPRDDPNRLPAPPPIKNRTRMDALEQGSLRRRKGNEDVEMTSSPSSSLSSSDSTPHAPTPLKAGDKNHAYHDSLSTIAEEPTVKRM >PPA18372 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:41757:44086:-1 gene:PPA18372 transcript:PPA18372 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPLPTRPHFLYYPRELRVRSHDRGSFTDYPPPLRNDENVPGWWLLDGGSIVPILALGLEKGDRVLDVCAAPGGKSLAMLLTKLPGKLVCNDAKMSRLGQLKRALSTYIPSDHEIADRVILKRKDATDVRGWDELQCYDKVLADVPCSTDRLAVSQDEGNLFSATNSNLRLSLPQTQTKILVNSIRSLRVGGSVVYSTCTLSPSQNEAVVENAAAIADERFGIKCVEESLSTLRDHLTSSGLFRFSDGCNRGLLVLPFLPSNFGPIC >PPA18442 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:361809:362054:1 gene:PPA18442 transcript:PPA18442 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTTFSQSTTNYESAARKAKPGAATTTAESKKEGDGFEEYADYEDYGMLLSHIIPG >PPA18392 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:145547:148645:-1 gene:PPA18392 transcript:PPA18392 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPKPPARKRKMPERKKLNVGQFIASEKDAYKIESILGSGGFGDVYKVVCVKDEQKKYAMKTEYFDPRKRKLLNRLKDVFLKSETEIQVEMGVFTEIQNAPPARKKHFIQMVDKGQTDLFKFIVMEIVSHSLEDIRKYMLSGKLTWQTAIKVASQTLTAISDLHSVGDIKPHNFAIGRPPMHSQIYMLDFGIARRYTEKDGKIRVPRERVTFLGTVKFASRMCHLEQEQSRKDDLEVWIYMVLELFEQENLIWRRQTDRTQILQLKERLFTHTDDLDLRAPIGFKKIMEIVKKLNFADEPDYAQLDSLLWACAKDAKLDMSVQFDWIDKVMPEKTKPKKKAPAARLENDDDSADRRRRKRREEKAKKAEAENDVKKDRPSEGKDSKDGKEKKEGEEEDEDEEDEDEESKDKKGSQGRLSGEGGTGRHRKSLSRNTKKTKTAKETKNSKDSKDHKEVDVDPGKKASGEKFDRDEKEKGKEKREDAGASKVSKIEKVSTRQKTSDAPGSHKKSDTDVKKGSDKKVSERKRGSGRSGKRNHSKRGGSGKKKQSDKEKLKVAGPPSGPPPQNVSVMIGPQDETWEKGRR >PPA18445 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:372165:372807:1 gene:PPA18445 transcript:PPA18445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3F8E6] MSVAADPATCDIPVAGGTSTHALINSCPKRVAFKVRTSNNKYYRIKPVFGFIESGHSSNLEVTRLPGGPDKADRLHVVYVEVSPDVKVAEKALQGSATKQFIVPLGGPGQPGSDPDLSAVTPV >PPA18460 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:426996:429640:-1 gene:PPA18460 transcript:PPA18460 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRFFLLFLPSVLSFKILVYNAKFAHSHSKYMGAIADTLMEAGHHVISLMPVIDPSVPDYTKTKIIRLERTPEVTKHLSNFHEINFFTIPVDEYTLPWTMGPPTAGLFGAMCNRTLSEPGLVDQLKAEKFDVMITENGDYCGVGLSHLIQPPSYVTCSSNVMMSNIARDIGIPLPRSYIPSYSTLRIDPHSMWSRAKNWINHLVMQTFHDMPRDSCQDVFKEKYGTSFPDFAEITSKSSLILTNQEPVIDIAFPTLKKVIDLGGIVASVTKPLNEAMSSFPDITFIWKYETPEDDFAVNEVSKVKNIVLTKWMPQNDLLNDKRVVAFVSHAGAEQPRNAAAVARTGMALVYDKHELWNGQKLTESLKTVIENEEAGKIDEAQ >PPA18502 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:644799:647212:1 gene:PPA18502 transcript:PPA18502 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDITNRELSKKSGASNEREMKGTDEEKLLLKEEEEVETMQLTHVIVDCSAIPYVDLMGKDAMVQASEEFAKVEITVFYSHCKVGVRQFLENTDFHKKVPKSRLFVKISDAVDQAVKEQTVEPESERRPHPFISEKKQPNTLKEEETQKDDPIPEVKSKQPSTAIPIPDAKTAIDKSFMKEEKKKLSSAVEKTAVDHSIGKPKKDSEGKPKKDSEGKPKKDSEGKPKKDSSTTPQKKKEKKDTKKKKEITVLVKKPEDPAPPVRKRNTKRSTAKVETGKTKKKNEPEKEKEKIETTAQSGKPSVNRLILENLHPKDNIPQPLDEDFYKEIVNSTPSSSSDEFFPPTRPNRDNATEASVKKILNESQGLIDKAALKLVAPNAVNVAGAQFHDLVRLSVDEAAIVQAEEEAINASRKKANASVLRPR >PPA18582 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:1048366:1049152:-1 gene:PPA18582 transcript:PPA18582 gene_biotype:protein_coding transcript_biotype:protein_coding MPNQHPNLLQKTINQKRRKTKELKQEMEIDQLCTSDWHMRCKDGMQCIDKRWMCDGRVDCSDESDEDYRLCKYNKESQGGRPDVRGGWSGTRHGCPRLSFFCNDASACVEPARTCDGVRDCNDGSDEGPFCAHMKEQRYRKKEDNLTYYEK >PPA18404 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:205438:206753:-1 gene:PPA18404 transcript:PPA18404 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEHKKGRRNRLTRGQIRKASHEFLSARLKRRIELSKQRREEEKAGKDTNEVRPLGFIALRVAFELESEHRERERIEREKEEAKRMELKKEMLEKEEKEAERKVEERKERLIRRWKLREIERIAEVEKETEKQNEINRLKEELRKEEESLRLIRETSAAREKELDEERNELKRVKAEAAAKKAERIEAAKKVDDHPYSLSLLYSRNCSICLSSNPRRRAVMITCGHFTCSLCSEQLGDENGQRPFPCPLCRQSTFYVKTFEEEEMRQETLGTRKRKMNGDDDDVGASSVKSPRIADPSCFSVNK >PPA18479 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:545175:546323:1 gene:PPA18479 transcript:PPA18479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:H3F8I0] MGIIGLSSLALLAYISLASAADTVTVTKQVYFDITIGGKKEGRVVIGLFGDVVPKTAENFLQLATGSKGFGYKGSKFHRVIKDFMIQGGDFTRGDGTGGKSIYGEKFADENFALKHYGPGWLSMANAGKDTNGSQFFITTVTTPWLDGRHVVFGKIIEGMDVVRKIESTKTRPGDRPEEDVVIADSGELPLAAPFETPKAAVN >PPA18472 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:504465:507540:-1 gene:PPA18472 transcript:PPA18472 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-daf-19 MGIEPVNAASFGKLIRSIFDGLKTRRLGTRGNSKYHYYGIRLRPTSSLASSIQHDFPCDPPPPTRTQTKSSSNGTSSMVTRRGGVTTRSNVSPTSSTVSPPSSSTVVASTPINPSSISLAPSSTPAAPPRPAVVAAPAAATAEYQHGTVSSSEDQHKCNLGEGIVAQYTIVSTPHTQMELTAVGLTMEHALKFVEAYYQNCQDVLYAVKELRFDGVEDAWKRFWQYEEVSTGPGSITPDVKIALCSVEAVKKYITIMDFSFLQMLCDVLVPDLLAQRSQISEALNLRMRNFAKVVESIAKSSCEGAPIDVVRRKEIAARMMSQTLRKYTSLAHLSYAARQVCDSADGTSFLAEDLTRVDLAVVQEQCEWVASFRCDSDFVSHLTESFKDNLAKKKTLDEWADWMEAVVDQILAKYTVVMNLTHRTLFLLQFNGNS >PPA18366 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:3827:8187:-1 gene:PPA18366 transcript:PPA18366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-atf-2 MDCPPSSLTRSLSVVVAREDDSMSSEGYSPRSRDDDYGPFYEKRRRTLVEVGTKRRGKEERRCGASSSSSTSNDASLEQRLLALSQENERLKSRLSSRSAMDSLLGGGRLPEMPSMPAASATAAAGGVAALYGGAPTSVITSRYGPTPSSCSTTGAALPMLQLAQLQAAIQQQLQLPSHPILPSTSSSSPLLLSSSSAFQPFSVIKKSPERKVDRPVAPDSSTSDYPTLHSIGRPTDSAPSTVNPPPPPPSSGGLLSAILSAPPLPQPRISPLVPRSSTEHWSGLVSPPRDHHGCSSSSKSDNESATSSSSLSPSGSGGSSQGDGCRSGDPKRQQYMDRRKRNNEAAKRCRANRRAVFEYRSRRVQVLETENDTLRDEIAVLRREIDQYKNRLVERGLTVPATPAPPPTSLLLHSLPPSLCIFHLSPSFLSFLHSIVARLSAMADKSAFVPVDVSGAGKNNDDVDMDDELFGKKAAPAAKAAPAPAAPAPAPAAPAPAPASAAAPPTTSPAKKAPIVYKKSATYVKTKAGGK >PPA18585 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:1056620:1057820:-1 gene:PPA18585 transcript:PPA18585 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFRYYVNPMIATNQDRLACMSGLMGLQDIIFGVCLPSSCSTIELIHVLRPPSGSPSIIPNPICNLVRINDNVPEKNESYDLAMKIRFECSGQSKFSLSLGFYSGMEAVTSIEMVMEISKNFTSFSDRVFQDRLKFYHINSATCTRRGWIMFYVHRFIRFIPFISSIHFYLTWEWSQLTFSDLDQKLRVH >PPA18408 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:217967:218269:-1 gene:PPA18408 transcript:PPA18408 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEESISCEALLTLRPEFRQVFMAATHDGVVGNPIADFGRSIPPKTDLPGCGYCFVDED >PPA18517 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:706911:713825:1 gene:PPA18517 transcript:PPA18517 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRPTRTCVKPVDYAGTSTQNKIKEVKSMTTHLPHQFSPTELAQMLEALQKEIPGMSDSDKVGVELKGFNAKDVTTYEEYCRLRDSIMEKTGHPDFDEGGRTALNVDAAAIAGAKMVIDNARSTRAFSKQRETSLCVYVCLSPSESHSRTELASSLAKGSWVLGRAFRQGLAVADPVGIVPRERPEAPRAFRQGLAGSLRSLANIAGSDPSNRVGLMASTGVNENDILYKGISNEGTDLDAAKAVSDNRKASLMESLVDFQKQIQFKMSVLKHIEMDTGNKQKKIAREISKSDGPITRRGKNEEQTEHTTKKGLKRKMPTSDLMKTPKQSQELNPLDDKRIYDEYACNIASELREERKKRRRTAPIPFNIHLLDETEEDEDNAMRVVAKEVKSVIDDAHLDAFLANCECRHESRNMVLIKVVVIFVMCLIGLLVAYMTFLVFVDPLLRARGIGSSSAGFNYRHQSNEIEANIFAANQAGEASSVSGNSDENLAGSSQGRARTHGNNVLGRVEAEQSRWMKKVEEQRKNIFTDHTMLN >PPA18539 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:827417:828590:1 gene:PPA18539 transcript:PPA18539 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRHQKLVEHLRSAAEETTKKDEDVVNYHEEITVLEEGIRTALEIVNAALAGGCLRHNPNLIYTLLYHRSLFDSFSHHPMFQDLMVNIGLVISHFSSKILDEKSGDGSAVLARIEKEAIIWPTDRLKKFPELKFRYVEDDNTVDFFVPCHDSHY >PPA18552 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:889944:894725:1 gene:PPA18552 transcript:PPA18552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-glc-4 MTVCDLLQDYDSAVRPSGKTPMNDQKGPVVVTVSLNIRSISAVSEKNMEFVAQFQLRQEWYDDRLRYMDYNNGILSAASRNFEFITVARDQRLWIPDTFFQNEKNGHYHMLDQENKFIKIRSDGLIVYDRRLTLHLSCPMILLTYPMDVQRCFIDFASYAYTTNDIKYEWRNIDPIVLDSKANGALPNFDITKISNSTCHSITATGEYACLRVELRLTRVFSFFLLQLYIPSSMLVGVAWVSYWIDWKSTAARVPLAIVTLLTMITTSHAINSNLPPVSYAKSIDIWVGACVVFIFFSLIDEMRKAACNRSRLSNVIDSDIYMQRAGQAMTSPASLANFSPVEKKRLLRRKRKKSFELREEEEGGMGMQLMNVFANNNGSSPPLGVQSEWTFENTTDLMYVGQRKRVELVRWCSVLSSRGRAERIDIIARIIFPVAFIMFNIAYWSTLNYNSAYYCVILANVYNKQRNNIICTAQFASWSIFTPSDDEFVEECMSVFLKWIDVSPSLRPSYPFFPFPTRNTSILDRILPSHPNRGTNELINGGIPSIVCEDEIDSSIDQESEGYGSDSSIDYDGDSEDFEGDDMSSSFEDEENTEEGYCSDDTSLDTYSIFGGGIPSHHTVIIPGPFADRLAALLPPIGTPFLINGCLVSSTVSNDASITLSSLFLRDRSFSHHSILSFSSSSSIDSHICGSECFYYDRLTTEDEREPLLSESSISSSSTVSSIEETEASKAMKIRMMKEVDEMIERIEKMKKRLEMMKNE >PPA18550 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:864518:865905:1 gene:PPA18550 transcript:PPA18550 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTSIDGRLLRTVNGRIEDSMFLSDKCSVSDRSHCGRPLGIRRLNVSSLLVVDAYLGIISVDFPNKKVSTLLPSSSPIDRLTTLHYIHDVAVVDSDNIVLTERSIKYSPHFRPYERMEHQPNGRLFHYEISRNRITLLLEGLFEPSGIEVAQDRDHIIFAETGTGEVLSYHMRTKGLTKFTENLPGLPSLIRLNQHRDALWVGIEEVRFSETGAPKSLPEYLQEWPFVRKILLSIFPSEIFKAIYRSFAPPHLMAVKYNMQGQPLVSVQGPRGIPNEHRVKSIAQVSENSEGVFLSFSKGNHIAKINKRYVDALQQGIATSARRRG >PPA18367 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:13201:15060:-1 gene:PPA18367 transcript:PPA18367 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAAAAGFSQLLRHVFVLTTLLYEVTATIMEPQAPCHYDLPYTNTFPIFCQLNYHGANYLCDPAGLMSRTEVDLLADTVSSLNLSTAFCQPHCGTKKLRVAVVLENVASLHGLQTCSQSVPPLHTFSSRPPALVPAALEFARLLNDHWDDAAPADLLIVLIKSVLNPRLQSKLLLRSFHPSHIHRPIIVPYFTRRLSHLSRYSVGVFLNPRQSYLEALKETFAHAGKLITMKQLPIMV >PPA18496 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:623996:625899:-1 gene:PPA18496 transcript:PPA18496 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSPMADRERKAIDVSARRLSHVLRETQRKQREEKEDREMAAAGPAMSPIMLALFPALQKDKNPKRYCKRNEYTFQVTCTPGKKLRYDLQVFCQEFSDTCGIPNLNLVQSRAAIAEEGRPHGYGQKQKNGNFGMGNSFAMGFGVVPGLELTGSRGADIGDEKLPYFNQIGGMMVNNGMDVGAMGRRRGKGAARMMNSLTHGFPSLGLAGVKNVNDGDRKGVQETFRSLGIPTSLASLGKLLGGSQPNKRNREFYEPGYGALDKKTFAFGQVDHDEFNVPAGLGDVEINRGSGIGFG >PPA18484 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:555822:556313:1 gene:PPA18484 transcript:PPA18484 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDEVDDDFIIWNEEEFINATYESLGYRLHSDLFKIHSQFVMDSAFEKQRTRQKEENFDSDEEDSYKGSEEAKRVHLKLFDGSLEDVNTRLSSIAGKVKKEGRKKGQYRKEIVKMTN >PPA18487 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:568420:568857:1 gene:PPA18487 transcript:PPA18487 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVLIVRLSNRKQDLVRNIIYYVNMSSSPGGHSTHIRAVSTLFRLLLHYGNFFTKQPMMLVLL >PPA18590 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:1070466:1071459:1 gene:PPA18590 transcript:PPA18590 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPESSWLMRMNKSSKEMYDTIDNTDKKDDTGTKGYEIDKKWKDIGKKKGESDLQMNQSGARYGHMSGASHREYHSIEDIEKMEKEAAKKKGMNGSHREYSSLQEISEILEKSEVEKKKKTKKTLDMETCNQIGARYGSMNGSHREYASLDEISQILEESENERKKKTEKMSEAPNREYVSIEKTKDTE >PPA18437 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:327251:331934:-1 gene:PPA18437 transcript:PPA18437 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDQIRSDTVKGDAAHKQKQMDEGPKASEGYGGKFGVQTDRVDKCAENFEYKGKVDSHVSQTDYKTGFRRKVQSDRQDKNAVGWNERAELSKHESQQDYKTGFGGKFGVQKDRQDKSAVGYDDHEKLAAHESQKDYKTGFGGQFGVQKDRQDKSAVGWEERGELSKHESQKDYKTGFGGQFGVQKDRQDPNAAGWDYHEQLSAHESQTDYKTGFGGKFGVQTDRQDKNAVGFDDHEKLAAHESQKDYKTGFGGQFGVQKDRQDKNAAGWGEREGLAKHESQQRPAPPVAAKASSLRAKFEQMAAGGGEDKVALERERRTAEDAALRESQAAEEEKRQKKIDEEWKHKEQSGAVPSSHEDEPPAAAPVPKRVGPAPGAVSIMPGMLPPPSTRAPEPVPEPVAPPPSVQVMPSPPPPAAPAAAAIPPPAALAAPIRRPPSSDDEDDNNDADWDEPPAAPAITRVVPAAAPPPAAAHAAPDAYDAPPTEEELAALTPPPMQQQQQQHYMPAQYDYVPSEPAPPPTHYASQYEEPPMEVPPPAPVAAPVHHAPPTAAQGLTAVALFDYQKADDDEITFEPNDVITNIEQIDAGWWRGSCNGQHGLFPANYVELQVC >PPA18403 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:202789:204330:1 gene:PPA18403 transcript:PPA18403 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAEIGPMLRPGDLLEFKRFFALGKLKKRFYTHWGVYVGKPEGKHIVAHMFITAEGITTAFTIIAGNLEGAEVRLDTLEEVCTDLCRINNLDDRKFKKMDTNSIFRRAIDSLGQHNYNLIYDNCEHFAKWCRYDKHTSNQRSSERSSTHPCNKLFNI >PPA18481 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:549911:552462:1 gene:PPA18481 transcript:PPA18481 gene_biotype:protein_coding transcript_biotype:protein_coding MWVQLGCAFLIFISLIIFSLIPYCGLSVGARSKNGTLLLSLCNCIACGIFLSTCFLGLIPHVIMQERAIRSSWGAAAAAAGMNMGHEHPAEGVKNASAGLSATTDFLLNTQLLVLAGFVIILMIEQSIFACSGHSHSHCEGGGGHSHLHNEDDEELSSNSNITKALIPKYSTFRSVGPSFTFPLFSSPGTWKPETFGRGKTQDPTY >PPA18495 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:622862:623240:-1 gene:PPA18495 transcript:PPA18495 gene_biotype:protein_coding transcript_biotype:protein_coding MANHGPSYGLSRELERKSQARFNLTEAHEVLLWIESATGRQFPEDPVALEDSQAVSEVLKDGISLCEK >PPA18526 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:771363:772213:-1 gene:PPA18526 transcript:PPA18526 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKHINTDLEFAEGSTSIMTSASNGISAMPTFAMFVNKSKVDSVRGANQDALETMEIMKITGGDVLSYILGSKLDSVGAKAQSLLSDFAETKVNRSDFDAQMTNIKSEMENIQKTYNESSDLEDEGKDALHGRWTKVDNMIKL >PPA18413 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:232287:233578:-1 gene:PPA18413 transcript:PPA18413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acr-7 MKDQTMEMNAWIRYEWNDYQLRWNPEDFGNITSIRVNGGEESQIWMPDIILWNSCDDEHFDSRYTVNAVVYHDGMVNYIPPGVFKISCKVDITWFPFDEQLCYMHFSSWTYHRGAIDLQLGSSRDHKPAMDISTFVKNGQWHLVNAPSYREEVNN >PPA18405 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:207658:211806:1 gene:PPA18405 transcript:PPA18405 gene_biotype:protein_coding transcript_biotype:protein_coding MESPIDILPWWQHLESMIQNKSIVPIEPYYLQKLFEDAMNEKTQIETEQIIARLMHKPCFILTEEKGKICITKKFRYLKQYYWEIGNDLETFGLVILSSIIREWPVKIEYADDSQPEYIWDRAPLSIASKKDEGSIRKTGDKYVIRVLALDESDIGKNLFRAYSSTQLMEIDDNGQENDTLGAYIIELNREGEHTKKRESIIDLKYRSNLKYRSIMQKYLLKSDFEFLFFHNRGSFIVRSVSSNSSTHWKKSFPAIWTILVDYYYKMGQLTPYEEYVKEPTGKEWIIDHLPVKDKEQIQALILRKRIKIPSEESLNTQTDKKTFTQLLNREMQSVQMKDEYIVLSGAEPESAGCSIHAWLHAVSEIIAGPTLHNCPKTTIRMFNNNLITLRSAESARRTVKHMLSLARSGKRKIEESPNVIMIRIDEREEYRLLFEMIDYSKPENPYHFGYSNTKAIRETRLPVNKGPLYERYVSNAIRDGVIKQTKPNELCGLVAYLKTGYLCDFHQIMVSSEGKLNLYPLFKVGNAPPVYPYDFTPTEMVDNEINPQIGPKQTNDIVDPKQESFSEMETAIEQSMKSMKINNQEARQVHHYQMELQQKEKRQSRQVVQGEHTFTAPYAPHIPYEQPPQFALPMQPHMQQIVHYNVHNDGAPPPYSSQSDFITYANQGVQAQPPFTPPDQSNGLDGLVGFNNPSFQPL >PPA18461 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:430949:433854:1 gene:PPA18461 transcript:PPA18461 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLYLLLLLLPAVSSYKILIYNSKFAHSHSKYMGAIADTLMEAGHDVTSLMPTIDPSVPDYTKTKVIRYERNDDVTKHLSNFHEINFFTIPVDEYTLPWTMGPPTAGLVSAMCNKMLNEPGLIEMLKAEKFDVMLTEGDSCGTGISHLIQVPAFVQCSSNVMVGTIAKAIGIPLPRSYVPSFSTVHINPHSMWSRAKNWINHLVMDKYHSMPTDEIELLFKEKYGADFPSLKDIVAKSALVLTNQEPVIDIAYPTLKKVIDLGGIVASNTKPLDEHWLSILSLRSRSVIFSFGSIARSTVMPIDAKRGIIKAMSSFPDITFIWKYETPEDDFAVNEVSKVKNIVLTKWMPQNDLLNDKRVVAFVSHAGAEQPRNAAAVARTGMALVYDKHELWNGQKLTESLKTVIENEEVRKSASLVSLRLAKRPFSPKELLSLNRTIPEPQYPPWVEEKYPPWMGNCTNEEKIKGQCNYETQEEEIRAQFPPWIGI >PPA18425 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:289204:292513:1 gene:PPA18425 transcript:PPA18425 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVTTKVIAAATVVAGIVTVINLGVIIFLLKEIGDFQNDVHTDMMEFNGVADQTWENIIINTPPAALRQKRAASCVECSSQPNTCPRGPTGPRGRPGVKGPDGVNGFPGPDGLTGRISMPPGKKPCIKCSPGPPGVKGYDGLTGVRGADGSTERPGVAGRDGFDGARGLMGDVGGRNGAIGVPGTPGPMGPRGGAGRNGENGLDGENGAPGVAGVPGKNGEYCICPKKEKKGATDVVQIEKSELDTAIQSLVESSPIKMEAPEAPHESSTDGYSDSVQISPSSSGVDAAPPAVEPASMTATYDEQVVSEASTTEEQSSSLSPSYEIMAAIEVAESTISTTTAHVSSVDGTSEFQGQSVSSTAPPAFSPKSFEASSKASTVQVTQIYHRGTGGGRTIDQHTTTPTTSVPASKFVRHVGGWSRRASPPHPPPVRGSKKHDTDTHLQRRAFGGARANLQILAWTLQVSHTVLVCHDWFIDLDIIKQLRTAELLRGATDEWVLMAVGGHTATFRCFWKACLVLPPLSSLLKWIGAD >PPA18545 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:835651:836200:-1 gene:PPA18545 transcript:PPA18545 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVLLISCVILSFIDYSASSTLDQAVTRLRQRYHQDRIATSPSTPNPDSEETHRKAQSLINQWSQYEKTAGGTGFHMERPDTGPGLGDIAVRAGVGVAYPFGGFNYRRDFELGMGGSGEPVQE >PPA18510 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:676550:681850:1 gene:PPA18510 transcript:PPA18510 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ptr-3 MNGKHCTGDTWFIRFLRRFFGACGVLVVNHAWKLMIFSCLLTGMCTLMIPLTPMSNDIADFTPTNAPSFTEWRTSQQFFAGGVKANTVYAFVTAKDGGSMFRSDKMGEAIDVLDSISNNFKLQTTTGMQSFEEFCRAFCVINEPLRTFYNGMRMRDTLDNTTELDLAYPDSHVMGVRSHMDPYLFGVKIAAKRKGKIAVIPTYEADDLNTEKPLKNNLREFKLVVLTFKSELDPAVTKEEIEKWELSIVNYFAHEFNSSLIEVTIFAESFITAEVVRAGLTLLPYLVIGFIIMVTFSASSFALSGLALNQMGWDKLWLAFFGCAGPFMACGVGLGGMFVLGARFGTILCVTPFLILAIGVDDAFLMVNGWQQITATRRTEDLRKETVESELLHRTKEMLIETGPSVSITSITNCLAFAISAISGAPEIQLFSIGNAICVLIAFVFQLTVFGALMVILGRREITDEFRARAEMPEIKENDAEKQPDPLDLAARADVVKFENRKKGGLTAIAHKILRAYCKMLDNRLAVAGIMGLLALYLTISIIGTVSIKPSLKPERLFLSDSPFNKLFGARQEYILPSYGVLWIYVFNPGNVWDPNRRALLDAMIHDFETLTHSVGPYSTKLWLRDFEDFAKENPDLLTSDMLDDYDENAPPKVASKYQQLQGFLGWPENSYWRGFIQFEPEENMVNGSEPQITRFMLTTAYKGADLKDWTNRVTLLKQWREITKTYSDLNITIYQEDGKFLDIIDTMVPQSSQSAMLTLIVMFGVTMLFIPAPFVLFTATFTIVSTSLGVFGFMSWIGTELDPILMCATIMVIGFSVDIPAHIAYHYHMTATHATDVVDRLENTIARVGFPIAQASLSTIICVGSLFFTDLHMSNIFAATMMTVVVIGTIHGIFVMPAIFSACAHFIAVAHVLELPLWTSLLPPQ >PPA18488 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:574589:575142:1 gene:PPA18488 transcript:PPA18488 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGDEEERRSLEESYCEIRRATQMTMSIAGVLRCKCLKNTQMDDICNYFFDESSVQREMC >PPA18412 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:230662:231764:-1 gene:PPA18412 transcript:PPA18412 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRQPGNHFFSASFRRVFCEFLPWLMMMKRPGHKFRKGKANKDKPSEVICVKCTDDYANIIDATGNGSGPEKAEKTKSLFHVLARVPAARLSLERKQVGQGIFHRDGSVKSIKELQRDKFVSKTYNEIKNAKDEPTMVGFSILEAYMAISRKVEYLRSIHKKKKIRQHLQEEWKFAAMVFDRFLLFIFTVQITVSVMGLIYFAPYFDAV >PPA18524 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:750167:752632:1 gene:PPA18524 transcript:PPA18524 gene_biotype:protein_coding transcript_biotype:protein_coding MILCVLLLFLPQLLLGASDEYYQHLFGTSDFALFTQQNCARFIETHVGIAENRCPIGLSQSHEHDLLFTLDIDHCHDLNVIPESVRSHLIRDVHRKHRPRALFVYVNSKTKRINYGFETLSLEGNNNPWNVPKALKEEMQPGDYQMQSGETLEDSIFDTESNILYLIIKLPHQRNRKLHMAYASNIYGVNGRPSLKKLSEREFTLHKNNVERFSWVENPYEHTVYYMEQKNGRNKTYKLKMQELLSSLVDGTEGEPLERDTVNQNLIWAHKGAVITLARKDVDTKFTDTYLVRNATSMAKHCSIPVYNTSEGRKTRRLITLFDWDYCVLAYGNDGTKSSDGLPCPIYSNNQTFLQTVPSTTNTLYIVLLVLFGMIMLVLIVYVCWLRRNLDESMSPDERKPVPYYPSGQPLDSTFDMSVDRWDQY >PPA18547 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:842680:851079:-1 gene:PPA18547 transcript:PPA18547 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAHRPPPLMDMYPPQPSSNFQYLRQLLENEILSFCTLPRSEAETRTLSKDKEARGDHLPNFPFENIGKALVRKYGLSLAVDQLTDILHFMPEYNNIRPSGHLYTQGGLTKSTSISPIVFHILFKVIVVPDPTDRRPEGKTKKNMKRAPRQMLNNLTDTQTSRIFNAIVAIDVNVEAKVLSKAVFMLQLRDKMGTYGPYAVRAVTEGWASFASTIFFMHNPSFSSYFDSPEVIRTALCLGPLRATTVESFAMNRSKEFREGCRQMLHNAELTAACHSFLSFCPQHLVHRYGAIRFEANIRLLMDEMRGELKHDQLINPGWAFAAIKKYAKKTFQDKEWAYENLHELFWTILYQRPNLRKNIIQACKPENEQYAAYWQRISTANASIADVPRDIPLVTGDPLDVSIVPGGPFLSFSNEMHELIFVNTAKLLNEMSSEIERRAEGPDGVMLALDAEWSAYESYAAASILQISLDDVSYIVDVDVLPRDVVRPFIEMLFAHPKILKLGFQFHEDLAQLRMAKSLRGCAALYRPKNLSCILKLIVHLTEESEKRPNATEILEEFGVSIGRSEKKEEEGGGDEEGEDEKDEKEVDKELDEANADTSTDSVGVIEKPAAVTRVRPSTVVTRVSTRSLSSLCESLLGLPLDKREQCSVWTRRPLRALQLRYAAMDAFCLLLLHARCKKWAEKLGMPMEDMIRLIHVGHAKKLIEKFSKMTFNQKEWAYENLHEVFWTFLYQRPNLRKNIVAISLIDESVKRENAVEILKEFEVNNIGSPRNEIDRRRSHTRSLSSLCESLLGLPLDKREQLFVWTRRPLRALQLRYAAMDAFCLRLFHDRCKKWAEKLGMTIQEITSISKG >PPA18557 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:931829:934263:1 gene:PPA18557 transcript:PPA18557 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLNEESVQEVELTSEQKATQLNKLMSDGKRELKALNYEPASQKFGEAAEFAATHYGPLAVESFEPNFMYGRTLAELSGVENDLFQSALVNIKKKEGDEAEEKDAANESMDDEKREDVREMVAEALAETAEELEKKKGGSDEESKSEEVKEKESVDEAAAGDKMEEDEKAENEEIAADNDESEEAADGEKEEGADGDVDNAEKEDIEDGEEEVDTNQLAWKALEVASQIADKMITETGDKEWKHKRVDVFVQLAQCSCNDEKYETALEDLGRAHALMEEIATEKGDRLTAEIFFHEGRINRLRNEFGLAEVSFNKAGDVFQKILDALKTEAGDSPTEDQTMEIAEIEQIMKDFKERAEDSKESAVQKKKMDEEKEKEKAEVPSILTTSNANSDATANDITSLVRKKRAHDDSEVEGAPKKAKSEEVEEKGEENIAV >PPA18507 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:667666:668550:-1 gene:PPA18507 transcript:PPA18507 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAIMRLSLVGNKNVLILLEKSNSNLLGAWEQDYRDLVGGKCYNLKDRLNQCCMNFDVCSKNNGDGCISQHEKCNAEVISGREKTCSTFADRFIQRDKPNPKPDPPKPRGQIIVYFETVIVYAQEQMETHPIRFWMGIALIIFATLLLLCGTCFLCCAVLGKRREEERKNQYSSRRASSVKSDSGRN >PPA18491 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:594104:596053:1 gene:PPA18491 transcript:PPA18491 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCNLQKLDRSLSLAIVSVSSLHSDVSFLISNKCCLLVGLRKKPTDVRGQAGKHFDQGRTLSPADRRILKLSNGEGQVPRRAPLRTSSTSAAGGLKQDEVLFADDRRRLFRWMTALTRARILAMRFLTASQSTPNGLSLPDDQLLHIYGKNSRGNFD >PPA18409 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:219631:223563:-1 gene:PPA18409 transcript:PPA18409 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHREDRSVLRERARKRDFESDCELREANHVDMMKTIAAHVNDDGELIPSGERDIIDYIPDGSNALDGIYRIFKKPSNSDLKREVAIEVIDRMIVRNGEKFIRELAKANKLKTFSADLIRDVRNESNEGVKHFLCRAIGHLAEKRDSWPELHRFINMNVTSNDVSIRKTILELIGTWNSQVVEWSILKDLIVESILGSDSTLYKPAIKALGTYIKKDSSEDHEMMDILSKVTPRLLEQAEKECTTPQCEAIHAIALQCLCQIANDIPRVVRHQVEKIVPICTKKILDSIVCKKIDDRHCALQIITATSKHFAPSLAVDQIYDIVKSSIQSIANNMEGTDEWLTYDAAIDDSKKGRDWAITIGKWYLKEIATAIGKKRISPNLVTVTKEFLKDEKWEKRATALIGWAAVGNNFRDGRVISIVDFALSVHPRVRHYAIFLLGLLFCSYDLNDHPDRFEKVLTSLISNLSDPSTRVFNVSVGALSRLLTIGECPKVLLASNYDAIVPLLMSKMEKWEEFEICQFMSTRAIEIISFFADAAGKDRFKVHFNQIKSSMVMMLRSIETFDDSLSIAVNRICGVLGTDSVALLRLFTMKIDLKRDGYLFLETLIRAVHTDNFDGSDEMINEEKKVAYFVKKYAMEEECGKKVELTEGERKTMMRLLDYV >PPA18537 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:816826:820921:1 gene:PPA18537 transcript:PPA18537 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gcy-36 MEVDEKVEEIVKEKEEVKKEETADEQKPMVTRKSTRVSSMSVDTTPSTSGKEKKRKDPSPIESAISKKEEKEKEKKEETKVKEEEKVKEKKKEETKEEEPPVRRASMRGRPPSTPIVHSAHIHSSEEEKEEEKGTVRGRRSSRVVKVEDKKEDKKEDKKKEDEKKEEEKDDKKRTRGSMGARKTEEKEKEVKEEATFVAPTVASRTRQHRGSEQTDDKEKEKSEEPIGSKTRSSGRHSLSGKSTSESKESPAPPERRTRRGEKKEESEEKEIRGGKETSVANSDKNTQGGGGKKDVQSETEVSIRHHLVSTAPMSPSKTRRGTERESPVARKASRASTCSSTNGKPSMISVEVQTDAVEFKHDDVVFYQYNDNEKCFHPVIEIEQRGRGRPRKIEKEREKEIKTETDEEKPISRRTRGGETSEDEGGSRSGSFSQSDKGTPSRRREAKKENTRENMMTLRLDLDDDTGRYWLEHNGASHVDIIEGPSSAKKRSLQSEMSSGGRRSMVHMDPLTHALYKACKDLDLHRHSSVFKANVPHKDAPDYYDCVHFPMSLSKLKTEVSEGTVNDPVSLQRKLQIMFMNGAMYNSTAHDYWGYAVEMYKETFKPIKEIMPEMMVEEEEAPKQTRTRRNNEPAFAKDAVLCERDRSITHDRTVKCESEDADDHHMDTSSEDNRTIYSRTKVDYTRKRRL >PPA18422 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:276741:277122:-1 gene:PPA18422 transcript:PPA18422 gene_biotype:protein_coding transcript_biotype:protein_coding MWLYGFVTYAIGFHVCRYTHHYIKDHYRIPKESPKQSSPIPSGKEDSKKKKK >PPA18493 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:607792:609912:1 gene:PPA18493 transcript:PPA18493 gene_biotype:protein_coding transcript_biotype:protein_coding MFTYLIYMIRFNLMAVAVYEEEKVRVFSFPWIVFLFMHIMLSIDLHTTSLYLSVCTAFIRYQAIKQLQSKFMQPQIALKLFIAVAAAVSFFCIPTFLVHKVQTYDVDGTAFYSVTLPRNDASSCRMFMLNLWLTGIVFKAVPCALLVTFTILLLYNLHHNQRKHEKIVSKDAAITRAARSDRTTLLLVLLLFVFIITEMPQGIIAILNGIYPTEVHHFLYTPLGEMLDLLSLINGNACFILYPCISSQYRESFRMMVARLRQAVDSYSPRPTSRASSHKTVKYSAVEYKSHTAVVESNRSSPGSPVEPVDL >PPA18469 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:481646:482026:-1 gene:PPA18469 transcript:PPA18469 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVAKYSRNSAKANPAEACPGCGTVIEVKKRRTHVETVHHSERFRDSTDLTEIIKSK >PPA18555 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:921822:925534:-1 gene:PPA18555 transcript:PPA18555 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSPVSPNNESIRGSSEVEELNRILEVERQLVLRTQENYRILKERSVQLKGENKELRRRVERLTKECEELRARRRDDEEIEQKSREVIRQFDEDFRWREDRLARQEVDTVAELQRVFRKELGEREEELEREKGWREEAEAEVDRMAEEMRRAKEEERKRDKERREERNKLERQMETVLREQDNSSGSSREQIKHYETLLEHHRTLILELEDKLLKLSQDSSRRESEYREEIREKEHRIREEREDKVKAEAVAARISTEYTQYKDKLARKDEKIEALERKINELIVAKSALEMEILDRERKQLEENDQQKIHFESRIAILEDNLHRRNEEYNELAESLTELEDLRRSLRKSEERIQELEKEKEKRERKRREESEESHRVRHRMQSEVERAREERDAAERKCVQLKKRLETDPETVDEVARLKKKTREHYRDLLKRLKTSLHNLEIRHQRAQDKLTSHILL >PPA18394 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:168483:171237:-1 gene:PPA18394 transcript:PPA18394 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVARAAASSCMRQGILAAHPITVKSAVAAARPLVRSMHFSRPQWVVFGAELAAQASSSQKKKVGIWLLGCAGMVYGAVALGGVTRLTESGLSMVNWDLFRTMKPPLSEAEWISEFERYKQFPEYKFKSSNEEMTLSQFKFIWTMEYTHRMWGRAIGLAFMIPCTYFWFKGYFARAMKVRMVLAGSLIGVQGLVGWWMVKSGLDPSKNSSDVPRVSQYRLATHLSLAFVLYSMFLWTGLSHVLKPSDHTKLAGIGKLRGLAHGSKTLVFTTAVMGAFVAGLDAGLVYNSWPKYADNWIPENLTSHTPLWKNAFENEVTVQFLHRNLAYLTLASITATWVVGRKMNLSRRAKIALHGAMAMGYTQAILGISTLVHFVPVWLAAAHQSGSMALLTFCMWLTNELRRVPK >PPA18420 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:274234:274645:-1 gene:PPA18420 transcript:PPA18420 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWLDLFLLRRSAHINGCTHFALTKLDILDSFPELKVAVGCKMDGVQLASLPAQA >PPA18436 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:324756:326761:-1 gene:PPA18436 transcript:PPA18436 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIVILLAGASLGSAQLLQALAGQTLNFAGGQNQAQTQRNINEVLSNGRQVVEQSRMQGVTTRLCSCQEQQRCTEHMQQQARECGQECWSTYDRIASNPRAMYQCAEQNADLLTGMVSCLTNLVDSCSNVPTDREIPKSNIAVMLSQIEERLKQNKARILKDPTMSQMRDLVEVTMDYGLCVKQCFINKNRQQGACFDHLGCQPFISGDAFQQASRRCTQAVQDELKARAQNLCNCATQAGIRSSQGSCTVLNVVSNVANGGGLIGGLAKGGLLSALGGK >PPA18424 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:282754:288746:1 gene:PPA18424 transcript:PPA18424 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-smg-9 MTDREAVIHFVGGAVGGTAGTAITCPLEVVKTRMQSARGIAHTTGGGPSTSSGASPNGTGPAGVGTGSKQTGMQSYKHILANTSLRQLAVQSRLSGATPSVTTVALFRSAAAAGGAHSKGGAAAATAASRFVVLKHFTHIVKSEGFPALYKGLVPNLIGVAPAKAVYFYTYSSSKRFWNDSDVFVPNSAFIHMMSAASAGFVSATAVNPVWLVKTRLQLLEGSRMGVLAMVRRVYQREGIRGFYRGVTASYAGISETVIQFVLYEYLRQMSERRQVPGRGGGRGRGGDRGRGGWDGERRGIMPRQEEAPRGGRPMQILQRRDADTPAVPTGDSKLMDNVWLVKCTTMLLLILPLLNLTRTIDLPSDTTSSTSTTTLSSMRTQRGTLDSTGVLAMLTGAAPAPVLRQRDAAPSTAAAAPMQQARPEPRRVEQRRMEKMGSSLPKGQAAAASKEVAYLGGKKVMRDASRVVTESGELSEYLVNTMMNDFLSDTNRDFRVVAAVGPQTVGKSTILSMMAGNQPLDLFRQYVFRPSSREAVEQSKHQSTKVSIYVSKARNIFIDCQPLSCASLLDEQIHTGSSRGSGRMGDRLSLQAEIELPKV >PPA18427 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:294624:296243:1 gene:PPA18427 transcript:PPA18427 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPHTTKYLERKRGFNRDVLHYTTVRRILMRRGLKKPRKLYNPRSIPRFLHPTVKALVDELYADENTRTTKQIIELVKDRMGIEIKKDVIACIREELGLRQYRVRYGHAVRVVNQLLRLVFCEKMIERGEQFLVHTFTDESYVQLGKNAQTCFVKNRADAVKAAPKHVPKLLIWAGISVRGPTPITILRGNDCIVNSLKYQQILHDTFLEATFGPIGKLVQDAAPCHSSKSTKYYLERSEIMVEEWPPESPDIMPIETVWAIMKRWVDGEWRPISLDHLEEGIRYWWTNHCTKDLFHKTG >PPA18389 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:135639:138686:1 gene:PPA18389 transcript:PPA18389 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKKRIRILLGLRLHRIDDSRMDIQEGSGQLRRDCFAFAATDGITGFRLIGMGMPKDTLASFGLFLTPLQILLPWAIGKWTAGPRPLNIFLWAYPYRILVGIVFAALVYFTPGLKIPDTKDFYISFYLIWVAAYMFHQVATYCMFVSTMAFNAQISDPRIGVGWKIHKDVELDEEYKVRDVPRDPECSLFNLMRVRGMKSRTYMTLLNTISNLGGNWPVTLILSITDLFTWKNCHLPMGSNNVTDTTRPPCSVLYSCSTKATAEQCRSEGNTCDLYIDGYYFGVALCTIVGLVWYKLLFAKIKYIQKIPRKEWHRIVKVMRFPPLSLV >PPA18385 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:113683:117447:1 gene:PPA18385 transcript:PPA18385 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWEIDFSDIDSETEVPDDIDGDEDLPEGYNEEFEKVDDFIHDNLVRAKEGNDVDMIKFVVEQGILRYTRLGLKKEVLDKQIWITKQLGKGILKNMQSRSKEAEKRTQITYVQEMIADQKKKELELKNRVASLRAERDFKKARLEAVIRGNQGSMNEISHINKRRALIQERLRLDTIEYEEEKARREDLRQTFIGYSEDLDRQLENAPWLLEKQKKAARRKELEDEIKSLQSDLAKIDLIEERNRIAGKFLAQKLAELYIKREKLFKQLSEEKTSVKKLEEEKRSRRFMEESSQMDCTQAILDGDLDDEETLMKMAKHYKSPAESTASSEANDSMSESGEPTAAVFPPPTKKVSIVEPIHNPDESVDERAAQIGANNETNLDESCMEEDDQNGDKSQPEQLETDEREEDDEGREEEEEYREEEEEHAEQHEQEESPTSQESHATGASQESVAYAPDEMVEEETDNNDGFRRPSNPAKVDFEENEDNLEAETSFNPEMILNISANSNDPGGDFFDMMNNQATHKRAVADANGSSPSVGARDNDFMSMFGGGGGGDTGAPVDDATSFSFNFGGADANETNGGGGGGGGEFNFFAMAAKFGDYNRWSTSLKTLMARLDDLSGVFRHKLCLTIATNHESPQIHLYGDKELVGSTGEDGAARRQFYHNPMPIDRRSSRRSRSQ >PPA18567 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:982548:983729:-1 gene:PPA18567 transcript:PPA18567 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPRRAINDRFGLIECLLFLGTFLLLMTSIARCHFERRFLHADLRSGNYGVLGVILQQLFDLPILIITTLCFSIPAGFLSSLYSRSLSTIGALATLTGILFIHLIIWRLIAVAASHTLRKSSAAVSFLGLFLLLCTSLSGVPITSLDQSETTVLISLLSPSQWIGRLLLQQEFMPGRAVTNLLPFSSLHSNITEMLFGCERHLLLAKKIITEVPIFTLSECAKLPGSSLLFTHGIDPGFLLTSPTLTSPPQPTIYLKIGSIVLSSWFIASALAACSSLKMNHRPKMQSKTSVYE >PPA18499 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:633953:635956:-1 gene:PPA18499 transcript:PPA18499 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNNIDTGEVYMDWRGHDLEVTKVKIWGFGHENALSTINAHTKSVSGLTVNDGKLTNGHIFSGSRDTTVRKWDSETGKQMAMNHRSRNLITHMVFNAATKTIAQSSEDKSIKLWDERDLSLIAEFPKKNNIQTFVEYLPDGMQLLSCSNGFNGDGCEISLYDVRQRRVLREFRGHEDTSIQAFTQRRLILSTSHDKTTHYEVTTSIVYDISGPGKSFTTLIPKYSTFRSVGPSFTFPLFSSPGTWKPETFGRGKTQDPTY >PPA18592 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:1079535:1080326:1 gene:PPA18592 transcript:PPA18592 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASLAVVYGNEEMGGGEITALQMGKPCEAAFDCWRSEPVDVASGLPLRMSQSFRQKRLEVGGGRTKGGRCRCTLGTCQLYQFSTKSFVPCEEF >PPA18542 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:830825:831200:-1 gene:PPA18542 transcript:PPA18542 gene_biotype:protein_coding transcript_biotype:protein_coding MPYMAISGGFNIDTKVGGRRADPELMNELGAKLGKMSQMYTTPLTGPEVLNKMELKGWKVVAMGVVPSGEMVWTLHKDTAPGGPPTYAEKFG >PPA18574 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:1022054:1024063:-1 gene:PPA18574 transcript:PPA18574 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVVKTITLICMIFRVLCWFTLLIVTFLYMKTLSRKKLFIALLGISRRAYDKRIKNRAMNYKHRYQSVENVQTALVLNNLVLFLAVALFLQLAYYSLQWYIIPKDVNPPFREIFHASVAITPTIGCIIVLSLHPFLRVYLPRFMRSRVYAEQVSDCRRETTASSNELPMVQIRSLSGVDLVVPTQNHRDAYFDEFKRQWS >PPA18450 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:391973:395773:-1 gene:PPA18450 transcript:PPA18450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fust-1 MSGYGNGGSAPAQGPQRGPPGANGGPAGGEKGYQYDPATLAEYERAWAQYYASIGQPPPPGGPGSGATAGGGGGKGPGGPPRAAGGADAASDYGYGGGYGNGGGPPGGGYGAPSGGAPPARGASRWDQSGDAGGGPPRGGGGDGGGRGGGDRGRGGGGRGGGGDRGGGAYGDNAYGGYGGGDYGGYGGAPGGPDGGYGGYGGGGGGGYEGSYGGYGGGGGGDYGYGNGGGGYGGGGDRGGRGGFGGGFGGGRGRGGGGGRGGYGGDRGGRGGGGGGGDRDRAPRDGEVELKETVFVQNIPTNANEGYIADVFSAAGEIAKHDKTGAPRIKIYTDRGGLGGGRGGGGFGGDRGGRGGFGGGRGGGDRGGFGGGRGGGNANTENRPGDWPCECGNSNFGFRQECNRCGASKPGGGGAMRGGGGGRGGDRHAPY >PPA18500 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:637059:641286:1 gene:PPA18500 transcript:PPA18500 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-stc-1 MYSGGIFVLLVAGYFGQKYGLPPPAPKIVGIDLGTTYSSIGYYHAVSGDTTIVPDALGKRSVPSVVAFLPNGTVLVGTRAVEQQEKNPTRTIYDAKRFIGRTYEKDNQNFIDDKKRYPFAVKLDDKGHAFFEIPLDSGIKKVYPEEVGAIILQYLRDTAEKHVKGSLKQVVISVPAEFEELQRNATANAAVMAGMEPRRVISEPTAAALAYGLHKKKGVEHIVVVDLGGGTLDVSVLWLQGGVFVTQAMAGNNRLGGQDFNDRVQKMIVKKIEEKTGKAVVDKEDLQQIRMTVEAAKLRLTNIPQTTVEVHLNGRESFDYLLTRSEFESINEDLFKSIEQPIGAALEDAQITPDDVDEIVLVGGSTRIPKVRQIVGRYFNKEPNHGIDPELAVATGASVQAGVIGGGWPLQAQNVACIGPFAGSI >PPA18387 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:128075:129001:1 gene:PPA18387 transcript:PPA18387 gene_biotype:protein_coding transcript_biotype:protein_coding MTRISDVGGAAPHEIHIDAVGPIAIKVKDPDVHSIAKMMTRISERFYSALFNLHTPLPVREYNRS >PPA18548 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:851495:854081:1 gene:PPA18548 transcript:PPA18548 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLAYDEGRFDGFLHYPLIDKVQFNLRGHIITTSSSFLKLHSKFFREFFKLNNTNHAPKYNFNTYDPETFTDLLNLLYPCKHPPVGDVEWNSKVEKRLALAITLNIPKLVKKLLVDFRPACCLTDDPLTNAIKAIDAVASSTKYTHLFTPFLNRFDDSTELMDHMRRKKIELSNRTKVVIFDYFIDEGEPTAKRRRSHSKSIPQEAVFFDVDPAWSDARVIVIEGVSILVSTSTLALHSVVFREWFVDDPTRIGPKREAHYYNGIGLREMTRFLAIIATDTVNDVDEPLLDAVYHLQAKYAQVICEDWIWKHKQYSFRINSGTEAVKFLKIIIKVRGKYMKLDDSYVLSLTPVIFNHVEGGEGPPIDPRESEITTTHGTPLRSKQPMPSSLMSPSQTPRTPSKNGTHVARPSIVNNTANSDTRGFVMSFFSCLDEETRGRVDRLFTQWEAARLRVIVRDDNGRDHVIFLKHNEARLQDLREEVEKLCGISTGMQRILFEAQNLVMNNKLVEFGVKNGDRLFVSRRDFGTMTQVID >PPA18577 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:1033381:1034306:1 gene:PPA18577 transcript:PPA18577 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDHQTSRRNILEKHPEFRQQFREWTAGGVDGNMVAELGRTIPPKDLKRGTDYIFFEEDWAN >PPA18384 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:106423:111716:-1 gene:PPA18384 transcript:PPA18384 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHFSRYVQETPTKESTSDDEGIYYRDPKISQEFKLGNYPGYATLGRLREFNGNACPFDVTEDPRDEKINYLEEQLKEVNWILDETREQIECLEIICTEAVARVVPEQKSVQKKEEPKSYKRFIKELLVKTTVTTNKASDGDIDKLVELTKCVKNKNREKMCDLLRPNMDFTGVNSTVLLDAPFNKSVGFRLEKKGVESIKDSHRLFSSTSTSSPLAKRLRTAQQTKCDVPPTFRAPLPVSTPQQTNNDVQPTFRAPLPVSTLNEGTFLRPMSIQSTVSALDALKFPIMDSISVPPELGQGWYRDNWFNSADIALRSGGSPHSPHPVRELLGGFPSLGVGPMSTMAAAAAAKHAGGDYKPNADDEFKKHLLTMLLQRDADMRIIIQQAEQMHIKEEMMNVMVAKEKNEKEERGRREVEEVKRKDEEECREKVEWQRMKEIIDRLEQGQQALREHVERKYDEEEQKRRMIENERTELLTREILEKEKSEMVGDDKEREAKMMSSESPSSGIDTPGGRPKTPSSSRGSGVLTCFNCNQEGHYARDCIDLDLECELCGGFGHSSSNCLPSKRCYNCNKSGHRHYECKEQGNRRCFHCRKVGHLAINCKNAPKQ >PPA18419 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:264989:271636:1 gene:PPA18419 transcript:PPA18419 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIEPSTSKDYEEEVKQEEEDEVKETTKVKDAKAPLVIASHGQRNNVTKQLEALQPAFIILYNMDMVTLRQIEIYKALNAERALKVFVLQYRNSTEEDRYLSAMSRERMAFENLTREMQTLLIPRSFDAIREDAPRLRLAVSSRDGGGYLKPDGEEEERPKIIVDMREFQSELPTVIYKKGYDVVAATLEVGDYVLTPDTVVERKALDDLTQSLQSGRVFKQTEQMLRHYDKVVLLIESGVKFDSRIVNGGPFQGELSRHCREIRMQLCMLVRKFPRMRIIWSSDPDNSAEFFTELKMNKPDPDLATAISFHGDDAVDASVDESQSSSSQATKAAKPKKLNAVIQRVLTEAFPFLGAGDIRKLMMSDKIKCVADLFRADTETLAEILSDAHAERIALLTSFDFATNKSFDGVNIAKDTVSWLKTGNVAMLSLLRPLTNTCRAIHASAALRFPVVPFKLSDIGEGIAEVQVKEWHVKVGDRVAQFDQLCEVQSDKATVTISSRYDGVITKLHYSVDDVARVGKPLLDIEVEGEVEGAEPVKEEKKEAAAPAASAASEGSDEPVHAAGDGKVLATPAVRRVAIENNVDLSKVSATGRGGRVLKEDVLRHIGHISGASSSASSNVRSSPAVGAAAPAAARVFAPLSEDLVVPIRGYTRAMVKSMSEALKIPHFGYNDEFPVDGLVEVRKELKGLAKERGIKLSYMPFFVKAASLGLLEFPSLNATVDKELENVTQRASHNVCLAMDTPGGLVVPCIKHCEQRSVFEIAQELDRLTEAARRQQLSRDDLSGGTFTLSNIGAIGGTYASPVIFPPQVAIGAIGKMQLLPRYDKNGELRASHMVNVSWAADHRVVDGATMARFSNTMRSYLEHPAMMLAMLK >PPA18540 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:829103:830065:1 gene:PPA18540 transcript:PPA18540 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVLDRTSSPQSPSPIRSPNGQKRRAIEMDEQSSESSREQPASTAPALDYGRLREATVPEGYVCSSFKLAAFSACFEAVENLRRRDALIGVHDEELIQMLCAIIRGGRELYTVRIPWPVLEGIEGGGRSNGVNGINVREADDSYRID >PPA18399 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:187326:187856:-1 gene:PPA18399 transcript:PPA18399 gene_biotype:protein_coding transcript_biotype:protein_coding MFVYYSDQIHYTKRTLEIRQDCPLQEMLGCVASKAGLPAQFLDLHKNGFASLMNFPVGRSHRLNFIVDYVNILPEENRPIPFELNELVITKESVEID >PPA18594 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:1083772:1087724:1 gene:PPA18594 transcript:PPA18594 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFLSLTVSLLFISSSHSNPIPLERAAPWPPEDRGILEQNAPEITQLSVSDKLTCDNSGADRCLLFPIGCVPGVNCTDRLEMRRVQSGNIIISLNLHVSRTAHMLVAVPMPNLSNPNSTFLVGCSIDDAVAVVAKPDVDGVTEQLRLDWLRLVAVRATSDDLECIVSAEPGFIDLPQGDIAVFAGDLEPEEEIGQSARISGSLDDGSEEEGEGEKSRERIHVESSIHKISPPLILERTTQSLEHSESTEPILVSVEDQAEPILTSVEDQRPPTTQMPEKTTVAISSKANKLRLKMLHSSENSSNERAHRHSSAERTHSTHASVHDESTSTTTTTTSTEAPTEAPEVKEVTESTTETPSTQTPEQSTTTTEAVKEEDSSTTVHSPHHTTHHHEQHQKEQTHHSHERATVDKEHHSVRMEPVTTTTTERPKTFEDDKELSEEDKKILRELQAGHVKEERRDGEEEEEEEDEETTHADGKEHHKHATVYELTREAAQDTIGRRPSEHSHSHHSHDHSHSHEHRADDDEEKEEKKEKHTTKKPVHKHNKEEEEGEEEDEYRRQRMGEKKHYRRSEEEDEEMEEDEDDSSSAFSLIVPMIIILAPVMFY >PPA18421 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:274687:275125:-1 gene:PPA18421 transcript:PPA18421 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVAAGVRKTRQHRRLASYYRNQFLNIQVDEYAKLAKFEVIGVVKAYQTRMGTGPLPTELFDDDGAKLQSIGRETGVTTGRKRRYG >PPA18449 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:390161:391446:-1 gene:PPA18449 transcript:PPA18449 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPHWSEEYVNTCNGDKIGGDPGMYCHIYRIFLYTVKVEVRSLEPFLIVLKEFIPIEYADSFLEEIKKEELHIQSTVDQNTGKNAPSVGRNANGTFLTHHRYPISSKIFNHIQQRFPSIDFRKAEQFQVLSYNSGGHYAPHNDAENGKLETSLHGACPIWEGTKRLLYPSEKQLLLKRDWKCDNGCYAE >PPA18451 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:400721:405776:1 gene:PPA18451 transcript:PPA18451 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nhr-119 MVDASSIDMKGIHADSADSRNASKPEWTQKPFVPIATPPADIWHRDCREDQHFNTELAINSSGLTNLDRQRSTTSEGDPEVDTEWTRKLPVDMRTTLMQLMNIELMVTGGDAHGEPGTSVYPLTIHSLRAILEDPTVLDGKRTEMRYDGFVRVESVQQLIAVAHRGIIAAVDWVDHLFDLIDIHNVEDKMLLVKYGFSSLMVFSTACATAKARAARNQLNLANFGFVPADVGTAFEEPYHLGGRVVPRILDEVVGPLKMMHLRDEEAVLFKAIIMLNPQLRGLSQDGSEAVADLRDRIQETLYHVVRETHPKEVASSRFGNILLMLPSVMILGNMICENLSFVQSFGTMVDQLMADVLAEHEPNGDTVMMDNYEQEGMNHSESCSSLDSLDSNASNASRNSGGSSGRRGSVLVLPSNGGTPAPPAMTYNYSSPALSLAEMAASGSASSPMNNAASLPNLDQLQESSDPDYNLTLTPDMVFGMRQAIAPQLQQQPQGDQQRPVQTPPNSPDRTTFDNRPTFYIESSRHKFAVTTTSFNGYPAAGMPMQQQQPMMMGQPPPQQQMLCKSNSLPQQYAPDLNGWGSPQAPNGSMNGGATPMDYDDAMNGYYR >PPA18579 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:1036475:1037329:1 gene:PPA18579 transcript:PPA18579 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTAYGNNQHVQDRIRSEIHSVIGRDGEILMSDRPNMPYASAAITELQRVANILPLNVVHRTTVDTEVHGQFIPENSHILAQISNMMKNSSVFDRSEEFRPERFLMADGKTPNKTTLEQAVPFSMDKRQCARESLARMELFLGLVMILQKYRILPPKDAPLDLSPIEGIIHIPKTNYLQMILV >PPA18376 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:67322:68022:-1 gene:PPA18376 transcript:PPA18376 gene_biotype:protein_coding transcript_biotype:protein_coding MCDPCSLPAIHEDAIDLKIASGGKLELSVPAPTNIWHRKFADGKTEYVETPNQYLEVSNVWRSGELGKDHNSIKVEVGEDGKLVMPEVTSVDSAQYYTYYRADDGVHHYSYFNVKIE >PPA18521 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:731923:735856:1 gene:PPA18521 transcript:PPA18521 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-btf-1 MDQMWTLLHAETKRDNMRMGLDGLVVDLLTMVDTWMRQDDRVTLPKEQLITIRDTMDPQYRTRTHAAVRIISIASERNEFPIDAEEMYTLLLTFYRILLFASPTETQPMLEEVYLTMIRVVSNHRSCVTSSAPLRESIGLWASCLLCDHKNAAIDVFAHRVEGPSSTRDDPIERLGSEEMRFMGDEDKDGILVTRKILGAKFVAALMEILYESEEMIGEQKLSLALQLLFVPMLNSTSLYHNLGSAIVINEFAALWKVGAQRIPNLEVPATVISLADAYIRTASTRQFDETTACCASLSADCNEFVEWCTVRGAVNEEIDFTAGVEEISRQAYESCLKAYQEEEDLAMVESARNRYTLLCEQFEHTKMSVKANTVRVYAFLSSSLLYFGFVGDKLTPLIRPIVDVMNGERNETVAVEIFRGAIPLLIAYSQQRVPKPFVKVLSRALDAFGSCSIRIPRPTTANSDTRIISLEPSTSKDEGTASTSDNNDNTTNGGGKENDQSIPSRNGLLLFAVCTGFTVEQLPEFYSNFDLDEKGIDDERLLTRLELHRSLFARVGSQLSDIARKTENYPVISGHKCSTIP >PPA18473 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:510200:511047:-1 gene:PPA18473 transcript:PPA18473 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDDSLLDSASSLGAYAVSNNSGGYSSADFAMDTYTLAGNLPHAYLSYPDATYQAYYTQKSKIALIHWVLQ >PPA18571 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:1001442:1002249:1 gene:PPA18571 transcript:PPA18571 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSLVLFCAAAAVVAIAAPTGEEKDHAWISKISAEGKKAIKEVVLNEKQDPIEKWIAIEKIISSEGDDVKKAYEEAHERLKAKFEEKVKDPFNNPIKKFREISSKLSPAAKTATEEVVAVVKSAGSPLTKAPKIKEILGKQTPEVKKELEAVKNELFPNADKIKNLILAKLGGN >PPA18475 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:526192:527275:-1 gene:PPA18475 transcript:PPA18475 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWLSFFLDALEREESRVDEKEKALNALLEVLVETEADDPLSVGYNEDYVNTPVRSLVRSDLDRYVQPNDRQRADLSMDL >PPA18485 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig40:559635:563012:1 gene:PPA18485 transcript:PPA18485 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLEIDVGSVADQISPASLGIGAHNLSNEWAYSSTNSPLGVDALKRKYFKRHNCRISIEEERNIRNELEAEKVDVKQKWAARLLSKLRKDITPTHRDLFVAAIKGQRAGICVVSNADQKGKMRRIDCLRQADKVWRLDLVMVILFKGIPLESTDGERLEKCSECRNPALCINPYHVSITVRELDLFLANYIHTEDREALKEEAFNGMDGRGIWGTGVFSAFEMKSLTRKTLNDVEEDEEGNGPEKEAEYQTRHQRVQNTRRISSEDSWSLRGLVGNSSTPRINSRLGKNLMNGQRSGVHQRRHSNMGNGTPGSHRDMDEELVDDYDEQEVVEVDVLEDEDLDMEGAETLIVPTSSAPTSASTTTKGGTLRPKPPTEGGTSKPKLQIDGGSSKPKHQIDGGSSKPKHQTDGGPSSIRTRRRTLKPKSRKLQTDGGTSKPKLQTEGILEA >PPA18378 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:74939:77601:-1 gene:PPA18378 transcript:PPA18378 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dnj-17 MPKCYYELLEVERDADADTIKKSYRKMALKWHPDKNPSNIEEATKMFAVLQQAYETLSDTQERAWYDRHREQIIRGGFDDDNYKDDSIDLLKYHCKCWDGFGDNKKGFFAVYREVFETLTEEDRDFHDDPKDGNYPQFGDSNSDPEEIVSNFYGFWQSFCTVRSFTWVEEYDIRQAPNRPTMRAMEKENEKMRQAAKAERNEQIRDLTSFIRKKDPRVAAYREKLEEKKKDTQRKNEERMRQQRIDNFNNLKVHKESEESKEAWRRKMEEIEDELDEREGGLSDLSDEEEEGDDPYCIVCEKSFKSLKTKENHEKSKAHKLKLAELKKHMKEEDAALLLKGMGEAEDGEEQEQVDNTPRGKKSKKARKKEKERRKQEEEENEEKNEEDLTEATKGLTLEEKEKEEEEEEKKEENIFLASAKAPVKKEKKKGNTDTTINVQEQVKGGPTVPKTALCDKCGEFFESRSQLFAHLKETGHATPLVMQGVGGGKKNKGKKGKKGNDDWYLWRVIFCY >PPA18513 pep:known supercontig:P_pacificus-5.0:Ppa_Contig40:691956:696433:1 gene:PPA18513 transcript:PPA18513 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLFFSRFKNDTGETVYHKEAAELIQPERNTLHVDMEHISYHSASLASSIELQFYRMYPFMCEALQLIVIESCEDAGDKLRLHKKPVFVGFFNILQKHKLRELTSAKIGSLVRIAGQIVRTHSVHPEIALGTFTCADCGVVTKNVEQEFKYTQPTKCLNAQCANRTRFDLNIDESSFVDFQKIRIQETQAELPRGAIPRCFDVVVRGEMVEGVQPGDRCDLVGSLIVIPDVAQLATPGVRAESNNKTGRGNQEAGAGLTGLKSLGVRDLNHRLAFLACHISSSTATFGGKDFSHEDISHIDLWKQMTTDEQKILKEMSEDKEIARNLIDSLFPNIFGNEEIKLGILLMLFGGVAKRSESEGTTLRGDINVCLVGDPSTSKSQFLKTVEEFCPRAIYTSGKASSAAGLTAAVVKDEESFEFVIEAGALMLADNGVCCIDEFDKMAVHDQVAIHEAMEQQTISITKAGVKATLNARASILAAANPIGGRYDRSRPLRQNVAMTAPIMSRFDLFFVLIDECNESVDYAIARRILDNHRALASHTTKATKYTKDDIQKYIMFAKCFKPKMSAETGDEFMKAYVKMRLNDSANAFSSSWRITVRQLESLIRLSEALARMYCSSHVTAAHIAQATKLLTKSVVRVEQADIDLDDSFNNGDEVVEENKENENDRMEEEQEKIDPSKLRIKYDLYKKLSEMLIRHCRADEEVLGDDYNGVKQSDLVSWYLEMIESELDSETDYHTQKSICERVIKRLIHEDKILIELETGVDPSLVVHPNYQKRQ >PPA18601 pep:known supercontig:P_pacificus-5.0:Ppa_Contig400:13827:14796:1 gene:PPA18601 transcript:PPA18601 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLLGMLIVGLSVADAFKILVYNPKFSHSHSNFMGRIADILAEAGHNVTTIVNVIDHDGADGTTLSEIIRIPPSAETAKMYGDMTKSKANMFEMNNFNPIGAYFMGKFYGDVFAAQCRALLDEPGLVQKLKAKFFSHSAEQKIKAAGGTCVLVAKGTITCVLFLI >PPA18599 pep:known supercontig:P_pacificus-5.0:Ppa_Contig400:9523:10786:-1 gene:PPA18599 transcript:PPA18599 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSVVSECFYHTFSAITTSVSCISNLLLIYVVAVTRLAHVGPYRYLLLIFAVVDVLISLVHFALIPAIHMTAFGYIYFGYRFVHEDTALGNWASLIWVALFYQTFVLLAYHYVYRYVMMCNA >PPA18600 pep:known supercontig:P_pacificus-5.0:Ppa_Contig400:11126:12795:1 gene:PPA18600 transcript:PPA18600 gene_biotype:protein_coding transcript_biotype:protein_coding MYQKNMDSVIFNDSWSSYRLRFTCVTYGDQNNFLFANRPGDSPSENGALRLLGKLKIKEAHPYTVA >PPA18603 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4004:180:409:-1 gene:PPA18603 transcript:PPA18603 gene_biotype:protein_coding transcript_biotype:protein_coding MCIGDYCYMKRDRPEAEIRTGCLTPREDAWKDSILPLCEKNSANATLCLCNN >PPA18605 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig401:20316:20556:1 gene:PPA18605 transcript:PPA18605 gene_biotype:protein_coding transcript_biotype:protein_coding MDILRELNQLNDVWYRAYKMDILREDWSSALVSLSRSSPPKYLPFPPSIPYSYTS >PPA18606 pep:known supercontig:P_pacificus-5.0:Ppa_Contig401:20712:21538:1 gene:PPA18606 transcript:PPA18606 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAIDHYSKEGRSIGDYEEELKWREKINDVEGWMAAIELSGKGEDERNAKMNEWKNCLKMKSNWETAAILMNHIDCGWEEKMDIREKGRDWKGIAMDIDREKRKKEVSMKGREILQRRTEEMEKDINAKITEIERLSRRLNVVREKKKEMIGRMINGEMRLEDIETCDAFSQ >PPA18604 pep:known supercontig:P_pacificus-5.0:Ppa_Contig401:7412:8222:1 gene:PPA18604 transcript:PPA18604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-eif-3.I MRPLSLKGHDRALTRVRFNREGDLLFSAGKNKAPCVWYTENGERIGTYEGHNGVVWDLDMSWDTKRMVTASGDNSIKVWDVETGECTDTINQPTPARSVTLSFSGNLVGFSTLKMTKNLSSLCVCDIRDPEQITVGNENGMILQYDIRNSSEPFATNESTHRKCHRWGVREISSHT >PPA18608 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig402:9493:10476:-1 gene:PPA18608 transcript:PPA18608 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGMTLAEFPLEVLLDIFRKVDHDDTKPWPLRTTLRLVCRRFDKIICDPNNARRLSAIRMRVNKITIAQSEIRLKHMER >PPA18609 pep:known supercontig:P_pacificus-5.0:Ppa_Contig402:11272:13625:1 gene:PPA18609 transcript:PPA18609 gene_biotype:protein_coding transcript_biotype:protein_coding MSSELNLAELPVDVLLNIFGQTDQEKTKQKSIRTTLRLVCRRFNSIIIDPSNQRRLSAIRMKIEMISIAQIDSFWEIRVFLGATDAQTANREIDMIDRLSY >PPA18610 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4027:338:699:1 gene:PPA18610 transcript:PPA18610 gene_biotype:protein_coding transcript_biotype:protein_coding NQFTLVALLACAFTAYSLTPCEDFCQGTILGLTPYCYCNENFLKFNRTCFRKCIANCKAKPDYTGCIPSDGIPNAQLWICCIRKVDWQTNLKCDSECWSTALPV >PPA18611 pep:known supercontig:P_pacificus-5.0:Ppa_Contig403:159:1996:1 gene:PPA18611 transcript:PPA18611 gene_biotype:protein_coding transcript_biotype:protein_coding TYQCRSITCTIIFIIILILFLIRALFVMSSNAPSLSWEWSDSNIIGFTAFQSFVALILLARWTATGLYSAIYTEILKVKKYYTDAVPTSIHEKGLFILGLILGVSYVYIHIAVRMELTKFNEDLSEAIEKKTLLDSLSSFNIRHIAILRLVVLLTDRLSSFASFSTFTIVIANVNAFYQMSSIGSSNAFGTVSTWKKY >PPA18612 pep:known supercontig:P_pacificus-5.0:Ppa_Contig403:12376:14564:-1 gene:PPA18612 transcript:PPA18612 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAIQDLSHLNKMEAIEMFCQEAARPPYALNTHWNFDLRSRKISITSLDSTTMSLFAQNEAKTRYLLSLCRTFHQTLLKYNVNRFPRICEEEKNIDEAISASTSGIVSESERREFHTEDLIEEIEEEENSSELGSRKEDNGSITKSSIIISTPPPLDIPSSSQSHSPPSPTSSSSSSSSSPHQYIVPPPSYPIYSIPGPSSISLNPTVYPGSIHSGVYISGYGDIHSNESIASDGSERIIPSTSSNVPSLRPSSIARVQSMPPHQSQHLYSSPIPISSHRDPPPYDKATAALQRAHFSVAETEDTQASYPLPSVLSSSSSSSAPSSQPISSLTIEELRRFPLMENIFRELNDPYTGVTRLTRYEPHEALLAVSHNDLPRPSTQISSELSESLYAMPPPPPYPITTNLVK >PPA18613 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4035:184:554:1 gene:PPA18613 transcript:PPA18613 gene_biotype:protein_coding transcript_biotype:protein_coding KAKGDEIEKENENGDEKGKDNGLRKKTLKIKATMRDGKVKNLFAHMHTTVQALIVQAGATAPCSLFHDGRKVAYARSLRSIESVVEAEKEAEEIEVDIY >PPA18615 pep:known supercontig:P_pacificus-5.0:Ppa_Contig404:3162:3865:-1 gene:PPA18615 transcript:PPA18615 gene_biotype:protein_coding transcript_biotype:protein_coding MCIYSRFELEDGIWEDVGGMRRKGGGKGGREKGFNVETVECKNISFTVSDVGGQDKIPPLWRHYFKNTQVMEKMAL >PPA18616 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4040:220:948:-1 gene:PPA18616 transcript:PPA18616 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNPVASLLSNGLAYGGNVSAAMRAVAENAAYSSIVRAGASLLVLGPSIVYELTIMDEQQQLETYYD >PPA18617 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4041:176:403:-1 gene:PPA18617 transcript:PPA18617 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKFAVDFYFDILSPYSFLMFETLLSRRSKWPMEVTLKPFALQHIFKATRNTSPGLASPAK >PPA18618 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4042:497:703:-1 gene:PPA18618 transcript:PPA18618 gene_biotype:protein_coding transcript_biotype:protein_coding ILKECVEARANLEEGRFMERIGMPEDYVFDELMEWENAQSGEDDEEGEEDEEEYGEDEEEWSERSLQL >PPA18619 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4045:438:690:1 gene:PPA18619 transcript:PPA18619 gene_biotype:protein_coding transcript_biotype:protein_coding MRISLLFSSISHRKKVDVLGLIRLSKPSMKDDINLFVLFSLPFLLPSPSMERMRN >PPA18620 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4048:245:564:1 gene:PPA18620 transcript:PPA18620 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSVLLLLVAVVAVTADLRPGVMDHIAPSPEKCGTKTCDPEGIFHFYDCLETVADLCFFRLQ >PPA18621 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4049:27:813:1 gene:PPA18621 transcript:PPA18621 gene_biotype:protein_coding transcript_biotype:protein_coding MWIRRLNLLPEEGDALLQQFREGLVKQPPVRTYWCPAHFDGDQPDPIDKTLGLPNITAPLDMPLLTRKLSEVAVRDCNVSVAPPSRMHSLTLFSQSSIPPIESENDQSNDYNSQEFDAGDYSSQETTTDSVDESTSLELPEFLLIESSQLLSLFDRC >PPA18622 pep:known supercontig:P_pacificus-5.0:Ppa_Contig405:543:14621:1 gene:PPA18622 transcript:PPA18622 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSLRYLTRLRLLKLAPSSSRSISASPLLGRRNQRGPGEGTPFRGNQKGVPADRGGMTIDDLIVPKSLPELSTSGTMDNEWLTDIDVENRRTDKIFMRSFLSFRAHCLNVAGLEPALAVTLKDIIHDKHDVERLFPYFLSHARRIFPHLEAMDDLRMISDLTQPHNWYPEARTVQRKIFFHAGPTNSGKTYHALQRFRESKSGVFCGPLKLLASEVFTRLSSDGFKVDMVTGEERRFAVDNLHPSPHISCTVEMLSTNMRVEAIRDSSLPSLQVAVIDEIQMLRDEQRGWAWTRALLGVAADEVHLCGEVAAIDIVKKLLEPIGETVEVITYERKTPLTIAERGLGTLSDVQPADCIVCFSKRNIFSITKRLEMLGIKPAVIYGDLPPGTKLAQAAKYNDVDDPCNVLVATDAIGMGLNLNIRRIIFASTVRQGKLLPTYSALQIAGRAGRYGTAHEGGVVTTLRPSDLNVLKDIIAKPPIDSVGIAPTFDQIETFSFHLPQASFVRLLDIFVSVCSVSDHFFICTVNQMKELAQLIDRIPLPLKIRYTLCISPINVNNNKFAEAAFIKMARRFSSGQSLTADWLFDIVGWPPIPVTNLNDLVHLENVYEILDTYLWLSLRFPDMLPDEEIVRQAGKQVDNLIREGVENMSKLLGGHDGKQ >PPA18625 pep:known supercontig:P_pacificus-5.0:Ppa_Contig406:13517:19365:1 gene:PPA18625 transcript:PPA18625 gene_biotype:protein_coding transcript_biotype:protein_coding MIILIILSINALISPVKCGFYDYPFEGDAIVHTAFVQTGDELHFFSETTKREKEMFTGGGVKETRDPVDGIRSEECARLSLAFLSREMGIHQGPLMMHSSEGAVYSVNELNPFESKHVANLLLVGLKEANSTAFALVSEHFIRVSLLPFPFFIPSSSFSIPSVLQCTAAPGVFPATDILDFGLIPYGDKSKELILSVYSTLGKFTDWEVKRVTMEPEESAAVYLEYTGYPPIFVQSQRVGVPGPTTPIMKVHIESSFYSNKEPFQRHSGRLIVWGSQFNVSMAYTVGVYTGSLQMEGDDGCLFDGLPSNSRHSIRIRSRLPISIAIYNASLPLEAMQHFTLRLFSRSVPLAPGEVAPVFLLKYNRKAPVGYSTSVTLHTNISSFAVPIHVFDGKVEVHLNSPHQKSFDFGLVEKNDSRSISLEIRNPNPCSISILSMRLHYPEITRLFYRGRMPLSKEHSELPQWRQKENKEIRQGMDFSIPPRSIVYFDLILRVLNETVVDSDLEIRTDYETRIYPIHYQVSRGRLLPIPTSLKFGSIHPGKVAYRTLQMFNSFEEDMVVTEMVPSSGDSSLYIDPTPIPDGSTPLLRSGRFSNIGKVLLMPPMDCPYDYCYLGLRIPTPVNLPPNLAESNLSDGQWFAHGLTLPTNLADIDSYLYKKARSKFEDLVARGRNSINSSLTLHTTKAKHIKIVNLTLSNPTSEPIIVQVIPLVIYPDAEALVQSFRSQLASPLTSNIEMNETLMFSLRDTELFTLRSESPVPLLREELEKELRMNVPRFTLSAILQPHMKLRVRFGFLPRLMHRFMSTLTVRRPFTVTNTGEVPFTIVNISINNFPCENRGFRVLNCDGFRLKPNESHVLDIAHTPDFLSTTNEADLQLYMHMNGSAWVFPLAATIPPEYVMQPLPRPIL >PPA18623 pep:known supercontig:P_pacificus-5.0:Ppa_Contig406:8030:8899:-1 gene:PPA18623 transcript:PPA18623 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hap-1 MSPRALTFVSGNKGKIAEVAAVLGGLYEVRNVDIDLDEFQGEIDDIARRKCEAASEKVDGFVMVEDTSLCFDALGGLPGPYIKWFLKVEKGRKLGPSGLHQMLSAYDDKGAEAVCAMAFTHGRGLPITVIKGVCKGRIVSPRGPNTFGWDPCFELITASGHWEDVR >PPA18626 pep:known supercontig:P_pacificus-5.0:Ppa_Contig406:20074:21199:1 gene:PPA18626 transcript:PPA18626 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWTIQPVWSWTLLWRKDRSGDRGDEGRRGRRRKPKLNPTNGGNVREEERLMRVERLVDEADGRGRKDRIKIGQSSREVSTESKKIGKIRKEEEIREGNENEEKENRDEKEKEEKISSGCSHKEDISSGEEKRKDEERRRKKDEEKEEERKKREKRRKDEEERRERIIEEDKRKKKVEEERRKAREDERKKKDKEREEMNKKSGGENMKKSEESSECDRRLNCSNGLSSQDEEIGKLLNEKEIEEERNDKRERDDGSIETEKEKELIDIEVLPIVYDDDTRDNEENEGSEESEAPDWDDVQ >PPA18624 pep:known supercontig:P_pacificus-5.0:Ppa_Contig406:9146:12195:-1 gene:PPA18624 transcript:PPA18624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gop-3 MEEGGHGRQKHFHRADLLHGKCEDAPSIVEAVQFHGVRTTKGDALLQEVSELYKSSNVDELEVGLMENASALIDTAPSKNGYIVNFVVREPKSFTLGAKIGMSTQGDADMSMHAARHSFNGRGEVADASYTYTVKGDHSFNISMSKPLLGWQRYSGIASSLSRSFAYLPWNKANLEENSLVLAYNNNYWGRKILQQIRLNAIWRTLMATKESAFAVREHAGHTLKVSAEHEYSFDSRDRPILASRGIVVKMGQELAGAFGIGDAAFVRHQLDIQAAAPLFSKFFLAASFHAKRMESMGENELHLLDRVYLGGQSDLRGFMLNSIGTRVDNSCLGGGCAVAGAVHLYRPLFPADSLFAHAFIASGSVASVRARNIVRDLVESQRISVGAGITFVFKNILRLELNYVQALKKTSNDSFNRGFHFGAGINFM >PPA18629 pep:known supercontig:P_pacificus-5.0:Ppa_Contig407:2503:3878:-1 gene:PPA18629 transcript:PPA18629 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDSEQFKKEDKKKNKNAPKKRELSDSGDEGVDDPTPIKKKGKTEAGSTVKNAEGDEMIEIGKMKYVTVRSFKGQTYIDIREFYMDKASGEMRPGKKGISLNPEQYNNFKKAMGEVDKKVAAKN >PPA18632 pep:known supercontig:P_pacificus-5.0:Ppa_Contig407:12615:13284:1 gene:PPA18632 transcript:PPA18632 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLDGISGAVLVNATGPGKTLFKPDNVMFLSTHWVLSHSRYGLKRKKIPREEALLLHNRVRFRGDQLKDAIEEELTDLDLVDIQRNSKATAREIFGKELPQHNKNVSLVMDKCDARVGSMGVSSFFKRLTLHFDVM >PPA18633 pep:known supercontig:P_pacificus-5.0:Ppa_Contig407:14160:14952:1 gene:PPA18633 transcript:PPA18633 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTNSSSAQSPSPTPTNSSYPRKTKIVPSEDKSTSANTSTETTPSTDPIDNVELGGEDDDEDEEIKRLEEVMSRPSRRRVDVISSTMNPMVEQLVADAAVIAVNKFDVEKEYCRYIKQYLDIHIGPYFHCICGRKFGAYVSASSSW >PPA18630 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig407:4324:5137:-1 gene:PPA18630 transcript:PPA18630 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAKTPIPKLMIAISEKRFVTRSVYKGEPRIDIREFYIDKDSGEWKPCKKGISLTLEEFDILVDAAPYHYPSAKRSSTLLLGRVDCPMGAEAPSTLLQEGERWTEVERANCVLIYPRDN >PPA18631 pep:known supercontig:P_pacificus-5.0:Ppa_Contig407:8124:10453:-1 gene:PPA18631 transcript:PPA18631 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLLFSSLFVVTCVFTIKSDQSVDDYGFIDQGYDIVSPFGYPDVNGRHGHHHGHHFPFYPPFLRNVSASARREFFRIIFDRNLTKGEIKKKVGEWAVKNHIEAQVKAYHEKIVAYFTQHHKNVTAAIGKLQKAYESLTSIIKDDSLTRHQTYVKIHDLFISYPRELRSLLYATRPLPPHRRPHEEEDGDYSDEITESTDGFGPLPTGLKFKGIGPVFIFSLMPVAISQT >PPA18628 pep:known supercontig:P_pacificus-5.0:Ppa_Contig407:54:318:1 gene:PPA18628 transcript:PPA18628 gene_biotype:protein_coding transcript_biotype:protein_coding LVALISNISGHAGLWLGMSVVSVVELIGLIFMCFNALFCGRKFNLADEDEIKKELDNSERAKARQSPR >PPA18640 pep:known supercontig:P_pacificus-5.0:Ppa_Contig408:11119:12744:1 gene:PPA18640 transcript:PPA18640 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGETSGETTAHHPTTSGPPLEQVAPTEETRLTGNLAGTPIMKTREHSQHDEHHFQPTDAPAIQPSSSTAPTPSTTVQQPPTRPQKTDIPEDPYVKPVEQTPSTVKKGAHAIPESRPEAIQQPTAPQRRIIIVKHPTAQSQKPNTVGKATAAPSQSPIMVRKAAAQPQKPFTVLKSTAPTGSQITVRKPTAAPPPMPVTTGQAATSQSKKIIVVNQPSSGPKPTTVQQSIVPPPQRVVVVNKNPTAPPPRKVIVARRSGAVQKSSGAPNQAAITVKQPTVPVRKIIVVKKPGGAVQKSAAAPTTTPTTNTAVESSSSTDPAQQMIQQQSSAAPARTNIVVAPQPTAPTQPVVIAASSTAPPAQELIIQQIPAAAAQKLDPAKHSTAQTQPVFDQSSAGPAAQVPIQQPTAPVQKLETDKHPAAQSQKPIVSIAQKPTTAEQPTTAQPQKSIMKHHSASAQKATPNSSNNKTVSIHTTAPTQTVQKPTTVKKAPTVVA >PPA18637 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig408:4301:6004:1 gene:PPA18637 transcript:PPA18637 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQTSRFIKSCVDEYAMMGPSLQLVEELIISGPIKDSQKICLSLIVPRHNSFHLELRLIRHPCYKKVRLMRKFMWNGNRDNNLFQVNIQIGFEGADKLNNTIRDHSVEQLTLTVGKVVMSDPVRIVLQLSNLLSSLRIYQTDNVEINSDSAYFFGVVEGDWPRIIADMFTRKLDKLCIENYWFPEYLSTMCADKLKESILQTTKHFCTDS >PPA18639 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig408:8366:9741:-1 gene:PPA18639 transcript:PPA18639 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRIITEEAHSHQAIEYSCNECGEEFEIFRDLAKHKRAAQCREEESDLEENSKGFEDEGEKPFECDDCDRSFTQKSYLAAHLRIHEGEKPFGCNQCDKRFSNKRNVYRHLLIHTGEKPFECEQCYKKFRQKVHLFEHIRTRHISLAAKV >PPA18638 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig408:7152:8236:1 gene:PPA18638 transcript:PPA18638 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLSGAHLVKHGGGGGEVDASELAGKTLAFFFAAAWCPHSRAFTPDLRRFYREVKEQGAKFEVVFVSLDEDESDMQRFLANDHDDWYYIKFGDPLIQKLDALRHKGHVSRLPVLMIVSPSGNEVTQQGVFDVMTEKPAMQTFKDWTTSA >PPA18641 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4083:346:794:-1 gene:PPA18641 transcript:PPA18641 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQLNGGSIADKVERAKERLEKQVLIDQVFAQDEMVDTIGVTRGKGFKGVTSRWHTKKLPRKTRKGLCKL >PPA18644 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig409:7828:9684:1 gene:PPA18644 transcript:PPA18644 gene_biotype:protein_coding transcript_biotype:protein_coding MCLDKGIVPATVKTKPKEVRVPGMEHRATGKSSLVLRFVKGQLHEYQESTIGVAFLTQTIWDRAGQERYHSLAPTLAHRGAQAAIVVYDITNQLFGLLLGEAQRARAKRLAMFTRKESI >PPA18643 pep:known supercontig:P_pacificus-5.0:Ppa_Contig409:3078:4882:1 gene:PPA18643 transcript:PPA18643 gene_biotype:protein_coding transcript_biotype:protein_coding MACLLLILSLCSVFTSCASMACTPLPALSSVPAGYVVLQADEITLPSGATGFTLDTRLPVAITCVSVLGNIPDICPVNLENDQGKNPVDVFKTPYTLTKTDGTKVTIQVVDPPGFVVKCVADAHATNAQLWNVNYYYNYGVGVHAYCADSGCISVIQKNGIGYDMTNGKGLLGRAISESQIGTIRAMCQTGSANLRNLGFTKSGNKYLHNLNGSGLKQGWVSTTYMSCGATLPIKRWKSRYTDGIGNLAVIPKNMVYGENLEWNTWYKGMVQDGGKVQLYMWY >PPA18645 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4096:173:360:-1 gene:PPA18645 transcript:PPA18645 gene_biotype:protein_coding transcript_biotype:protein_coding ADKPGSKVNKKEVVEAVTILETPPMVISGIVGYIDTPNGPRPFKTVFAEQLSEDFRRRMIKN >PPA18646 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4098:93:773:-1 gene:PPA18646 transcript:PPA18646 gene_biotype:protein_coding transcript_biotype:protein_coding MHMLYWSDELARSAQRHADRCDFRHSRDRVNVGENIWAAPYSNYSDAISIWFDEVNDPRCGCNHAYKHCCGHYVQVVWAKTNLVGCGFSRCRDVWGVQGRGHRNVFVCHYNPQGNTVFVKNNGGLYSDKNRFTRMQMNY >PPA18654 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:28122:30531:-1 gene:PPA18654 transcript:PPA18654 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFTDRGDDLMERYENWKRAKNFISSFKPRTTVSQSDLAKSSSTGALAIAANERLHKRSEQSLHPNWHPAMDDMNSSGEMPPPFLNETVNARFLDEQGLGRDEKPRIAGLVRPGADYVLSARSGVGALLAKRLASSVKGSFSKYDGDKTTHSYHVNPVKEDAEAERREEGMDPIMAKMRDMKIKETQRQAEEVKRLPVDPNQIYCENPIPSSTVLLPTKKEKEKKEEKEGKGSFLRGMFASRNSTSLSESTRSSNDSLSSDTKSKNRTPSKESVTSDKTPTRVPSPPPPLPQRTYKRKETSSGSSHGSPVGQKTFDYCEIYQTTRRSGSAHQLADDVSYMVIDAGGTLAIKNMK >PPA18699 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:252237:259613:-1 gene:PPA18699 transcript:PPA18699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-aco-1 MAYEKLIKDLPVGDNKYKYFDLLGLDDDRYDKLPISIRYLLEAAVRHCDDFHVKKADVETILDWQKSQHNQAEIPFKPARVILQDFTGVPAVVDLAAMRDAVSELGADPSKINPVCPVDLVIDHSVQVDHYGNLDALAKNQQIEFERNSERFNFLKVVTSSISLTYLSRRPRLFLDGVWGSKAFDNLLIVPPGSGIVHQVNLEYLSRTVFADKDGMLYPDSVVGTDSHTTMIDGSGVLGWGVGGIEAEAVMLGQPISMVIPEVVGYELIGDLPSMATATDLVLTITKNLRALGVVGKFVEFFGKGVASLSIADRATIANMCPEYGATVGFFPVDHRTINYLAQTGRDPAYLSRTVEYLKANKLYVDFSDPNFRPEYTTILTLDLASVVPCLSGPKRPHDRVVLTDVAKEFTDGVTAPVSFKGFGLKPEEAAKEVKVNVDGVETTIKHGSVLISAITSCTNTSNPSVMLAAGLVARKATQLGLNVAPYVKTSLSPGSGVVTKYLEASGLLTDLRKLGYHIAGYGCMTCIGNSGPIHESLAKAIDDHNLVVAGVLSGNRNFEGRIHPHVRANYLASPPLVVAYALAGSVTVDLETHVFGLHADGKPIRFHDIWPTREEVAKFEEEYVKPQFFNEVYANIEKGSSEWQALECPNTKIYPWSKESTYIKRVPFFDNMSLDLPKKKPIDNAYVLLNLGDSVTTDHISPAGSISKTSPAARFLASRDIAQRDFNTYGARRGNDEVMARGTFANIRLVNKLASKVGPRTLHVPSGEELDVYDAAERYANAAQPVIILAGKEYGCGSSRDWAAKGPYLQGVRAVIAESFERIHRSNLIGMGIVPLQFEDGQNADSLGLTGKEQFTIEIPDDLKPGQKVTVKVSNGTSFSVLSRFDTEVELTYNHHGGILHYMIRKLIAE >PPA18773 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:570523:571947:1 gene:PPA18773 transcript:PPA18773 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPGAASEKKDERRYSFDFVVGHKMKRGQPVFTVRWSHPWNSAEYDSELITTNMNDQAGTLAEYMKKHGLSLKKKNQRKQRGKVAKKNVQKKEQKKKEVKKKPSWSWEEVPDDENGDNGEEKDQVEEEEEEELSLPDVNQRQPPSPIHSPLAAQVAQIVPVASSSPVQAAVAAMPHAPPAAPVAAVDGAQDIHAASQVNSALQDVLQAQADAFAVVAERFLMVDFVPPFLPPAANLVIPQINSPRRVDRMMAETACANSLPAPPAAPAAPANDDDDLIYLSDG >PPA18688 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:184282:187117:1 gene:PPA18688 transcript:PPA18688 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cht-1 MPEDYVPGLCTHILFAFGWMNEDFTVRAFDPADVPNDWAGQGMFRRINALKQKDPQLKTLLSIGGWSFGTALFKSMSATPHGRQKFIDSAIEFVRKWEFDGIDIDWEYPNGTDDMANYSHLIKELREGTEAESRRSLKDRLLVTAAVSGGEATITQAYDIPALAEKFDFILLMNYDFHGAWATETGHNSPLYARENMIEYQKVWNIDWAANHWAQKGMPKEKIIVGIATYGRGWTLKDPKNFTVGAAGTPARTTKFVGEAGVGAYYEFCEMLADGAKRHWDSEQQVPYLVYGDQWFGYDDEESIAWVKRNHFGGAFVWTLDFDDFNAKCSKSNGNGLGRSAPQPITIIKIPKSIESITSTTSTTTSAPTTTTASVEIQKQCEKSDDGFFPIEGGDCKHFLLCLKEKSHMLSCPTSLHFSTTKGYCVEASDSGCTPPLPTPPPSTSAPVSTSGQKFACNVDGFYADKTDCKRFFRCVGGTAHSFTCPSSLSFNKNTLQCDHPNEGNCARD >PPA18707 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:283671:287316:-1 gene:PPA18707 transcript:PPA18707 gene_biotype:protein_coding transcript_biotype:protein_coding MDDREGLIPNAGEGKWMALKQTTFVLSIALAVVFFFIAIIMTTLYMGAPSIEPQRWPPLQDGLLGKYSSASVAADHVLDILIKGGNAVDAAIAGIVCLGIVNPQSSGIGGGHFMTIYNKTTGLCSTVDARETAPAAAEEHMFKGNVNGSKIGWKAMGVPGELHGLYTEYKRFGGGLPWKALLQPSIDLLDNGVPVSMGMHDEAANIKADKGLAKDFVDPKTGEVYKYGDVMHTRKNHRNLLKSLADSADPIDTFYKGWVAKQLVFELNESKEAIMTKEDIANYKSIVRDQSEVIYTDLPNNFRMCGPPPPSSSAVTQSIIKTLSGYQFDQSTSHQLVDGLHKYIEASKFAFAHRSEMGDMAFVNGSLQLARNITSEEYVQTVRNRITDRAQPTEKYGGKFSFQMDKGTTNIAVIDQYGNSVVATSTVNLFFGARVASESTGIIWNSQMDDFSNPDAPNFYGYPPSPANFIKPGKRPMSSISPIVLFNKTDKAVQLAFGGAGGSTIISGVAQIAMRTLYMGWNIKELSSAIHDDEKKMSAMDRPRLHDQLMPNVTQYEGDFPQEYVQLLANRGHVMEKTPVITQATAILRKGNWLTANSDFRKGFESQPAGTMRASSSK >PPA18751 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:471053:471833:1 gene:PPA18751 transcript:PPA18751 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLNRLSVVGCWSDCALLLLQNGLHPILVMWKKVDVVLEVMENGERKNFTDSVDLIEVCEFDFGAQHVEQEKYKREQQLRKVQEQFDEFAQYRDKLTNNRPHQ >PPA18684 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:166880:167181:-1 gene:PPA18684 transcript:PPA18684 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKRISELERMARMSEEETEKRERRVRELSAKYKELQYQYNSLLEMDGEKLEKIEELQNDILDLRQLMKEQLIAFAEAREEH >PPA18729 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:377141:379770:1 gene:PPA18729 transcript:PPA18729 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKEYKKVVKMHQSWYAQAVHSLLGAMSRRLIKDHKDDSDVRKAFIICLDEVEEEDAVKESAKCLSHAFDGTLVSSYRKRMNHDRYSFLDNTVVVTEANKDILQRTHSTNEVKIEPVKKIYSSSFVTEKIKKRRKGHKKVHRKRMQFLMEKYGHETDRFSKRVKRSITELFSYGQEKRRVIKMESGPSLMTAEGSTMEQATKMFKNILKIAKDKPVDNIPLEFVMGRIEKLRATQIEQTTEKGYRRRMLDSVLGKEHPLKDAIQKKTMTGELSKLLPKELRPLTDILKQVPGLEKEGRDRVLSPRFFPLFSRDDTRDKTGFLSPEVMPLYRMPALLNATGMKTRDRNSLISLILETSRTVDIIEEAINTVGKARDFGLGEDVNEITKLMTNTFNDLKGMFTEDQHNEMKEKEFTMATGSQLKKLYGSEGMFNVTEFPFDIDEYDGWSADQKEESLRNTIRLLADDDPGALIKRRYKRAIVAPDDIVFPNGYKITFFRHTTLSPYAFSPQINTLAVLGPAVLSPSLFSPNIASPLLLSPPVISPQVGNPLILSPYVLGPNVMSAAVFNTYILSPYVLSPNVINPYVMSPLILSPFVLCPDVLSPTVLSGAILSPSVLSPAIYTKNAMAVSVLSPSFLS >PPA18748 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:461225:464498:1 gene:PPA18748 transcript:PPA18748 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRHIVALFAFLGFANIYAMRADLSVAIVQMTSGTTKLYGEFSSWSPMVQGSVLGSFFFGYILTQIPGGFLSHRYGGKIVFLVGVFGTTVFTLLTPPFAKLGYVILIIARFVEGMFEGVTYPAMHVMWSHWAPTLEKTKLATFAFAGSYFGTVVAMPLSGYIGHNLGWPFIFYFFGLVGLLWCYFWEKRIYDMPTSDPEISTEELTLLQRDAVSHNTYVVPWRFIFASKPVWAVIMAHTCQNWGFYTMLTNLPKILEDLANYELEKAGVVSGLPYFIMGATLLWSGQFADYLRKEKGWETVKVRRYFCCGGFVLQAVCLIAASLAKSTSFLVASLTLSIGAGGFTWAGFSVNHLDIAPQYAGHLMGVSNTVATLPGMISPLIVGAMVLAGTVAEWNFIFYLCAGIYIIGAAFYWKWSSGEIEAWASDQSPFVREMQ >PPA18760 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:495625:502582:1 gene:PPA18760 transcript:PPA18760 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFISSFVLFSSWGAVVALDFPPFQSTDSYKCGQYKRGRVEDRALGQFYYGIRQVIGWLSQSFPHQELVNDGALLGDQQEWISSFENKYQQWLQFEEGNIAVLAGVLSIAIAFPIVYILIRCISACCRAVSKEKQALSATEAPWDGCKRHFLNTLLIIFVLIDVFAAASLLVTGQYAQFGLEQLPSRLNQCIDDLSLYKRETDGRIRKLLIDDWRVLNASLVTQMDGSGELVVERVKKVTGAHGIDVFMNVSQEARIIQSVLGEARDQLVRVQEEAAQFSVEFNRLKKSANTEISTCLSRENEQLKAMCRKAATLLEGFDKVDVNVDTSFMDSFDQNAVETFLLTNVSSLLNSSNGQFKTLSDQIQREIDAQTDSAKNVLRKIGDDLFIIAEQASSKIRQVNFDPLYAAVAAYTDNKEVNIVQYVRWSWYASLIITGIFVLLSLAYLFGLFYGLCGRGNSFYNDDCCTRSTGSRFYSCGIWLSIFFFTVLSICAGALFFIFSNTTHLLCDPLERPLSRPDMVTLGDRYINLFTRQQQQQQTDVSKLLADRSLAEVIRGCARNETFYQIFDMDSLYHLNELENHEREALNQLDSTLTSMIDQVNIEERMGNFLPIDLLRQLQTLRDVNVSQLSTSALKKIEDSIQELNLMDKLRSFEESLPNNEGKPQAVAMIIVQVDAIDREYAAPLRERLRVLHANLTKLNSRLGELHIPVDSLLSKLQHAQVLLSEDVRTHVISAAREVIEQLKGNCDDYIKHVKREVQQDLSSCEPIVQTIGDARDAVCEYTIDPIDIDSKRFLDVDADLSRHYNPHNNNLHHTHHSLQQDHGLSQVSSCPSSRTKLLQLLVCGCWFG >PPA18874 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:1009267:1009964:-1 gene:PPA18874 transcript:PPA18874 gene_biotype:protein_coding transcript_biotype:protein_coding MESDCVTNSMNPSQSSRDETSEFNATDDVKNPTAFVVFDFIIQFRGLLSFSEWDLNHDCIVTYDEFKSWVLDMLMTVIKFQALVASEKPDSKDFLESTYESLSRRVIEDLSRLSNQNANATTIIDGFFTRLLKKFEDNKNYDVNKIQTIFKFNSRLNLTFWYNI >PPA18880 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:1022408:1027626:1 gene:PPA18880 transcript:PPA18880 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acl-5 MIIHFIAGLASLLYLPFIFSIVILVIFLSAAGLSLGIRARVAQLLIWIFEWGSDVTDLPEEEFEPEQKEEKQVEETQPATIKKRHSSRIIKREHSEIIDNKLQGQDVLKKKSTVSVLVDDTLDFISAGIESIIEDNVTSRFSAEQLPSWNLLSRTKYDFQHISPKLTVLWILGFAFRYLILVPIRVCLFAVGMTSMVLITSAVGYIPNPTIKKWMNRRAMLMCMRIFSRSFSSIVRFHDRQNRATQGGICVANHTSPMDVMILSCDNCYAMVGQRQGGILGFIQKSLSKAEHHIWFERSEVNDRKAVSNRMREHVEDPTKLPIIIFPEGTCINNTSVMMFKKGSFEISATIYPIAMKYDSRLGDAFWNSSKQSYGEYMWMMMTSWAITCDVWYLPPMTRLEGEDSIAFARRVKKAIAKAGGLVDLEWDGALKREKVSNKLIALQQKLYFERLSRRTSMCNDNMSEEDRNQLLKQLDEADDVDFSQSPISEY >PPA18847 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:861392:861765:1 gene:PPA18847 transcript:PPA18847 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAASTINPFHSPYIDPVGSIIGLRVLYIDGRMCYVPVEPQLNFMINQHFGRIGNEQSGEERLQPRSIIQELQVGR >PPA18786 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:612864:613806:-1 gene:PPA18786 transcript:PPA18786 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIGFIKKKTKTGLDVDREFMSIIMSAFVKTESKPFLKKKLPIMLDSLQKRIIGLRERVTNEFRIRLDIGIMSIPNLMHIRQHIDKRPEFMFSGEEFPMALYSECDGHCTVNCPILRQQVTKENPAVRISCGHVMSRDAVTKLSAQSRGVNRIKCPYCPSECTLETSQELYF >PPA18823 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:782443:783574:1 gene:PPA18823 transcript:PPA18823 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEGHTFNPQADVDAFEAPQMAEEQKLAFEEEGETLSPLAEAQLAARARSRFQTRMDSIIPTIGREGTDIKLNLYKGRTIAVFTSGGDSQG >PPA18665 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:75081:77290:1 gene:PPA18665 transcript:PPA18665 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYPETREEESGCESESVSSTLSQSGSSTDLADLATDELSALLDEKKRVRFADDCGKELFYIKVMTEPSDVPPLISPAVLRRFRGADWDEDETVQHSSWILSFPQPASEYIRFRHNIDKNKVANIAFEKRVFIRLTTNSWQSYLDRPAVYQQSSNKVHDTFTFDIEIPRDTSADSRIEFCVCYVAGGQEYWDSNDGKNYVLLSSIKSTHTNVLSPHTPLFGRKRKIWRGHNKSSEHDYVEWCDRIVDL >PPA18817 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:751246:753915:1 gene:PPA18817 transcript:PPA18817 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLVLNFVNIFNIGMNLSEMINAAVATTRNLTEEDLTTGRVPSPSPLRDSASVTTGPSPRVSSNPLSLSHLQSLSSQHLLPHDIQSIHSILAGLHPGSSRQDAHSPLPLSRLQSSVVPNTHQISIPGTSGASRVDGHTPLGRQDHGPPSVNTPAPTLPGGTGGAQFVNLQGVGGRPFTSQNSINPFAYGGMLLPLHQNGQGNHHPTRGRGGRGRGRGVGTRGGRRGRRALEDRAVQTLITSHQVRFPPPPITEKENIMYWNAMESGCLLTEDDRLSIRCQDQNSQEWTRVVISPDDLHISMTAASRMSPITACNVYAKVLSDREDQILEIVECDEHGNIVGPALEAHKRWKILKEIGFFDLTGVFHSPVSAVDSIPNAVVQNNQNISPGNQIKIPRLSTQSDNFFCGYACNTDRKWIIHARFSISTEQPVNISRNRQSSGNYNRIFTNTGFILS >PPA18834 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:819038:819988:1 gene:PPA18834 transcript:PPA18834 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDLSDVAADSSHYKPPEKKSIDEILKNTDVYYSFLTSHSFPNHSLIPLLPFPPTGCDESLGKYKGFLLDATDPANMYDPEDPRSVILVNISLLVDTQPKISIDLNSTVRMDDIVFRIKEGAQYQLQLNFFVQREIVTGLKYIHKVYKHGIPVDKDSFMIGSYAPKKDLQRYATPPEDAPSDNDLRNCWLN >PPA18686 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:173302:175021:-1 gene:PPA18686 transcript:PPA18686 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEFKRLFVYIFCVIIFGASFVFCLFGFRNNNWIHATNGTHLYQRGLNVDCQSIIDAPRTLSCKEWNSLDLNPYDGSFGTLVQPDFGWSIARFLAWPIFVAPVIFLFVSIVVCCFLEAEKAILQGKYLRPVGIVAAVSVVLNLLLIVVVALWKRHVVYAIFRDIPDGIEIGIGFAFVQFSIIGFALYFFGLFLLLSPTIGPLLKMLPCIKSTDLFFVPTSDYKKCGLCIHRSQ >PPA18691 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:195039:197177:1 gene:PPA18691 transcript:PPA18691 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSSSSFNGRVPHPNVVSSQSVAGKYDKRMDIGQRTMLFANPFDDLSGQRIARKSCCSHESTLIEEAMKHGNYSILVRGQSGSGKSALAERLFLRVLVSFSTQAYLETGRAELGFQFSFDGVKVSRCTISRGAISPIEELPLILSMMMSQLSTKEKVQFQIAGIHHSFADSKSIEYGWTLEQFRSALRKLSISSGDVEKMELLDLVNASHLLGVSPNMLKRLIESSSSKDKNRSGVLVTTTLLLETLIDYIIEQANSLLDGGFSRGKESPTASDSSTTDSGIEISRGGTATIENRRDGARSSY >PPA18697 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:234852:235864:1 gene:PPA18697 transcript:PPA18697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dsc-1 MTNLNNADNRRNFDSIPLTDKRCTPFVDDSKRRFRTNFTEAQASILEEAFRTSHYPDQHTKRSMAIALSIPEDRVTN >PPA18814 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:729827:733711:1 gene:PPA18814 transcript:PPA18814 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFRPRVESKFLEKLITEDMETNVLGLPSGMIIPEAIQRRGSRRNAMSQEPLVKELLKPDTHVVTSEGVFMIIDRSAVPGYSKELTTDKHRITDHILVPFMSEEFASAFPFFPKESFNSNGRASHPSDKSRAGSAKKEDSIEPVKSSVSVKNDNSSSKTKSEPVEVKEEDNADDTFEFDQDKPEPLETQQSFTEPVEDPEVAMPSLAREVTEKGEKVKKAKKEEEPLATILNSLPSISFEPIRLTVKDSVKASNGISVKRVNGISRPTEEILERAKELPVVPPKSRSSSMKRETWKDRVEEPKDAKRKSNPTLKKREGDEQLDAPVKKKARSTSVVSHSPSISPPPTLERQDPLGEPEEKLRKARLVQKQATLSVVKEEEPGPSNPPQRPIITIKVLPKKETLSVVENREKSKRRAGKTSESQSSTVSAVSTSSRPAILPNGPVISVKVAETPLFPNKKAKEEPKEPLPMEETPVEKVEAKKPRRSQKKKDKEETWDESYINPMKTRFMEPRAATKKAMEAALKAAKGGYGSHTPRDSEDGDARFDSDDEIECEKRMPWIDPDIPFLNFGQDLNEIEMPANDASGCAPKEEFDPDEYEQANPEPEEPREIFVKIDPNETDPDLKPLYKESNDFYKSLLKPEPCLEWHKRPTVGPASNGVVYLVSGKTVRVGTRSADKCTYSLAPLHNHREFRLGQVVWAKIHPLIHSC >PPA18771 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:561720:564661:1 gene:PPA18771 transcript:PPA18771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vha-12 MAAPAVDVNQPITSAKTAAIRNYTTQPRLVYKTVTGVNGPLVILNDVKFPQFSEIVNITLPDGTKRSGQVLEITRNKAVVQVFEGTSGIDAKNTICEFTGDILRSPVSEDMLGRIFNGSGKPIDKGPPVLAEDYLDINGQPINPWSRIYPEEMIQTGISAIDVMNSIARGQKIPIFSAAGLPHNEIAAQIVRQGGLVKMPEGMKKIGHGGAAMGEGGSGNDNFAIVFAAMGVNMETARFFKQDFEENGSMENVCLFLNLANDPTIERIITPRIALTAAEFFAYQCEKHVLVVLTDMSSYAEALREVSAAREEVPGRRGFPGYMYTDLATIYERAGRVEGRDGSITQIPILTMPNDDITHPIPDLTGYITEGQIYVDRQLHNRLIYPPINVLPSLSRLMKSAIGEGMTREDHSDVSNQLYACYAIGKDVQAMKAVVGEEALSSDDLLYLEFLIKFEKNFITQGHYENRTVFESLDIGWQLLRIFPREMLKRIPEKTLDAYYPRGGLAAPVVLKGDEKKE >PPA18843 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:847517:850595:1 gene:PPA18843 transcript:PPA18843 gene_biotype:protein_coding transcript_biotype:protein_coding MPMFGGFCIMFLSTLVFAFGESFPVLWLARALQGVGSACTSTSGMGMLAQAYPDDLERGSAMGIALGGLALGVLVGPPYGGVLYEWSGKELPFILLALLALADGSMQFLVLQPKVDRGEPEGSTIKQLAKDPYIIVAAGAITIGNLGIAMLEPSLPLWMMESWGASSLERGAAFLPASISYLIGTNIFGPLAHKMGRWLSSMIGLLVIGFCLLSIPSATSVTGLIIPHFCMGFSIGMIDASMFPLMGWLVDLRHVGVYGSIYAIADAAFCFAFALGPFFSGPLVRSVGFPTMLYLIAIINFIYAPLMFLLRNPPSRGETEPLPANAQAVLEERYEKIEGSEITRADGYQYDY >PPA18800 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:671664:673844:1 gene:PPA18800 transcript:PPA18800 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNEWIMARDKIRLELWDTAGMERYRTIYNSYYHSAHGVICVYDMCSEKSFENLENYWLKEIKNHAPSNAVLMLVGNKADLDSERKVDFDRAEKLARRLGVSLYEVSAKTGINCEEAFHTLAAAMRERVCASQQL >PPA18859 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:913274:915432:-1 gene:PPA18859 transcript:PPA18859 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVETVLYGSRCNETAASECFHRLFAAKDDVCRNADMRFRCVDYHFLDECFKRHAGICHAEVVGHQAAVAYRRSLEECDKKRRPLRGELDRMALSATPGISRFASGQSTPQLLSALGSLQTQCTISKYSNCSNTHVDHALELCESNMQDKKEERRGERKEKQYDFDRHKLLRIKLDTSKKLLGFEKTNKERECLIVRSILSEIYTIHHKYCFHVILTRCLCERQLFERYCGIRCEALEADSPDEDTLAWDKFQETPPT >PPA18668 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:88872:89220:-1 gene:PPA18668 transcript:PPA18668 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIGEEEDFVLSKRRIYGRGFSYIRHPTQQNRFIVSFWGNGLQMVSWDPQDDIVFVVFRNGLKAGDNGREQMEEMLEAAFHS >PPA18825 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:786271:788061:1 gene:PPA18825 transcript:PPA18825 gene_biotype:protein_coding transcript_biotype:protein_coding MYHAHCKLRTEYVQDLGAEGAIDRDGNPITAELVKDIVTERLKYDTRTTVLGHVQRGGAPSAFDRLLGCRMGAEARLLFVASVEYGAVLALMEMTPETEPCVISIDGNQMVRVPLMQCVERTQAVQKAMNEKNWELAVQLRGRSFQRNLETYRLLTKLRTVEKDNLSEGHHFTVAVMNIGAPAGGA >PPA18783 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:601982:606147:-1 gene:PPA18783 transcript:PPA18783 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-glr-7 MPNNFDNYIAVVQPSSVFQYSIVDIFPQLNISTQLTVLSDDIYNVAYDSWKASFDSLGVPVRYMQMATNPSRIRAQLNALRNQAKTIVFVAKTENIEKFIVEAQSNIENEDFKMFVMTKDIRQYQEFISKNEINKDMDYMIQSYEEMDISLIYDLMNSTFQYLMKVNSTYSDISTFVCGRNPTANTTLPLSPFISSRPVLEYGKMMGNWTVETGVLTYYESLTQSPRDITIYRIATVVQPPFVQRTPDPLKGPNFEGYCKDLIDLIKENIGNFTYILYEVEDGTFGTMDDNGNWNGLMGALVSGSADIALAPLSVMAERENDVDFTVPYYDLVGTTILMKKNDVEYSLFKFMKVLEWQVWLCIVAAYVITSLLLWVFDRFSPYSYSNNKERYKDDLEKREFSLKECLWFCMTSLTPQGGGEAPKNISGRIVAATWWLFGFIIIASYTANLAAFLTVSRLEQPISSLDDLAKQYKIGYAPMKGSASETYFRRMAEIEEQFYNIWKDMSLNESMTPRERSRLAVWDYPVSDKFTNMWRYMTESGLPEDLDDAINRVLTTPDGFAFIGDATEIRYAELTSCNLQAVGSEFSRKPYAIAVQTGHPLKDEISSAILLLLNQRRLEALKEKWWNDNPKRKVCPDQTDESDGISIQNIGGVFIVILAGIVLSIVTLTFEYFYYRRATPVTKDVVTSKEVIPKIKEHQSPPVSRDEENKEKSRQGSVIHRRPHSSSNSPDPRSLPPQGDAVYENAAFDYPK >PPA18709 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:291968:292627:1 gene:PPA18709 transcript:PPA18709 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTKISSRVTDLTKKSKAKRLEDDSFLPQSFIPPTYDDQPNYSSVTSHSSSSQPPKDSSAKNIIPNSIRRFSPFPRKQNSSENKETPVRVETKLAKEPKDSKYRKIGEEGEQTEKSNGVWRFKDSAVSVLTGIQ >PPA18714 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:314057:315493:1 gene:PPA18714 transcript:PPA18714 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSSSLMLRDEEIDNISKETEFNRNQIVRLYSRFLSLDKKGQGFLEREDLMNVPELAVNPLGDRIVDAFFTLAGKDDKHLNFRQFVQVLAYFQPIDKTKKNQLNDRSKKLKFAFMMYDLNRNGYITREEFKVILNSMVGANITADQLDKIADRTIEEADTDQDGKISFEEFCKAMEKTDIEDKMSIRFLN >PPA18754 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:477013:477377:1 gene:PPA18754 transcript:PPA18754 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRNLSALILTVFVVELVMILSVGNVIPIKEIIFNTTETVHKCPVVH >PPA18648 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:9545:10093:1 gene:PPA18648 transcript:PPA18648 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLSGIGNLTKSLTSNISTYEIRKLSEKVQGMVMNYTEAEQMVRDATNEDPWGPTGPQMKEIAHLTYQYDNFHQVMNLLWKRMFEDNKYAWRRVYKPPEIINSR >PPA18679 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:137203:139443:-1 gene:PPA18679 transcript:PPA18679 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQECVKNVFVTINLEEKAVEAGKTVGDAATGAANTVGDAASGTAKTVEEKAVEAGKTVGDAATGAANTVGDAATGTANTVGNAASDTAKTVEEKAVEAGKTVEDAATGAANTVGNAASGTANMGMESSLNGDVATGAANTVGDAATGAANTVGNAASNTAKTVEEKAVEAGKTVGDAATGAANTVGNAASNTAKTVEEKAVEAGKTVGDAATGAANTVGNAASGTAKTVEEKAVDAGKTVGDAASDAAKTVEEKAVEAGKTVGDAATGAANTMGDAATGAANTVGNAASDTAKTVEEKVVEAGKTVGDAVTGAANTVGDAASDAAKTIIQTVGDAAAAAGTAIGQGASDAATAVKETDVGNWSESRVKKVEFDWRSSEEGIMNRRLNSLI >PPA18672 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:105207:108654:-1 gene:PPA18672 transcript:PPA18672 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-otpl-3 MEIGSDGEGSIPNGTTPKEPHHGILIKESSLIKEPSMPGILTKSDSYARFLAANQNRRRSTLLTHTYTNVKVSYWATDPYAKDYAIRLFTCFYAIFIVIVGVVLELSNLIAYGTEQVAHLKDLFFSMYMYGCSIAVISFCARNVLMEHKNIKKIRRNLAKMAVYQEEPEMLTNSSTGSLYLRIGCVVFGLIGVVYYVLMALVCTFGWDGITANECNASGIAISVMAALFVFSQMWFVYCNGKIAINGNSRYYISLGLMHLVATNLWLWIRYILYEEAQTLKEIRLAKFDHNSTSTSDILQDLLAVDSHGGSHHDDNNSTDFIHALHTAHDCKGVQCLFGEFSEFMYTCVVEYSLICAGVAFVFWTNCGVHGEGEKIDDPRPQRRKRNIVTIDCSRTAEGLFAGFLFLICTILAVSLYNAYSTDAYMAQLIFLSTEIFFYGVAIVVCCYAFWRMKVLAFNHDQDENDESAEFLDEILLVVGLLGELVFCLGGLLAFVNQNEIHALAVLLFVSNFLRLIQVTIQSGLIMIGSRLVLDIENPKTIRYKPGKQVITLLLIINLALFFMNIFEAQKAGVTDEVVQMYGGRSWALIVRGCSPLTVFYRFHSSVCFAEIWKKTFKVQRARTRSI >PPA18657 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:38168:39361:1 gene:PPA18657 transcript:PPA18657 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNKAGESNSTYDKVRNDFFDALGRAGGEDGMVKGEAMEDFLLMSNLLSKFRENSIKEDNLTNEETEKSKMAPFDSFNETDDNSKAIAAYDSRMFEEYTLTD >PPA18793 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:651476:652120:1 gene:PPA18793 transcript:PPA18793 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTGHSRPEVEKAAEKEEREDFVFMISRTCDIQPESTISVFLLRKLLSRRESNILKERHVR >PPA18682 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:144331:145116:1 gene:PPA18682 transcript:PPA18682 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVDCEPEIDPPPMPRYRPPSIDTICKQTKFTRKEIQIIYRAFKQGSPNGTIGLEQFQEIYFLLFPAGRNTKYAEYVFRTFDRDEDKIISFEEFVSGLSVISRGTTVEKLNWIFTLYDIDKKGVIGHSELMKISQSMFDLIGRNTGPPVTYQHLLEHATYGCKQGRIDYTTGIHGCMH >PPA18667 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:87865:88392:-1 gene:PPA18667 transcript:PPA18667 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCSSCLRLLLNEADVKIHVIEPTSVMGGADNTETIAIQPSASTLQVPPLNRPRTPSDTNTQKTDSETKDEAKDGEKDDEKEDGEKTEEMDENAPVATLQIPDMTQVRTIPVKPKTNSSDSVE >PPA18655 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:34212:35246:1 gene:PPA18655 transcript:PPA18655 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPNTNAFNQPPAKTFDHSLVLKGGFFAHFCLTSLVFLSKWTPNVYAFYNGFLIAGFWIACTTAHIDLTLLKLMAMEGAAAVLDIFVVAIYYNESPRSGADVYLFWVFVIHLLARFGLMFTISRIRKERKNVMDGGATDPMRADYQQVQSSMGQLPAQQQVGYDYGQPTKMEPLPDLSRFAPTNPYHHQ >PPA18705 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:278227:280419:1 gene:PPA18705 transcript:PPA18705 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCFEYEIIAASLLIEELKAEEKAASKAFHDELSNQIEANIDKIGERIKGVFTEFNKTKDPSILKEARDKFATNLANGIGKLKELKDEIKEKQMEAWEANKAKIQERINDVATILDAAKNDVRSLSHRPPDPFYLCSHGFGKNPKSWEFGLKSGASKHFELKACGEEIATVRDMKGHQRERFDRESGASMGILRNNGVKPLPFFSSLNHFQLIGVQGQSAAPKDLNAAINRLNGSSSWQTASILLMVLSVILIATVAVLIVKQMNRSGGYDKLGGGNRGLFVPTQSTV >PPA18877 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:1013106:1016058:1 gene:PPA18877 transcript:PPA18877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cut-5 MREWLHVILLMITAKSTVQHEEVNGVVGDPRVDCGEGHITISFDTEAPFKGMVFVRNKLEEPECRSPPADEHWHRNTSIRIKLTDCETERRLSMDPPGMFVTTNIVVAFHPQFLTKHDRVYQVMCYYMAMERTEDRTIKINMPGPKLQTAIVPMPICKYEVLDKTPFGPPVHYAQIGQMIYHKWTCETDTKDTFCMVVHSCTVDDGDGNKVELLDERGCAHDKYLLQNLEYTSDLMAGKEAHVYKYADKSGIFFECQISITVKEPGQEFCDVPICPDPPRRRRSHFLNETEGRYETPTTTNSSSSIELIGVGTALGGGKIAQKWSWIDEVARIDEFHNICLSSISSWVFAGANALVLLLSILSSNDAIISEKRDLVIVKDLFTPQNPSKASVQFP >PPA18888 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:1056431:1057178:1 gene:PPA18888 transcript:PPA18888 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDIWAAVIEGVVKILSNFKDPNFVRNVYIVMFIICGYLVWTCPCYDDTAPQTMRKEKMEKRELARLLAKKARRNELKGNNEYIKSPQRSLPQSKTKRKKTEESSW >PPA18740 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:425653:427395:1 gene:PPA18740 transcript:PPA18740 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLAVALIAVPTLISAYPQPFGDPFSPLGSWSKRGPPCGLPPFTSKLPEEAQTKIKAIWENWKEDTECREEQKATFEIVHALPEEIREKIFAGRCGPSFLRSVSSTVRREFKAVWFDHKMSIEAKELALKKLAFSLLSGESLALFNKWDEELQQRKAELAEKIENLSESAKNALEEWRAIRQEERDFLARLPKEIRDELRTLCHHWPRLAATSTTTTTTTTTTSTTEEPSTTSTTSAATTTTEAVTTVTSTTEKPVEETTIAEAAEKEFAHLLDFVLPDELSADSQCAFYN >PPA18882 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:1035284:1038751:1 gene:PPA18882 transcript:PPA18882 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEWPVTDKNFLADESRRLSSITIDTGTTKPSIKVLDQLLLPGQKKYLEIKGVESAADLIKKMQILQLISTRPTAVNLRNVMNRLLKETAGLDGIPLIEAVRGFSFKELIDEARDNRQLCWNGVQTISSVPRKNPDGYTIMTICNTGSLATTSWGTALGVISGLHDQKMLRRVICLETRPYNQGSRLTATELSHQGIDFRLICDSMAAYSITEFQVDAIIVGADRVVANGDTANKVGTFNLAIVAKYFNIPFFVALPYDTFDFDTEDGNSIIIEQRKDDEMRYFNGSLICEPRTPVFNPAFDVTKADLISGYITEKGFHTTLGLKLLHGDEMMRRRAAMQHSFVACPESFEFISGEIFRSYQGNYFN >PPA18703 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:272779:274113:-1 gene:PPA18703 transcript:PPA18703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ram-5 MIMAPPGPRKHFLLGLQSKDGLTGAEDSLIEEALRLANVENSVAALPSSFPTIHPVDPSLSTLPMTVFTDISHSPNDLTISKAESFTQPTIITSTREPLVTATTFLLETPLLIDKNTQSPISISRSTDAGFFEASTSFPPIIPEFSTVNTPTILEITRQGMGEIPLVTEPPGVRIDGTNRVAHRPPPIHKHVDIPGVENKRTFHSKNRDWRLDDGSFNDTDTQPQNITCNNTTLLAAEIGNVCRWSGFEHVLLIWSIFSLTGWICLAVFVVYRFHSHRPEWVEFRPHDTLSSSRPPPNLSLSRPEIPWSHPDAFEHRLRHAYRPSVHSSREAVFRN >PPA18673 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:113666:117238:1 gene:PPA18673 transcript:PPA18673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Asparagine synthetase [Source:UniProtKB/TrEMBL;Acc:H3F915] MCGIFAVCHDPSRPCAKYDLEKAKEHSLRQFHRGPDCRGSYVHPESGDILVHERLAIMDLSCVQPLMGSSEHHQVVHNGEIYNHVALRKNELADKQLRTTCDSEVIIFLYEKLRDGSFCNLLDGVFAFALICDGEFMAARDPLGVKQMYYGVDKECRYFFSNEMKSIEDITGETKLAPFPPGHYYQPDKGFVRYYQPLWFDHRLCTKEADLTLIRQTLIDGVIKRLMSDAPIAILLSGGLDSSLVSSIAAREMRRLRLPIHSFAVGVDAKSPDAIAARKVANFIGTDHHEVHFTLEEGIAIIDKLVWHLETYDVTSIRASTPMYILSEYIRKMGIKVVLSGEGADEIFGGYLYFHNAPSEEEFQKETIERVLHLYTADCLRADKSCMAHSVEVRVPFLDKKFLDVAIMTNAHAKQPKAFMGRNVEKYLIRKAFDVEEDPYLPREILWRQKEQFSDGVGYSWIDGLMSHCASQITDEEMALAPSTFPINTPHSKEALFMRKIFHKHFPSDEAARTVRKWIPKWQANQDPSGRASLVHVNSISNGTDKPAPISIPFGRTQQA >PPA18739 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:420893:423492:-1 gene:PPA18739 transcript:PPA18739 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWNQAGSYKPTTAAPEEQIHPIEKEIYQTITENREPYIPERSLKTIERDNQILFDPSSNSIFGPPRITKTRLPPPSQPPAHVPYILPTESNPYLEQYFRGRKTSMEPADPLPVSPSLSPSMDIRIGNSLGLGNNRKTNAHTILYEGTNGVLSRVLHLTYFPKAHVSRRNNSLFFYRALKMLSKLNVLFLGDDKAFSSSGKGTDGAHKLVELSEEEILGGFKHSSGLVRDNGGEIMALFPLGKRVDLFASCCQWAVAGMCDSHWRKVRHVCIKSCGSMVCEEANGMKACSRVLDVDITECYDSLPKLIGLRQPNSHEQPEFEQSLKDTTRNQIESTSQYLADSTKTRHQSKRREDPPVSTTTTTTSAPMTTAKVSIRPQRRTSTTAPFAKRRSESVVIASRGVVKPRFVSKPAKIQREQSLPRFVNSTTATSSPPVVRNEEDRKVERSQAVKVRSSQPVKKRFKMHNGDFHVLGRKNFSAFVNV >PPA18764 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:527650:533000:-1 gene:PPA18764 transcript:PPA18764 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNLFWGVGLLYVFNLIVGTGALALPKAFQTAGWLLSIALLVISCITSYISATFVVESLSIANAATAKRKRDEENEGDENEEIAHHTFEITQRVEVSEMASMFLTRAEVIISYLALNIYLFGDLAIYSTTVSKSFMNVICSSVNSSSIGADSPCREFLPSWITRFMFYRFSILLFIAACAPMIIIGITKTKYLQLSTTASRWTAFTLMIILATMQLIEKGPAAHPSAVNMHGFGSLFGVTVYAFISKHMIFSKMAGVYALVIAFYFTLSLTGAFAFEKVQDVYTLNFLHDDNTSWGYFIIDHFLALFPVFTLSTNYPIVAITLINNVKVLRDLIAPPRYREDEERLVDSDPLAENEPVVTRNMKRTSLSDVIIPLLAIGLPTLISFFSDNVLFLASATGSYPGVFVQFLIPCLLVLRKN >PPA18735 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:398923:400334:-1 gene:PPA18735 transcript:PPA18735 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTETPLFDNIAEFKPAPGFMIPKQEPLDEYSIEIYNGGMDTGSDFDYKGGIEMEDDKDSFRRMHLRNKGGVVGGLLPSGERPYICTMPNCDKRFSRSDELTRHMRIHTGSKPFQLNRAELSTDGWLTDVIHSGKMLKYGNRGCETEAMLKESDYMRQEYPKPIILLWSRIR >PPA18791 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:642114:645062:-1 gene:PPA18791 transcript:PPA18791 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVHNFFNSHATTLLPTATEIARDEEKDHSADSKSILIVPNEESENGCKSLECNLEESNDEGCLYRRVGTGAKGEGYRVGWKVAHQSATPSNPLTGIHSPPDAASDLNFLVADFPGYAKSRKGHRFVLESPEFLLEDNTEAYLSFKKYMATQGIELLVCTDWRGSSCFWDAFQNSADPFGRKWTKEVVKLPNNINKFFIISTQDETERANVGQIGISSIRIFSDAEATKPFC >PPA18756 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:480963:482630:1 gene:PPA18756 transcript:PPA18756 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTPLWCLIIAWLLLVGILICRKIDERDTHEEFPKRPQAMRVEFDSAPNDKIDKDDVYYTASSSPLLHRFEEEKCKKEIFDD >PPA18650 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:14825:15998:1 gene:PPA18650 transcript:PPA18650 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTYSTEDDDGTDEAGGGFCGQGLTFPVNIADGLNPHPRQTETESLFRNRTETEEESVRYARIKQKLGLLGVNEINRYYVESHKVEGDDFEGDVVDDGPMTYANLEKIDEETAMMVATPSNLTSDPAYPILGIIIPLFIIITIAILGIIVYRRNIALSTTTVAYSNLLNEGDDIALRELNPAKIPF >PPA18801 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:674558:676497:1 gene:PPA18801 transcript:PPA18801 gene_biotype:protein_coding transcript_biotype:protein_coding MKTWKLFNTRADNILSKIVFAANIGLLIAKSAATYVSSGTSLSIISTLLDSVVDITSSIGIWYATHAIATADKFMYPRGRENLELIAFIFIGVIMGMANVYIMFQSVARILTLETEPDITTTALAILLGTIVVKGALFIACYRLKTPAARVLSIDQRNDVLTNIAGMLGAILSVTWTPYADPVAALAIAGYIAFSWMGVIREQFPILSGTQCTRHEYSRIARILITHNERVQMVETLLVYHTGQKVRIEAHVVMDPETPSHIVHDEIEEPLKRKLERLDFVERAFVHPDYECDGYEP >PPA18716 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:318346:319839:1 gene:PPA18716 transcript:PPA18716 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVFITGANRGIGLGLVKEFLKNDNVSIIIAGTRNLETSEALLSLGDDTRLHFVEIDLEKDETIKAAFRKTQIDDLVGDAGLDLLINNAGIHVPLDVNAPIDREAARQTYEVNVIGTLSVIQVFKPLLLHAVSNNGWAHIINISSIMASMVHTFGPFNRHWCGYSMSKAAVNMMTRTLSLDFMKDKIGVTAISPGWVKTDMGGDEAPTTVEESASGLAMFTMELGEEQNGKYYNYDSTPIDCFRFRPLHTITYSS >PPA18842 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:844305:846208:1 gene:PPA18842 transcript:PPA18842 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAEFIARNRGNRKALLLIVYIALFLDNMLLTTVVPIIPEYLLRISHPNETDLLLKNKVSKSSVHENTRGKRGVTWEDAAWDSPNVDDETADMNYQQNPLVPKLPKTSSKNRRPTTTKRPKTKSSPKGGGGRMRPVSGPPAKAKEDIPDYDESGERELTEKEKIDRHRMLAEENVHVGLMFGSKALVQLIANPWVGPLTNKPIA >PPA18851 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:875269:876266:-1 gene:PPA18851 transcript:PPA18851 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYDQSSIPYGLEEEIPDENTSRVVVTVSARGLRDCDIDSVSDPFCVVSSTQAGMIRSRKWKEAGRTETINNTLDPDWATKIQLEYNFGEQQRLLFEVFDKGNKGKHTRLGQATILLHEIIGAKYNRVTVPLR >PPA18836 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:822717:823668:1 gene:PPA18836 transcript:PPA18836 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGDRGSLRGVAFTAVVFSTVAVSACLLSFPLVFHYIQTLQATVQGEVEYCKSRSRDMWKEMVDMSPEGSEDSLDVLLRVTRQAEAQCCTCQQGPPGPDGAPGLPGKDGAPGVGPGEPGAPGPDADLHDRLLPVPPQCPCQAPPGMAGPPGPPGQDGPPGNPGANGNDGNAGPQGPPGPAGPPGQPGAPGQRGAPGEPGKLVPGYDAPAGPPGLPGKPGSPGLPGKPGSPGKDGENGQVGAPGEPGQRGPPGPPGQGGENGRAGSAGAEGSCDHCPPARLAPGY >PPA18681 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:141490:142811:-1 gene:PPA18681 transcript:PPA18681 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLFTPFILLCAHMRGTIILLLLSISATTHGGLWEKFTEAASSVVNKIGTAVSESNIGQAIGEAASAIGEEANATFNAVKNSKVGQAVGEAAVAIGEEAVDAAVAIKESRIGVAVGETVSDAYEGVKESKVGQAVGKAAVTIGNETVKTAHAINNAEISETIGDALVSGSEAITKEENKTTEN >PPA18725 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:357069:359110:1 gene:PPA18725 transcript:PPA18725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-erd-2 description:ER lumen protein retaining receptor [Source:UniProtKB/TrEMBL;Acc:H3F967] MNIFRFLADLFHLLATVILCHKIWKSRSCEGISGRSQILFALVFVTRYLDLFTNFVSPYNTVMKIFFLGSSFGVCYLMWFKFKATYDRNNDIFRMEFLVLPAFLLALVCNHEFSFMEVAWTFSIYLEAVAIMPQLFMLTKTGNAETITAHYLFALGLYRALYIVNWIYRYYTENFFDIIAVIAGIVQTVLYADFFYLYVTKVINARKNFEMPA >PPA18871 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:993991:994618:-1 gene:PPA18871 transcript:PPA18871 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEIRRTVLVTELMEFERGDHIKQRENKRANRQLKLDMKLLAMLLSVLLLALQSSADAPSRVRRWGGYGPPPMYGPPPMYGPPPPYGYGWRRRHWYRRPVQTIITRTVILGV >PPA18718 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:333233:334477:1 gene:PPA18718 transcript:PPA18718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-egl-13 MDFFKNQQDNLMKIRQSQLMASMFPSLFFPGSYDSLNLLSGSSAPLFASNGHNLTKEKLDRFTVDHPLNLSHKINEDLSRVPVSLSSLVPTTPVLPTPKAAAPSTPSLPFTIPQGVVQPEVVSIPPTSPTDSGKSTPALTPAELGLNGTRNVSRSPNHIKRPMNAFMVWARDERRAILKACPDMHNSNISKILGSRWKAMSNAEKQPYYEEQSRLSKLHMEQHPDYRYRPRPKRTCLIDGKKVRLTEYKTMIKNKSPSEKNGMEWDTEATSPPGQLDFSLTQSLLADLTHHHQFLQTAE >PPA18838 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:828341:831227:1 gene:PPA18838 transcript:PPA18838 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pdi-2 MIGRLGVATLLLATAFVVRADDPVIEEEENVIVLTKDNFDHVIENNEFVLAEFYAPWCGHCKTLAPEYAKAATQLKEEGSEIKLAKLDATVHGDVASKFEVRGYPTLKLFRSGKATEYGGGRDSASIVAWLKKKTGPVAKDLSNGDDVKDLADAADVVVVGYFKSKDNEKAKAFLEVAAGMDDVPFGITTDESAKKELELKGEGIVLLKKFDDPRTEFTEELTADNLKAWIQANRLALVSEFTQETASVIFGGEIKSHNLLFISKESSEFAKLEGEFKQAAKEFKGKVLFVYINTDVEDNARIMEFFGLNKKELPAVRLISLEDDMTKFKPDFEEITTENIVKFTSTYLDGNLKPHLMSEDIPEDWDKNPVKVLVGKNFEQVARDPTKNVLVEFYAPWCGHCKQLVPTWEKLAEKFADNENIVIAKMDSTANEVEDVKIQSFPTIKFFPAGSNKIVDYTGDRTLEGFTKFLESNGKGEEAEEEKEEGHTEL >PPA18889 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:1057671:1059016:-1 gene:PPA18889 transcript:PPA18889 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAKVSSSRSELSPKGTNAPLLDNKLEKYTEEELKEYRQVFNMFDTDRSGAIGLDELEAAMKNLGLESTKDQLTKIIDEVDQRGNHEIDFDEFCEVMRRLYEKKSSWNDVIRQCLDVFDQAETGSVTKRDFAWVLREFGDITDMTIIEDIFNEPKTRAHMHKLRAMSMEMD >PPA18765 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:534238:539161:1 gene:PPA18765 transcript:PPA18765 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQGAQLACDRSFHDASEDVVVRYMHILSEIQSRFATTDVVSEIENTSGNETEVVYSAELPENAFIKSFYLERDGIITQGVIKGKEDALVDFEEAKKNKKSAAHIRESNNNIFELKLALAPHAKIGFNLTYQEVLHKKNFMYKHIVNVKSNLPVKELEVNILIADKHNFSTIHVPQYEDGIGALPVDVLLAKTKDEGVIMQAATDETGSIVYMSYDPRQKFSNGQLVVLYNTTEPKDVTELQVVNGYFFHQYSPSDDSLPVANKTVVFVLDKSGSMKGNKLKQMKEAMMEILDEINENDRVNIISFDKNVYRWKDEAITLNVESKREAMKFVEDIIASGGTNLALAVQEGLELLKRAEDKQSSFMPSIMLLTDGQPTVGMKAHKEIVEHVNTLGHNYSVNSISFGKDADYSLMKKLGGREQPFGIARRIFENADAQIQIAGFYHEIAYPLLKNIKIAYLDESVDVSTVIAAGETNYFYSGDDFVQLGKLADLPSTSFSVDVEKETANGIEISKTEVTPSTGCIEADLISQLDDVETRNIQVCSKKEDPTIGNFIERMWAHLTIQKYEKLKDSATRSEAERKNVATMIKKLSLKYGFVSSQTSIIVVLDESGEEVPLEERDFEEEQLEQDAPGTSSQDLQGSDLSDNFRAPFKASSLVFSDDCRIHVVLLVDRVFRRKISAIRATRIVSDLSQSVPENVTVSVGDINNDRWIVIQGGKEEKLFEIVAANKGIRQHLMILSEFAGNMDRPFQYLSNLSPAFNKISIAKTSSSLNTNELIKLRQLGKANIIKSADALSLASTMTC >PPA18732 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:388900:389877:-1 gene:PPA18732 transcript:PPA18732 gene_biotype:protein_coding transcript_biotype:protein_coding MYFPVFTWYPQNAAMALSSFLETLGFVGVIVLHVNLGFEFHALWFGLWVFSFIATGILQAELHRLHSLGKKHKRLRHTLCAKWLILIATIATLVSMSYTYPYATSRCSVLMYTIFVVTEYLIAFFNAIFYTITLYEFAAEFKYYRITAVRYGEEDGEKRSEGITTSVASTITI >PPA18656 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:35360:37314:-1 gene:PPA18656 transcript:PPA18656 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKKEEKDEETSSLRSKKSMNLMTPRKGSSVRRISKLAKLRRLNVETKHIPVTKKKSPTKKKPKKKATIKTAKTDEEVKAALLAETAVTHSHPHPVSHVEETVESIMATTHLIDMKNESMIGLVYHIKSYNTFSRKKQSGSWLVHKEKEMDSFELTGELLFVSLKVPVEEVFMGPVEPTMAFQEEEGGTWKKGVFTTHTQFDQNTFVMSTRLSKFGKIALFQTNDFHFPYRKWSTQFHKEAVIFHLHTSTVALSFQFEVEAPNHTIMLETQTGDEFSKLRSLHGKKLSLQEMAIQMNRHGVYIFPNESILRKHKGQVKAEDLEIYVARHICLHRLEAHSSRMNSSLAVKAVMMQVTKQGKNSYDVSYGQDTGGMHPKERTTDRRTLSNVFRWMLITRAISLTK >PPA18868 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:986579:987426:-1 gene:PPA18868 transcript:PPA18868 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSTLITFALLGLSAAANHELTRSKRQFVEVDGGDVVSSYPSRVVYRYGGSPYFTGITSPTYVAHRPAVYYRPAPVVVSRPVYRESLQYVPVRSLYRARQIVYERPVTTVIERPAHVIAPLNHYVDVLEKRRRMLKH >PPA18677 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:129113:132153:1 gene:PPA18677 transcript:PPA18677 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDIAMRSLILVSLCFLLARAWEEISTDVEVDTPRGRLKGRHVNFGSNTTDFYYGEADIFLGIPYVLPPQRFKRPVANCQYTNDGSTLTVQQYGPSCYQAGKGCPDENSIPMSEDCLTLNVFTPDVTSNYKYPVMVYIHGGGLMYGCAAEYPYDGAVVNMVNRGVVVVTIQYRLSTLGFFTTYTEDFPSNLGMLDQVEALRWVKDQISHFGGDPFRITIFGQSAGGASVSAHTFSPLSQDLFQQAIMESGVALTTFEGSLGYDNLSRKRAQEICKVSQADFDNGKWDKMSDCLYKSDPKDLVKLDTVNIIGWKISAGDDFMPDIPNHLAPFRNNIPVLIGSMHDEWAYYDMQLMNLGIAQYDNYSREMFEFEYDVLGGFLAERKVDMLKILEDVYAGVEAPDYDHNLWMRAMSGAFTSAAFTSFIQRDFMDYLANGNKRMWLYEMTYPKAIGRIYDLPNFPEAVFHTAEVSYLWKRKKQFNEAVSTGYINQDDYDLSEWFGATWTNFAKYGQPYLNDEWPNIPTTGPETYMEITGPKPVININNGYKTRDNIIWNQVLVALHGDFFPAQFSTPRFSKEDFDKIKASTKAQCQL >PPA18803 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:680751:685137:-1 gene:PPA18803 transcript:PPA18803 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTRPVAQADGRGFSKLEMEESGGSTPLHWAARQGHLRMVAFLVCRGADADRRDVEGFTALHVASQFGKTPVVAYLVARGQSIDTSDVSMMTPVMWSVSKVFNGDPLTLMITLGADLTRKDAVFHNSALHWAACHGNFIAAKILLKHQIDLSQVNKENETALDVARRRGDFAIVRLIEKEGRRRGELPSTRMQRLIERPKNARAFLSVVPFLTYLSFAVILHLDAVFTVKLLFILAYLGVAYLITRKFSRLDFDTAIPVSFAFATKVALILSWVAYLHSISAWYMQISFTILLFALPSSFIRMCILDPGVIETNFQEKCATIIEVSELGEQRAKFCHTCLLLRPPRSKHCSSCNVCYRRFDHHCPWLNTCVAGNNHKTFVTYLALLVVSTAFYAKGVYNYWRDSCGDISQEVILECNHWIFFSMVVAVGSGIFAACLLIQQIYQISFNITTNERLNIKRYTHFTKGDHCMDIKSPFS >PPA18861 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:920388:922349:-1 gene:PPA18861 transcript:PPA18861 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCSFVLITLSSLLLAGIFALPVEEVDEYRQAKTALSKTCAKNAVWNECSNICPKSCANFDMGPQAPPTLVNACFSLRCGAPACGCKEGHILRDASDQSKGCVTREVCRELRAKGQL >PPA18866 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:972659:973547:-1 gene:PPA18866 transcript:PPA18866 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLLVCLLFFLGAGLALRAVFRYVYRSIIDDDTPSISSMYSITKRKWFWLHTIANDDNWKWSRSVIEWFPTRKRRRGRPMTRWSDIFRKTVGPNFLNEARKASWNAMHIRALT >PPA18805 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:692467:693685:1 gene:PPA18805 transcript:PPA18805 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMARRRPNRHYDMYKREQDWEQKVNKRKYRFLAASEDLFSQVLPVYNQHEGPKHFEPDRYSEVSYDRIEEEGRVGEKLISNDFQNGEMDLRKTAESMYTCIHRTLTKYLKVTKQTERNSPEAVKDHIEKCLALKLSYRTFAQRFTSDRLPEKILRSVINRERERDLPRCHERD >PPA18816 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:746285:749533:1 gene:PPA18816 transcript:PPA18816 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFVARYMPSLQKINGDSGTTTVERPLPSETPARSGFEDRHIKKEELSPPGETRGPYYQFPPPGKEIRQLNQPSFPALVMATQGHHFPPPSFQTQSNHGKYKKSRRDSQAHVIRLPPSHSAPDTMSLSRGDSLDDQAHPPILTSPTVKDSPNMRQDCGSSPDTSEGQSLISPSPSTSNPPPRLDGPYSREGDGPLKIKIMLNPHCYFNPPTSSSGRPPKNLLPPSPRALSPTTAVIGGVQQPQHSGSTTSDEIVSLEDVSVPSSNRRFPPPRHPGPPPFIGRYDPTSLFPPNYRPPSPSFLSKYPPHFIQLPSSTNNGPSTGVIGAAQQPQSSESATSEKAPSETNSVPLTDRRSPPPSHPGPPPQFHSLFPSNLPPTYSYLSNLHPPPFRSRPSSTNDSSSTGPAGDVQEPQSSESTTSAPETASVPLTDRPLPLSPPASNLPSTSSTGRHPQPIKKYPVNPPPPPPPRTATTTSTKPTSGIIGDDQQAVPSGSTRSEEVKIEDAPLPPGTLPGRHPSHSGFPLNPPPPGFYSTNNKPPTGKIGAAQDSEANGSTRPDTVPSDGAPPHPSALLGRPLPPPPGFLSTNNNPSSGVIGASPQSQPSGSTTSHKAPQEGTAVRPPSAFHQVSPSFNPRAPPFIHPQVSSNHLNTPWCLPQTMPPSFTNNNFCPGALGAGQPWGAPLPAPIHGDPIPVIPNPSVQGFKDAVARSNGLALLDPDQNLIIESEQGRKWAISSNFLLVALNEAVALNPEGGRQLFRRAENLIKAKKRLEKEKEKKAATSTSAHVGAPQSRCTSVPPIFNHHQFNYRPYPQATGVSQGLVTGYGHNLNPAFMMNPIAHSFRAPSTSTQHDQNPFAFDYNLEEEEAERKKELNELKRKGNTNEQETPNKKKK >PPA18666 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:79295:81348:1 gene:PPA18666 transcript:PPA18666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-stg-2 MMTLSTIAEENPSRKGPGKMKLSEKVSKAFVEARCTDNILFSVAVSIGSIVLLLQTCPVLMNNWVHLTEPRPINKTNENGEQLEAVFDYNAGYFEVCREFLKKENDSINLEDIAKNEADYRCHLNPLFSRQDLSDFSVASLAVIREILFSASDSIAAKCDWVCLPYFTCAVPSSVLSLSCWEWRVM >PPA18775 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:580499:583303:1 gene:PPA18775 transcript:PPA18775 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIAPKFRPVGTRPDYEVVPFYPSDHFEKRITQSRRKDDGLPENLTWFGAQIAGHKTQVKDGKQQLGFIKDLDFPERILKPVMPDKRGKIEKTFYTSIFASSSPALAPYRNLLPQFHGVREISYKGTTHELLDMEDISEFFVLPAVIDIKVGQRTYGPDANEEKRRKETEKYPQQEEIGFRILGYKIESGETMKVASRDREYCLTLETDHIEGALREFLAFRGPDVHVIIDELLDQLETVRDAASLGVYHIFSSSLLIAYEADREAAPRAEIRWIDFSHVFPGNGQPDVNYLYGVNRLVEYLNARD >PPA18865 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:936230:939177:1 gene:PPA18865 transcript:PPA18865 gene_biotype:protein_coding transcript_biotype:protein_coding MCSCNAINGQRSVTSMNEYSTNSVESSLQYTPFGAPLPYSGWSKKKFAPSLRPLLFDRWRLSDMGRLKVDTIDNLPIKRVRNAGPWTEQFSSNGRRYFYNTQSEISQWDKPLLWIEEEARYDPDADNDDQFDPERCGSSPEKIDVSDDDESVPMEEEIDEEERERRAIEAEKRAAEEKKKKEAEDAYNSRKHMHHSRERDSTCSPAMRYPFTSPVQRDYGYRRFLNEAAMEKMYKHMFGTERPEKPYSKDNYPLHLSRHVDELTYQMAFDEKMVEIARHRRDGIVAFHLADFYGRQAKDLECLRDTMEERRLDFQLQ >PPA18862 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:923212:930193:1 gene:PPA18862 transcript:PPA18862 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGDGSSADSLPSSSTSASPSHVNGEGNTDELPGEEASHTKLHDLYEKYNVREKEISRLEKQNEEYREKLIRAIRERDEFEEKVKLDTKERTAKVEELDRKVVELDCTLKVAKDRANAQELHFNRTTSALTEKFNKQVNDLSRKMEIAEGEKNQSVIKYAMREAELMKLQAEIAKKDETMKGMKKEIDDMKGSASEENLQSLQKANVDLKVEMEKIKHEKFDLDNRYKAEVKRNEASQTTITDLKQQLEMLRRQLIALKEDKTTIQEEHKSLVQRMQAEEERIRSKEESIHSNQSEKEELYKKIVHDAARAKEKNSELSGEIENLLRENTTLMGDLQEAESRIKAESEKNGAMKMRLDQLTGIENKVKGALEAEKVAKKEKEEAELEKANAESEAAECRKQAERMLEITENLTKRNTALLDELAEVRDKASSLQTQLIEEEGARQRIERNVASTEQDIESVRSTLDAEIKELKEKLKEETKKADELARQLDEERTDNNAFRKKANSTIKELKHETSNLRRQNVSLQASTPSDLPSIDISHPSSTLGTGSSRASSTTSIDQLGQKFYREKDDSEKDSSSISMRDKEKDCDSLQSKEDECRASQSLSPPSEEVPIAAQQAMIEKIVKLQKQLLRRKEKIEFLEEHVRQCLDELQKKTKLIQYYALREEASLLLPSDEGMQKVPIAKKTSSTYALMGAIFTGGGNSEKKAALQLATEVNSRLQAVLEDTLLKNINLKVSFPAKI >PPA18780 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:595707:597223:1 gene:PPA18780 transcript:PPA18780 gene_biotype:protein_coding transcript_biotype:protein_coding MWCRDWESSFYMAHWPPSKRLKSALAERRRGIKYTLPGMKYEFSETRILSEEAASVLKKSVDSVDEDINWNGLKQLMDSREHLAALEATGKILTLLGQGMDKSGRSSTLSPFALEIWSIRFQLLFGLKKYTELLDEMTSFEDLDAPDLFFQYHDDKKEGSMIPFALRMVHAEALVHSPLPMQAVGRVDRLIADVTTVIEELRSHGPESHVEGWRKRLEAVKYMRARIFHELKAAGLKRMKQSQSSRMQVLLMKECDYIRSEC >PPA18857 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:907666:909741:1 gene:PPA18857 transcript:PPA18857 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLLAATAVTTAELQGNNAQIGWMAGKWRSEFSGKIFWPTVPTMTFGEELYILEAPSARSASLQFLNFSARAWSHSTKDHFHDEWGFLTVDATGNATLMTAGNNGFTTYEVGTVEPNKLVLTLKDIGRISFSRDLPVEDLRRTFIRHDDRYMEQILEMRTATHPKSGYLEHTRVIYTRKKE >PPA18762 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:508357:512539:-1 gene:PPA18762 transcript:PPA18762 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTRPVAQADGRGYLRRYLNERRASASEFPLTQLMGVMGMNSAQVNDQLLASASNTPPNATIEEEGRRYLAQRGGTKRNTVHAMGGSPLAGSPLAGGSPSSRHCKSPYQKTPVANGERRSSWASPTVTAQQLAFLDKAHRRAVGQGSSTGVSDIVKLQKEFQDLGRLTLGLPSSSAFTPTGLPSPAEIAQQLQQIQACAPMISITDENNRTMNQPMGVSNTFANLQDAFEQQVAAANDYLYGQRPATVIGFARPSPTMNSNASTPERASGSNSLNKMFLIAVRADNVVLQIRTALEEGNIPFEQSQHIIPEVTRLALTGTGIEIGVASALPELDKSHVEFQCVGEDLETSDALCTGLIQHLRTFEPQPVAELEEELSRKELMIVGKNDEMRELTVAFKTTLALKNRKITGLVKEVAEIRTRNRS >PPA18674 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:118558:120522:-1 gene:PPA18674 transcript:PPA18674 gene_biotype:protein_coding transcript_biotype:protein_coding MMDIRSRSELFVDDPGREGKIDVSVNVSFPALPCEYVGVDIQDENGRHEVGFVGKTDKIPLDGGVGCRFESTFEINKVPGNFHLSTHSAQSQPDSPDMRHVIHSIMFGDKIMNNLKGSFDPLSKKETMGSQPLATHEYILKIVPTVFESIGGTVTTAYQYTFGHREYVAYHHSGRIIPAVWFKYELQPITVKMTETRQSFYMFLTSICAVVGGTFTVAGIIDSFVFTMSEMWKKHELGKLT >PPA18854 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:886057:887044:-1 gene:PPA18854 transcript:PPA18854 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPAQRGLTRKSSQSIESISESKPKRARLEEPCAGDFMNSIEFNRKFAELPEFDPAGMVCHSLPATPIATMRTPPETSFPTADNVFDFNFGNIGAMLLAEKLEIDCNGSVRRTLEKKRELIRIFLTETGMFPGPIEIAIFQQAHAELFPDMASVKMKIREVRQKIMHDIHMKTLNESLKKEDLPSDAVETAAVPAVSI >PPA18797 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:662003:664972:1 gene:PPA18797 transcript:PPA18797 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHPSAGQHSVCGPVHTCFFSGMNYMCCPTNEPPADAPPVCPNGLMTVTDARNHVVQCIPGRKACPAGGQLCLHTGREREHVCCQKFLARPATPKPAPHPIDNGEDEVVSLVSKVSCPSKSLAVLANDGNTITCSAQEPCPGVPAKASHICFGTTKRAICCQEQATKHISLASGVSMVDHTSGHASDAAAKAVKETVKEGLKRKQNKESGKVDKRQQFLEAVQPSSPVQQLNDGEMTQKPVRRLKKVRKTTTTSTTTTTTASPEEYEYYYVDENGKEETTTAEPTTTTTTTEAPTTTRKIRRRKIKTTTASTEEAVEETVTEATTSAPEFASEVVDAAEEKADKSYESGETIFKNRPRAQEIDNNAITEPQFARARARSAKTLTGPIQPNTEGRKQMAQKYIMRHIRNGWPYNENYYRPDVTDAPDGTVFVQ >PPA18853 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:883898:884768:1 gene:PPA18853 transcript:PPA18853 gene_biotype:protein_coding transcript_biotype:protein_coding MIYPLFIALLPLLDTAAPPIANIRRVSYTFRVTGAIKCAFKKHQKTWINISLYEDDPWTLIHTHDDLIDYRNYLIPWYQSARLSLGGRLEDTPFDDAVEPFVWSFHLSKIIRPFTSELSKHPNSPR >PPA18651 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:17094:18110:1 gene:PPA18651 transcript:PPA18651 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASLLLLLPIFAVSLKRKLNATEKAALHSQQWKQWMDGTKSSPPASPPKRKYGQYALQLLHWSTNLTTFFELNDHNITIDLYTEDLAIVCQTEDVDSVVRLIPAAMADSCAFEGGVVAHCPNYLRVPLLYADIRGIGEYVLTSFSDGTAEGKHATSGGFCKEGLKIPVAIVGRSRDWSRFREKEEKSTTIRPREVFHSMPDEDSSSVHFFSSVSVTISMAMLLFFVLL >PPA18821 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:770511:774138:1 gene:PPA18821 transcript:PPA18821 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSEYHEYLKMKSRFREEQKRKERARKDSENALNPTIAPSVPESRTSSSSSIAPVAHAQPTTTSDQTPPVVRPDSPVVVRKRMRMFHNPYYKPPVVSPGPPEEKVENHPILPASIRPSTSYSLFNKVIIPRTRSKKLAKIEMDPNPHTSLMLMILQMLTKDRPSKGHLDAMQNVCCRLCDCSEEHMYNLYLHHVSITSTASSLENQPDPATPQTGSIERSANELKEFIKRLARTTPKGENPSQDDMTTLAILCIKLAVLRRDYKKPWAKLAQKTTKNSSNAPRQEKQINNNISSIIMPSQAISMEPRISPSPVHQDSSLQISSPPPSAIIPSSQSIPQGSIVQISSPPPSEIIPSSQSILQESIVQISSPPPSAIIPSSQSIPQGSIVQISSPPPSEIIPSSQSILQESIVQISSPPPSAIIPSSQSIPQGSIVQISSPPPSAIIPSSQSIPQGSIVLGTPRFAPQQFQNLVYPNTLPSASSSIITSADGNHQIIIPAASTAPVIPNLPEVSAENAAFHSLIIYV >PPA18741 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:428155:429608:-1 gene:PPA18741 transcript:PPA18741 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQTLHHSFHCMMKRKRGNEESSLNDRNDDSANGDKSTPEIVDIRPLETIIEFEYQIKDLPTSRPEWRLEQDIDSKLIVDFHRKRMEHEQMIIENLQRSMNEREESPSNDVSEDRRCTT >PPA18694 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:210988:213461:1 gene:PPA18694 transcript:PPA18694 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDAVQSVLFFYSCFSMIIYSIIIFSILSQRRHVPILRGSFFSLIVIHFIADLFLFFEFNILFRGRKYGYLNSLFPDDSTALTIVPRISNAIHYYVIVYIGQVYFALNRLTSALMPLDYNNIWTFPRIRAITIVQWLVPLAAVLPVHMNMEFTMKYIKYDDENTLRLETDKESTEIIAYIDMACSVVCTVLSLIMYIWTLHVVRKGVNGSLVASTTRLASVELRLLVSALFVFIILTLNTVIQVATVITNHIGLKEVMFINDLSYPMVDLIYTCQPWALLLCSSVMRKSVMATLKPRSHKPVRLSAPTTEKEDCNTDATN >PPA18807 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:702437:703774:1 gene:PPA18807 transcript:PPA18807 gene_biotype:protein_coding transcript_biotype:protein_coding MFIICSSADMAYSVAHAIDLKHQITECKQSRGVVGNGDVPCVFAFNKTDTIPSRTEIDEKEVAARLEDTFGTADNLVVCSAANNTNIDKVFGRLFAIAKCPKHMNPELHKMLRNELSADGDSKKKILQRMRSKFSRDGDDTLAVMMRSPHASYTLTSTLVVRLFVLTF >PPA18759 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:490761:491448:-1 gene:PPA18759 transcript:PPA18759 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVINLTKCIIPAIMLGVFFKLAAMFFVWRMIFLDMDPVCYSEIVSAYGLLFPWVVMIRHDRMRTTLYHLLPGCGDAKARRIEKETLKSVERLTKPYATQDEYFSVLGVFLGTPEKTKFKTINNTPYAV >PPA18693 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:208725:209437:1 gene:PPA18693 transcript:PPA18693 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVTGTFFSLIMYILTCIVVRRDMAGQVNRLFELRLLTSAIIVFIVLSVNTVMQVLTILQNKVGLSGVMFINDLSYPVNDMIYTVQPWALLISSSVIRSHIWATLRGRNYETTPRATTIAVDSKSSFRSSFVSG >PPA18720 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:343819:347925:-1 gene:PPA18720 transcript:PPA18720 gene_biotype:protein_coding transcript_biotype:protein_coding MCRFGSSESNQSLIRLREKAEEELAANEELENSFVFKSPIPASEGALKPFPDSMMKSLQRPIDENDLPSYSTGSVNNKLMQSLKKPRPSGQSVIRPQLRTRRPDDPRNFMKKKIPKEVDPPHYNPPFSRPPFAMVDASRPHLPKGAINAINAIERQGSLTPVNLQPPRHQPSIPDIQPLQFGSNTLTSPLSKPFDTDVDETPISSLSRSPFTSSSNLSPSYSRIGPAPGLILGNGNGQSNIVNSIFSQSQAHGVVNEIPLGGIPNGIPSLPQPPQIPAPQFPTDFLSAGLPPSPPQGGLPNLFAQSGLPTHGFSGADSGVVGGGPRSPLEGLLAHGSPFESLGKMAKNFLGGSNGGGNGNILDVMTNALTGGGKPNHIGTASVIDPPVMQARSEPNLMDQLFKSAASALSESMKAKERQDKFDAMKREEEEKDRQAETEEKEKQKQARMSKDVKSSLKLLDGLPPDQKSLLEEALNNGEIDNDALAPAIKSLVKDDAKEDSQKEKVDRLIEWIKANRPRKNTIVPVSTGDKLPYYGKYCGSLAAQEGSIKRSTKPSGAVWAVDKDRFIVSKFHFQPSSLNDNVTFWLGPDHSTLDLVADSFPSDNGFILQPEPIDINVFVLADLKPVPARARNERSEEMVGRYQENEKDEIIAAPIHIDRDELPPMDKIREEVKLLVAGGAVKVQNGDVTLNESRSLMTIPANGLPPTDMSLFNHIVPRGFEMRPPFLAQEDPTAPKALDWFAGFQPLLLRLPTDRSVKSVNWISLRDHKHKETIASVIMPNGPGFTIPAMTTLRPLTPNGLFNISSGPIKVELFPR >PPA18890 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:1062603:1063506:1 gene:PPA18890 transcript:PPA18890 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSVICLVLVISFVNAKNLPEKFFGTFSLDRSENFDDYLYEEGYGWWTRRVVSLASVDKVFTKTGKNTFNFDDLWTAKSLRYKDIVLGKEFVGDGLDGSQQKITFTWHGGALFEKHVPIEEDAEQKEAEYKFQFEGEELVQTLESNGVICKRFFIRQ >PPA18757 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:482688:484114:-1 gene:PPA18757 transcript:PPA18757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mdt-18 MECILFGSIMEKNLIHLENRLAGICDPGCTPFHEHEMSFSLKTSSTPDVTLRLRRKFNFDSATSDKWRFRYIGRPEPDQDCPAVVRKVIHSVSQSKNMMEFVKAMGLRMDFEYVVKGKMWTCGAVKIVMSSVQKTKQPGRYDADNLEKLSASYLVEISVTIEDTDDHLKASKQLKLFSTQLSPIIEMEKVDYMAK >PPA18663 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:56447:56955:-1 gene:PPA18663 transcript:PPA18663 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSLYDETPTATEKRRYGVSPAVRTTFEDRIGQLERSLSRERMHKDRMRQEYSDLSNKLNQAMRQMELLRSSSYSSLRASHAPRTSVFGHFYPYY >PPA18849 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:865513:869684:-1 gene:PPA18849 transcript:PPA18849 gene_biotype:protein_coding transcript_biotype:protein_coding MVALPSPTITSDREGSPKPRRKLSTVQLSVKIQHELDNTHVSKSGKTRLSNKFRNDMIMRRGSIAYGIAQWIIELEKAHRLETERNSAEVIYEIIRLANDVSDISAFKIIKNVRKRHLKITDLPDCALTPANQQMPEVASLNKLLRVLSFYGILSDDPRLAETVERQQQIEEELEDEEKPTNPFSKEHFIQCVHPAIELISRAFRNELEIPQWRDFVAKVKDIFEETKKINEGDVARYIPQLARQNPKWFGFSMCTIDGSRCHLGDSKVPFCLQSVSKAFNYAAVSTDIGYEAIHKYIGHEPSGRLFNEICLDSEMKPHNPMINAGAIIVTSLIKTKWPMAERFDFIIEKYRKLAGTYPVEFNNATFLSERDTADRNYALAYFMKENKCFPHSEIMNLREELELYFQLCSLECNCETLSVMAATLANGGVNPLTYVRCMSPEACRDALSLMFSCGMYDLSGRFAFQVGLPAKSGVSGAIMVVIPSVLGMSIFSPLLDRTGNSTRGVAFCRNFIKHFSFHNYDHLSNPDEKKIDPRKPEKLNDRDIIVSLLFAVKKNNIDLLRRYYMKGFDLEMADYDGRTALHIAAAEGHYDIVKFLLMTAGVMHDPKDRWDHTPLEEAIKFGHDRIERVLIKYQRMEMVAMGILDGSDMSDVSDFSDSEDEREPIKPRMRKQTIVEIPPRSPPKPVSSPASPAGSTTPLARSVESVSSTSSTATTIEMSSTSNSPKVVEEEDEEAPIFSSDDEAAPMNHMMNLPPMIRRPSSRGLKR >PPA18753 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:475843:476677:1 gene:PPA18753 transcript:PPA18753 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCSSPIFHRARTMREDYYYHSRPARDAHVHCRGTPKAMSMFKGSRRLKRRRFDHYIFFNLHEEKRLQAKDLLLFE >PPA18864 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:934111:934987:1 gene:PPA18864 transcript:PPA18864 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEAEKEIPKRTSTIGEGMASFIASLPIFNSNSSSRKASSEESTQLLGSTCSLANTNKRKKPIAHYYLLDGEAHTEIVGQSTRYRCPVCLKYTSMKRLHMLRHEWFSGARRLIPTSTSEFFIGRSTSEYAML >PPA18819 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:759797:761108:1 gene:PPA18819 transcript:PPA18819 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIDCIADAVARTGSEKPHPLSIEAIFSNVTTDPMRQIGGKVSWTIPDSSLLSSMGSTQGDSTGKLDQIATIPSSLRNNTRILDPLSSAVLPHPSKCNMPFNAHSRLYESCRTVASLILDGHQLKHDTAIVLLESLLLKALDVLHELPVNEIPLQTHTKYEEKKNTIMKSLRNHLIRKPATYNLDKVFPFSSQSTLSMGEFQPQV >PPA18810 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:710094:711818:-1 gene:PPA18810 transcript:PPA18810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lpr-6 MASWTVSLLSLLLVGYASAIGENTLDLNSITGILGGIGNMFATDTVTINVPTSLLMGKWHQMYKAAINLDVFRTTMYCHIAYFKPNPIMGEGGFSMEEAYRTVSKTGPIETYKRDLNKIAPGQFWMYTEEYFYPRQFYFIEAGPNFDNETGTTTADRLEYFIASDANRLSLMVFARDPQLFFQKYNKDVQHFLDGRGYGGSVFWNAPKPIYQGPDCEWPGEKEVFARRVLKNQELAAQQKSHANDGGISNSPIADMIRNPKQTLERLVSSV >PPA18782 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:601304:601687:-1 gene:PPA18782 transcript:PPA18782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hlh-15 MESPFLLPFDITDHDFHFGSSTSGSQGLSDSDEERELRKLTKAERRKRRRATPKYRNLHATRERVRVESFNNAFAALRSLLPTLPVEKKLSKIEILRISIAYIKYLDHILTVY >PPA18827 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:789167:789450:-1 gene:PPA18827 transcript:PPA18827 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSALLICLLLAVLLVSLDALPFFGFGKESVRIFPFIPRVSSKSTHDYFLIRSVTTRHHHPFSTVVRSRMRHPTVDTSTPE >PPA18794 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:652352:654628:-1 gene:PPA18794 transcript:PPA18794 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRRWEILGILLHCITSVLSNLAPILLPVSCCLSNPHLPCSVASSQPSCRHAHGAVTSFQHDIYATGQYQGFLGDRVQARAYSELRSENEFALTDEELQCTNVTTCTWHNDAGDQLDWVTGFGEVAPTKLNIITGSTILPGSNFFILASDTRPSAFAGSLISKAIACQPSSGLLSFRYWRSRARVIGQEPDLDVCTRRVPDSTLENCLTIETTPNHTILATIPPIFEPFEIVIRGYGFENEPEGGLIILDSIDYFAQLDTAENCAVREQNTIPPEAIVDPATFPPQTRRTLLMVMS >PPA18768 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:546260:547777:-1 gene:PPA18768 transcript:PPA18768 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWHYTQYMEKYVLEEAPFYKSWEPVLKSTSRYHNGYSYIASWFGIGFLLLSSLCMLIANCYVNKGDDKAYEAKYDAHMMNHFYDKGAVMPYYNTYNAGAYGAYPPGPYATYGGYPSAYGAAPYGYMTYGRQ >PPA18777 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:588308:590411:-1 gene:PPA18777 transcript:PPA18777 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTCDKIENFLDGPFISQYVNVINKYIRGLGNILIVVVYCITLLLVITSFGIILPYEQWYQPPLLLLLYSIIGFFLFVNIMYHYYKARTIAPIHVPGKDHERWCFKCEHRKTIRTHHCGICQRCVLGMDHHCIWINQCVGSHNHRHFFLFIANLTVATFIIVIAGYHTAYDHFFEYSNGKSFCSAFLDYAPLQAWICSIDGFSRNAVIFSYLLAALLMCLVGFLTGWNMFLISKGFTYIDYLQHSDHVALNATYRNPLDQGFRRNWKNFLGLRRNRSFFKHVILPSSHPAFLLEEEEATTLMMDDIV >PPA18789 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:632330:634285:-1 gene:PPA18789 transcript:PPA18789 gene_biotype:protein_coding transcript_biotype:protein_coding MERPEDAEKAKKELNSTCIEGRKIEVNSEKKKGREGMGWGDKWVNRPIHNGKNGLEEHFLQSSVVDPTSLAAAAALQGAAVQQQLARQMQMAMIARQLPQIAAVQNPAALRLPTMANTQPQVQQLLAAQSLANLQAQQQLALGASYYGMDLQMAANPNQLLQG >PPA18832 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:811108:814196:-1 gene:PPA18832 transcript:PPA18832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dgk-2 MVIETLSIPPDITDFTPIFVVCNPKSGSGAGDHVMKMFKTVLHPCQVLNDIEGLENRPSIAILPLGTGNDLSRILNWGTESDGRFALKEIVNLVTEIQEAEIQPLDRWRIAIETERKMGVDKVETRSLSSRMINKLLFFSYGTMDLFTRTHSNLQNKIELTMDGKKHVFTEPIEGLVFLNIQCWGAGVKVWREQENDEEPQILNDKKFEIFAVRSSFHIAKLQVGLSSPIRIGQASEATIKVLNEGIHMQSDGEAWLQSPSTVTITHKCQAQMLKRDSLSRIV >PPA18661 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:49945:52672:1 gene:PPA18661 transcript:PPA18661 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGYVLLLLYCTIQYPDGVAGATDATTTTTVPTTTSTVVTTTTVTIPDTEFYKTGTCTCCNGATGPYCSFNVTEYVASGNKDGVGFHTQCETTTTTTSTTTPATTTTSGCADVNENGICDVYELLRCETQYLKKTNLLIAKILCYIATVWVVLWCLITIIVNIMHNDENVNYINNDATELWTSSWYSLGRRTGNHRALDIHGSVESHGFCKVISVVIHYFVVFVAACFVFEAFFAYAMVRSKKIKNGVIPAFINYILPILIALIPCLVTYFMKKSYYGANGLHCFVFVSQEYMYGFIIPVWILLVIATFKSSLGNLACDQTTHKQDQMQCYWGKKSCKMLSFLAYWIFIAYLTCMFGSSNQLLWVLIIFFLQSLVLGPLIFVVHTYGHLNTVKKWYKPSGCFGKFYTPCPEKVDTPRLFDAPPPPPPPPPATPPPPKESPKKKKKDPPPRDATPPPPRTQPPPPPPPPANIPPPPSPPPKDTGHTRAQDLWGWATTDGRNARSNDAIFRPRPV >PPA18711 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:297883:302009:-1 gene:PPA18711 transcript:PPA18711 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLNGSYHDIVYVGLLDDSIHFLLDLVSQSSEDILDTGGDDCDEKEEQLVDSSSLLESPTRMNTPLLFALFAILFCCVLAETNLTRAEKQHQCEVGASAKKRIGKVQSEIQKAVASLKKVVKEFMDIPRVLVTETMQEGVGLIQNSMESVGEEIKTQIKAITNPQLPDI >PPA18676 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:125909:127009:-1 gene:PPA18676 transcript:PPA18676 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIEGRRGERISCQETPRYKEQDVDHRGAGLRLRLTRPSGRRFRQLLVIVKAKFFSHSAEQKIKAAGGTCVLAAKGTITCVLFLI >PPA18701 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:265835:267573:1 gene:PPA18701 transcript:PPA18701 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNVHPTRAHKQFTFLPNASSYPTAHFDIQIEPIRKEDDCQIYIRACSFGPTKTSCLRTASYSFMHNYTGGLAFSLEDKTKKSKAKIQFRSPDMKLDTYLIQNHEYLVEEVRSGNVMNNNTLKSANLRGSVQAMINCGEGYDLDFTKYFCEIDPHPLLPYVEVHEVVETEDGPIVTTMLVEKEDLYQVPVEFPATTALPSPPPLVLVPPMAMQPQQERLVHCKDESCGYWIIYVVITNIFFLFVLSAAVFCFVAKRSRDPSRRLHESTTIHSIRSRTSRSSVQNSPV >PPA18772 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:566892:569193:-1 gene:PPA18772 transcript:PPA18772 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKMNMFEKIANMTGVLYRHQAAQWPRRAQLLKGVFKNELAPPSQAQWPAVKADFNKVIQTIQSGQYKNLTVKEAMVYSAVALEVVFWFFVGEMIGRRYIFGYLVPSDYVSCETKKLAKNQIPEDKTAL >PPA18659 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:41674:46153:-1 gene:PPA18659 transcript:PPA18659 gene_biotype:protein_coding transcript_biotype:protein_coding MEIELTNTDPNYKSEVDRSLVEDAVRRCLLSAVTNVNLSETSLPFANKSSMPRMSPSFGNNFTPSTNSYDRDITKDLFTPSMASNGHVNSNEPMSEIFKKMTEPTSKLMASHNASVVPNKLRVCVTKAQRLGGGRVDVIEPYVVIEMDEPAQKHQTTRSLKQNPFWEETFDFDLTPASEEILFEVYDAKGHDGDKTFLGLAIVNFEEIRRSGEAVHMFQLQGRPYKSDAVYGMLTCKFDFYYDPQPSSHPGKRVDQRNDGSEFRETVSTQRRQIYDPLDRERIEHSDNFDNEPIIPTKTTTISVKAVERQPASSKDSFNKGPTSSVRSSNEKVPESPRHKENPYPFEKQTSLDVKRIVPVQEIVNNRMSAASEPEPAHLRVGESSEERGRGREKANGTTRRDRSFFSDLRERLSGRGKKGDRARSVDVAEDDLEEAVSLPPSRDHSQTRWAGADGKNRRYFETTSVGGRSGESTMSLYQHSTLLLEIVDGSEKKYFLIPPNILNEPEAVRLLKKGKKLHIYNEHTFVAVKIKGGALCNVCQGRIGRSFSKQAYQCRDCKMVCHKSCHYKTDTFCTESTVSRLHISKDVDWNEFFTHQAPHEFISYGGALNTQTIDITGRRREEEGRRSTTVKEVSLLSQSTQFMSTQRR >PPA18727 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:367736:368915:-1 gene:PPA18727 transcript:PPA18727 gene_biotype:protein_coding transcript_biotype:protein_coding MILSESSLIHASEEEGKKCEVCKKKVSGDALKVNGDKLVHIACFKCTKCARPLSGQRFYPTTDGQFLCQEDFKMVSAQISVQPVETTPKKSTNVSRRNDPSTSCPYFAPWITIQ >PPA18653 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:26682:28093:1 gene:PPA18653 transcript:PPA18653 gene_biotype:protein_coding transcript_biotype:protein_coding MYIQVYHKWTCESETIDTFCMVVHSCTVDDGNGDTIQILDDKGCALDKFVLNNLEYPADLVAGQEAHVYKYADREQLFYQCQISITIKEPNGECARPECSEPTGFNAVSLNGATGLTELNAEPEAEPEPTTTTTTPAPPAPFARGGRRPAGRGKREVMKREAQAGEAILDVRAELAALEVNADTNLRALLHLAEDGSSPKGFVVREKVALPSVDYCLSPFSFVALLAVAFFVTLSLLTLLVVNVRAGAAPKKCTN >PPA18722 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:351553:352556:1 gene:PPA18722 transcript:PPA18722 gene_biotype:protein_coding transcript_biotype:protein_coding MNYETRIREVLGKNYSQGKTTKKVAASAAKKATKGLNFDASALSMLGGSFGDILMEMGVETKKRPVKKAVKKETGGRVLGGKTTPKKTATKTATKAAPTKKSTPAKAKPSSKK >PPA18831 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:806728:809318:-1 gene:PPA18831 transcript:PPA18831 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETEGKAERVQSRPPPTANHVESSEVRRARMDCVLLSKSSHATPRQVSILSKDLITRMGIRSASYSRPKTARSQSARPPRSNGFLASFTQKCIMSANRLREQAEADDKEKRAMAEAAFQAWIKRKAEMPRQPRASPSREQIEQYTKEDKRQRVLNQWHLRGVQRTKPETRSPSSPKENGSD >PPA18799 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:667252:670288:1 gene:PPA18799 transcript:PPA18799 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hid-1 MSNVSDGQCTSQQLKTDTSFLYSLLFSIQYAPMIPAMGMGMPYNYLLFSDTREPLVEASLQLLIACLDRDSAPKTDDSGYADNFFIHFLSRIHRDEDFEFMLKGITRLLQNPLQNGYLPNSQKKVCFHQELLVLLWKCCEYNQKFLMYVLKTSDVLELLVPILYHICDARNDQSRVGLVHMCVFIILLMSGERNFGVRLNKTYLGKAPIDIQAVNGGSHADLLILVFHKLITTGNHRMQSLFDCLLTVVVNVSPYLKSLSMAAANKLVHLVEAFSTPWFLFSSPTNHHLVFFLLEVFNNIIQYQFDGNSNLIYTIIRKRQVFYQLGNLTTDAGSIAKSLAGRKTKGARSDIVEQLKSPTASNAPELIDVPAPPAVAGLAATPLIATMTEKLPTDSTPTAAEDWVASSEWAEAWKAKLPLQTIMRLLQVLVPQVEKICIDKGLTDETEIIKFLQHGTLVGLLPVPHPILIRKYQANAGTNHWFRTYMWGVIYLRNVDPPIWYDTSVKLFEINKT >PPA18744 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:441523:446417:-1 gene:PPA18744 transcript:PPA18744 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTNEAEVGLRYLRELVRTERIDMIPACSSSIFESISSRISYETPDLKARPSSSYYLIPIHPQRVFLSSDFILSTSGMFSSSPFPSSLVSILSFYQSKEERFHSFTDALLNFLQWSDNFCIFENGKEKIKKKEEANGILDAIERALRGIPSRPSTARSGSARGLSPPILRPKGRPPPLSERGLSLQNNRRIVQDQSIDDLMRQLNLRQVAKFEPVFADVDADSDDDVEGVTVRERKEERAEKHGEKTCEEEVEKLPDGSEIRRTKTRTVNMQQSSKHVTISSRGGGMPEKTQRFVDGSEDYDEDEDFQKTSSRDFFNRFNQDRKMRRDPFADNPSLSFESNEPLQTTKKKTIEKTSEVLQSVEENKKKNGKMITDNAAHQKDTTELKATQIETYKGDKLLDRTGDGSYEEATIIGAGRPNSLEEGTPRKHVVEYVQTFGTKNNTAEDFFRGCTLNSYIVLNDNLRIHEEQFRKKISFEFSASGTH >PPA18820 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:762524:764747:1 gene:PPA18820 transcript:PPA18820 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYKSFFIDNQFIIRDQSGEVVMKIPFADYVKAVASGTLPNAPLETQLGHFSPSDLGGIAPPQPYHIVGSQPSQIVPNTPSNAVVKGNRTIWREAVDDIMHNQPDNHFPPSNPPSPSPDSMAETPQVGRVYQPPPKEDYSLFTDCQLEDCMNWANEEREKRREQAEENERKKKDQHRALLLCQQLASLGILPQNNANSFGKAFPIEGNDPWNTQFQGPAMISNPFGNGYQPAQQSTIFSNSFGIASQQPTQSASLAHDTFGSFGALFGSQLQTPSHVTGNNSVLHSQVAHVTPEVTPYGFAAPPRFPQASRSSTWDVASYKLSFNMAQSVQSANGFMPNQTSPFRNRLNGTGSHTGLFQTIGLDRHSLGILQNATDPQTCMVDNFIQS >PPA18737 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:413554:414450:-1 gene:PPA18737 transcript:PPA18737 gene_biotype:protein_coding transcript_biotype:protein_coding METPWDFLIYLCSTQQMIIAYVDGRGSSNRGWNLKEPVYEALGVYETQDQLDAIKEILKRNDFIDKNAVTTLGWSYGGFLSSHIASLDGGETIKCAVAVAPVADFTLYDSAYTERYLGMPAENPRGYNGSTLLNKVARIANVSYLLAHGEADGKMI >PPA18767 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:543866:544958:-1 gene:PPA18767 transcript:PPA18767 gene_biotype:protein_coding transcript_biotype:protein_coding MATARQIADQLRRFVSAVENPSTGQSASLSDVGFLLLSMKDQLLAQDPRSDAFLHEFTSRQQLGVDLIIRVIVALQGIVNASGSSSKISNILGRNNSNSSRKRKAAVFVRDQYRLSK >PPA18845 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:853643:854355:-1 gene:PPA18845 transcript:PPA18845 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVVPSTSSAVSNPLQPSASTPAIQLKNPLEAANQSAVGGPQEHKDDMGEAKVNIMSCQIE >PPA18885 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:1049455:1050863:1 gene:PPA18885 transcript:PPA18885 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTITVDTSCEAIVDHRIENGMLEYHVKKTSGKRRWISQYCVSTLLLTPDTAILARIAVQQIQWTRHFLRIANKENVANVKQVVIKATSDLPSCPVLTEDEKRGICCRDTYMTIDVDTASHKDKVLHCLEIAYASAVPNPKMLNSNKPGDLTYGEINEHQLRAFLLHPKITPTAADFFMDIGMGVGRATGVVSLWFPDMQGVAGIEIDPKFEEPMATFKTGLETKAKELGLGFAPLHGIIGDFTTWGKAKDVSNTFVRIMEVFI >PPA18796 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:660473:661451:-1 gene:PPA18796 transcript:PPA18796 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVVKYPPTTALQTRSLSGTYATDGNNVTNLEVYNLITLVLLRGWAKFQVKGFNDRVYLEKYRRSYWFGDRYYYMDNLYYLATRDTCAFKMDYDMRKDIFYTDGSPVYDIVYQTGLVS >PPA18734 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:392084:395950:-1 gene:PPA18734 transcript:PPA18734 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDDKKKAVLANDRFSQEKLPSLDEIRSAIPKECFEKSLTTSIGYMLWDFALMAFLYKIEPVFEIAGYPGMLFWYFLVGMIGFSIFVVGHDCGHGTFSPYKWVNDIFGHVCHGVLFAPYWPWQKTHRIHHTYTSHATKDMGHPWITEDTFSQWGDGQKFYANNVGTSIVRWFFYTIAGVTDGSHFYPFSRVFKSNTERLQCVVSGLVCVAWATLAFYYFGSFSQWVKFYVFPVLAQGFWLTMVTYLQHQTDDIQVYEDGTWGYVKGQLQTIDRPYGFGIDQLMHHITDGHVAHHLFFTGIPHYNLMTATAAIQEKLKPFGVYRKEKSYDFLWQFASLNRRLEYLVGEGSGLLKYAKSKKED >PPA18826 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:788605:788919:1 gene:PPA18826 transcript:PPA18826 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAVRSFVRTALYHHCTVYGVHNSFEGLANGEFEELKWGSVTNWVMHGGSFLGTQKQLPNAETMPKIAAQLEKHGIQALLMVGGFEVN >PPA18687 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:178660:181293:1 gene:PPA18687 transcript:PPA18687 gene_biotype:protein_coding transcript_biotype:protein_coding MITNSLLIILLTTPFLLAEKNPIKCINGKSEVSCPGYCFTELDSNMRDLSNRCESVAECDTNKPCDWEGDKFVCCCNTDNCNKLPLTTMLSNMNRWTTANPTHPFARRPIRELVNPATAPLDLKPPAPSSDTPLPTQPTPPAAPAVPAAANSVPLVVPVQTTTTEEPTTTTSTTTAAPSTPAPVTTTAPVPTTTAAPTTPAAPPAPAITTETTEEEEEDEETTEAPATTTAGPAKVKIPVAVTTEAVPVTTTTTTTEAPTTTTSTTTTSTTTQAPTTTTTTTTTTTTQAPTTTTRAPTTTTSTKAPETTTVLMLRAPEEPFEMPKKELATPKPTEAKKENVQSADVISSLPPWWVFALIGLAIGLLIIGAIAVCVRVRGIRKRSSDENSSLAHVEQAEPLCKPSSPQNPESPQNI >PPA18706 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:280664:281691:-1 gene:PPA18706 transcript:PPA18706 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRHADDILNINVGGKKYTVRRTDMLADPRSKLAEWFKPGTLKPIATDKGGNYYLDRDAKTFRHILAYLRLKKEKFVPSLALPSKPDDLAKLVGECEALNLSELKDLALDLLQKYQRTEEQHFVTSYVQVALRDFESWQFEKEQASNSMALKKKPSADEEFQPNSAYDEWDNL >PPA18774 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:574747:578019:1 gene:PPA18774 transcript:PPA18774 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-glr-6 MDSRCGNMNNISFGLFPSDGYMKQMDVLLSDWQWRSFVVVVEDNRYVDHLLPILNRRLSSPNVIRVGMADPNGMMNAARELKSYCDNTMCWNGTNKAVVMMSSDNTVRFLEESLKLGMISRQNWFIVTALDNVIDHLGAFHHNSFRLSLPALETQFDWSRSSTTPTHKIYEELFGTWRKKHSDIRHTKLSYSLAVDSVILACHIHTRMNMTKFRGEDQPEIFIRGVSGPIRFMGTEQRTDASIPIFELGTHGESLKIGTYSIERGSSTLSAHTNDMPGSHAFESLHERQRKVLKVTTIAERPYVMEKTLPDGTVEGYEGFCIDLLDKLSDILGFEYELSIVRDAKYGRPKENSTEWDGMIGEILSGVGCLEIIVSANADMAVAPITVTARRLEVVDFTDPFLQLGISMLMRTPDNKATASITSFMWPLSPRVWMFSAIGTFLTAFVITLIAVLSPRESPEQFNIKNSFWYLMCILLRAGSGFNCQSSASRIASSVWWTFSLVLIAQYTANFAAVLTVDRKSMPFNVRCARSDP >PPA18664 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:57652:59039:-1 gene:PPA18664 transcript:PPA18664 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNRSFSSWAPPEKDAYTPITPFVSNHKYSTDTSSYKPANLYTSFFDDMVHSGPFSNALYATTRIVERSRSRARERKNAMRSQRSASNYWRYSQQCPAPLRTRDYSTPPTYTVNRPPSRAGSFSSFMDYSASKNNELSRQSSRTSLYDSTQNLSRSRDLKN >PPA18680 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:139895:141207:-1 gene:PPA18680 transcript:PPA18680 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTLLLVACVAVSVNGGLWDSITSAASNIGDAIADSSVGKAVGGAATAVGDAAVSAANTVGEHTVHAYNTVANTSVGQAVGGAAAAAGTAIGQGASDAATAVKESRVGQAIADTAVDVATGVKDAAVAVKESDVGKAVGDAATFTGQAVKDAAIAVDDNKVSNAIGDSLVSGSEKIAGKNQEEKKEEEKKEQ >PPA18698 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:237411:238776:-1 gene:PPA18698 transcript:PPA18698 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTVVHEMKTRANPASFCWLPYAQGDHLWILAGTMGFALIMNLIFLLAIVVILVQKLGSENTAESRKIWRTIKATLLLVPLLGVSNIPLFYEPDEPSGAYMLGSAILQHSQGIFIAVLYCFLNSEIQNALKRQLSKVPFHFFMRRARFETERTYVPDSHHHANHKLGVPMGELNGEKKEEITVPPVAQNHTNNVIPVTEIAVSVQSSSPSSPTQHTSSFLTPPPPEETSVPPPPSPPLSPPSQPLLPPSTPFNPLMAGSIKSIDGDMDQLVDSSATTPTQ >PPA18685 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:167876:172852:-1 gene:PPA18685 transcript:PPA18685 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSWANLNLAKEALKNAQKKIDAVLDIHQDEEDGQSFPVIDEEEENSFTQPDQPSLIVSEQPSFSAAEPSIDARSEAATSDCDHWSRELKHEGKKPEVIVDTEPNPIHDMAPHSSSALLNHSPNSSPDEAENDDHLSRPSEHDSSEEKEVIIPATESTDEFVFSSVPLDDVSESHHDLSRRGSRHEDEMTVASSDIEVIRNIDAWSIASSAVKPNNFSHVEGLGSAATSHSLDTLKGQLRHHEQRIDELTLINQKMQETNGQLQQRIVTLNQTEKTLRKELAEKESARLEILEEGKKMSDYNGKQSREIRRLKAQVEGKQGIMVADVDKIKEDRKKYKEEKNLAEENIERKLQSAERNLQEERNEKSANEIASEHHKNQYEENSKRIEELEKAKEEQEELISTLKEAKEVRSTLKQKGDRALADRLSLLCEDELAGRLASERASHTAAIANEELLELRLKNDQLRVQLSDANHRLETAIEGKSQLAEAVARATAPLLAEIEELKGALAEERSSSDEHDNVVRGLKTRLEESNREVNRLNDTMNCANERNTTEQTHLLHRISKLEGHQSNLIASHASQLESEREDKRRLNEELREERKKI >PPA18858 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:911572:913184:1 gene:PPA18858 transcript:PPA18858 gene_biotype:protein_coding transcript_biotype:protein_coding MHVIRKDGIWKLNGNFGLVNGPEIFVFLAWLKVAEILANPLGEDDDDLELNHIIDRNYFISRSIIEIHDQCPILEETEMNPTPKYDEHAKNLGGASHRLMGSAVGSKVDVGRMVEVEPTHKPELRHRVCIPDLLGSFTDKGMDDKMALVEHAEDMGRTSSLLKIRYFNIALDNLTVFCVEVPSIYDQRSLRSLTQEEICERYGGDRRLETSLIDMSDPSPLSASRLATSSNIAYDNNSCRL >PPA18723 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:353287:353812:1 gene:PPA18723 transcript:PPA18723 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRNSAVKSARPVLAPLSIGNATPSNGGTPFRKPILTKAQRKAAPASGGIRRYQKSTELLIPKESFKRVVTSTVSDASKAHPMRIGKEALESLQEAAENRLVKTFEAAQLLAIHAKRQEIQQEDMKMVEKLMEIFNQM >PPA18848 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:862232:865346:1 gene:PPA18848 transcript:PPA18848 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPPWARPHPQPANGKRGTAAWRNEFPRKSSMNRQPPGGFTSDLFGTGLTSASTNNYHASRRPQQANVYEPPPAPENRTRNPPRERSIPQQQEEEYNPWGRGGGGAPLRDGQGNIVADRRRLGASWSRSVDTNETHHLEKDRSGNYLMHSRQAFAYQDPYRKQSPDYHQSSTNHQPFSFLPPLYPPSHYEYPDEYANRRGGGGSSMAYATSMPSLASKANLSPADQHREELQRQIDDNRRRKEAERLKEIEMEKKEIQRWEERNARIRAEEEEAKMKAREKALAIERKREAQAAREEQRAKGRRTPPSQMMNERRDEENEGGERKLEWWEKKPTWDQIEREPSAIIPALRDRKGARTPSAPSRVTSASGDSEDFDSEVIAANRIVPQIQCDNIQQGEEVLFKPQFNKQEPRQEKRKPSRLWVEWISSITSSSFLDLIFITADHNIDSSQFRYELSCVHC >PPA18876 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:1011680:1012618:1 gene:PPA18876 transcript:PPA18876 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLHQVFLFLFLISTSISQRLECEVNGTNVDDTETCKARSCPVADRDPSNVQFKEQFEPRFDQMFALRIIGGACQNSGRIFLHSREENDPELIKRKVDDFIKDLLERNAYKEYRRYNTNHTFVTRRPEPEPTLNDTPANPPLSEPPTTEPSMNESTATTAMDSSTNASHDSTTTMEASTKPIVEEPTTTTIISTTATQT >PPA18808 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:704505:706420:-1 gene:PPA18808 transcript:PPA18808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lpr-4 MLLPISCVLLCAAIAAAVEEGPDFGKSFNQEVFLTKQATEKANPKDKIKIGEVAENILERLGFNVRPEGQTNESNVRRAPLPSSLLQQDDLNAIVDEAGQNRIDLTGIASGNFDKFAPIPVPGYPGPSDVPSIPGVNTIPGLSNFNYLVGQLFPQVIPPANTLIGSSISRILPKDSAKNLAKDVFRAIHPSAENVDVNRMMGRWFQVINSPHVIREACTVSHFGALTNSTYSATFTILKFYREGNANGPPRFSLGYGFKSGETGQFVLHSSNSADSEPFWVIKKGPLNEFNQYDYAIISTWVRYPVIVVARDPERFRTVHMKNVLQFLEDNNYINVMTKAFNLISPVDYESCQYTPTFSSVGK >PPA18715 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:316894:317939:-1 gene:PPA18715 transcript:PPA18715 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRQNNGPRVDPPDVDEAILIRALIIILLRLALKVVAILAAIATYWILDSKFDHVIPNHTMITIQGNYTPTYSTDVHVLDYDAWGLDDELVDEEPRDEDYYANEWNEYSIEAEKASIKEDDDNEGADRLTVDYSKIAIHPLVHHLIRLHHHLMIREFECGIPMTMEMMEFFDRLFKSTDSDAVKLYQEGMQYIDLRANEIQRVCGWDN >PPA18875 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:1011010:1011602:-1 gene:PPA18875 transcript:PPA18875 gene_biotype:protein_coding transcript_biotype:protein_coding MILVLLLIIVPLTIDSTALERSHRNALLTFDEWDLNNDCFVTYEEFINYFLDMLFSIRQFEARTVKNANKAKILKEEDFEIAASNITADWGLLDAKKANERKIMKLRLEITIPEVSTVFKIRSVINSTFWHRP >PPA18761 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:502449:506437:-1 gene:PPA18761 transcript:PPA18761 gene_biotype:protein_coding transcript_biotype:protein_coding MYFGGVDSTSMIRSSSITICNKMRYENLTDIAESLGHLQDSIDDNRRQLKHSETSLTSTGNVLEYDAAKTRSHKLIQIITARIQYDRAKEALKDSDGEEPAKRLAYIIDLQNAHETLQTHLSTPALNTELEELKDGFLAWQGAALVFAIQQADYTKLHEIQKIYQSLARQEEFTGIFRRVCSSRLKDLDLSNIDTIQNLFATLNREVEYILEHYLTVIRRFKNEKEASAILNKAVAEGLENLDLSAPLSSMVGEHEDPIGLCSFINNSFNDYHERIMRDESKAKFVDLIGIIKEKALKNIEAPIRSAFTNIALNKLNGLELKGSSHRQHVENLNTFLTETVQLLEDIIVKSSNLFGEQKAVNVYKHALERFLDNLSTKLKSWEYYKSSTGQSRSVDDIFIVCSSSGHIVHALQEVKKLAENETYKLNLKPFTRFNTQCCDRILKRVAESVVEKMRDSIHSIKKEETDDTHTRSLPLFSISPHEYMTNAAQDLLHLFHKFEQFFLDDNFINAFHVALKKKMEGDEILKSIIADISGRVVADFIDTVGEVNALRHSHAKQFLVDTTFLKDALFDLRYDNLEELTKQEEKLKKIVEKK >PPA18649 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:10362:13655:1 gene:PPA18649 transcript:PPA18649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rsd-3 MRSLEHYKCTDEKGKDQGINIRHRVKLILEIIGDEEKLRAERKKAKNDDKSKFQGFSADDMKMGRGGSYNSRDRDDSYDTRKPSFEEEEPPRDIAREVNSFSFPAGKRESNSPELGFRDERSSHKHTADDDDFGDFASARTGTKNGTPTVSTANVPRISGPGSIPSQKIVTVPAPQKDAFDLLGLDMSSTTPSFPSPSFPTAASLPRPPSGGPSPNGNGSLVDEVFGAPPPSTNNHTPANDLFADWNSAPAPVPAASSGFADFASFTSPAPFTSPAPVAAAPLSFQSSPTPIAPIGGADDLFGLNISSPLSAAPIQSTTLTPSMGFDFGLTPSSTMTATSVKPAAAGTPSKVGSTWSDMGLINLDNLGSKGTPTKSNVPMNMMAAKNNNQSEYKSPLVIKTNGNAPASSL >PPA18728 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:370457:376487:1 gene:PPA18728 transcript:PPA18728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pcaf-1 MSDRDESASPELGVVRDNSEEIEQSRGSRPAVPIEEPAASANVPAEEDDSGSDPDSSDGSDKDIMDNEQYPRDYNDDSSEDASEDPEGSGDNEERESAEEDAPEEENMEEVPYEPTGGAIEATTAETTEEPIDGDNNEEWQARKAQQQESSEEDEELGAIAEDSSSDSEIEEEFPAYSERPRLCKHLTVLVHCTVRKCTCEGFRGEFSSRRSLFHVDNGVGDLKQSADQCIIADHFGQIRNLSVEDVDRLADIMDDVDICRDAIKHATQYVSAHMMNFYKHAVLSLRLLNPDVINDPFRTGDAIPPFEKPNVEVLMRHYLGSNTPTNAAHAAPMYVYAGRFLTEFNRWRPPFPEHHAMCHMDYAQYKDFLDKWTVHCALPEVFQCLKQHEPVLVFGLNALCMYARFLKRTAKDTEENPKRQDQMVNDPMTSMEMRHFCRGFFRYLYDQKKRSQEKLKYIKPQLPKGYQWPIWDKLDDLRGGKIGPETRMHLKDMHKTMKAIDKERNIGCIPDEIMMKTCLQLVKNERDKKEKEKDAFMTEVVRGEAARNEEREGIIRFVNVKHDLDENTARENVVWLVQLQTLFSVQLPKMPKEYITRLVFDDRHRNLALVKRNKGVIGGICYRPFKEQGFCEIVFCAITANEQVKGYGTHLMNHIKEYMAQRYEIHHLLTFADEFATGYFTKQGFTEKLGIEKEKYAGFIKEYEGATLMGCSLNADFDYSNFSGWAKTCRDLHTALFDVVYNSASSKTFSGTEKIFKEHFKHSTEPLPINKIPGLEDAKPKKSHPKAAENLETKLKNVMVPEYGEHIQFPIDLSTMSERISKKYYIHERLFIADLNRLFDNCYRFNGVETIYYFCAYKLNQVAIKLIKKEFPKTDLQV >PPA18696 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:216953:218094:-1 gene:PPA18696 transcript:PPA18696 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQAQKLLDGSHQLRISSHHVGGGHGGGGHGHKKEFGPAMILYYLASAFRALYPRLDDDFVDKLNYYYTTTILASFALLVSAKQYVGFPIQCWVPATFTDAMEQYTENYCWVQNTYWVPMQEDIPREIYSRRNRQIGYYQWVPFILAIEALLFYVPCILWRGLLYWHSGDEVK >PPA18828 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:789527:792819:1 gene:PPA18828 transcript:PPA18828 gene_biotype:protein_coding transcript_biotype:protein_coding MERDQLSVAAYNSCLVLSRARKHFPALRIPMTVIPCTISNNVPGTSLSLGSDTAVNEICGMIDKIKQSATGTKKRVFIIETMGGYCGYLATVSALASGADNAYIFEDKFDVQDIIEDVTVIAEKMKKGVQRYLIVRNEFANKHYTTEFVQQLFSEEGKGEFTTRINVLGHAQQGGSPTPFDRNMATKLSARALEYLVTQIKACYDPSNKMVYAEGDDTATLLGLTGRRVVFSPVEELARETDFTHRLPNHQWWLKLRPLLRLLAKHASTYQTEAQDDEDIDKHQIVKNYRKICTVVTITW >PPA18669 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:90059:90972:-1 gene:PPA18669 transcript:PPA18669 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMKKGEVVVDLWAGYADPTANQKWKKDTLTVAYSTTKVFIGIIFTHLKRDHRFSYSDKVSTIWPEFACNGKEKTTIGEILDHKAGLLHFSREFTLEESKNTTMMGSIIEQIKIFSWVFLRMRTIEWRELLIPLYFLLLSHICPIHSITST >PPA18844 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:850995:852519:-1 gene:PPA18844 transcript:PPA18844 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cat-1 MNNESFGLAFDIVEGIVNYSCMGLVIVTIPIYLVVVAVLFFEKHSHSINNVFFTIYLIYLMIAWGTRMSQGCTVLLLAFNRTTAVLRPTSYGKFGDPVFRLRYFAASFVFQSILLFLIAGNYVIVLLFFRVKYGLAVLPSDARSQRLFKDKQKQEKRLVYISMIVNTRVFYLIYNGMNDLYAATPPYLLILFSTPFKRNLIKFLGLEVSDRSSTGTVPVIRIQQSTPDAHSHHKRDVTVSAVGDS >PPA18833 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:817122:818260:-1 gene:PPA18833 transcript:PPA18833 gene_biotype:protein_coding transcript_biotype:protein_coding MYARRKDERESREFVPLMMPPTRLYVDNEANIAGAVAASLYGLRLILAPIRNKSVLNEPSVAKNEGLILRIHL >PPA18704 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:277357:277668:-1 gene:PPA18704 transcript:PPA18704 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDYLKEVHVPVEKSMKKRRPDCVYYNVVGGTLIVKGKVKVYSSQANLHSEHSSTHPIPHNIRLCGNDDT >PPA18781 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:597756:598522:1 gene:PPA18781 transcript:PPA18781 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLAKNLHISARTIGRIVKLRLGLYPYKYRKMHGLDDRQRGLRRVRCRQRMKRCLRAEHLSTVFSDEKIFTLEGKINSQNDRVIAANAEEAAKSGGITGSKTPLAFVTPGFKVNKTYDIKHILKEQDSAPSHKAKLTQQWLRDNVPSFIPTNEWPPNSPDLNPLDFSVWGFLPSKVSSTKYESALLRAWEEIDNNYLRTLAITYKKRLRRCIQRKGDHIEIH >PPA18870 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:991264:991867:-1 gene:PPA18870 transcript:PPA18870 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLGFIILFVSLLEFVLGRPALPKPSEEVDYKPSKVAYIGRPPPADMEGLKKLNPSWKFLPLPAKSYERLYGPPPPIKLEEARAIAKRMNAAFKGKNPFAGGPILGKDQDVRWMHRCYSEEKTCYGVPVVVQKWVDDDSDDSNYDDSTEK >PPA18755 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:477998:478556:1 gene:PPA18755 transcript:PPA18755 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRNLSALILTVFVVELVMILSVGNIIPIKEIIFNTTETVHKENTEESTFFSSLLSLISHFAYTAFRVFCPTESLIFNKLWQMMLHVSCGVITYLILFIADACVFLIMFSHTGDEYNRFIDAVEAQTIPFPY >PPA18736 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:410754:411635:-1 gene:PPA18736 transcript:PPA18736 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVSSEGDSSSALTANFSSLHLQSPTIHAYASPTVSQSVPQYRPPPLDVSTTQFNPIPTNLPPPPFPMIDNRFCGHPSFNTAQSYSGLGQLSPTAPVFNAQWPDFAAQQPFTDDRSIRFSPPFADPPSPSDHGSPTQHGHSTPQMSHCPYPLPPPPSYALKRPSRMRRAFSDQTEHLSRLDCSPPKKLSPTPA >PPA18850 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:871463:873653:-1 gene:PPA18850 transcript:PPA18850 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTFLDFISTGTELDFTVAIDFTKSNLPLEDDSSLHRVEIDGSANQYEIAIESIAEICQHYNTSKVFNAFGFGAKLPPTNKVHFNFPLVRILFRPMVGLLPVFKTALPIRAVQKFNGLSFHNALSPNVESGDPRCEGIQGLLHAYHVAQQHVELSGPTDFSPTIRFAARRAAALPTDGSKYLVLLIITDGVISDMAKTKEEIVKASVLPLSIIIVGVGYDSFEEMKVLDSDNIMLSANGKFAKRDIVQFVEMRKFLPPHRQLTAQEIHEAKRILAKEVLQEIPGQLTSYMKSKL >PPA18840 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:836312:837993:1 gene:PPA18840 transcript:PPA18840 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKTKKVLEEENEELKGTVEELRNELEKSKAEEARRKEEEEQKKKKEEEEKEEGLRLLSEREKAQKEESNIRKTLKEREKEYKARKEARRIEEQKDNEERDRIIARKEARIAAENLETEEIYKLNEDSKQAFVKWQKAEEKFGSHSYSAKLIWDRECVVCLVVAPFTPMNGEDLSRKRASEGDEQSSSSKVSRRDNIRAPANEANGVEDGNDDDRDIIIIDNPDPNPLPPLGPVPAV >PPA18690 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:191008:191670:-1 gene:PPA18690 transcript:PPA18690 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPVHRTTLKELESQFKDFDTDGDGAITEEEMASILMKTTDLTDRKVIHDMFKSTDVDGDGRISFFEFVRMMQE >PPA18658 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:40513:41265:1 gene:PPA18658 transcript:PPA18658 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTWNPSKEETVHFYGMSCLLKDRFPDTDFRNLTDEEIDKIAPFDDFYHFQYNADEIIAAYDAKMLREYSLGD >PPA18730 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:381849:382431:-1 gene:PPA18730 transcript:PPA18730 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVSLTPPQRLRYMYQYSIPTGTNKARRSFAITKKIDPRKMTDHEKEKIDKNEKDIAQQKKTLLRKKIARSRLVSVLLLVPELSEIRWILGGKTKISSSHKR >PPA18867 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:984990:985537:1 gene:PPA18867 transcript:PPA18867 gene_biotype:protein_coding transcript_biotype:protein_coding MITSSHSPVPPPKPPRTFAYDIFVSEQNSIVATVKKMTGVNLKEMTIEEKVTKGYWNSEKGMYIMPPELVAAQEEQDRLEQEALAQQEAQVHD >PPA18802 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:677008:677917:1 gene:PPA18802 transcript:PPA18802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-arl-1 MGGVLSYFRNLIDSKEYRILILGLDGAGKTTILYKLQVGEIVTTIPTIGFNVEHVQYKNLKFQVWDLGGQTSIRPYWRCYYANADAVIYVVDSADKDRMGVSKGELVSMLEEEELKDAVLLILANKQDLPGKMTMAEVHKKIQLIWNT >PPA18670 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:91823:96417:1 gene:PPA18670 transcript:PPA18670 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAILLLSLAAYAIASTACPDTSKTAYFVIDNTELITTESIEFSEFSEEECQSTCSSNVDTKGKSIECASFAYDHVSFTCTVYATKSLPEGKAATKQAVGKRFFEKFCLSESTVPTCAEAQFIRADDSVLIGFAANVSLVDSLEECVSTCVKESACKSAMYFYEEGECITNTESALSRPASFAREDTEKVIYFQNGCLARSAFVESSSSRPLENVDHEAETKKTRGESHSAELPLSGEEEEEVTTTTKASKKRRSKTTTSAPSTTTTTTTTETPEDLEEEYDEEEKEEKEEEATTTTVAPKKKEKKSREEKENIKKFKKHPKSAAAFGSKTVHYKTKKGEKTDVPKVEKSTEEEVDPAESSIESVDDETNLTDPYTTFFSEWSDWTPCKTSGERRIRRRKCLDLRRCLGALMQVENCPALTTKAPELVAEEEMKSVRSIVAAPLEGDPLAGIDTVTSPPEPEVEIAQVGSSDVGDGYIWSPWLGTCQKFASGQPCNNGEMIGFESRECVAKDPSQCQGPFFRYCTLPC >PPA18769 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:552888:558956:1 gene:PPA18769 transcript:PPA18769 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVLDTVSPDSTTVETVVSDIVDAVTVVANILDSDTVVSNSVSTTTVSLIPISSATVPSDYVNTDTAANEKGKNSRLAVYWKGRIWSIEKIDQLMNSYCRDGVDERRAREGYAKVDWPHFDRLRLSPEEQKVVITVLSIGKTSERKNINDWPVCVEIDEEDEEIAKKIEIGEGEKIEVDEEEEEIEVEGQEKEGEPPGKRPRMSSALTSGNTVASRLKKCSIKKKLAEDAQLLSAFSPDFRRRSVDEIPKKKLKNADKAIIRIIAQYLQGLGLNETVQTLSKESRTKVENTHAVRLRSAVRDANWDDAIAIIDSCYDHLSDEVIENVRYILLEEKFFDLLLLKKKRPLALHMLKTEFDMKHPVAKKLATFEHQIARCETHIHWDDEYTKRAAPSMVIEDHKCPKRAEKYFVETCIREDHKSEVWKVQFSPCGKYLASASFATVVYFWKVNPTTRQLDLYRKISARDTTHGISGMSWSPDSRMLAVCGVDDHPYGLYIYDMHGDSMFSVIPHHDSHSFSCIDFFEEPVTAGCYHITVGDMRGSLQFLMVERDHSSEIAIYEGYRIRCVYSCKSGRGAYAADTHNRVRWYRNPWKNDDRKTDHTVIREENAIMNMAMHPSEQLMLLTTKNLGLRMWNVQARQCMRTFQGYHDGGYVINACFGGNNNEFIVSGSFSTDEYDEDGNVIKKPNDQNYTGEETIRIWRITDEYMVCGIAGHRSTVNSVSWNPCDKYMLASASDDHSIRLWSCRFEDLPNGCNELDEEHFFEKYKGRQPAHPPKAADIVDETPLSNVEDEEVDVTGSSDDLSDESETESSKESEEEYLAASSDDGHEFEYDDYTDPEDEDSLTLRRGQYRRIAIAELLGDRVGDARQEDREGEERQDERVDDEDEEDEYYGDREEAEGEHDIEDEDATDEDSSSPINHSPQ >PPA18763 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:513060:516154:-1 gene:PPA18763 transcript:PPA18763 gene_biotype:protein_coding transcript_biotype:protein_coding MNETHRLTRIGSYSVGKAIGKGNFATVYLATHEVAKTKVAIKAIDTSQIEPENLLKVEREISIMKMIDHPHIVKMYEAKTLVERGRITENDAKRWFHQACSAVLYCHERGIVHRDLKAENILLDKNGDVKIIDFGFANLFKPGDLLNTWCGSPPYAAPELLMGNEYDGSKADVWSLGVLLYILVTGGFPFPGDSVDKLKRSILSGQLRIPFWVSVECSDLLRKMLVVVPEARISLARIVAHRFFHGSGEATKHPASGMILTERKKLNPTILCYLKQHAKFSEEQITEASLFPPTSFFEPRMSSGPLIMEVTSKNYESPVFPVYEILAEKLRSKKGDSITLDCPDEAPRRGSRGSIISGKVNVEPEPPERIIPSLDLQLLNQSTDVEDDSDDSSTSNDGGSTSSFRNRRRKDLRNNIAYEEEMANTRRYSEQLLSPHFGQMPYNAAIHHIALQQAQLAILAQQAQMFAPFPGFMPGAAPQMPLFDVSKMLPVPNC >PPA18724 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:355728:356448:1 gene:PPA18724 transcript:PPA18724 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-his-2 MAKYLTTRMSTGGKAPRRQLATKVARKSAPTPNGPLKKPHRYRKGTVALREIRRYQKSTELLIPAAPFERVVRELAQDLMSDILFTKDALKAIHVEAEDVLVKIFEKAQAFAIHAKRVTITDKDIQLAVKEILQATIMFQTKLISLITSLLMIGVKKKDDERGHKLMN >PPA18824 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:784181:786212:1 gene:PPA18824 transcript:PPA18824 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAVRSVVRMGIYLGCKVYFINEGYQGMVDGGENIRQADWNSVSDIIQKGGTIIGSARCKDFRTPEGRLKACLNLLERNITNLVCIGGDGSLTGANQFRKDWPDLIKNLVKDGKITQEKADANPNIQIVGMVGSIDNDFCGTDMTIGTDSALQRIIEAIDAVVSTAQSHQRSFVVEVMGRHCGYLALVTALASEADFCFIPEWPPPTNWRDILCTKLQQQRAEGQRLNIIIVAEGAIDR >PPA18787 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:613992:615018:-1 gene:PPA18787 transcript:PPA18787 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEGEEDADISEWKAYQDHLQSSIWASDGVGSVDLAKVNESLSKIKEELTSVKDRYLPPLVKASSSLKKINEEVVDESIKTTGTLSVAAQFLIEQDIEKAECDLRDIVSDHRSVHTAISKSGKDIDRYLGKNLSGLFKGQKNLDDGKDLREVTCKFICKHIHTSGYCKSSAALVKDASLKEQLQEQPDDRMDVERLNYQLKEESLAKLTRWVMKNDEGNFALRCDIDKLKMIKMIKKGIDYRSSLSFFLCDDSDCDELARLDEKERERGRRNRSKQ >PPA18784 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:606193:606784:-1 gene:PPA18784 transcript:PPA18784 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNMLLFLLIPWIPLVASTDIMIALEQGDTYAKDILMHFNISQMFFRDKYQFDAGIQRMVILPNATQDNICPRMSDVSIVISLARSQDKIDLMRAISVSAKIPMIQFHFYSWSPPSFFNNDSSLTRQFH >PPA18822 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:776610:780676:1 gene:PPA18822 transcript:PPA18822 gene_biotype:protein_coding transcript_biotype:protein_coding MWSCSDLITSMEYACNREATPPLVDGRPPEDFIIEQEMMLRGYLQMAGIPLIQYHGVLECTTGKWKRKCQREKATRSRSTPPLSNRLHPIRRSQSLPSIDSQSENGSSEEHGGDNVDQFDILVARSQLKRKREQEKNLPCGNCVVVKEEIPSTQDPAIDSIQSTRAPVNGNRVVSRRREIDRKIKMEEIEDDLGRPATPPAALSPSPPPSPIVPNDDDLPPPEDQLASNAVDPSTDAPPLDAQVNMLDGLNGPIDLSLKNNEKAPERQFLSESPNLTATNSYGSTPDRPYSSPTPGLVIRNTSLTEPDQRPVESSIIPNTQSSRGSDGDMKMEERSLPGSSGNQLIQNSSMPHIVMHNSQTSTAPSVQVHSGITAHHNHQATGGSSTHHPSIQPSPRVFETFGVDTPNPRNRNYPGLLQAIIRHHGQFPLNHDSMRRLSEVYGFDWPWSDRFDRQLRVDVVKHNNALRATLNRAPQHATNAPVQTITQHQLSHPTPPSNRPPIRSAPGHPPSTSVGGVLPLTNQSLPPPQTPIGSVSSAVPFVVTQPLPFGLLAQQMQQHANGVPQRWIGVPLPLGFHPQNHFGRPIPPQPTTSNVMRPPLSQSVKPVIQHNISRPLPPQSVQNVIQPGMIRSHSIQRVILTPPPAPLHSTQSVHPNLMKPVPLQPVAHQGLMRSQSVQPIVIQKVMRAPAPQMFPPSTYPSQPARSQSVQQVVQSAPSSSVYQPAPLQVTLHLDEISSKKILDDILDRIEKMGIPRSQLLKQKNETLPTRKYQRRTDPEGNKVPKETVARKRKAATGLDGCRPDKQGRYNYPPPPPPPAIC >PPA18873 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:999060:1002815:1 gene:PPA18873 transcript:PPA18873 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFPPRWLTHEPHNEEQARVLKQMLFDTALIVSISRSQNDDGTYKHSPDITYCFGEFPANSSKCITNIGSIIYPDIQHVTKLRRGKHTVDSCDHSFFVAFTDEMGVRTYAYCVKFEPTYSETEPYYFPSVFAILARTRDPSFYFELAKRALAHISDRTRLSNFLSTVHPQEHPRNGGMLIVMERNDIGSYGKRSEIHCSFGCDKKMKAGWSVNDLVRQLHPEWVVAIIAACLAEQRVLITGNNVHDVSRAVNAIDALLRPLEWPFTFVPVLPDSILEICDVPAPYLMGVLRHNLDKLHHLVIEDLGKDEAKNQTDFVLFDIDRGLVNPIPFTIKNNKHFEENEFGRFRNMNEVERETYLRRKNTLSYCKSMGMPRKVAKSLIDHLKGALEREKSDYDIETCTEASRLKCYFAMLTWYSTVFGHIKSSGAFSNWDKTAKMRLVEKQPHPATREFLELLVETTVFDIWIQRRIKSDGRIILTSEELEIIDVIFLKFCDEFDYKRKKPVKAAFKQLFGRN >PPA18683 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:146664:158572:-1 gene:PPA18683 transcript:PPA18683 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fasn-1 MNSSGDHAFWKEQQDIVITGVSGRFPRAENVDEFGDLLLAGEDLITEDDLRWPPGLFDLPKRHGKLKDLKKFDAQFFSVPPKQANFMDPQVRLLLETTWEAIVDSGTNPADLRGSRTGVFVGCSASETSGALTTDPETVTGYTLTGCVRSMFSNRISFAFDLRGPSFSVDTACSSSLLALQLAVDSIRQGQCDSAIVAGAHLTLTPTAALQFLRLGMLSDKGSCRSFDDSGDGYCRTEGVASIFLQRKDKAHRLYATIVHAKSNTDGNKEQGITFPSGERQAALLEEVYSEAGLDPNNVYYVETHGTGTKVGDPQEANAICQIFCSNRSPDTPLLIGSVKSNMGHAEPASGVCSLAKVLMAIERQTIPPNLHYNTPNQYIPGLVDGRLKVVTEPTPLPGGIIGINSFGFGGSNTHAVLRAANHETPKPKDAGFARIFTYSGRTQEAMQYVFDKVEQHKENAHFLALLANQANLPPKDTPFRGWALLNRGGENVPTLKDIQKVAITEPRPIYYIYSGMGAQWPGMAQKLMIIPAFDQSLRESSKCLDEYGQDVYGMLCNNDPKQYENNPLNCILAIAAIQIALTDILELMGVTPDGIIGHSTGEMGCGYADGAITRDQTMKLAYHRGFTIMAKKDQIQGGMAAIGMTWQELAEQLPEGVVPACHNGADSVTISGDADLIATFVEDVKKKDIFAKHVDSSGIPFHSPAMLRVKDDMLNAMKAIVPEPRPRSSRWISTSIKEEDWDSDLAATCFRIHSAEYHVHNACSPVLFYEALQKIPANAVTIELAPSALMQSVLRRSLQKTVINVGMMQRQPENELESFLQSIGKIYQAGVAVYADKLYPSVEYPVPKGTPMIGSMWKWDHSQDWPVIDGRSLSSGMGGGVASSASYTIDPFAADSKEAYLLDHCIDGRVLYPFTGHMVLAWRTLCKLKGLDYLKTPVVLENINVYSATILSKPIKLDVVVTPGTNQFDILFEDQVAASGKIYIPEENDRFYYENLDDIRTSKLADRIELDTEDAYKEFLLRGYEYGQNFRGIHRTCNSGERGTLYWQGNWVTFLDSLLQTALLAERADTLRLPTRVRYLRIDPEKHLKHVVERDGIQVVELRNDIATNGCVAGGVECCDLTAHTVARRMQGSGQLYHEKIHFVPHFDDNALSEFPKDRKLLKEYTTMLKQVMARGLEAWKDKGLLSSLTNATTLEKALVELAPFAKQPIDEALYKKFSEDNKCSLLKSFSEAFKISSLDQTPAEFATTVKNHLRAVKTIFETDRMWAASLVHDRLIKAIQDIAIENSAGHNNHFCGVEFNSTEQLKLMIEALSSHPLLEVDWACAGPSVEHLDDNTLEQFGGRKYKVDLDNPDFTGHPEAKNFDYMLMDKVLARKSDPAAYLNRVKHIMRDDGFIIVNETVKNHALMAAVQSFLVDEMEVTPSRSYSTYFTDSQLRQLFTECGFRLCNYQADESINTTVYLIRKIPEKAREPVFVDVDDITEFSWIEPLKEIIEARLNEPDYKTIWLTNTRVRNNGVLGMALCFTEENLKANRFRTLIDMSTDPKTRNGPAVLKMDSDEVKRMIELDQHANNYKDGVWGSMRHMVVKDEDKNLYKPCEHAFINTLVRGDVSSLTWFESPNQYFDAIPNKQAHHELCQVYYAAINFRDVMLAYGRLPPDAIPGQFADRECLLGMEFAGRLNDGTRWMGILPAQALATSVVIDRDYAWQIPESWSLADAATVPVTYTTAYYALILRGQMRKGESILIHGGSGGVGQAAIAIALSMGCTVYTTVGSQEKRDFLKKKFPQLTDVNFANSRSTEFELHIRHVTKGRGVDMVLNSLAQEMLQASVRCLAQHGRFLEIGKVDLSQNNALGMAVFLKNVTFHGILLDAVMDQGIGKKEDWKVVAALLEEGIRNGVVQPLPYSSFPADKAEEAFRFMSAGKHIGKVLMEIRPEEEQRKCIPAPITVQAICRTLCHPQHVYLITGGLGGFGLELAQWLINRGARKLVLTSRTGIRTGYQARCVHFWRRLGISVLVSTQNIAKAEDAKELVRQCHEMGPLGGVFHLAMVLRDCLFENQNVQNFKDAAEAKYDGTINLDQATRDACKDELRWSDNFFFTFVVFSSITSGRGNAGQTNYGWSNSTMERMVEQRRRDGYPGIAIQWGAIGDVGVILENMGDNNTVVGGTLPQRMPSCLAALDMFLSWNHPIVSSYIKADMGEKKKAGGGNLLQTIAHILGVNDISQLNPDANLGDLGLDSLMGVEIKQALERDYDIVLSMKDIRTLTLNKLQALAENGGAAGTSLQSSELDMKKEGEREAEQNTVEQLEKQMNQLFKMRVDVNDLDPQDIVVKGNSVEEGPVTFFVHSIEGIATPLQRVMKRCEFPVYCFQATMDVPRDSIESVARCYIAEMKKVQPTPPYRLIGYSYGACIGFEMARMLQKSDGPNAVERLILLDGSHLYMQTYRNVYRMAFGVTGDTLVNNPLFESEIMCAMTLRFANVDYKKFRVELLQQPGFKARVQKVVDTVMTTGLFKSAATVAFACEAMHSKFLMADKYKPDGKFNGKITLIRAEQGAAREEDVGQDYGVSAVSDDHQVFVVEGDHDTFVQGKTSAKTVAIINELIKESHKA >PPA18883 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:1041145:1042721:1 gene:PPA18883 transcript:PPA18883 gene_biotype:protein_coding transcript_biotype:protein_coding MACPVLTYPDPTAVDLRCLNDTRSIWLWEGWFEPDSVMEFLIFQRIFAVYGLVLLLSSLAGCYAIPSSRTYKCLHHLLSSTSLPWPYHTIHLPLPIPQIFKLIDHTILNFANCRLGKACNLYARAQELLAERKGRLVWLDAFRVFGMVWVFGNHLGSEGRIDILERLPKAKAYKDAIHNHLLVGAFFGNSALGVEIFLCLSSLLSVGSFARIAQTYRTVSGWVVYITRRSLRLWLAVLCFLIIAVSPQLRALLPRFYATMATACGWKGVLAHLTFTANYQREPTCFGYLW >PPA18835 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:821119:822388:-1 gene:PPA18835 transcript:PPA18835 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEIQAGKDTPEELELIKEIHQSTKSMQQRVLELIRVIANEQVTFELLVVNDEFNTVFDKYDRWMANREGGVNQGDLIDMSSDSLANQLNALGVSQAKPTSSAQSAYSASREGQSSLGLAAAAAQRTEELPSEHEAAEMEAWLKESSGEKRQLIFGLSYIHLEHHLPSREVPYP >PPA18785 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:607278:608925:-1 gene:PPA18785 transcript:PPA18785 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSGLFINDFALHDSSRDLVLASTQQSAELRLLLHQEGQKRQNMIDNMKKLKSERKRTDRLLYQLLPRTVADVLRKGESAVACCEVETIGDAYMIVSGAPLRTRNHAEYALDCAMSFLATVKTIEVKHLKDTINIRAGVHSGPVIAGVVDTVAIANAMESSSKPMKIQCSEKTKDIIELHRPDTFTFQRSSIFESKLLDRCHISRGSMQMYYLIGKNSAARLPTPRSSNVQTSAENTEAESEEEEDEKVS >PPA18812 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:716002:722521:-1 gene:PPA18812 transcript:PPA18812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-math-33 MVGDENNPAPIADPRVQPRPIEGSQRPMDPLQRPTDPRLPRPIEGLQRPLEDLQRPLEELQMPMESPRPLEGLENNTEPNDPRLRGSHQLQPPMEGFQRPLEVRRSVKFSVDFVTPLTIYGEESEPMETNRPIATVAPMPTALPLGAPLVVGDSDLEGPSLPLPQPQPAPTKKEAVIKSLYQIEPDENLNNVSMSDPYKGTGYLRLDIPNFSEFCRLEQDKTQRISKSVWVRGLPWKILAIPRIVDNRNDRTLRHTKALGFFLQCNGGDIGEGATWNCVASASLHVLSKRPGVEPHVRRITHTFYPKENDWGYSSFMHCEQLANPMNGFIENDTITLAVHVYAEAPHGVAWDSRKHTGFVGLKNQGATCYMNSILQTFFFTNKLRKALYQLECSPEEDPELSVALSLQRVFYDLSHSDKPVGTKKLTKSFGWDSLDSFLQHDVQELCRVLLDNLEKKMKGTNVEDMIPTLFKGKTSSYCKCIQVDYESSREEIFYDIQLSIRSKDPSKHNTSFTIMEAIQDYVEVETMDGENKYDAGEFGMQPALRGTRFVYFPPILQFQLMRFQFDPNLESNVKVNDRFEFTDVLDLNEFVRDNDEGIDFTYYLHAVLVHSGDFHGGHYVVYINTDVKGKMAHWCKFDDDVVSRASFRDAVVANYGGEDPEVLGRIFTNAYMLVYIRKDQLDDVLCEVTDDDIPPELIERFEKDKTDEENLKKEKQDAHLYQNVFFITDELVKQHRGYDLFDVKILERPDVHRRLEKSTTLEKLFNYVRSDILNGAKRMDEDIRFRLWKFAEHSDIWSCLGERAIIYVERPQMRIDGTFGLMSYNCNTDLMIFVKYYDPSAKEIRVIGTCCVHFQASLSECQSDFLHLLYVDDPDKPLPDPSKLRFYEEVSPEKVKTIESPSLPFSNDLMLNELQDGAILIFENTDLTSNTDNARVYTDSIYNTIEVDILQNDDISTFPCAMVDNTPVNTTGTVNLQWRIDRLVEYVGEKLQWDPNRLMLWRLAAYSEKPTHAVNESQLRHGSFRVKELLSLTGDAIHDPRKQKRYKIYYNKIPISVQELDKRKQMRVTMLDDKFNCVEATIFPDKLGTVRNILEETKPLFRFSENGTGKLRLVLCGATNSNRRVMAIFGEDEPITAVMKASPQAFQLRVEETPADQMVKGIHDHFLAVAHFEKTPSERMFGVPFFLRVSEGESFLDVRERLRQRLDLTEKEIEKYKFAIVTGTRVNRYLDLDQDERVKISDLSHLRFGGISEMRGAQHIVWLGLDHFNRSKGSEKSRVEKAIVIHN >PPA18792 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:648257:649585:1 gene:PPA18792 transcript:PPA18792 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTQKSKGSTDSTITKKKILKKTSAEDLKFQCMECDKRVKSPHGLSRHVKSMHRAAQVFLRRSACSAVLIYTQGASARSSEESKSTPPVELRDLTANLAHADDNLDATVEELLAVMPNITPSPSVAKMLAEFNILGPYPTAPQPINDPPAILNQQAVNILPAPVSSIPEKRWFEYVKLMNKVQNCGIRLCEKRNDSDDAERINGFIDDVQPDQVHDSVLAKGRSLTSDEQMKKLLRALDQYYRKARAKRSK >PPA18813 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:723720:727721:1 gene:PPA18813 transcript:PPA18813 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRLRLLLPHSISSIGATASQTRNSHTIWYPDAKFEREFKTAGTLGKLWNTQTTEYDKQLGLDKLQRLMNSEPVMSDTFDGKKREKVLENMILNFGPQHPAAHGVLRLVLKLEGEVIIKAIPHIGLLHRATEKLIENKTYTQALPYMDRLDYVSMMCNEQAWSLTVEKLLGIDIPPRAKYIRTLFGELTRIQNHIMGITTHALDIGAMTPFFWMFEEREKLFEFSERVSGARMHANYVRPGGVAWDMPLGMMDDIYDWAVKFPERIDELEDMLTENRIWKARTIDIGLVSAADALNWGFSGVMVRGSGIKQDVRKTQPYDAYGDVEFDVPIGTKGDCYDRYMCRVEEMRQSLNIIHQCLNKMPKGEIKVDDHKIVPPKRAEMKDSMESLIHHFKFFTEGFQVPPGASYVPIEAPKGEFGVYIVADGTSKPYRAFIRAPGFPHLAAIHDVCYMALIADVVAVIGTMDIVFGEVDR >PPA18717 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:320275:323099:1 gene:PPA18717 transcript:PPA18717 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVATKATSALKKILMVRYSINPWMDPVSKPVNRELAMAQWTTLKETIEEVGGEVHVMESDSAQDWPDIVFAANAATVRGNKAYLANFLHPERRGEREFYKAWFEANGFETCGRTDIAFEGAGDALWCGKNYSRLFAGVGPRTDIRALPDLAKQLDDGSGFKVIGCKLIDPRFYHIDVAFCPLSDELALWFPGAFDPVTQHNMKNEGVELVPVTAEDAGFFSCNAVVVGKNVIMNHTTTRVAKTIEKIGYNPIFIDMGEFIKAGGSSNAYKWREVVDVDSRMAFLLLFLSSFFFKPTLSAIVFEDEAPYLCEGNVPYNKAPIKWYYNKTSRFCLAVRGGCQSQTVPKAVYDSIEDCIAKEFASGYTKSGRGSCWGEYTGKVPVLFEYGANKTKSPYLMNRCYPEERVCPDGSGCFFDPKNDQGNGGMATCCSLTQAGANVFSTKYSKSLALLA >PPA18689 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:187811:190276:1 gene:PPA18689 transcript:PPA18689 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ucp-4 MGDGEAPSSSKGPTPFVRPGSEPSNTLQQIALKYFLSCSAALVAETETVWKLGTFDGQTMKLDHSVTYPLDITKTRLQIARKGAGAKHRTGMFRVTYDIVRNEGASSLWRGVAPAIYRHYIYTGIRLGTYEAMRNSWFDKEREKTFPLWKSASCGLFSGAVAQFVASPTDLVKVQMQMEGLRKMQNLPPRFTSTWHAFSSLHKQHGFRGLWMGWIPNCQRAALLNMADLATYDRVKHWLLRETQLKDDWLTHALSSACAGLAAATVSTPADVVKTRIMDQLRHLHDHDHNSSAMPPRIYKGSVDCLMHIVRKEGFFALYRGFIPIYIRMVSIPSSPLMNPPPFSSPPV >PPA18841 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:840488:842546:1 gene:PPA18841 transcript:PPA18841 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLCHDINLDQEIMDDDEMDFAPPQDNDEMMDADEDTGDGMEIDGANNENDDQNKENEEGEVDENNNMEMEPSTKKRKYSDDDKEECEFEQENRDYENLDMFAKFVTEANHSPLVWEICSYLKHDKLNMISLVNKAAARFVQIGRETALKKPVQLIVGSSDKYHVTILTSRGKKIFSEKFKVPRIFPMDSEELMMRAREETLEKAFIYVNNLFDRHCFVSVKLDFPLNSNIWFKNWMIESRAPSITLCLDNNTEAFSDLTFMKSHSDSLNRERYTQFHLGVRAGDQEWETPFFPIDEAKDLKFITSYDSISTGSQERKQATGI >PPA18846 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:857206:858675:-1 gene:PPA18846 transcript:PPA18846 gene_biotype:protein_coding transcript_biotype:protein_coding MMHFELDGAGLIPSEEDQPHHPPQPIHEHPINKHLLRPEMVLKTLSRSDPHLNFTGHLHHEDDRPLTQAVFGLLEASSGHSDHLHFMSNHPANPIISSLKGSGLTNSARRLKTTVTKY >PPA18837 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:823970:827224:-1 gene:PPA18837 transcript:PPA18837 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLNEQLGNAVEQAKEAAGKIGETVTDFFQGNPFTTPVGIKIEQATDASVLATENWGLNMEIVDFINYSEEGGRDAIRAIRKRLHTQMTKNNSIVMYTLTVLETCVKNGNHRFHVLACNKDFILELVKLIGPKFDAPQIIQERVLSLIQAWADAFKGQPDLQGVVQVYEDLRTKGVEFPATDLDTLAPIITPKRTVFTAPSPVVHPPQPSSHAQPSMSAIPSEVMTINERSMPIDPSEEQLTKLRKDIDVVNVNLKVKADLSQSQRILRERQTAERFRLSHGAV >PPA18738 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:416125:418764:-1 gene:PPA18738 transcript:PPA18738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dpf-2 MDRSYSGRYDCARFALRVAAVLFTIFIIVCVAVGVTLIFTQKPVDLTSGLLSRGDSSSSSSSDSFFAPPKTTPLILGSRKKFPSNYRKFGISDLFSSTPIGKLHYFYAWTPDGSGLVHQNIDGIGETETLSIITTDSSEERKFIDAKQFPSGFNGEKIKFSPSGKYAALSRQVMQGFRHSKDCIYRIARVANGKLVDFVQVGPTGNGTEILQEFSWNTSPESDSFAFVSGNTLFYQEKPEFVGKAKQLSQNGSDDVRYGISDWLYEEDVIAKSTAHWWSPNGKFLSYIKFDDSEVNKVWIKKYRSKQYPDYISIPYPKAGVVDQPKVKLYLWNRETAQRVVISPPEELNNQSYYIFSVSWLRATDEREEKLLTVWANRRQTHLYFTVCDERDCVMAYEQSFSIGTRRLWATPLDFENLPSSKNGFFAILPVPYSDGNIYNHVAHINIEKDGLGRVSATHGGPYDVQSITGYDPENDVM >PPA18884 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:1043079:1044630:1 gene:PPA18884 transcript:PPA18884 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQLHLFFVPLLVTGLLDRGWRFRTQLAIGALVVGSMIWRAVVCLSFGKCHMSDVDIPFIHVASLSGDEMRGMYHGLWYKYGGVRTKMGPFLMATIVGFWEQQGVKISIRKSRALFWGGLIGAVVVTYAILPQYWYPSMPSSHPYNYLYTATFRTAFAACLLSMICSFILLERPPKCPHWISVGAKLCFSAYLCHMPCAYVFNYWNSFQEIESALPLLFPFWPRELLQFFN >PPA18749 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:466158:467432:-1 gene:PPA18749 transcript:PPA18749 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGIPEEITTDHRDCGKRGEKKETKRRRGVIGEIRERGTKAREKEAVPQLPQSHLSHYTTMSKAAKKKSSKKRSGSEAAQFDQKTIQEFKEAFGIMDQDKDGVITKAISTLAFDAIITEPVLDKEPPLPFLPFQQDLKDLYASMGTVASDSQIDSMLKEAPGPINFTVFLTLFGERLTGTDPEATIVGAFAMFDKKDCGKIKEDELKKILTNKRGEPLDEEEIKAMYKGKPPIENGEGIEKGKGYCRQL >PPA18758 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:486400:490412:1 gene:PPA18758 transcript:PPA18758 gene_biotype:protein_coding transcript_biotype:protein_coding MMKESTDFDFLAPLRMESARNGSNGSNEDQREEEEDADGNSSTIVGSVFGSESVYESVADEWRSTSGLSFGESQKVKEMEEEQERLNNSLFSLSTQFAQVQFRLKQIGEATGDSKEQLLKELQEFAFKGCADTTELKRMRSESNSDEEVLEKQRIRQKELIDKLKEQLDDLERYAYESGEGNMPSTVIIQKQKSVLENLSQKIDLNIEIDTMSQADIQKQVEEALKQLINPFKQKEQLVEQLQTQIIDLERFVSFLQKENEGTETNGAAPLMPMKAFGSSPAKTTPKKGLFGLPSFSSSPSSSGFQKNQLKQTAKGRHYGDERARLQIAVDDVVQITKKYTLLSVEDNHEDKTLDPVDPIDDDVFDRSEEEIVTGIRRSLCPSLRALLEHGMQPAAMPISNESGSTFGCLVPKPQTKKVAKPLTHIWDVILFFYDSRNGKDMSNAPVRKLSQSFQLDKVQGKSVTSKQILISTIETIISSHARLKRSNDAQWKAFVSAALNQKRLPAWIRIIFRTRPVVDACYFTWSYVSRTGCEELYDLLDSLQQYNMNLPVDLALRPFEQMREAF >PPA18872 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:994886:995397:-1 gene:PPA18872 transcript:PPA18872 gene_biotype:protein_coding transcript_biotype:protein_coding MNALATILVLFGLVLCASAQFGFGGPAFGRGPTVTKTVITETRPGFGNNGFNNGFNRGPGFNNGFNNGFNRGPGFHRHGGFNNAFNGGFNNGPTVTRTITTFSG >PPA18878 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:1017063:1018954:1 gene:PPA18878 transcript:PPA18878 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAQMLDTTTDTMKKMAIGASAAVISKSATAPLERVKLLMQVQSGGQVAINHYNGMADCFARIASNEGVLALWRGNVANVIKCVPTHPLNIVLRDYYRGYLLRGIAPKDHYKYFGSSLLAGGLAGATALALLYPLDFARTRMAIEQKINGTKPYKNWIDCLLQTKRTDGMRVWYRGFSSALFHTFISRAVFFGMFDTARTYHSEDQKNMSFTSTFCLSMACLVFSTALCHPIDTVRRRLMMAESTSNGANPKTIALCKQILK >PPA18731 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:382790:388185:-1 gene:PPA18731 transcript:PPA18731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ocr-4 MGIFQSTETGKVGGMGRLREDSRLYRLVDMHGGGDLIPWMRYAQRSGDHSIVDSYIDVKVRDFCYNQGKGKLVTVTELVKLRNKERNAMLGAFSRKKGKGKSGPNVLEEFNQEGENVGDLKKALKLLDGGGKGNKGESKYREIAWKLDDRGLSPLHQAIINQDVPLVALLLKRGADVNQRCYGAYFCADDQKGSRTDSLEHEYVELPVGSTNYTGTMYFGEFPLSFAACMNHPDCVRLLHAYKANINAQDTNGNTVLHMCVIHENLDMLRLAIELGASLRIQNKQHLTALTLAAKLAKKRMFTELLEYEAFTYWEYSKASNCAYPLARLDTVNEENGDLDDTSALSLVVYGSTEAHLEMLEGVLEEILEEKWDKFAKMEWIKGLCLFSLYYLCLFTAFMQRPFSMVTELETRGAIDGDGNINGNITDYDDTSARCHLQEYHTLPVNQGWKAFPAKVLYKISWVLIIATVPLRALCRVDRNFFIIENYIACYAVVISTIHFLFFCRAIKFIGPFVLMIYTIIATDLKRFIMIYIIFLAGFSQSFYILFYSCEVASKLPENLKAGTDIWENIMDTPWESLIRTFIMTVGEFMTLYKQLETCPHKPTAILGKGVFLFFELCVSILQLNLLIAMMTRTYEAISNTTDEYKRQWAKVVLMLEVSLTPPQRLRYMYQYSIPTGTNKARRSFAITKKIDPRKMTDHEKEKIDKMRRISPNRRKRY >PPA18726 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:362838:366251:-1 gene:PPA18726 transcript:PPA18726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-115 MTQVLFALGQSWHVFCFKCSECAAVLQGEYMASEGKPLCLRDFNEKFGVKCHECCKFIAGKVLQAGAYKFHPTCARCSRCGDHFGDGVEMCMQADEIWHPSCEHARTTENIAPSARAASHRTGNEPKYQTTFGQHHTYMYSLPEYEQTQLKQPIPIHNPQPAQYHHPQAPIKIRKSRMSMLKTGMQRLTEDLDKGPGPRPKSPHMDNEEPIEMAHYPAGHAPEPGTIPAIDRDDFPAPPYPYAVEELKRRLSSSSIENDVSDDEFSDSEKFDEEKMKKTVEKLEQLEVDSSIAAVIKQNIEESNKKQKLPLHWDPRNASRTPSAKKMPHLRFRYDTPINASPSRHMNRPKPWVVWQGGSRDGGATLPCFHLPDSRANTMRACTLPSAYAFGHNLSSGDLHDHDQTISSHYSEHSLAHVGMGGGTTGVGTMRPAAEVKPALRSSLPDMSKPAKIYSLVELQTTNKDLPEEVDRHHLERHLSRDEFENIFGMSPIEFYKLPEWKRINLKRKHKLF >PPA18743 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:437176:440544:-1 gene:PPA18743 transcript:PPA18743 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKNVAYPEKPQLTGDIAVLQSFDASEYLVRHPSDSNHRPDEVRGGPKDALLVFCTQSSSLLYQEAFIAAYRSFMPSDEVIQKLITRYLYMETKNEGDSIKHSHMTFSLLVRITDELCANEIDDKLIRSITAFVYHLIRQDNINLARILRKRLLDRIDRLNICKQSPGPLPVLPQVTPRKKADTIYDFKSSILAQQITMLDAQLFEKIEPPELLWWAEEQNEKKSPNLAKFTEHFNKISYWVRTLIITRKDQKERERMANKLIKIMKQLRRIGNLHSYLAILSALESGPIRRLDCGLVLQDLTFVHVGNQQYLTKDQVGDRENLVNYNKIWQQYAVLDSMRKFRLWSYTFEKDDRIFRFLNNFDKHLNEEETWQLSEQIKPRNWGKIYYFDFGVLLVKIDSVNN >PPA18779 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:594723:595392:1 gene:PPA18779 transcript:PPA18779 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTEDEIRWALQAGDKDVITNLITSDNVNNQVGGRYPLHHLADYGHTEMVKYVLTLGATVDSVDKHGLTPLTCAVFEGHPEIVRVLLAHGANKTVMSPDGYSLADSTDKEDIKQMLLA >PPA18746 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:450540:451764:1 gene:PPA18746 transcript:PPA18746 gene_biotype:protein_coding transcript_biotype:protein_coding MNGACARSASPICEKPFQRSTRDPDADVARRAKEYCSRVLAKMSEEAKDIGDISQAAEQLALIWKKRNKLSEIAFEGLLAILELCLLQVNEQVDLFSRLINSLAFNSVSFWKLAVPRIYDSDLSHGTAFRETLLFSLALYDVNNGKNRLRELYAAVPGVRQSMLGIHAKKNTVTCR >PPA18671 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:98930:103916:1 gene:PPA18671 transcript:PPA18671 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pdk-1 MGDSSSSDESDASSPDDLGFHSDLEPEQIPERHSGMDDWFSHRASDKGKMLVENKTPSDFQFIKTIGEGAFSTVYLTKEANPPFNTYAMKVVVKSHVARAQKTKMIIREKNVMALLTYVHGSHPFIVSLYCTFQDQERLYFALSYCAGGDLLSTLKRVSSFDEKVTRFYSAEIIVALNFIHRCGVVHRDLKPENILIKEDGHICLTDFGSAKILADGDDIDLKEQIRLLNVEQAEDRGERRATFVGTAQYVSPEMLNNEPVGIESDFWALGCLIYQMVSGEPPFIAKNDYHLLKKIQKGEFSFPPGFPPLAEDLVRKLLVIGASQRLGSPTQPSIMAHPFYEGVNFETIHTSIPPEVLPYVPASMGEPEFYGGTIIVPGFDKDTMMRLERGAIDPNNAFPDPVKPNALELMFGRDVKLNEISIPSATSAPPGHIEETLSEKAARRREKLLDKQREESEWHRFTEDNLIVHNGFVDKKKGLFARRRMFLITEGPHIYYIDPVNMVYKGQIPICYETKTEIKNFRTFFVHTPERTYYLFDPARRADLWCNEIEKIRGRYFTEPSPPNPDDDIIEITKLFGIIPFITFKTTRAARLAKERKKREQKERKERTEKAKKERLAKEKEEKKERKEKERIEKAQRKAEKEKKRREKKLGRR >PPA18719 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:335855:339963:-1 gene:PPA18719 transcript:PPA18719 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPLYPIIFHPIPTVSFFFLPICADEAPSFNSYDPDFGVFLGELPNLADGDVSGRVYVINDTALQIVNFTYNGNAPDLYFWLDKESTPTTGGVKIPSFEFGITPLGPYENAERVVLLLPGAHKISHFKSFSLFCFRFEHNFSSLLIPDALQIPKTQFLSAELKGSRYNVGSGPILVLDRRTIKVFGFTFEGDKAPDGYFFVGRGPNVNHDSGVKVPIKGRDTTELISAMTERYRGGQDIILILPEEYDIEHIDWLAIYCYKFRVDFGHVTVSNISQRIPPFVPAQKRFDEIDNSKVEKWPALTLLGNDNRLNFTFQLGPPGGKKGYAALTASRPFKYVWYVNGYLADVYLKRGSTYTFIVEGGNDKTTSDFYNPLYISDDPYGGYDRLSADEKASVKIHSSSDASTHVGRLCVWTADSDVNPDSFSNFVDFRNSLDLKCDDKKAHSFTFTPDEHSPSTLYFNSFSSYNMGYKLHIVDELPALEDWTEEPYDYEHWRLEKLSHGSLAASSSLLIFPISFIVLLAPALF >PPA18692 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:199611:203882:1 gene:PPA18692 transcript:PPA18692 gene_biotype:protein_coding transcript_biotype:protein_coding MLERVVRPLLQLHQLPLHLLQPQSWARIVAERANSARTTESTNAVSTNANTAVNAILADGQSYLGYGMEAVKATTLLQPEHDNNTEDLLLFFSLVVAAYAHVDCGGDCLKACRSCGPLINDGEPGVNNTDPFYYGSKKPGDCKEAICKDEKAKLVVDGKITRVVCFQGAWHSGYPEYLFETASAMCLTPGNHTCDVDATYEYDEDDFKCVCKAPFVDVTKDFATEDETQFCSNCGNKKLNIILLVDNSGSMAPALNTVKTFIDLLVTFLKFKPDGNRIALMTSAFSYEIKINWGTPASTTESDIRSTLETIQADGQSYLGYGMEAVKAALVQPEHINEAEDHKLIVFTDGSVKHSPPAIKDITEAANNLEALKVETFVVATNNEYAENQYAIANGVEDNVFTLTDDYLELFALANRLQKRICQ >PPA18879 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:1021071:1021672:1 gene:PPA18879 transcript:PPA18879 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLFPSAPVENSTLLTFVLILICVMLGIIIVFTVVHCAIFCGLTYGKIKKTMKNNDEKEEEHLPYFVLSDYSETSPLIHGKGPRQ >PPA18695 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:214652:215981:-1 gene:PPA18695 transcript:PPA18695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-7 MGTSCGRHCGCYVTMLYIGIKILYSANVLLQFFLLNHLLGSNDLAYGFSLLKDLMHEVEWEQTGMFPRVTLCDFEVRVLGNIHRHTVQCVLMINMFNEKIFLFLWFWFLTVGVITVFNTIYWILIMFIPSQGMAFIRKYLRVLADHPAKPIADDVSLRKFTNNFLRKDGVFMLRMISTHAGELMTSELILALWQDFNNVDRSPTQFWDAEHGQQGE >PPA18742 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:430484:433946:-1 gene:PPA18742 transcript:PPA18742 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tsp-9 MVYGCGNTFVKFVFFFINLLICIFGGLIFGFSLWANLDKDFSVRIKELIHSVDPGTDFQDLGKYQASLWILTAMAALLFLVGFLGCCGAVCESSVLLSLFFFIVIICTFCLGSAGVVAAVNKDKFYDGMHHVLTKCGETDKLRQNLKPIEDLLTCCGATSETQSHFPCAKTQGHPPNCEEVMNGYVEKHGHTLLVVVFVMCANNGTMTNGKSAIS >PPA18869 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:988291:989006:-1 gene:PPA18869 transcript:PPA18869 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPSQSSFFTSPPRSPPTPIPLLGDPEVEAFIRNDYLPVVYTEFSILDYLYTWQIYIPMLVLMAAAPLHEAFVTVVMLWNWSQAQTTWPTEFPPVDWHNWVVRLPHIGVSILFANRLIVGYIRAEEKRIRDELEGVQKSRHV >PPA18702 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:268589:272061:-1 gene:PPA18702 transcript:PPA18702 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-csq-1 description:Calsequestrin [Source:UniProtKB/TrEMBL;Acc:H3F944] MRSLAWMAVLAAVAYAATTPAAKKNDDLDCMFLGYPELEYDGYDRTEVLTEKNFNKTVFAEDAKSVVFFNDVEEDDSEMDQYECFLQLSAQVMTKRGYNFYTVNTTKEIRLRKQEEVDKGEDTIHVYKDGYKIEFNGVRDPETFVSWLMDIPDDPVTIINDEHDLDEFEDLEDTHVRIIGYFEPGSAALKEFEEAAEDFMGEVEFFAVVTSYWARKVGLRRVGEVQMYRPFEEDPIFAPSSADTEDEFEDWVEKHKEPVMQKLTLENYFNVWRDPEEDEKMILAFVDEETREGRSMKKLLDKLADENSEYAGTLEIVLIDPDEFPLMVDVWEEMFGIDIEEGPQLGLVDISEKEGIWFDMSQVNLDDPKKHSDSNFEVLQAWIDQIMAGSISLDDDDDEPEPPAPPPPPPKGKKAKKELSETPRRFVPKSLIFFTLHTRGLSSNTLYPDIFRPIDSAIVIYELYEC >PPA18881 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:1028911:1033490:1 gene:PPA18881 transcript:PPA18881 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDTSEVKPKVLVYSYCVVVATEKGVRIFNCHPLTEAVNLNEDQVGSIRIAQLLHRSNIIALVSGGLRPKYAPNTLMLWDDSKKRCVAECAVQGGPILNVFINSSRLVLVQSKRVNIFGLQPLRFLRAEESGVNPIGVAAMGGESHSAILVFPSFKLGTVQLVALEQVNSEKSLAPSVVSAHVGEIAALAVNTQGTLLATGSAKGTVIRIFDTRSRLQLNELRRGTDPSTLHWFVFFRTLTL >PPA18860 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:917922:919772:-1 gene:PPA18860 transcript:PPA18860 gene_biotype:protein_coding transcript_biotype:protein_coding MPQMPFMPQMPQMPFMPFAPFPPMPPMMPIIPQHVGNEGNGMGGYVTSGNLPGGGKYAAAGSYSNSNGNGPFRTVTYSTGTQTPGGGTRLPYRPYPAPPNSNTVAQQSEKRVKLPNGGELITKTMVEKTPNGQRVSSFSYSQNPLGPVMPNNPARNLPASKLPFAAVTSSTNDRKDITTTQKERLEQYKTPLEGAEPESDTYIREGSGEAPSPPAVATSVCQFGHFHRFGGGGGWRGRGGGPPPWMMGGGPGMGGPMGRFGGGVPPPGFGGGGPGPDMDYGGGPGGGPEFGDYGGGGGMGPMGGGGMSPGGGGLIGTLIRAGVSSRSKGGKLIREAFPAQI >PPA18652 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:20133:20622:1 gene:PPA18652 transcript:PPA18652 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLIPICFALLPLVLSIPVDNEVVGEPEIECGPTSITINANVRNEFTGHVFVKGLYNDATCRNDATGSQIATISLPFDTCNVQRTRSLNPRGIFVSTTVVISFHPQFVTKVSEIHSSS >PPA18852 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:880754:883366:-1 gene:PPA18852 transcript:PPA18852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cup-4 MKRLLFLLGLLLVVSPPVWCQDEGEGIPNPDDDDEVQYNTTYAEDQTKLQMAIFRNYNRNNRPVVKDDDSTEVELHLHVTHVSFNQREQTMTVHGHMYMTWLDETLFWDPKDYGGVTTTNVKKWQIWMPELRVTNSVNGIYSMHEISRNAHITVQTLTTDGKTYTKSRVETYPTFSMKVGCLMDFSDYPYDVHYCGVRLYTPKKINQVKLRVYKGMAPTMFLSWSNESQKLTSGDFTINRASNNISWYRYGVTDDLEPLTGKDGAKTWSIYNMYIFYARHSASYFVTIALPLFSATVISIMSFLIKELQFATIINGTTFILHILFIGEFMKICPVSVFDVPRALLFHGYSMGLTMFAFAFHAFLCIACGSRSIQNYMIDGYSKAWSVIPFNPFPGFMDGFVKGLGDIRGRGWHRNMFIWRVETFFILSVLYLILFTRAFLI >PPA18700 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:260410:265091:-1 gene:PPA18700 transcript:PPA18700 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSKQTTRSSGLKNLTDYKKKVAGDKSVKSVNKKSVTVRKPAKKNQMKKAEVAKEVKKRGVVSAAKTEDSIEEDQDELIEDFSDEQIAEGVRFPLPKVKGKELKKVIEWCEVHRDDPVYTDQERVQARLARDLTIPESDKKLITLTNSGLSQKNFFALSNAANFCEIPRLYLNCNKVLAAKLTVLKTKFEMGRRSNQTTKSGRFMNPADQQRKAERAKELKRNKKQRGAVRTAIMKNRDPNELIDALRKIDEQELESDVPLRVTTESKRDKLKKQYKEIRDFFIKAEQPDKVKEIDGKMIAYEAERYRKSQQMAAIKFSMTGDMDSIPMPEAVPTRDNAAMTPYLPSYAVQPAGYQPPLKKKVTFSARLKQKPPGPPVGIPPELSDSEEEGEEGDGEGLHDEDNFEPVVIPDEILNSNKVSALTEPLRPAPIPPPVYPTLNLARAPPVHPSMLPLPPRLPIRLPPPPPTGGAFRTPDPSSITAAPTVAKPTPDAVISAKPELRDLAGEATRFVPTNLRVRREDTFKKPIGRVNAYGMATESATKKAAKSTDEAYADFMKEMDGLL >PPA18811 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:712298:714767:-1 gene:PPA18811 transcript:PPA18811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lpr-3 MRLSHLVVASLVIVGLALGQEDSSRPVVIRLDPQPARPSFLNQAVPPRAVAAAPHSSVPAAAAVPAAPSVIQPPPPPPVSLQPQPAAASPFGGAPPAPSARQPVFQAAGGDQTFVPAESNPGATPPPPPPVTLPTDVQNQLIKFFGLDSFGIPGLTGNHPNGFAGAVQELRAAGIPVQGLPANHLPGAAETGVGAAPPTDVLAQANPNFANTISQIYNEVNNPAQFGTTGSGQVALPEATPGENGLIGLLSTSIRKLVKETGVADALSQSIPSVLGTGSAAQQDGPVSSARSPSRRAKVSESQDDEPRSASKSEIRRQPSTAQRALSGIAAALGGGGSNSPTHAGLPRIPGIPLLPGGIPRNSQGQIDVVQLIGAITRRVSNGTTLADVLPPEQLQTLADNVTDALLPSTPEKFEMSKLMGRWFEGINSPRATEQRCVVHHYGGLTKNDKTATFTALKIYREGSEFGNVRYSIGYAFRSGNKDSMLQLHSSETSDAQPFWIYKLGPEGKDPFGNPQYEYAIVSNWVKYPVTVLVRDPDTFKAKYEVEVLRWLEDQGFINGFIRAFNLLQPASYSSCQYADSTFEVFGK >PPA18721 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:349735:350407:-1 gene:PPA18721 transcript:PPA18721 gene_biotype:protein_coding transcript_biotype:protein_coding MHLINSFVLFSLLTLSLGDDHEETMEEFYCSPMWEQYPLTRNGWEILRSEPRLYICPKDCGVSKTEEVWTKFEVRENGEVKTKKAYAYNVLKCTYEGWTGKTLTEFHFPVNCPIDHC >PPA18806 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:697119:698049:-1 gene:PPA18806 transcript:PPA18806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-apt-9 MNADVETSRPVEYYISRSTDPFLDEEQRVIAALSLCEKVNDEAEAAQIAVNILAHRILTPNQDEAFFVLQTIEALAQRCGAKVHTKIGKYRFLNQMVKLISPKHQGSLTSEKVYDNLREHNVIRSDPEVEEDAILSVPPPPPKLAPFEDEEKSQLLKHLLKSTNPDDLQAANRLIKTLVKTVSEKGAESE >PPA18647 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:6748:8562:-1 gene:PPA18647 transcript:PPA18647 gene_biotype:protein_coding transcript_biotype:protein_coding MWNDDGQPPLMGGGHQYPPTNGYSAAPPQMHSAYMNRGGIPPVAAPMMTSSQTAAPPPQMGGYWDPSQMIAAPPHPPPQMTMQRQSSGYGGRQQSYGGWEQQQMQQQSQWQSHPQQNAQWTAQTMGMMSTPPPAVMGGGMGSGMGMGGGRLIGGGTMNGTQSGYFNEQQQGYGMMSEGGHSQHSSHHSHSHQQQHHQHHQQPYSSHSSHSQHSQHNRNNWQNEQQQQQQQQQNWNNSGSQSGSYGQWDNKHGGSEWSGGERSNGRRGGGEQWMNGGGHERHDEVILM >PPA18839 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:834323:835379:1 gene:PPA18839 transcript:PPA18839 gene_biotype:protein_coding transcript_biotype:protein_coding MERNSAKEGAQKKQKEEKEARRKGNEEVQDLVSKREKADRDEKNANDELERMIKAATIDDEERRVLNKWDEDMIARWQIQINEYRRRVQQRNLIGAEQTANVERQKVRTAEMREVRINIEKELNEHPHVKKSTFNRECKICTNTPHRRVTLIACGHIMCKLCADEIIDRHGSSPFPCSFCTVMTTYVVMREDIDEEAEDGKQEDEGKNDEANINNEENNEEEGNVEVNNDENEEEDEEEEESTDEENEEDDDDEDDEDDEYGPSASKKRRQDTSSGMLV >PPA18788 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:617195:620460:1 gene:PPA18788 transcript:PPA18788 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDPDSGTDPPIHHSMGVKQEPLDDDDDTDPAIMEEFPADDVDVETLARRRAIVKEEVKDEPSDVNKVPEGEEEQERDYCFCGETRLVTDFMIACEMCNIWYHGDCVEIDTDMSAHIRKFFCRFCSEAKKVYTTYKKSFAAFMDVRERRLNAAEAKRLKKEGIQARKLARSVKKMQLQSKKEKKGPVKRRSRVVVKKEEEEEVNVEVHEEEKEQVKVEAEVKVEEEEDEKEDNNVEPQEEEKEEVKDDVKEEVKEEEKEDSFELEPREPRCEKCINCTAIADCEECHYCITKTGRCITVQCLSVVTETPSTSTPVRTPRSSPKGSGKRRGRKRKSGGDDEDDDELFFSESTSTRKMIKKELSYKEEQQLEQGEVLYDEEGNVIPKKKRGRRRGWRKLKDGKKKKDGEQGGDEKGERGKKGNRAAQKKKPGKITRVGKGAEFTRENGPENAEFERPEYDSTIAERMEHASIAYASLQDEENEDVDVENIDEVEEKVEVKTEEPKPKEKCKIE >PPA18662 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:53008:54893:1 gene:PPA18662 transcript:PPA18662 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGETAESILSASTSLNPGSYDDLNIRCKHVFPMCFEGAKIMVQKGLSSHFQVSHTLSISPAMTGYRFGATYVGSQQFGPSESFPVVLGDTDASGNTTATIQQGQLSGVQGSLEHRGRLATSTLTMANIDVSESTSGLNWDITMAGRFQVDKHPDFIGTMSFGINGINLSYFHKQHPNLCFGVEHETNFRFQESVTTLAYQADLPEEGVIMRASINTNWTVMGVLEKRLSQALPFTLAISGLIDHVKNAGKFGIGLIIG >PPA18829 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:793044:797513:-1 gene:PPA18829 transcript:PPA18829 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sdpn-1 MAETAMGGFWEIGAYRTNVKRIRDGMEQIEELSRMAKERAEIEKQYVRSLQTFSDKWRGQVDRTVPEGALKRGWLTLVEESEALSMQHGRARDRLLDEIVKTLALFRKENHHPSAFRSPKEIREIEEAFEKAQKHWKKLFERVESAKKAYHGACRAEKSAGILVQNAQADTAVTPDQVNKMKERLMKAKDDVVKTRKHYELQLQEIAQYRGPYIENMSFVFEKCQLGEMKRAKFLIEMMAGHESVMADLVRNRTFINLHIDMEQKFKETNESVLQADLKQWSTQFGVDAATLWPTYEEYSPEMRQISNSKTMSKDNGGVVLTRQIIKNEEVPAAVSTRTSTIASSKRNSVIPEPPKPSNYSDSDTGTYDSRKSAEKPPKIEDAKGSQEKWADSQTDSQPATTPDSAKFADFDDDTPVAPVRGRDARVLYDYNPMEDDEIALKKGEIIELLSEPDSLGWCQGRVGTACGLFPASYVQTV >PPA18710 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:293513:294952:-1 gene:PPA18710 transcript:PPA18710 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRTKEEVTDTLMERGKTIIMMRMMIMMVILTLPMEDMDTMEMGMVTLILMVMDMHMMRLLMLMRVMKRKMRKMRKSQEEREHSNIALRFVNLDPADIKEEVLTVEEAPKVKDKKNLNMQGAFLHIITDAVGSIIVIITAFIALMWPDLLGGLFALYLDPLLSCAMVIIISVTAVRLVRSTSEVILRLKPSFLDMEKLTEKVEEVRGVVKVNNIACWTLVANRHLSTAEIEFDSAADFAKATPLIRKVFHRYGIHSLTIQTNDVP >PPA18678 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:133391:136788:1 gene:PPA18678 transcript:PPA18678 gene_biotype:protein_coding transcript_biotype:protein_coding METDIAMRSLLLLTFCCLLARAWEEVSTDVEVDTPRGRLKGRHVNFGSNTSDFYYGEADIFLGIPYVLPPQRFKRSVANCQYTNDGSTLTVQQYGPACYQAGNGCPDENAPMSEDCLTLNVFTPDVNSNYKYPVMLYIHGGGLMFGCAGEYPYDGAIANLVEALRWVKDQISHFGGDPFRITLFGQSAGAASVSAHTYSPLSQDLFQQAITESCVALATFEGSLGYDNLSRKRAQELCHVSQVDFDTGKWDKMSDCLYKMDPKDLVKLDAINVIGWKISSGDDFMPDIPNHLAPFRNNIPILMGSMHDEWSYYDMTMMSLGIAQYDNYTREMFEFEFNILGSFLGDRKKDMLKILEDVYAGVEAPDDDHNLWMRAMSGVAFTSAAFTSFMQRDFQDYLANGNKRMWLYLMTYPKAIGRLYDLPGFPDAVFHNAELAYLWKMKKQFNEAVSTGYVNNDDYDLSEWFGATWTNFAKYGRPCLNDDWPNIPTSGPEIYMDITGPKPVLVALHGDFFPAQFSTPRFSKEDFDKIKASTKPQCQL >PPA18815 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:740866:743476:1 gene:PPA18815 transcript:PPA18815 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPEDSEIAKSLRDQHKRIMREQCEEEGKPIPKEYLEEDAESTVSSTKAIVFKSEVTSHEVLHLMSIKQEAIDFEMKLDDEEKILRTCDHQKKVILQLSQSPAHLWQANTVICFGHVKLAFDAKEARIELAIQKQEQLKQQKLEMEAAAKREKEEKALNRSNRNGPIITPISELKVTKKGSRLSKDSDTVSSPIPTTPKGVAKRGRPAKNNESKSIDSKLNESVIEAVKPIRAGAPRGAPRKKVEILAF >PPA18750 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:469496:470126:1 gene:PPA18750 transcript:PPA18750 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGVSYSESEPEEFGYNPCFHAKLFLPAEIINQDGSNFTILSSPVSLNECLPEFCESKRWKTMEVELEVIENDERKNATKTVYISEECGVDDYLLFKYEMDMKDRVRKERRNNELDSKSRRRN >PPA18752 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:473368:474524:1 gene:PPA18752 transcript:PPA18752 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGLLSPTRSLSFFSSTEEVYKLHNMKNEQYSQSDCNKDELSRVEYRETCYSPLKLTADDWKDPDSDPLLVLSSQNIDEVKSDVPLIRHPVNVDDS >PPA18745 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:448336:449140:-1 gene:PPA18745 transcript:PPA18745 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTEICLLLALLGAVLFTFQHPFITFSILLLIYLFRRFGHLLPPSILSELPSFLQPPQGDQSEGEQEETLKRKTFSDPSTPPSPTTPKTQRELLDQINAETPPPEGRFSRSQIVTGLLAQEWSSSSRFFSSPSHAKSRSPPIALPQPIHFHLSSQ >PPA18747 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:452396:458915:1 gene:PPA18747 transcript:PPA18747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tps-1 MSRSRASSRLASREGSCEDLLALDNDTVVDDSPSSDQGSEGLSASAGGGSATSGQREHSHHHKQRVINVSNAPPVSLTRKDSGLWEIKQGSGGLVACVDPVMSEDHDNIWLANLGLNFDEAKSEEDLHLSTNSLGLPIIQQARAGDVFHVIEENDKKIDGLTQKQKDVELVVGYDAIQRDMSLLSVLNDYNRSSYKLNPVFVSQEDYNSYYGGISNGLLWPALHNLPEHVVKDYDDPNVLNDHWCAYVRVNYHFGLNAVRNARPQDFIWIHDYHLMLTGQIMRSLDSNLEVGFFLHIPFQPPPEFLTKYKMVGDPILRGILRFNKVGFQTHRDRAKFLELVQKTLPRARIAYEHGVDIYTVSFEGWTCSLGVFPVSIKNEDFLAIANDTQTVIRSQEIKEEMMKNSGEGGSLFFSVERFDYTKGILEKLKAWKRYFDNHPERKGLDVLYQIAVTNRRTVESYRKYQDDCIALAEEIKESIRSDEHPEWRCIRFETDGLQRVQLIAHYLAMDVGVVTPAKDGMNLVAKEMMVCNPSAALMLSSGAGTEVQLGMAGFYKDEAKCYHRVEDIADIESFARTFYEAAIKDKEASLSSCLLPDPPEVRKRDSESINQFLCAHGIDEWSTAFLDPSWTHEVRQLADFYMLMNKTAQVRRQIVEVVLKGMPVKQHFAISLENAKNALEKSCEPNTTRLVLDTTANAEDPDGANLTAKFDISDELDELTRDLAFLQFVQEDDINNVEQFIDTLGSFHPSGPAAYQEEVSTACALLTKADHFHFFFTDRDGTLKSYSCSYPTSIQPAYSAVIQAQFARRCAQFCAIVTTAPLVHIGILNMSTMPEGSCAYGASGGREWYLNPALQFKDDTVSEQDLALLHKAFEKVEDLLENPEFRNFTWIGSGLQKHFGHITVAKQDNNNSIPHRKSVLLYENLARIVHDVDPMGQTLTLREGELDLKIFTKVSHFPLSSHPINVSQAKLSGRIFNKGHGIRLIERKMGLKLTDGNILVCGDSETDIPMLEECLLVAPSNVYTIWVTTDDSLKQKVSSTCARFGNTNVEFVSSPKVLLGAMGKATIRELTIRGLVPSHFDEDDHHY >PPA18887 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:1053726:1055593:1 gene:PPA18887 transcript:PPA18887 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKLGLLWLLAIPGVLFLIYSLSDLSFILGLAVSFLIFQLYFVGQRILKQFDIDALENRAVFITGCDTGFGQALAIKLLERGIPTFAGCLTEKGADNLKNVSNNLPGKLQTIIIDVTSDDSVQRAAKQLDKATEKYGGVHALVNNAGIVGSSFFDDLVTTREYKDVAEVNTFGVIRVTQAMKHLVKKTKGRIVTMSSICDRVGIMGFGPYSVSKYAVTGYCEVIRQELSFFDVSVHIIEPGFFKTPMIDPKTVQNRLDTMWKMAPLSIKEEYGEKFYRDSRERTAELLEKIGSQDIHLVVNGYYHAITSRFPRLRYQIGGDAKFL >PPA18708 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:287502:290835:-1 gene:PPA18708 transcript:PPA18708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-col-177 MKDEDDWIRRLFIFASLVSLTTFALSLTGCIVIINDIESYVSEYEKRVDQFVNLSTETSSTLDVAPSQRRHRRQFYVSPYNNNVRNNQRTPTAFRGQERGRPYRPPYGQQRQAWGDIDLPSNFGSSYGGTIEGGSCDCSITRCPPGPRGHPGLDGIAAIDGMPGEPGRPGIDGIRLLNIKCEPCPPGKKGPPGLPGERGARGRPGAIGEAGVDGVNEPGTPGHQGSRGQPGMNGRDGPHGQPGRDAILLIGQPGKKGLKGPPGFAGARGDPGKAGEPAPPGKDGPRGPPGDPGDMGSDGIRGIRGPPGTQGENGGYCECPGRRGDNPRPSSSIVSPQLGTEEALPLDYTDQIEERISTLNGRPRPEHSYTTTPPPPVTVTPIVPPPSPIYIPQSQNAWASWAPQQQTIYYPQPRPNPWANNNNRYVSAPFQDYRKRYPLITYRDSREDGSEKASIVPFKEFSSGLRSPMILRSLSLSNLLSLS >PPA18795 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:655462:657822:-1 gene:PPA18795 transcript:PPA18795 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSFLLAFSSLTRACLPGLGLPGFGYNPYNPLGGLGLGGIGSPLGYPYGAYGRVGPFRSQANHDQIRNPSVLNCPTLDEGCRWSNTNEEEMDWVSGKNLPDVQRWHSTLASSIMPELGAGALISAQRRGWEGGQLVSDTLPCVSPGLRLTVTAWKSRIGQLETQPKLQVCSRNVKEARFPLINCIEVEIRNGVPVTTAVPTPNDASAPGQVILYGNNFAAPEGGALFVQDIQLDGTLDCTSTRGGDQHPTLVEPLVMPSFGGQIGDDRPVAAPQPVAIPKYSTIERNSIEPIDAAPASPFKSFVAPSIPQPISNDLAPSSASDTPSGLFDTCLAISCDATDYLNCNFWRSSGGNQWEIASAKRAVTPKAAITSSPGGAERFLVAPFTDDKEKQFTLVSETVSIPSNTEVFFCFYEYFSSRSFALSICTDDNECFYKKNGVEGLDEAPEWKVRCTKMPPGNYESRRKDDEE >PPA18770 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:559735:560926:-1 gene:PPA18770 transcript:PPA18770 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDDIHVVVIINNIKGAPAGMITLRTNVNENIAVFKNKIQEASHPPVHVDFVSHNSVMMEAHKKMGDYGIRHGSNIVCHPFFSFYEYAEARGQPIPRRNQRT >PPA18713 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:310866:311275:-1 gene:PPA18713 transcript:PPA18713 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSLILSLALFAACAANIKDMCDCRAMEVKYPYEFIDDVIIKSDPPIMKCEHRCVADKWIFKMRELVVKGRRGETKTIKALVQEDESCVYDSEQFNDWGERILP >PPA18798 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:666087:667211:1 gene:PPA18798 transcript:PPA18798 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTGSKTNFRQAVIDLTSKPSKPGDDLFWEQLWSTENVQKASDIYVLIPTTDIRQLRDENPNNLATLCFKAIEKLQEARDNPSTIKQTKVINCVRLLTRILPYMFEDSEWRGYFWSTIPNSDSQIPLASCLLGVLSDLLFCLGFTISKNENGPEKVDDLAQIDSCEYIWEAGVGCATRPSSTAQMDQNRTEILKLLLTCLSEVIYMPMNGSLLNDSSDCLRQPKRPEED >PPA18856 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:898723:900296:-1 gene:PPA18856 transcript:PPA18856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gei-3 MEENSDQGYCHPTESTSRNAVDESTASTSRDHSMTSTSSLLPPIESSAFRFPSVSSPHVINQHADFLKSLRLPPPPPPPPPQQHSIHQSFAIPEQPPSPEDPSRIDLLDWRGTRVLVRTAPTSSQYLPGVIRDVQNCTDVVIRTDRGTERRIDDALADDKSVDIVADAVPSPNEIKSSSLVLVRVQAGHDAFDRAELVSINAAAFSYKVRRIDAIHREIETKPRASIRLLRPPWFAEVEARA >PPA18830 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:799945:804361:-1 gene:PPA18830 transcript:PPA18830 gene_biotype:protein_coding transcript_biotype:protein_coding MKNCVFLHRVSGDVERKYHLRYYKTAQCVHGTDARGQCMKNGPHCAFAHSAIDLRQPQHDPNEAVYLNSMAETDGRDRTSFVVEDPLWHSESLRVYRDSEEHSAGQDHVLSCYKTELCRKPARLCRQGYACPFYHNSKDRRRPPAKYKYRSTPCPAAKTVDEWLDPEMCENGDNCGYCHTRTEQQFHPEIYKSTKCNDMLEHGYCPRAVFCAFAHHDSELHVQRTPYLASSQTSPVAGFADVSRFGSPTVNGMNTSYGIGQQQLRNQLSKPIYRSDDSIASSYPKAPGFERGPLSPYERMEKPGGRIRTHSLNMGNIDLGSGMAQFDMMGTSLPSHSALNRSDSGFNAMSGAMEELTLDLATIDEQSNRQYYGANAISSPMRIPGSSDSYHNSPIPSYGESLLQPGKMSLNSPTGVFSPFPNNVHEAVLIEELTKTKEELNVQHEKARQACEAWKSDAEVYKMMAEKAEMEKRRAFAERDQMFHQLEEMRARLGSNASEVGGSVASNSSFNAFGDSSEPVCARCGKERSNPDRSNCQICADA >PPA18818 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:757141:759205:1 gene:PPA18818 transcript:PPA18818 gene_biotype:protein_coding transcript_biotype:protein_coding MNAESIALLQQLLANGGIPPFNGNPDQYFAWLQSFNFRNVGDHSTAPSVNTTTTAGTQEICRFLEARASPNEQAKRPQLGAPTGSRPLPPPTPINPMVQNVFSHQDMIGRVLYRSQEPSTQMNGQQARAHGGLQQPLAANGSVPQPMTIPRLPPASNGMAPIPNNNLFNNPQNVGAFQVVQGRIPTNVGPQNGNNLLGLPITHHNVSSNGGDGVPPPSQISQAPASQNGAANPPENASSRQPRILEPCEQAINAFEEWKRSGKLSFIMEDGSLQFKVAGDKGDEDVLMSRNHFLTVLKDCVTSNPTIPLRPNFLEDLMGQLNQYRELLTNQPIGRIEQQVLDPPPTLQDERSGAAQRNESADSGDAPILVVNAIPPALEQQSSSSLQAANHSDAPGCSYLPQQNHSMMKEDAGEKSKRGGEEEEDASPPQLKKMKII >PPA18733 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:390818:391508:-1 gene:PPA18733 transcript:PPA18733 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTVIRPEGEKKVRLFKKPLRKLVSDPIEIGQEAREGVDYGKEKNEKGEVLEYPAHWKMKELAGHVTTTINDFDNLIEFFRLGLSDFHELDFTLEWIFETFNDVERHRAYYLKDNTDKENERILDEGLKELRVMFEEARAFYRRRAFVERKFGGKIVIKF >PPA18790 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:635770:636512:-1 gene:PPA18790 transcript:PPA18790 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGTMNGTSPNGELQMMAEKQRLEDQMVKELSVQGIHSSGIGPQLPVPSTSTASSTASNLIACQTTPNSSDASSSG >PPA18804 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:689713:692194:1 gene:PPA18804 transcript:PPA18804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vang-1 MYDQGQKRLQPYDNWADDATAVTGASENDFLDAYEENKQIDSPVGTVCSQELDCQGNLFDLAFKSFFLLVAQLAMYYRRATADLPRLYFARAAITFLVLFELIGFWLFYAVRILLERQAAYIHITSFALSLLNALLCTHYLSLIVLELRKHRKEYYITIVRDPDGESKTMSIGKMSLQEAAVQVLRFYQAQFPSFNIGLDRARNSTNRMTSFAASELKVYDIENMGREHSSINEVKNWKGEK >PPA18855 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:891735:894118:-1 gene:PPA18855 transcript:PPA18855 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSSSLQDDSEDRADDSAISQPSSVSHMQSRPGGASTSSLFRDVPQSAPAVFNQMTSSMMPPKMTPSLPSRHLSLDGVPPASSEPPFNVNALLQQQIMQLQQQQQQQLNRPTPTNPFIPLMQHQQQLAAAQQALQAAMNNGGNNGGSSSSSGDASRTMNTLSQMRAAMIPFGLQGGQQMGMQGVGNQANLVDSLIRSAIANASASNMAGGNPQANLQNLLSQSMQSLAVPRIDNDDEKEEEEEGEIINPNERCRKMSRNYESDDEEGGGRGENGGRGGEREEKMEGERREDGGGGGRDGGGTVPGTNGHNERKDVKGELMIPREGLTKLSLR >PPA18778 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:590872:594354:1 gene:PPA18778 transcript:PPA18778 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEDDTVDKLSIDSIPHIFNTASFLEIKKWQTVERYQFLMDAAKNGTGRCQILAAKFMLQFFDVFPEKQFEAAKVVLALMNINEENGAFNSYRAFILIYCFQLRLTLLKDLYIIGIKGVYHKQAIELYLNCTVSNLEEERILAYDGLVQFLHHHWLDVAGIIRELLNKEHSAKNRKQILEFLNDRMRIIPFTLLKDEEVLKTLENIFKEEFARANLSYVDNLFMYLGNSILMDKYERQQSIAKYFVESQTVPSHIPDYIDEPATITAKVASVNAELDSILVQMTILHQMTTIMRDKSDTLNEWFSFFVDNINKIFDLPFEREMRALRAFAQFTCSCTNMVSDDHVDKLALIIMKLVPSIDAEAETVTLPKIDLHRLEPCILALYNLRHTNYFKDAMDETTFMHGEFRKRLRFLVRYCQLKSTEYKRTVEELGDVPLRKEMNALVAAASSVGLIAIEIVKPSESWELKPRPSWKTCSVIKTTPRKPKRLNHQLKLTAPVINIS >PPA18660 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:46279:47231:-1 gene:PPA18660 transcript:PPA18660 gene_biotype:protein_coding transcript_biotype:protein_coding MDERTAKKVTSPTKCEILFEGFGDHSNVSLPPKLSNIRVEHGPRDHLTVRSHIHLPHTKLKLVSSQRTPERMIVSNFDASIVDLRGEDKREQRTARANSL >PPA18863 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:932518:933270:1 gene:PPA18863 transcript:PPA18863 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLPSSIHLLPSLLRGEKDGPIIHSLVHSILPLPMILHKRPTLVSLLLKRRSNKALSRLNNEDAVVSSVAELHTVHLHADANVPGQLAFRLVGNRHSGVYVFGMNQESQQAKILEDGDKLLLCNGVSLQGFTCEQIEMPKVLGIRNTGMKG >PPA18712 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:302776:309913:-1 gene:PPA18712 transcript:PPA18712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sec-3 MNAIRSSLQRQLFQDDEERLSAIVSLVKIDGKKKKRPSYLCLTLSAEHPICVRIYLVKEDKEDSYRKKEKFQLREVRAVDGLNPRKPMPDFSITLSDRTFRLTASSCEEKDTFIRQLYKMASKFFPFAMPDFMNVPIPVEEPVIIVPIARPEEEQAAIEEYQPISTKEEADFRRLLQVTELKLDQADLFVKALSNQLQSLDGANIESMMGSEKAVNQLLDVLDGAIDKVSELDVEMNRCDQILCVSTLASCYVVRDSVELIEEKDSLSVVERKNKEKLEKEARHLVAHLDILSSKHLQVLSEANISDPASISRVVEAARAVSQFVAETPKTLRQMKAYQDQNSKLSVLDLFVDRLMSHLTALFNNLADMTEAQDWHSLSIPKQSQRHRALSPLADLMGWLKSTRDNVYRAAIDRYIDATRKLYQRLFDRFFDVICNEITKTAAGTSDRRSSSGSGEGMSANSLSTLIETVLGELNPVITNEQKFCLRFFHINSENAIGSDTLSMDSGDSGSARGVDKQVNEQVRLMMGPLFDSSLSSNLDRFTKACCKSNRSNILLLFVIMSKKVLSSTESGSYYAVTFGSLVVLIKRQFDMYMEMEAQNLREMKLTKKTRVGILPTMDKLALMIRLSEDRFKGSDRRTDLEKWYVTLVKATCEGIEKTASSPYSKSPPTVVRFENYHQLYQTLSEQKINCLDHYRKEAKRMTEENIEMYVREHMGRPLEKIHLFFDSVSRAKDRIRAEEIAYQQQFSKMELKKVISQYPGKEVRKGLEQLYKKLEKHLVDNSSLLQVVWRNMQEAFIKQMQSYQQIMAECYPNSKIELEVSIDDVLSYFSDIAQSH >PPA18886 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:1051072:1051652:1 gene:PPA18886 transcript:PPA18886 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGCRIITAQMLGKGKGVKRGQRQFMKTKLVFSYGEADFNSANYTQSSIANIADILSRLDVEPLEMLENGVSYSWQQKQFFLHVVNLKKEERLKEATKMKGSRVNWEDLLKAEKGQENR >PPA18776 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:584654:587632:1 gene:PPA18776 transcript:PPA18776 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNEAVDIKTPGFDNNQTYPANMSCEYMFQGSNTSAKIQVDFISADFEQPIFSGCADYIMIKDGSSTTSKELIKFCGSDPLKSIVSTNDMIHISIVSDQTVQMRGAHMVVREFFEGSCGDDWDTKSSFPICFKFIKKRKTWLEAQHTYGDNSSFNYPWFGIFDAATEGTYQSIQYKEVLWPHPQPVVANNSPIRDCVILDFTIKEGMTHVAEDCLARHPFICKKNKDGSTSAVMGPRQLIRRSQIAEKVDLTIWILIIIALILLIVLLCLFCKDCIKRKCCPNRVEPENRLMRGLEQDYRNPVPVAPPRNLQPSTNRARFVDDVDARAKESTIEAQRRNNETTANNNSTRPTEITIGSVSLPANTSPQPSFNHPLPPLIDRTPPHNQTVHVMPVDAVVHRSEEPEEVPVLLPVVHEIDEHRPLSPQELPLNVPSLRTDHQRGETIDEREPGPSNGNGYRAETAFRSGPTIKESTFISTREESFLRSKKNEGLFEKPKTKVLDNVSAISLDEFWNATKK >PPA18809 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:707206:709627:-1 gene:PPA18809 transcript:PPA18809 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lpr-5 MSRTLALLAALCGVTAAQLDAFNLPNPQRYAPKPTVPPELRSFFELDGHARELVDSLIGQGGGAGGFFPEKTFEIGSQQAAQGLAGPPHKISTLERTLESLFTAPEQANSGPALPPGFGQGGFSLANNNKNLLSSNKDAETEKVTIDETEGSGHEIKSSIGGIPKVFPKLPKAPIAAPGVPAVSRPQPIAVADVPIVPAFISSPDVPEGGFAPSSDIRRAPQSVSAVASNSVDEPINTEEYGGLAEETSTGSGGLIGTIMNLIGLGNKKSGQQEDKQALGKAVSNLIGGENSPLPAKNVLSNVLYKALTAGSVQNNETVSSDGEEGEKKNLTLTAAQSNAIGEQLKMIQNLVIQPTSPLCTSKPEPVDFDFNSFLGQWYQVLYSPPLSSGPCSMVLYKKLSDVNNGGAGSIFEIFEYTTDGTPYSKPKISNGYSLVKAPGELIYKTNSNQEDVNVHVIHLGPKNSAGEYEYIVLSTNCNYPVYAFARDPVQYKQKYEAEVTEVLERKGIINGFSRLLNIVAPVDNSICSFPPSIFNMMGK >PPA18766 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig41:539533:541899:-1 gene:PPA18766 transcript:PPA18766 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVLLLLDQPQGFTILWKTLTLLAARNRDYIRLSIFVSQLKHGLHTSKLHIQILVVRLMNKLLGQAPSSNHRLLAQVETSLAQFNPDYLEKLVLEMNQPLGGLDILLEELSIFRSLSMTPSHGRFEQGSNGRRTDGSIYGYPNTTDSESDSTSKGRRIRGANGKIIPTTVVKSVERQRLKKQDGSGRNGQTPSGSYYPNNRSNYFNTFDPHTEEAMYQSSRDLHAESRLRENNGGGGGMRRAKSESAMNFPMDSEDQARKAGLKRMEEARQPEYSHPLQASKMMSRSIHDVSRRAEEERSASLMRPPSRPPSAQDHRTRSLQRSAVSPPRARFADPPIMDTPQQPHGGFSYLFPTAPVVANVMNKRAVTPSISNASERDTSSLSRPTSRGPVSPVSSYTRTSDGQVTYIPINVEKDGITPIRRYEKRDERLRSPSVTASINDDVRDAFSKFDFLNDYDGGNSPRSRTIATHRDF >PPA18675 pep:known supercontig:P_pacificus-5.0:Ppa_Contig41:121180:123505:-1 gene:PPA18675 transcript:PPA18675 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVAPMEIPMAAVTASANLYIKGEISPQALIPSLIHKCEFCDFSSTTDDRLVAHREQRHRIYLCRFCKEIFESKFHQHKHYKTCQSLIDMRMKVMKQRRDVQDRNHTHDSIRCMHVNVKCPLCKEVYENMFDLGDHRKHPCKQYSFRLFACSGCKVQFSSANGLKSHLLAKYNERDFKCYNTGVLSYESMKIPKFTRSIQQNTDENPIIQNAVTLQLVPIKQVPTLPEEMHDDQTKQALVASHLLSDYDGTKANEYYQAMRKMFYLVACPFCGVECRTHSGLHSHGLVHHPPEERCLYQCRGCSTTYQTPTGLAQHLKREYDESNRECYNTVSLVRKEDYLGPVSGWNKKSNNIPRRFKSSGRPDTIFKTVDVDTGFNGDSEVKKEEPIDEYDSFSYPSNQKMSANQIHTFTIRPFVFHVLTSYEYHKL >PPA18891 pep:known supercontig:P_pacificus-5.0:Ppa_Contig410:572:7257:-1 gene:PPA18891 transcript:PPA18891 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rps-20 description:Small subunit ribosomal protein 20 [Source:UniProtKB/TrEMBL;Acc:A6YNA0] MSSVYSGIDLIPLGEAVRLILVLVHPLPVVQLQSISAGLAAIKSDKPVADSTEHRIRITLTSQNVAALEKVCTLLIDSAKQKELQVKGPIRMPTKVLRITTRKTPCGEGSKTWDRYQMRIHKRVINMHSPSDVLHQVTSISIEPGVDVEVTIADHDECAICYQKMVLPLMLTCNHSFCFLCIKGVHETMNPPLCPMCRDDISPDLFKKPRQVGKIDMKDPEDSPRRQSAGPTVKQDPGSHVQITRDAQGNIVAQTSSTPVVKTDPDQAKSYWLYQSGSDRWWRFDPRCEKDLDEKRAAGERQFDMMIVGHSYRIDLDRMTQMRLDRLGGQPIGNGRHRELLHVESVEELKALDVRGIAGVILTTN >PPA18893 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4108:17:312:-1 gene:PPA18893 transcript:PPA18893 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRKSLLLVLLFNLITCHKHLLPKELMLVKEKGIDAILEEGEVITPVRFTLKFDGLNDIEDTMRS >PPA18895 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4117:205:782:-1 gene:PPA18895 transcript:PPA18895 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIHKKMKDIASPVEHNYTNDEVNQIVYKVGRVYSRRDGARDGGDERASPSASWSSANDNDD >PPA18896 pep:known supercontig:P_pacificus-5.0:Ppa_Contig412:7129:8269:-1 gene:PPA18896 transcript:PPA18896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rps-1 description:Small subunit ribosomal protein 1 [Source:UniProtKB/TrEMBL;Acc:A6YN44] MAVGKNKKMGKKGAKKKAVDPFTRKEWYDIKAPSMFTNRQVGKTLVNRTQGTKIASDGLKGRVFEVSLGDLNGSESDFRKFRLICEDVQGKNVLTNFHGMSFTRDKLCSIVKKWHTMVEANCAVKTTDGYLLRLFCIGFTSKRQHQVKKTCYAQSSKVRQIRAKMVEHIQKEVASCDLKEVCNKLIPDSIGKDIEKACSFIYPLQDVYIRKVKIIKKPRFELGRLMDVHGDSGVATVGADGERVNLPDDYEPPVQESV >PPA18899 pep:known supercontig:P_pacificus-5.0:Ppa_Contig412:12464:14445:1 gene:PPA18899 transcript:PPA18899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-45 MQAATVLQGPVPPQIQERIRQAAEANFPAAHQVVTGEMAMAQAVGLSPQAAAAQAMAVAISGAHHHAEAKRPQTTSLIPASVQKKAAVVLEEKKEKLRHEEDTEKADLARRAVMQQQYTNMMSAMQGGLSMNAYRAAAAAPTDGARVNHPNQTHVAAMTLAAQQQKARKAPLMHPQASHDEFTSHSQPMQNPLEKLLAAAGVEPKEVRSNGHAPIMSMHHHIGMANARPISLEELERSLTMK >PPA18898 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig412:10229:11177:1 gene:PPA18898 transcript:PPA18898 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGNTEESQAATGSRLSRFFKTRAQNDDTNINTSGHNGQRRGSGENERESILSRIFDHKNASAPKSNDIPKQQSEAPRSGGPMTLEDLERSLKPATDVRPHFGDLHSKPNLQDPREHANLLSKLERMAREQKGWNGGAGMPIPPTHPHPVHSVPPVSGIPPAIAAAMGMNPMMRDPAYVAHCVVQVRSEYGRGYMRPFA >PPA18901 pep:known supercontig:P_pacificus-5.0:Ppa_Contig412:24156:24934:-1 gene:PPA18901 transcript:PPA18901 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTSDHLILSHIRSRLDLLSSIVVMIILAVGSLFALLFIIFQVHGETVNLARLSSSVMSSPPDWLSSALNYTEDRLEEQNIDIDDYVEQGYLQARSWLGSRVRSLADDGDNKRADELEKQVMQMVDNIYRMWEERNAANSESAVEREEKDWLSQVKGATDVNAMKEEITKIIQANVDTLMNVSYPH >PPA18900 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig412:15422:16327:-1 gene:PPA18900 transcript:PPA18900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein [Source:UniProtKB/TrEMBL;Acc:H3F9P0] MPNGIRIDATSTHKSTGGDVKIFSEKKSYNVTSKIGSFDNSKHVPGGGKVKIETTKTTFKETAKPRIDARSTHVTAPSEKKILSQKLVWNAHSKIGSLENADRKPQGGNVKIFSQKIDLSNATSKIGSLQNGASPSPDEGKNAPNPEFIEERKDSKSSKPKLNAPTPSTPSRAQNMPGAVNPLSADEIIPK >PPA18897 pep:known supercontig:P_pacificus-5.0:Ppa_Contig412:8827:9950:1 gene:PPA18897 transcript:PPA18897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-45 MSIESEEEGSTARSNVAAKGGENPPLTYSRDEMMILREGKLSRTRPDYLGIDFDGDDNMFSPFKWLQYKWEEEGVKDRPMTRKNEMMREEGESTVLSPQRRAFSSGCRAPEDKGTDLEDGKEKGRTWRQGAPKNDYNNKFKADRERNDKRGGTTWRNDRWNDGKTDKDRPNKFERDRKNMGRRDDDRIEKLPEWAADGPTSVNDLIELKGFDEPKKKKERTKQEKKSDSPKNGGFDAL >PPA18902 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4121:9:833:-1 gene:PPA18902 transcript:PPA18902 gene_biotype:protein_coding transcript_biotype:protein_coding PNMADEWAANEVNEAVDVAPAFELESDLPEVKLFGKWNRQEVNVADISLVDYITVKEKYAKYLPHSAGRYQNPVQVLVNATSLIGRAGTVRRQSVDVALFRRVNQ >PPA18903 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4122:56:414:1 gene:PPA18903 transcript:PPA18903 gene_biotype:protein_coding transcript_biotype:protein_coding SFLAALPFSVKGNLPCSEEMLKKDDGHDGSFLAALPFYVKGNLPCSEEMLKKDDGQIGVNIISTD >PPA18904 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4124:409:997:1 gene:PPA18904 transcript:PPA18904 gene_biotype:protein_coding transcript_biotype:protein_coding MNTARDYRSVLLHYFLLGRTATESHRKLVQNHGENAPSLHTCFNWFTRFKRGDYNLEHQPHPGRPSSRVRGRVLRELKANPKSSVRDIEKTIHIPKTTVARILHDAGKTPKLPQVIPHDLTTAQLKKRVDVCQGLLHRRSNFNWVSHIVAMDEKWITYDNPERKLQWVDVDEKPQQAPKAELHGKKELLCFFFSVL >PPA18905 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4126:207:365:1 gene:PPA18905 transcript:PPA18905 gene_biotype:protein_coding transcript_biotype:protein_coding LTVSPKTWRIAEDVACIAEDVAYRRRRGASPKTWCIAEDVAYRRRRGVSPKT >PPA18906 pep:known supercontig:P_pacificus-5.0:Ppa_Contig413:7335:9782:1 gene:PPA18906 transcript:PPA18906 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNAQLEECCNHFLENHILLRDDWVKAVLNFALQKFPTYSGRRLYNLLFEQWLYSNLSESCYPTLEGIDAQARTIDVHQPLVLQITSLIDIGTSLLSQFNKLTYEFMDNSGFDIDPNDQKEDNLYQPKPSRCLMLTLSDGEKELKGMERRHIPSLSLLTTPGCKVVLRPPLVCRKGVFLLTPQNVQVLGGDEPNLMETGRPLNVMAIRLNKEIPKKRDFAHLNSNPDEMMDEDQMIREFEEMEEQYDPHDFEPLPSTPLSPIISPIVSNPTPRPPQRSIPSSSTPVTPILNSTPLSSPSMSNTQSTSSTLRSLHISTPLLEKEVKREVKMESIENTKSELDNGVDETDKIVVSYRSLRLITLKEAAKQSIRGIFRGSSTNSRQFVDDEDTTQI >PPA18907 pep:known supercontig:P_pacificus-5.0:Ppa_Contig413:11221:15012:-1 gene:PPA18907 transcript:PPA18907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tam-1 MRPENGAFGQLFDMFIGNRGQEEDNQEIRLGNNDRGGGGGGMPPMHMMDPRINGGGNGGPVGAPQQHPVQNQMDVHNRMMMGMMGIGGQPAVPGPRMGMGGYMMHPMGPIQMAAHPHHMNGGPPAGPPLPARQQIQRHVRIEQHAYIHGIRHMQQPQMQQAIAPRVAYGYGGRQETVNCPECRSPTTIPPNGLPVNYKVQDLIERVKARSKVIAPVGCICQSCNTPINCPFYFSCNNDECKETAAVICSMCGLRNHNGHNVVENFVLTPEKVNEERVKIKRMMENADLCKSKFFDYRDQILEQTVHVQDVLAQSLNEYEMLDLELDRPEPFTQRDVDLRVHKARKLTKAFEKLVNQMEMTKNSVIETVAKEVDALMVRVNEISKMNTMVDEPDSPPQETERIEREENEEGGGDIYRLNEISLQTTAKVNAYKAQKSMSLQKKREESKKLKSMKQEKRDEMEEERAQPVRRMGMGDIKEEPLDQYVFNLNQIDLRDEDGETRGASSRYTHRLMKRRRLSPSTVASSSSNRPRERRLEDEPCSSRAMNDISVHASIHGPLPFSPQSHLAPPPVPLNTPLPVPQMGNPVDRREMGDLIDGGVVDGILNNEDVIDILDDGRIIRQEDIEGIGEDGRYVVRRPRGVMMMADGDVDDEVSLIRVANDPI >PPA18908 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4134:32:574:-1 gene:PPA18908 transcript:PPA18908 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHLYIGTINARTLASRDKQTELELALDRIKCDVLAVQEARISSTRAGPLRPMAWPSCSGHTWQAELCFVDSPLVWQPSSSPTNDSSWSAHTLPRLPMMTRSTTTSWTLFNVVLRAAMNTIDWEMDGIRIDGRNLCHLEYADDVTLIAKTRPELERMLKKLMEACSR >PPA18909 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4136:321:925:-1 gene:PPA18909 transcript:PPA18909 gene_biotype:protein_coding transcript_biotype:protein_coding TLPAWMQCGLFPPKRFPIYKRTADTSEMDRRVDSWKFDCEGLIAVYKNCNAIIKEECENDTDSLDNSYGSAEGIHGRFRSSADEENDNFR >PPA18911 pep:known supercontig:P_pacificus-5.0:Ppa_Contig414:5471:6109:1 gene:PPA18911 transcript:PPA18911 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPMHSYYRTIKASPFASKSQPFDFAENLKRNQFLDMCAHDCTKYPLIFAKTCADLQNVQIHNRTKPLMLLYTGDKRDDNCSTAYLPAATATVTTVAPATVTTVAPVGQG >PPA18913 pep:known supercontig:P_pacificus-5.0:Ppa_Contig415:9146:10524:1 gene:PPA18913 transcript:PPA18913 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSIRFVLASIAMSFFTTCCGVSCYWWELKELWDIFYEDQMLRMRAFDMMMDEDERLWLERRAAQEELREAIEDYIWEIKRILFVIAYQYKIESQSTLD >PPA18914 pep:known supercontig:P_pacificus-5.0:Ppa_Contig415:12075:13176:1 gene:PPA18914 transcript:PPA18914 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGVDGGDTVVTKVDFTEMIKENGTMQAQVEDIVKNIPKLNEPVQTTVNPERIRYKEKRHAYPLGEQFVDIDGLFDFNEYPTIVRIRLFDSPTGLKASKIRYWQHGFDDYFDENGKPAPEYIVRNFLRQMKEKRAKPAAVAESFLEGRDRSADLLRVFIIIVSSCWAAYVCVLLLKGPMNLMKVSCVSNAQQTQCAG >PPA18912 pep:known supercontig:P_pacificus-5.0:Ppa_Contig415:3636:4361:1 gene:PPA18912 transcript:PPA18912 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGLTGTNHTAIWGHANGSMKLYCHRPLAAHTVALFRVYSSIALHVYHPDLSIIERLGTTEQLGRAVPMILTREQYQSFDVNAIWMHRAILRDKRLKDLNIIDGDDINDSAE >PPA18918 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig416:11085:12782:1 gene:PPA18918 transcript:PPA18918 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDAMGYVDGYGMEESQTGIIDLESGNVLKTFPDHTGTVVSIQLTSNDLYLITETMHLWQLRVKMRPFEYSELYLDRNYMT >PPA18919 pep:known supercontig:P_pacificus-5.0:Ppa_Contig417:5676:11398:1 gene:PPA18919 transcript:PPA18919 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mup-4 MVCLPWQFDCKFGNPRCISTKKAGDGRIDCYSGIDEGCPSHFFVCADRSTCIEPIKYQDGVRDCGDGSDEPCRSGEFPCNDGSACIDGTRFQDGKEDCKDGSDEECTASQFECACGRTRCIDAGKLGDGKKDCEDGSDEVQSPSGMAKKKERKCPDGKEKRTSRNSLLYGEVQLCGNAHGCLEDLGQICIVVGGTWRCVCKLGTVRPLGAARCLPTELLSAYISNPVANCSDLERDLHLQFSSPAKTKKKEATRRSPQKFLVSDDNTTTSMMETLVEQKKRGKILVGTTPDMSKNEVYEALNTTDPFNGIVRVTTNGSDVASSIDGGAREEKERRKGDCDPTIKDSCKGAHQHCMSSSTEKGRFVCGCERGWEMAEEQCYQQINECSSPFSNDCDAAALCMDSVKGYECLCREGYLDVSPHPLAKPGRKCLKLTNECASAASNDCSPNARCIDRPAGYTCRCMEEFVDLSPEGGKRPGRVCEKRGINECAAQSHDCDSAAVCVDTPEGFTCHCPTGFADVSPDPGKKPGRICAQR >PPA18920 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4170:53:1003:-1 gene:PPA18920 transcript:PPA18920 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEIENTVLKCDRCAKAAKAPVKVPLQPWPTAARSWERVHIDYAGPVRGEYFLVVVDAHSKWPEVYCTQRITASITVDFMKDSIARYGIPEVIVSDNGTQFTSELFSQMCASYGIKHITIAPYHPQSNGQAERFVDTLKRSLKKMNGDAPNQEIIRQFLMTYRRTPNPNVPEGKSPAEVFIGRSIRSKLDLIRPTKRSDKVNERMKDQFDKRNGTKDRWFSVGDQVYYRAPDGPNRFQWLPAVITGKKGRVMFEIEVNKKKQRAHANQLRKNAVSQPAAEKGDKQLPLDLLLDTFDLDRNNQVEIDHHPEVDQRDM >PPA18921 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4172:13:417:-1 gene:PPA18921 transcript:PPA18921 gene_biotype:protein_coding transcript_biotype:protein_coding TLQLAVQNETGKSFEIFMGRSEMTFSSHQDSPSTSCKMRIGGYYTTMYETPVQYDISDADEEQFMANVDFGQGF >PPA18922 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4175:113:405:1 gene:PPA18922 transcript:PPA18922 gene_biotype:protein_coding transcript_biotype:protein_coding GKRKGTIKLPRRKMKGGSSRGRETADEIDDEEGGESDMEYDESEEEKEKRGRPRGRGRRNTDESSDRTVKEKGKKDIKKKGLNEDESLTLNTSSRST >PPA18925 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig418:5074:6714:1 gene:PPA18925 transcript:PPA18925 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKRTIQVDGWDVYFCDDEPMMCIEDPFDLDHNLGSGISPRMFAFIMKSFVTSREVFFTLKEREGFVRSNMAAPEKALHDIVIADSHIARYGHSLFARCRASIGGAPRDRQCFKCGRIGHFSDACPVANSGFARRGGGRGGGAIGRDDRGGVKREDNRYRDARKERLMAHRESYRVANEK >PPA18927 pep:known supercontig:P_pacificus-5.0:Ppa_Contig419:5351:8594:1 gene:PPA18927 transcript:PPA18927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sir-2.1 MVNVDPIVMDKDDSSSASLPSDPSRDESPPSKVEIREESDDEEESSSRHGSEKWMPQDDNSRKAMHAMMMYNNGFQPKKILSVLFPHVETNPFLSESAALKLIRDIVDKPPKRIKLINYNTFQDAVELFRKSKRILMLTGAGVSVSCGIPDFRSKDGIYSRLHVSFPDLPDPSAMFDIQYFRRNPKPFYDFAKEIFPGMFEPSFSHKLIAHLEKSDKLLRNYTQNIDTLEKVAGIERVIECHGSFSSASCINCNAVYTPDDIRENVMEKTVAYCRVPKCDGVIKPNIVFFGEDLHSDFHTQMAIDKHEADLVVVIGSSLKVRPVSLIPFAVSPDVPQILINREPIGHYTSDIELLGNCDDILREIAIQMGEEEFVKNYDENHGREWNANKKKMIPKDEFDEMIKKLDETQKDQMDDDEEEVKNENEVEENDKDEETNEKQNEHTNGVPELEKKDENGENEDGEPLTKRRKTEFSLSEIYTRKFVSIETLLPEDSFIQANTNQFVFRGAEVYIDMDSKVISERPKTLGYSMSDSSDDEDSNGHGCCEDDCSDEDCSRLPSSPYTEPPRSPLPLFDDDRLHRSSSCNPSLDTQSLVPSQHA >PPA18926 pep:known supercontig:P_pacificus-5.0:Ppa_Contig419:2971:4784:1 gene:PPA18926 transcript:PPA18926 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLSFLFALAITATVSNISNEVMSKEEKEWRDHAVKLLWDERGKMGHTPLYRIEGAQMPGFPMVDMYIKNETASKSETLKHRFVWALILWAVTEGKIKSNTSVYDSTSGNTGSSEAYMCSLIGVNYTAVIADNLEEEKRDQIKRFGGILYPVPVDQRNKVAAELAYNNSGFFINQFGNADSAEEYHESGGYGMETTNVFHEIATQLNETSKPHPNYFIHSAGTGGTISSVGKYISRYSIQTKVVLADSQYSLFYDYVLNNNYTNVSAGQAGRPDWVKPGIAGIGYGYNPDPIIFQNTTSLLRTVIDEVVRMPDMATVAAMRSLRALNIDGGASTALNFLVSLYKAIVHAEGNDKTPETERMSMVFIMGDPGRFYESTYYNDTYVDHNMAHLGGMKTLNCWKAKIDDAIANGTNFIKNATACNI >PPA18928 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig419:8889:12394:1 gene:PPA18928 transcript:PPA18928 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNFIWAVATAAYQIEGGKDTDGRTPSMWDAIREIPGRIKDGSTKDLSCDGRNKYREDVQLIKSLGVTHYRFSIDWSRVMKNGKTRNPLGMEYYKDLCRELKKEGIKCIVTLFHADLPLDLYNEGSWLTEGIIDRFVEFSRYCYEELNEYVSIWITFNEIRMHAWAGVVKVEGEPFHSLDIDYKVDQSIKDYGIIMKFVEIPRQHSTRVGIIVGAHSCMDAEGEKISAVSERATKSMVNLYLDPLIHPSNDWTEDMKEYLGTDLPPWSEDEKKLLKNTMDVLCLNYYRPHIVKERKGPSLVEELFNFTMVDDDWPKIGGESSWVRLHPRGLISLLEGLTKKEKEDGMKYPNVPILISARRSGINVIGYTAWSLMDNLEWDDGFQVRFGLFHVDLKSEEKTRTPKMSVDWYRKKIEKERRDETMNKEVK >PPA18929 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4191:44:1342:1 gene:PPA18929 transcript:PPA18929 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEGIVETLPKELKDAREQEEALRISRVAGGIVETLRKELSDAREQEEAQRVRTQRTVAQLHESEAELAELRATTATLEKKQA >PPA18930 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4198:103:707:-1 gene:PPA18930 transcript:PPA18930 gene_biotype:protein_coding transcript_biotype:protein_coding MQETIGIISDDHLTVTLPLVDFSAVLEDGTGDDLVRAVTDGLEAESRPRRNLFQREKDNALPPPHWPSSVTFASLNSGNRKKYAREVLQHMQQYKEERWDDIMNGRNVHKYDRSEMIM >PPA18944 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:70465:70869:1 gene:PPA18944 transcript:PPA18944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hmg-11 MYDPAESDTSDVQITASSTSPVKRGRGRPPKGTSSTPKAKKAPSGKPRGRPSKKNVGKPKTEKKEKTVSDNLVKKRGRPPKNETNGDTTTAKKGRGRPKKN >PPA18965 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:201814:202836:1 gene:PPA18965 transcript:PPA18965 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLVRLWMRLMESDSAVEIVVFFLLLLSINVMICPFQEELVNVKQRVGCAEYRSTMRFVVVNLIVQLVLIFVNQNPILELCIENVQRHAKRINV >PPA19105 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:1032174:1033126:-1 gene:PPA19105 transcript:PPA19105 gene_biotype:protein_coding transcript_biotype:protein_coding MFARLTFLFFFVALTVACGSSGGGGGGDKKDEKKEGGDKGGSKSAPISLITRERRSLSDDVISVIVSSKPFSPLTHKQDMNKVEKDIHEFSSSSGLSFNTLNAIDREAENAHGKFGVRFRVVGEVEHCQRVTQFVQAAVSHGFVKCGAFDTIRLSKF >PPA19073 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:818673:826028:-1 gene:PPA19073 transcript:PPA19073 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSSLLLLLLPLIGADPLWDNEYLSVCDGAKKHKPFQDYPVYKQCFYSPKSGGRIDIVGCSEPSQGRFVPTGKEITAVDAKTRKVIMESEGEERTDICEVIDGEHRYRMGSRRTKEYAAFLKKNKLCEKHGLKKRLLQNKLYKECKYQQGKGGSVEIVACKANGKLSPLPVGQYRHHPKDMYESCTKVKDAKGVWKVVYSYRKLAPEEIEREAVAALCKKQGFTAIIGCISKESEGNVISLDTRFESDNGAIERCEAVRNEKGQVIGAKLYKEEQEGPSTTPDEVEVEVEETTKSVFERNVAKKCVDTRLDTELTDIGVVIDIVGCRFGLSDTVVALDEVYTKWGVTFRCVPKGNDGESVQLVVEDPETPPTDESPTELGEKCAIHKFNVFFEGNVAKKCVKGDDGLVIEVVGCRFSVFKNVVLLGQTYEDWGVVFRCVATDKGGVQLVIEDNLSTMQLIIPDFQPELPTPAPIIAPATPSDSNAREICNEHGFNKVFFEQNAAKKCYFSHEAEDRVVIKTIGCRFALTEEVVLLGEEKESWGVTFECILNEKGGVHLAIKESNTSSPVPPSNPLPGPPSSEEVEKIMKTCEEKGYEKVFFEKNEAKKCVVALNEEGGVVIKLLLASKSSHLTHRTTIGCRFALNEEYVQLGDSRETWGVTFECIENEKGGVHLAIKEEKEVSTPAPINPKKPHPHCTFNGVKVPYRKRVIVGGQFVFECIHDRHRSLRGLIGKAPRTRKHSELELRFLGCNTQDTIRIFKKNIARRRQRSGLRSLQDGYIFDRNLCESLETFFNKTDCDSTIRCAALEKFKRTENGKDITGFECPNAHVPRAVWRREVKLSTGAWVAYVDGILCEHGLYRDPDRQNLKVGSPRCVRKMTQQEQAVLLVLEPADCPAGHKCEKPVFADSVDASGNILGTASCPTDTTLESGLETSRRWHMVGNH >PPA18998 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:443358:448693:1 gene:PPA18998 transcript:PPA18998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminomethyltransferase [Source:UniProtKB/TrEMBL;Acc:H3F9Y2] MAFSLSALRQPAQLLRGLSTSAAALSSKKTCLHDLHQKHGGKLVDFAGYEMPVQYADLSIKDSTIHTRAGVSIFDVSHMLQSHIKGADRVAFMESLTTADIEGMPVNTGSLSVFTNEKGGIEDDLIVSKTDKDFLYLVTNAGCIDKDLPYLQSNAAAWRAKGKDVTIETLDGRGLVAVQGPGMAAVLQEGTDLDLASLFFMNTVVGTVFGIENCRVTRCGYTGEDGVEISVDPAQAATLVERLLQSKKSSVKLAGLGARDALRLEAGLCLYGNDIDDKTTPVEAGLAFTIAKRRRQTLGFPGAEKIVEQLTTKKWNKSRVGLIAEPGRAPRGHAPIVAPIDKAAVGYVTSGCPSPCLGKNIAIAYVDKIDSKVGTKLLVDFGKKQTGVTVTKMPFVPTKYYTKKI >PPA19010 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:509970:510454:1 gene:PPA19010 transcript:PPA19010 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSETPPASVGAAADAAAAAAAAAPPPPPSQERMVSKRAFRVLERNSGLLFALANSSKGSQVSRLSLAANELLREICERKSSGERRGPRLKSGEEMEGAEGVL >PPA19057 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:761053:761504:-1 gene:PPA19057 transcript:PPA19057 gene_biotype:protein_coding transcript_biotype:protein_coding MINLSQIYLEARLGTRGVSPRIVRVMQISLLAISLWVCHTRLTDNFHHPTDVFTGIVFGVAVGYYTASHIAGLFTYKEGFVSLKHPEGIKFLVPFIIDKEVKTK >PPA19050 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:730056:736783:1 gene:PPA19050 transcript:PPA19050 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVTLLSSDGQQFPIEKSIVSMCQTISRLIEAFATAEGVGEEAFLDHPIPLSNVSSDVLEKVVLEWCIRQTIEEDRDSDLTSALKAPQSPHEDSRMELEESDRFTINELSIGRYYDEDSKFHADNGAESSQEVEMALVGPCSSYIDVLVKFASNTKIESLFFLCDEEERQLIPYVKSINSQEMGKEEINDDRLEEWTNGRVTVNIQMGGHAVTGEALFRVYSILSMEMDSCHSSLLRFRIDVLAEATLSVLHQMGISYTRGLFTTRRKDAQFFVDDDISKSFFIVIGSKIVITINKRMLNSGIEGDFAIERRNHLITLRHIIIVVASSKMCFAYKR >PPA18945 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:74123:75233:1 gene:PPA18945 transcript:PPA18945 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGRGGGGFGGGRGGGFGGGRGGGGYGGGGRGGGGWGGGGRSVSSSGMGFAGGFIAVSAFRCERRIDDAPDLKPFSFFYTNGGNEILLFLVVLIIVVGGIICISFSEPTKKEWEVVDAGYRTTTTKII >PPA19004 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:469669:489403:1 gene:PPA19004 transcript:PPA19004 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPTGASSPRARPLNDCEGMAFDFSDDAKVKNLKKSIIDRIIDPDGKKNRSDMESYVSASATKCVEELDTKKPSKKLPWKPGGGVKASFADFKSASGKPVTKDMKKVVEDESDSAGSTGSSNGTKYLGNRPIRPTFKPPSRGNSLNSHKDQQPGSLAAAMFDAAVEQTKNGSNPNALCNDPSLKHLDSNIVSLIESEIMSLSTETSWEDVAGLEGAKKVLKEIVVWPFLRPDIFKGIRAPPKGVLLFGPPGTGKTMIGRCVASQCKATFFNISASSLTSKWVGEGEKLVRALFAVARLKLPSVIFIDEVDSLLSSRSESEHESSRRIKTEFLVQLDGVATCKDERLLVLGATNRPYELDEAARRRFAKRLYVGLPERDARVSIVKRSLEGVEHAMGDGEYDEVAEMTAGYSGADMHQLCQEAAMGPVRDALTDDIESVDAASIRPIGITDLKSAARISTNGFISFAYFSDDGGKDFIVGPDATDWPNEADPALIAPYMCRQRIDSSYGTDSFVSYRIETRKRQVKAFSETRRQQCANAPNYQLCDDESEVFLNAMQRALQEGVAGASTFRAESALVVTWKALRPNLGNEGSQATFQLVWLTDAQGLLSYTMINYDRLGYDAADLNGNNRIGRCQALFNGGNHTGLVPLDLSEQTKHQPSTLAQRSAVPHVARGRYFHRVDDVVRPAGCSNKTGGTYPLLVYPNIVTMLGETTVEVNGLCLNPSQTYVLMIEQRDTAPCEILNPSIARCTLPKVLDWGTKTVYFQPQSGLANDEKAYIGYIYFVPPTIDPMRLDIGDLHKWFTAPTHPMTSFTLKWFPRNFTMHRTFTSEGRALQVDYSDTSIYNIPLGLYIVGYREAKDDHKMKFVPQHKIMARVATLSNSGDERYRWEPQTQTVSLTHVDEWYLFKWERKYDMYTYRFGYFKLAPLVQAKMGSDKETHYIDQLPEGMISSPVSLHWLWPLAPDGAYKGTTDDDEAKKEFVEQQSRAMCKDWFEEDGALDNFVRETENNSSCPCRAEQARADLGRFMPHPRCSTLFRDVSCTETLGSMNCYMSAQNVQGSRVRQTSFGDEASSYNTHFGQTCCYDSAGYLMHSSYQPVLKIDDSTPYSPGFPTRSYEFGTTPYQGMFEIPGLSTFHHDVMPYYLCCKFTDFRCQMFYWRRPSSACQAYEAPAIGMLSGAGQITTLNGENLIFNDPGVYTLLHAHKTASTPEVQIQVRMERFPDRSVDFSGLNQAQQDLVQPTNVTVLTGVVLATEDSDRVHVMLRKDTRRGRYRTSIIVGNVIRYFDTMHLQRFKGVTVYVNTVERGQAEVYVVLDAAQIGVRIRETYAVDVDRLAHFESLGLLDLEVSVPPQYNVNPYHSVGMTRAKVEGLVLPYPEQPFTTSNGGSVPWQNVNNEGIRAKMLTYRVRGEHDFTVHQSSNGHNDNIDLFEARLESEKLFNAYADHYLKRPVYTMPTKYANVPFVPQTQTQVREFVQFCQLKFDRSSSADALVRAHKRCPKDVKHVETICHDEASCMFDALYLQESALGVKSMNTLRGFVEDRHAIMRHYNSCGAMNIEYPEYLIKGPNSAAPAYLEGDKLSFSCYQTHIIKGDSEYACQKIALTAREARDLGLSDANYEYRMQWTQGGQPWCRARWHTMTTVVYGEIIDFVQQNRKCNGSDKLVKLNLTVENTLTWLMWCAIIVGVIILVLMVFLVFWTIKQKSRAEEERKRNGSNPRDIEMLLSFPTNDQWGKMIGLV >PPA19056 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:760066:760709:1 gene:PPA19056 transcript:PPA19056 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVRCMPMPSPKLIFDRPFVYAVISEEGTDAAAATAITFSRKCAVMTITKDLVFDRPLLYVLLKEDTILFIGVNV >PPA19028 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:620929:627391:-1 gene:PPA19028 transcript:PPA19028 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rgef-1 MTWGLIEDKKRRAKDRAKISGEDFEYSVIALTEERKTSDLIVRRSVLFAVAYWITNFPFHFDAQPELERRVAVLRAAATAAAEDEDIVATLDCSQLPSYAWMRALSVRHPVSKQVSLSFDQWSPEDLSNSLTHIDFKILSRITIAELKKYVREGKLREAPVLERSITVFNNLSNWVQCMVLSKATPAERAEIVTKFVKVGKCLKKQHNYNTLMAVIGGVTHSNIARLGKTHAMLASEIKKDLASLSTLLSMQSNYGQYRRALEDCKKKLHIPIMGVHLKDLIAASVGLDYEKTGRISKKKLHQLASTFSHFMLFTHATSTRNQLPDPNVDLINTLKVSLDIRYNDDDMYQLSLRREPRTLLTFEPSSKSIVFADWASGVSAVPDRETVEKHIAAMVDAVFKHYDHDKDNYISQSEFRQIAGNFPFIDPFGTIDVDRDGQISRVEMNEYFMRMNKSSDFRAEFKHNFQESSFIAPTTCGNCTKVLWGFFRHGYRCTDCGLAVHDSCRQREGFVSGNLRLVFCQEFVQRKLPEVARQCSVHPLPYLIDPFAVARTTAPIAQRLQHVGEVGATSSAHFVWT >PPA19043 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:696260:698055:1 gene:PPA19043 transcript:PPA19043 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRVSRTVASLPHRPPLSLSRLRDKYYPNVARTWNYAIKYRAVAENYRFEKAILKGELIYIDRAYRADTGLYKAWIDYDVDFPTVVKQSGAGIYAIPCTARQTKLGYTASYSRDPHVVNADGETVPLPQGDIRLYTIDMRTTSSINVKPCWEKMKACGMMPK >PPA19002 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:463787:467477:1 gene:PPA19002 transcript:PPA19002 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFDVLTLVESAFISVLGYVICRHLINEYIPVFVQRKMAGRDLCKKDSGTIPEPMGIICAIVWMTCQSIFLPLPFLQWTMSEQEFPHAKFYGFLSAQLSISSAVMLGFVDDMLDLRWRHKLLFPTLSTLPVLMIYYLSGNSTTVILPNFISSLLSPYLPFPLPGSLDISFLYYVYMGMLIVFSTNAINIYAGVNGLEVGQSIVVAASVLLFNFIQLYRMETEAWNHSLSIYILLPFLATSIALYNVNKYPARVFVGDTYCYWAGMTLAVAAILGHFSKTMILFLVPQVLNFVYSMPQLFKLVPCPRHRLPKYDAETDRVGMSYAEFKSGSLNVGGAVFVKVFSSIGLLFREEFEKDGEKWTRVNNLTVINLMLKFTGPVHEATLTNYLLVLQIVFSCVGFLIRFGLASMFYSVVN >PPA19082 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:846772:849006:-1 gene:PPA19082 transcript:PPA19082 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-glb-22 MFAHLDKIGRNHAYLKQYGFKSTHWEKIGEYFIDMVVIQDCVRAYPDACRAWTLLVAAMVDRLRAAPRRGSISTIAVKGSKEELNVAPVRIFGSGNIFTAPPAPAPKCPRASVADIFSGTRRGSNGEIRVGMERRLSGGDLKMDSLKISDSPSSSERSVSAEARRRSYCKLEDVAESLIERKNGVALDCSSMSSKCPMAALRGGLPETKSSSALA >PPA18991 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:401329:404262:-1 gene:PPA18991 transcript:PPA18991 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLEQQDVMLESLVDEVADCKRVITDLTVQITEKNEVPITSKAQMCEMRRDIAGALKTIDELRDQKKALEAEVDRLKSSSDSPTPLKAVDDLRTQMREAEFERDRMARELAAERADGDRLRSRVEELEKAVVSVESARRKWEAESAVAARETRATVEECRFRAEEAEQSLQQLQHQSAAVAEVVQQRAEHAEKHARKCQDEFASLRKERAALLARVDFLTRETNDLRTKLLQAGVGGLSSSNGPDDQTRRLLRDQAELIRTLKEECRLLAERLEEQAGRHKSITRELRRNNKELEQRIEKLLKI >PPA19009 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:499579:501333:-1 gene:PPA19009 transcript:PPA19009 gene_biotype:protein_coding transcript_biotype:protein_coding MDADHLSPDQEDELVPVDADDVMRRSRSSRYRESTRIKKTREILRTVEAISQMREDNIQRREDFYSAEEKKGEKRDKDKGERRGGAKEYLLTLVGCVRRPPPRSPTSRWSSRSVDDKLDKIKEDAEFILSVYEEKAARDSPKK >PPA19012 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:529771:531160:1 gene:PPA19012 transcript:PPA19012 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFLVQIVYGCVCFAATAMTLSAMFTPEWSTYTFPGGLTADLNQGIEPYSCGLSDDDLKTATIFKWTNCQEWFDGLPWTNTLVISMMSVGLGFQLLAFAWNIFTICACCCKKYVIHALPVFSILASIFLGIGIAFYAIYDNEYLQLKDDKIQADMYLGYSFWLACGAAGLNFINLFVASAAICCTKRHL >PPA18949 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:87182:87803:1 gene:PPA18949 transcript:PPA18949 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKFDIAVIYVLGAKLKSEYTDGEYLFINVVTNIFPYYVLACPLILTVLIRRDRINRKKEVKGMIQGQNSKQYFEALAKQWKSDDTPMGTTG >PPA18982 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:364968:367907:1 gene:PPA18982 transcript:PPA18982 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNFTVNSSYESFMFNYMHVVAVLSLLIAGPTLYLLYFKTNRNSQRYKMLLINLVIWTTLMDVHFGVLFIPLPLFPLVGGYCVGVLCAAGLPMHFSLVIMSFVVNGVCGAITICFIFRHQAVLPYTSKMKLSDQNFNILCIASYILMMTPVAVMITAYGDTQSGEAYLRESHPAMLWVITKPNWFNLLGLILAVPSELGLFLLRTSPFVGAFNSSNFDNTIVQGLNFDLASYQEIEEFNDKHYNLCFRDELTL >PPA19025 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:593840:597327:1 gene:PPA19025 transcript:PPA19025 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLPLLLLLPSLHSMTVMESYERHLNDLTPPVLQKTSPRGPMRIKRYKCIEEYVDLPVSSTSESLSLFSRPLPPLNPNQDRNRLSLNQDREMVSANIDGSFGTAKTASIARLMESGADSGLMEGENDRIDKLNEGDDQLQRVEADHGGPISDEMMFFSDAAPPATRPTPPRQQEPAAAAAPTRNFFSPPLDKESAPPSSPFIQLPPPNTIASSRPISQIRVPASTGRALPAARPVQQLQQLQKLHSGGRSVNGDRELPDFDMSMDEGSRPTAPSGPPLFSAAAAAPASPAASPLIHHQSPPPSALFAISPTPLPPLPDFGAAPTFSLISRHASRTPDLLASPHQSGDVEDISVVPLNPSNPARGPKAIMRNAGAKYSCGSVLLQASRS >PPA18977 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:344711:344982:-1 gene:PPA18977 transcript:PPA18977 gene_biotype:protein_coding transcript_biotype:protein_coding MFAQTLSQQRGFGNNFKFPGEGTTTNGMNGGTPTDPAQNDDEDLYKQKQVLLIEYRVSAHLLLCT >PPA19071 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:813397:814880:-1 gene:PPA19071 transcript:PPA19071 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLVLHALCFGAVISVDKGDYVKPVTRRAFDAAATTIKPHAEAFVRRTHVPPIFPKEEATTARVVKLVDRTNDPISHGLPKLYTIIPPRLPKEDLMNQSGTARPKRFVFHRRTIGTRPTVVDTGATVTPKNATGVEATTRIAKDSSKTIAPILTQGPKEIKGSGLIPGFVVPRPSPKPLPKSGSGALPTEPVVPRKIEATTSRIERDPSKTLGPIVIRPGTERPKANETAHVTPKYVIGTGAMPLTENLKTGKAEATTARSGDSSRTIGPIVNAPGSERPKREAGVKVDVTKNSGNRPIFSGGVQGSKTNGDTTWTGGINHSTDGRNGNTQVNGGFQTKVGSGTVHGGAQVNIDNHGRTNHGVNVGVSIPF >PPA19060 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:764350:765132:1 gene:PPA19060 transcript:PPA19060 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPIDVVTACVPLSIVWRTFSAMPFDKNASIDAGRSRIKDLIKEDQGH >PPA19015 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:534784:541506:1 gene:PPA19015 transcript:PPA19015 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMGTPGGPVPLQQQQQSMLQLSAPPTGRPRPQPHASMTPGVRVLNVQRFPGGPVNQRRILVPVTQQGQPTQQQMQMQQYNLHLQQQNLQLQMMQPREGETPPPPPAASPPVYTKQQQQQQLMPPVHLQLPPSASAAAAGMVRRPAPITGTPQPQGGRQMRPGGMTPQQHQQHQQMILQKHRMQQEQRQAAAAAAAAGRPPQQQAAAAGTPQQQHHPRSATTGQRTPGGASSAARAAAAANGQSQQQSPRYNRQQMQLIMRTTLSAVDKVRQLRSTVCSSYLGGPPTTSAHNDETCSLPSIVDAPPLLVLSEEQRTKRMERIQAAKGVLDSLHESLRYLPNSMVQMFGGRERNLADMMDTEDMWDPESGQSVGEEIERVLIGEDLRFSYFASAFECMSKMPMGRNLKGLGLKEGLNAHSSFLLCLTKMQNNKRLQQQRSVEGNLKIRLLNSGPRDTRFEMCITTPHQIHPTTTMMRVVITVCDGCLARATFLGPNELVDSSTEERSGHLVYRQMSVNATEALLSTAKGRQMS >PPA18957 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:185829:187113:-1 gene:PPA18957 transcript:PPA18957 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLFFLSSPFVLSFDVPASHRLDPSIPTVSPHNENTTADSCIGQCAFKMIDEMSEQIGMNKTMQLLKLNYNDFLRAFSNASFFENFCRIYHNFQHCSSKCETGYLHQMLMRSSEIIDQYCVFHFSDIQRLFPCIGTMEPSQDCLHICTPHHKAVTSLSTHFSTLAMNGDSSQAETYLSESCEYVICSLHCDIPDIAHRCGFETANLVIELTRKSFASMEKTALDTGVVHKWPQLCNDITTYRLPEPANPPSVNDVINQSPEVNQLQYHESITSKSGQNNS >PPA19064 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:778697:779123:1 gene:PPA19064 transcript:PPA19064 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNTATCPTKLCEIACAATVPADATTLLYATIGGSIGLVLILMVKIISLTQPRKV >PPA18938 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:52665:54971:1 gene:PPA18938 transcript:PPA18938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-par-6 MASPSVIRPSSLISSLPPSTSSDCSLRGYRQLGHFHKKDDMKLHIKSKLGGEFRRFTMTFPGEEKPCFNIFITRLFSLHSLDTPELQEGITIEYTDASDGSHLPIHSDDTLKAAVDSRPSVLRIFLQRRGESMEEQHGYGLTTQMLRDARKRPSISTPSDFRRVSAIVDADTLPFTLRRVQLCKYNSNLKLGFFIKQDTSYRNGFPQSGTFVSKLLDHGIAASTNLLHPNDEIIEITERSLDQVTDIMIANSYNIILTVLPAGPPYGGIPSPHVSTTHRHEKGLKSYMDMPAGYDHHLPHPVYLPPMRGMPLHPYAFDHTSFSRNTTVRLSDKIKNEKEASYLSLFLSHMDDSSEVQIRSRRPFSLYTPNS >PPA19049 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:721016:725472:1 gene:PPA19049 transcript:PPA19049 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLTAAAYAPDPTDCLATVSNNSTESSDDQETSSPYRKIYTSDDLLSGILARCDKLHDTCAGFAASLPDRNAVVISFRGTQGTEQLMAEIAELVTERPVPIPSGGAVGPYFKNAFDSVWIGGLQNAMLTLAAENEGKELWITGHSLGGSMASIAAATIVDQELWPRKKIRLMTYGEPRTGNKDYASVMDSNILAMHRVVHERDMVVHVPPPVLGYEHSRQEIFYGEDMSNIYSYVPCTGDEDPKCSNNLFDMSVEDHLHYYGLEVGDWGKQGCTGTTKKTQASSPLVRSMEAMYASAYLPYYGYPAYGYGRYAGYGWGYPGYAAWWGSNKGGKGLEGAGPVGPSGLTRNQ >PPA18983 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:369121:370488:1 gene:PPA18983 transcript:PPA18983 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSMLMAAAYGDAANGEQFLRENHPAMLWIMTRPNWVVYSTQSTTSTMGRVTALMFILFTFFGSFIFIFVGHTFYVLRTQDTILMWIRVKKPKDIKTLVTAATVSAMH >PPA18932 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:12451:13475:-1 gene:PPA18932 transcript:PPA18932 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nlp-21 MEKRGGGRSFASFSGFFPSAKRYSDPLYAYYDKRGGGRAYFPVGMDKRAGGRAYFPTDMDKRAGGRAFAPVEEKRGGGRSYAPVEEEKRGGGRSYFPVVKRGGGRAFASMEKRGGGRAFVGDWAFNYPRMERRGGGRAFSGGWGDYMGRYY >PPA19035 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:663430:666860:1 gene:PPA19035 transcript:PPA19035 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNKRLKFDIYGQSDHQAVFNLQSTTQHHQPISAPTYPPNQVAQFVGYQLDNGYFPFTPSYAQSHWSPPPPSPIYAQQAISNNSTVGIPPLNQMHAHPEMDDSRVFKEISSCVSIMEGNARKVKVTIGELRRRMGAPEFMESAQLARLTRQDDRWESLLYPADYETPEALILARDFSKLLSSELSNAFFAVTVIDAADTSVVSLQYHTPAIRAHLSCIKAQLLSAGREPHELTEKCGVQIDPTVKEFSLNTHGFGPLVAVAVIESLMTITTIIEKTTLKSTEEEASDYSSSSLDDSGLGCSFQSDESPSTALAQVTDLDHPSSHSDNHLVYSLVAPRIAGKKSHTHSALRQSLAEYGITINSSKGLTPTSWSSLSEAEAGELAAETAAFLSVVPGSVTMRKRKEANADAAAWLSFIARTVQKTMDRVGRLPGEGTVESEVDKSVARFALITHNFGHSFYASVLQWIHDVT >PPA19005 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:490217:490989:1 gene:PPA19005 transcript:PPA19005 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLSKLPPHFQKDLDLNSNASRHEKESLLFTPMPLQKDDRTTQCRQFNSRTALPPDGLKSESCRIHPAILATQIESESGWPLPTVLRNLRLSLQQFRKTESVAVETGSSGGE >PPA19087 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:875830:876218:-1 gene:PPA19087 transcript:PPA19087 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLVPAKQISQTSVTKKCRLLPVDRAHAIPDKYRKHSEDRSVELYSFNWTP >PPA18969 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:211615:213301:1 gene:PPA18969 transcript:PPA18969 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRAKRIWADGENADIVLSNEKMHASGRFANVFAANLLKPMNKRVAVKRAWESKEAKESGNEYRNEADVLSQLHHPNITRLLYYYVQKMEKETCHWMILDFLPLDMVGLKAKGYLHLDIKPANLIVDHDKGILQLADFGNAKKFVEGEKQNPYQVTRFYRAPELLFGATNFTYGVDWWAAACVTAEFMLGRTLIREKSADSQMRMIIHFLGYPSDIEVKKMKVSRPRLLDDSSPCELLSFLQFSLQYSPDGRINPSSALKHDLFKPLLSSPPPLRWNQNRAILPTLQSDYGSESSKSSEDEDNKEKKTTDVKKE >PPA18975 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:332849:334329:-1 gene:PPA18975 transcript:PPA18975 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTAEMAKMTLKTAVPAPPQLPKGKKGTAKKVVANSYIVTLKPNVPFYLHDFRVVAVFMRNGEEKLKEVCKQTRDDFTEQERKQAAMLTYLAMKKNGQLKGDFMYDRAALLVSLQEICKGGEHTTVLKKANAPELFALASIKEATEVRVTIKKAADTYQVSTNDLTSRNEATRKALLSVANLATSQTLFEDMSVEHD >PPA18941 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:62258:63024:1 gene:PPA18941 transcript:PPA18941 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVVSGKSENIASEECENIPERFVGSDGGISAVHLKGSCVILYESSNCRGNHIIMRHGTMSHSDLKLVGFDNRAKSIGPCGVVRIDVETETITQPFGIPSLLTILFSLSNGLMFIFYLRERNKEKNRRRVLNYPLPSIRFENNENTTSNHPYQSIYTEEPTKNLDSIKRMSLP >PPA18951 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:92084:96366:-1 gene:PPA18951 transcript:PPA18951 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDVSKPKAVRPIQETLHIPVTADDLIEYEWPLKSSERYFLQEQIADLLDVKSFKRKYADMTRRVVEVPEKEYLQLTFPSFNKTIGEHQMHGMTALRAIEVRELMANEYPSIYAEYQRAAVQKIKAELAEKQKAFDAIKNDKSKLEELRKKAMKNASEFNSDLAAVKKTERKYFWDLQTSIIQSPSNRWMVLPPERTRPHLYPCSLITGQYQNYYKQFTPSELRRLPLNSVLDGHHLFPVKRPPSPPPIIVKEDDLTDIPPLPNPSSPAVRGAANGNGSRKNSSSIPITSTPKGKKPSSDACALCNSSEEFSSILTCSSCSIKVHSDCIDMPDHIVEVAELYDWHCIECKRCTICAKPDNEDGESSTTEDKLMFCDRCDRGYHTFCVGLQEPPTGLWECDKFCGGGGGEKVEEGDEGEAMEEEEEEEVIEKRTTRTSKNTKSGPPPKKKMKKEVAATKKSTRKSMKVEEEVEKEEVEEEDDGETPAVEVREEEGESSTTSKTESPEEGDGVKKGKTPRVKQEKEEVTPLTATRRSARKVVPKQNRD >PPA19094 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:967365:968961:1 gene:PPA19094 transcript:PPA19094 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGKEGELSGQEAASAEWPRWGASPNRKMCKFARQFFESINPKGEFGEDQEGLEQYLYEKSLKIQPKEVDRPPHPDVKPKHSSATLRSPGIKPPRTNAKDSGNLESKVTSFAASFGHLGSSKSNTYISRHTSHESQPKTAPPTPGYDTGDK >PPA18993 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:410129:412934:1 gene:PPA18993 transcript:PPA18993 gene_biotype:protein_coding transcript_biotype:protein_coding MIATSVLLLLLWTGLVESSSCLYCASPLLVTVHPSKFDINSDRTSESDSKCIRNITKAYNPAGCNGACLTMNLTREGETDPIGVLRDCLDSHKRVKARLEKKMGGMYTATYCACVGRHCNADGTPLGAMMNEEEIVAEARRGGVGRRGSRNRSSPNGASAGVQSLSLAFVALLTARSVFICHKLGDARNSFGINHGKFD >PPA19029 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:629637:631286:-1 gene:PPA19029 transcript:PPA19029 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSKEASGYTIEQLVKTCGYCFGNEAGDPMDALPHSLFVAHQWIMESITLLDAFIAYFNVIVAKE >PPA19046 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:707555:710273:-1 gene:PPA19046 transcript:PPA19046 gene_biotype:protein_coding transcript_biotype:protein_coding MARYLQTASKFISNDYSNYARTWNYAFKYMAVAENYRFEKMGQHHLPLLMDLAKVAYKDESVTLGTGVSIDDCRTGMEGTFAYAIAANALTNISDICYDNKTNQPIGFRMIDPYYRNPSKAPFSVPDAPLNKMEETFFGLLDDTFSKIWDRFSEEQVVIKPTLLYVLPAHRGNGLYQVWVEYGVDFPTLTKQTGANIFASMCTSRKTKGWREKIGYDLVYTSPPTVTNVRGETVPLPEGEIRLYTTDMRTTSAINVKPCWEKMKACGMMPK >PPA19075 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:832361:833636:1 gene:PPA19075 transcript:PPA19075 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSWNSVSGPPRWTSSDGVAAVRHRWTKIDQLGDFSREDNDQADGDSGEEEEAAGAAGLYLGEFNVDQVADTWVNMTEWEKGHVIVNGHNIGRYWSKEGPQYNLYIPAPLLKQGKNILAVFELVQVNGCGEESCPLPFSATPDIHFDSVTYADLHTSSRRAFWKNRYPAKH >PPA18967 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:207751:208814:-1 gene:PPA18967 transcript:PPA18967 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPAGLVLGKAILNAVNNRGTQQAAVKIAARTSAMMMGEDRGRGMERRTMGGGETLRNRINPYDKTEIRNRLGGTSVRMLHKHAERESMALRDALENERWIPVYRFEGIRFGVLLARAKLVQTIASVLYLPYSTFGLLMGTNDKQTFLFTFVLAVAAPLLLGVFSRYLNRLIGVISMNESNDYARIGYLTFWGSRKNVHVEVADIIPLSENSSEKAQIVKLQWYSSGIKAMIAVTTSSFSLHEIVR >PPA18992 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:405756:409182:-1 gene:PPA18992 transcript:PPA18992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-clic-1 MSDPVADFMAREQEMMAALEGDVPPPPVPVVADPIPDLADEFGALAAAPADPVFINGGDSGVDLSAMDIPAAPVMAHNDDLADFVAVNGNGFGGSHSSSASNGPSPVPSMPRIEAENIRKWREQQAARLEKKDEAEEKKKQELRAQGKKELSDWYAQREAALKVASEANRKAEAEHLAAFAKAQGDGAQWDEVAKLCGDGKTAKGGKDVSRLKSMLLHLKEQHAK >PPA19070 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:810018:811882:1 gene:PPA19070 transcript:PPA19070 gene_biotype:protein_coding transcript_biotype:protein_coding MQEATSNQCLQTSKELTPLYPASVATPAISFNAQAEFHATSAAAAPYTPITDSSCFNPAGMPYFSQFPAYPPVNFQYFPSTTVSQWRPSEASFATSLSAPYPSNVPLPFTSSSAVPSGKRRKARKLYTPAQIDILMREFRVNGYVSKEERVKIWYQNRRYKEKHTEGSESTSSEGRRTGSPRQEEERWIFEQHLSFPAAP >PPA19039 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:680672:684081:1 gene:PPA19039 transcript:PPA19039 gene_biotype:protein_coding transcript_biotype:protein_coding MEALFSRILDGGDGVFYRHEQKGDEDLTEVEKREILSRVFEESKPVFLQRYLRWIQKSDESLFAGCSENPLVEYFLGKLDRREPSEAQKRNRRYTALERLKKEARRVLLGRENARARAATVRPDGRPCPKVGDYFIPRSFDSSLYHFSLPTKRLHLRPTITATQANGWAGLLEQFEESGDVARRRAAASDVEWEGAASGQRDAPNRLLAHVENAFEMEEEEEEEERERAAGEGTTGMGELQREVNGIIKEMDDETEREEREADDDEMEEDDDVPMETLRDEFIAIMYERFLAGKDAGFFDYSMVDEDERAEEMDEIAERDREEACS >PPA19069 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:805126:808974:-1 gene:PPA19069 transcript:PPA19069 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVGCRSKFVDRMLPVGEEWRQVYKDAPGYEEKCVRDKSGVTLTSTVLPSEKQLAANLTCLIPREKNQFVEDGVVKNCRYDGDFAVVEEGKNYKCVRNGDSAKLISGEIERCENRDGQKLVDGNVYKRCVLVVGCVREIPHNYTGILGFEFTVLGGFAERDEDFAIMKCVKEGDSAKFVTGEVKECDNRGQGEFISGNVFKRCIREGDHYTTEVVACVGKFEGSLKILHKFGRFAERLPLNALHTFRVHGMTAQMKCIKRGDTADIIAGDLKECENRTMGEEFVHKSLYKVCKVEGGLYKSEVVGCVSKMKPTGEKLAIGKGVSFRNLLNFELCQKHGGSARLLTGEAARKALEEAKNVAVTNNNTTITDFSGANIAKDLMLCVNRAIGVEFLDESLYKVCRIEHFMYKTEIVGCFANLSGSKLSIGKGFSITNKHNFCQKEGDSVRLLTGEEAKLAIEEAGQNASKERTIQNFRNPIHRDIDLCFGQRLDHVYTLKGIVYQCALDEMGSLRSAKPIGCVPFIKQYDDDSFIPIGVRKELKTDFYQICNVPTQTSIQVQIETHHVDKEDKKEIHGNMDIIAVMLQERHLAKACYFNGRQINKGESAFINEFVFTCSEVDRSRLEVKFEGCFTEGRPASVGGIGRSKNIAQCDFSKFDKNAEMIIVGA >PPA19113 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:1058660:1064174:1 gene:PPA19113 transcript:PPA19113 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-uba-2 MSWREKQTAMLAEKKVLMVGAGGIGCELLKNIVLAGFRDIHVIDLDTIDVSNLNRQFLFRREHVGKSKAEVATAAGLRIAPDAEVKIVFDHDSIFSEKFNYEFFKQFAFVLNALDNRSARNHVNRMCMSTHVPLIDGGTAGYLGQVRTMVRGATECYECVPKDENKKSFPGCTIRNTPSEHIHCTVWSKHVFNQLFGEVDIDDDVSPDMDDEEAKEKLEVTDEGMESGDGPKEEGGDAKLEEEEEEGGKGEEQKEKPSNTRMMAEESGYNPEIIFNKLFVDDIHYLLKMANLWKERRPPVPQPFGELATENAGSSTISTAAQMDPAQRVWTPAENGDEFRKAVEELRVRKAAAPDGILSWDKDDDAAMRFVAACANIRAVIFGIPMKSLFEIKCELPLGSGWNGDAAGANLPPPLKAYSRARLG >PPA18979 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:354499:357306:1 gene:PPA18979 transcript:PPA18979 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKERKSFFTKKKEWISSFFSSFNPPADVEDLLPNSFPCSPQKSENRCASSSSDDRSSNGYESADEIMDNHTLLQMAQPLRIRASTPTIEHSSAVSSTPEAFAGSMSSLLTPHTPLSPLNRPSTSVAIPDTPESLVEVQRPFHHPSPSPCGSFNVKATTAVMSPVLPNDSGYNSDVVTPSTCEVSVCSGNEELCRNETSVLVGPSKQVEKTVDIHRKYWDCNKKDKVSDACPVCGVRFSTGWQRRTHYLQLHYDLYFSCVTHHEANALVGRILGSSSETNLTFVNWRDEYCCRTRMEDHPAARVCLICRGTTRAMRLYNGPRRLLAHVEKVL >PPA19013 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:531797:531996:1 gene:PPA19013 transcript:PPA19013 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTLPPELLTEVVSYLNGPGRLRLRATCKSFEAAVANSNLSVRRRGMHIGKG >PPA18939 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:56389:60791:-1 gene:PPA18939 transcript:PPA18939 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDVKPVNILINRGTGELQLADFGSAKLVKNAKELSTGYQVTRYYRPPELLYSKGMMVYDWRVDLWSAGCVVAEMLSGKVLFASRNVKHQRTRTQYALGIPSEKDIEHMGVPEEVVVESMDLNIRSIGVKRFLREYSIPSEAIRFLSKIFVYNPRKRPHGEKAMTDQFFDEIYKSSTRTSTGLSTSPLLQRQNGPAKRPYDFEGMLSLNRRTDTPIIPTPAVSSPSDATYSDGQVLCVSPLDNGMRNSEEEDQTPIGMRTASITSVMGKKRQNKQQSTNNTNDSSRNSSGFPPSPFEINAESDPSTSDEAVDDTNREETNKEMELRISASSILAYSNPSDLLPMLKKIVILRDRADPLTPIMPPLLLGALTKLFAQRTADIPILPLAALPSSIQQRIGQFANAIPQLRLVSRATLNAVTEDIEATTSDCPSLKELSILAKLRNRFLDRAKIRKNHLFDSNWFTPKDANGFQLHLVMGGKYFTRHPENNMKKRAELRWNAKLSKKGLPLWIRIGLDTRQLCKDVFVSKNLPKMTRVNHHIMQYEIEMGDLPVPVLYDGSHAGPLDLGIRFIIPPVREDIIIYDIDLRCSIPNRTGIMDRLAEYEDLFLSNVLDSDNSSQVVDKIS >PPA18955 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:182182:183582:1 gene:PPA18955 transcript:PPA18955 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-bus-19 MPKQFDNFTVLATKFKEYKEQHFAYISAVFICAYLYKQTFAIPGSFFLNVIAGAVFDMWSGFFLVCCLTTAGSTLCYLFSELFGREYVLYYFGQKLTYLQQKVDDNSNRLLPFLLFARMFPISPSWLLNIVAPFLNIPLPIFAFSALIGLAPYNFICVQAGYILSDLRSWDDVFSTSTMLKLCSFALLPLAYAIFMRPRAKNIITDVESNNNIYSPVKTQII >PPA19048 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:718245:719988:1 gene:PPA19048 transcript:PPA19048 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTGWNWTQIEQCLGRSEVKLSDRLFVGLPFLIFSIISVALNVIFMRIIHANRSTLDANLKRHVYSLAIACTGYMSVNFWSHIPIVLFAADIRDPLNIILATPNSFFYQAILFTNFFIAFDRFVMFAFNKTHKEILRSPVLRYIFVLIPWAMCTFIVAHSTSLGCYKRVNPYVLSYTYACSTCDFYEPLLYYFAWVFPGAIIFCYTSILLVVFRKRPSAGHGMPLRVVGKQRKELKLIVQFLMIGSFQLFNSAFFYVGPKVIPIKDVSSRAKGSYS >PPA18971 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:313726:316173:-1 gene:PPA18971 transcript:PPA18971 gene_biotype:protein_coding transcript_biotype:protein_coding MSEWLRSLTRNQMGSARVGHRDSTNNLVHFGPLIPNYDHYIASIPSEEWPSRCRGKPSVYGQSRFSSSVPFPTALVWTIQSDNSGAVNLGMRGVGQAHTSGSRRHYCRPLEQTQYLVLDEADRMLVMGFAEEVMEIMEKGGIAGKEDLVENGEFVQEEIPKEITD >PPA18962 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:195917:196785:1 gene:PPA18962 transcript:PPA18962 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSDVEHPVEVEEREWSEEEDRIDGTARSIVSESAIEEIRSRIIDLFKTFYEAGDGEWLEIREVGNVLRCLGFSPSLEEVDQFTDHTLTESKFISKDKLVAVLTTLGEPLTQNEVQAMMNHLAVNKDGNVDWSSYVDEVIDHEEQRI >PPA18974 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:323986:330156:-1 gene:PPA18974 transcript:PPA18974 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNIRHSLSLLTLEFVCYGNGNAYLLNPTDHGFPATFDVGCNDKYGGVGLTKGARIVEGPTGPAIAITVDTKKTAFHNDWQFVNEKMTQMGVQNDPVEATALLRGLKAVVFYSGLPQGEQMKRTITIGSVSRQQVRDVTFKDDKGATKRLTDYLRQQYKTTVNGNQFVVLDKYEGNAYSPDCLRIAPNQRVKSENIQPVNIEKLIRESASLPAKRLAETGTLAKCLAAGKANAEQARRGITVETAAPMLVDARQLAPVALGTGNGNVSANGPWRQGKIAQAPHADFKKWTMLHINNGGDPENLGETTARALVTYARNIGYNIQMALNVIHVRTESNQEAQMDQIFAHEKANGSTFIFCIAHARIKNHEYQYNHSDLLKAMELKHDVVTQQITSQVAQKVAHQSNTGTNVCLKFIEKLGGQNHYIARTTTDLPAWVTAKATMICGLQMQHPSALSGKEREANTMPSRPVAIGWSVNAVRGASDNGKDKIDQQIEKESTHFSSDWSFAMPKDYKDGEQYRASYKEAVLTMLRTYKEKRGCVPMRIVLFRGGVPEGDYDKIRGEEVPIWQAAFKQLNAAYSPSFIVISVNDAHSDRFYQQNIPGNEKAPKQNLPSGTVIDSGVTNPNLPMAYVQSHVPLQLITIDADHPVAATSAIDRRGSTTNRTIRASKSIRAFAGTAKVPSIVVQHCHLEPSHKLVVTMDDVIRMTYALAFSHGVCNTPTAVPTPLYIARESMKRANELFNFWNKRSGGNWTIDDIKQKFTMNPTVHVNCHGLRINA >PPA19101 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:1003918:1008363:-1 gene:PPA19101 transcript:PPA19101 gene_biotype:protein_coding transcript_biotype:protein_coding MSETGYGICSEAFLPITYDQIVNDTMQAAIRAAFVAAGPSNYTTEIDTIEQPNGWQTWVVGLGFITLCSFSAPLGIMILPCLAKWLYERLMSFLIALGIGTLSGSCFFIMIPQARDRRSKSTPQFQAFGLTRISGGLDYSQKSWIIVGALYLFFSIDRLLQYIFEFRRRRVQRKVHASSLKKAMEPPRRQETVETIVTDEEGQIVRQRSVDSSEDNDQRNEIEITVLNNIIARTFSTRKRVAVVKVNDIEYENDLRSAPVTPRGSRKINRETLRRVNSDLDHICTSL >PPA18948 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:80267:81765:1 gene:PPA18948 transcript:PPA18948 gene_biotype:protein_coding transcript_biotype:protein_coding MCAADYFGMESILDECYAFMRRRIQYDDIFPLLVFCRNINYKKYSFGSFIKANFVPLSLTPNFLERSFGTIEYLLKSNSLNVDNEKQVFDAVDRWIGKDVNRNKYGARLLKLIRTPRLSPSFLKEIVETKKWIYDNPECIDIMNEARGIGKNSNIHLNNLERNCDYAHNLIIMTNGNREEDVEKNDKSIIWPNRKSEAVWAIRSDWREGWVRLADMERGRSSAACCVANGKLYRWRTL >PPA19083 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:850493:854064:-1 gene:PPA19083 transcript:PPA19083 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAARAAGRINHYKKRTATTPTKKGIGKRISSQQSSASDDSTKSATTAAATNTHRDSLAVPDMHPLRKRSGSVPAIKMVTLLGAAKSDRLKSAASVKGRGNGVLAMYPSGASARKASEWNMKPPHTRAIKMTWSRLCEPPRSHCKGIVALVERVWDKIDGEFGIIRFGHHIRESYPSISDSS >PPA19021 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:576118:577631:1 gene:PPA19021 transcript:PPA19021 gene_biotype:protein_coding transcript_biotype:protein_coding MCFVDTVVTKPGTVDKEQSVFDELHCRTICADLDTCASYIYHNKTCKLVSLLEDSFQCTNPHREMVKKLDASGCPDILPATYSLTDDTKPTTTTTTTTTITKPTTTSPASKSNDLPFLESISSSLTSSNSFCGLDTIEINVTLIDGSEESLSAEKGYKIEWDKKLGIYTLGNGKLYLRTAECIEKEKDTTVPSTTTTPTTTPNVPTTTTVPVIKGGCPVPVLCQCNYKKVEPVAINYEAGTISCASSKSLTFGQPCTKNVMSCLSGRIGGGTATCKNGVWNTISGSWDASSTQISCLTP >PPA19089 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:894943:896076:-1 gene:PPA19089 transcript:PPA19089 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGIKNKTPLDMEGRKKYKNRRAKNNESAARHRERQKAAVTELPILQAKYNHLATQFKDLEQRLTESEREKEQVVDFLLTHACLSPRDHREHFYNSLCRARTARPDFLPPQSVASRIEQSDSPNSSISRDSYASSSFECQQHQMLPRPTGFCMEEYQEFKPAVTVSVHLNQQPRRRFRDLQEVIDNENTGFTPTHHVTMSELNNFPFETQPDYQTPPQYLTQIRVRPDQYTPLGQFFMNCQAPAALPVLDDRYVNENLSKEFSYQQL >PPA19080 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:843258:844276:1 gene:PPA19080 transcript:PPA19080 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFYDVHSLVHDGNQNFAELFILGASILTNAPVPIIITFDAVNNLKSMRTLNLAMLSMVIPLTVLFSPFLVDLTEKIPGPAHLITRIFVLSQCSCRHSIAAQRRILLEHKCRKR >PPA19053 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:752516:755610:1 gene:PPA19053 transcript:PPA19053 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTDFALALLRASSGHAENFVISPFSLGAALAIVHDGAKEDTQKELTTLLGKTLSPAEVSALYSSLTAALSEKNSAVITNVANRFFLDKEFTLKAEYQSHVEKVFNAGAENINFKDGDGSANHVNAFVEKNTGGMIPKLVEASAFGGNAVAVLINAVYFKGEWETQFNKDATQTKPFHGIAGDRDEKFMIANKLNTRYSLNDDLTVVSLAYKDPSYSLVVLMPSGDFGEWRANLTAETLQGAIENLQTGKINLELPKFKIESTTDGKAALKKCGVKRIFEDTADLSGISDKDLYVSKIVHKAVIEGIGLGNVRHPSTQISEEGTVSAAATKLTVTKCFGGPPKLVLNCPFIYAIVMEKNDKKDKKKKKKIRKIMKQTLFTGQKA >PPA19059 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:762911:763970:1 gene:PPA19059 transcript:PPA19059 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRSRPMAEPPIPWLRRVDNTFTHIFNKPFLYVLAKEDRSKTYPMFIGITEEGTRAAAATAVVFCRGRSRRMTEIIPWIRINKPFLYILVKQCEASSRKYPLFIGVTVE >PPA18986 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:380144:381261:1 gene:PPA18986 transcript:PPA18986 gene_biotype:protein_coding transcript_biotype:protein_coding MMPYYCFICPVILLRLMIKEEKGKVKSLKSISSIELRPTPETNAQVHFKALQQAWNDNKPQHRSKWYLLTDNCVATETTDLYPDSEILSKKLYLLYF >PPA19066 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:784168:789197:1 gene:PPA19066 transcript:PPA19066 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEPEEFDDAPGFEETCVKDGNNVTYSSKTLKSRNQAATDKFCSEKERINSVFEHEGLLKKCSIEGRDARVEIVGCVYKWKDVKKAPLQLTINKTGTIDGNQTIKCVRDVQSAKIVGGEFELCENKEGELIVVGTAYKKCVISKDNIYTTELVGCTRQNKRGNTLEFVALNSTVALFEDGFVIKKCVIDGDTAKLISGSVDECYNRGHYLFIVDDVYKRCIREGDHYRTEIVGCYKEFEGELEGYNYHHPGILYLGRPLTLTIDRHVTWIDERLYKVCNLNDTVYTSEAVGCEGTLSNQTLPVGKGIQVANEYNFCEKRGNSAQLLIGEEARKAIGEEIVDLADPLKSNATEDDRTVSVYNKYNVREREFKESQSFNETAATFIFSFHRRNCSKDDLDKVHSHYGTVYQCTVQNGHYVYKPVGCTPLVPVYNDDSFLRFGVKTNIVDDVYQHCEIDAQYSKNSIKTKQRTADALSNSIKFECDGDMGWINVETHKKFDKEICAKRKIAQNSTKTALLAEKVEHSCPKLDEIDNSIIIYSCKDTIDDGFVLRKTQKVLKTYICDPHKNWIDRETRAEMAKAPIDRCVAAVHRGLNLATASTPHHKRHPSQRPDGYYTMVSKRRDLAWACYFNGRQINENQSVFINDFVFTCAESKDDRLTLQFEGCSSDKLPANIADSLRSRNIARCDFSKVNAEAEMFIANL >PPA19081 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:844374:846078:-1 gene:PPA19081 transcript:PPA19081 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTLLWQINNARATLAGGEASSEEWQHDGFMWNASVKKSEEWWNGFWADYTLQCDVDHDCPWKCEAEVEIFVLMDGGVWARALEKRTVDLDNKNKAVEFRQRNVWEYLNSSEHYCLNDTITIEFRLTIINSSATGCVRPSVEPRDVSKFVSPIEANNVTLLIDEKKIVASKEEFVDLLLVIFPDGHDISDTNVLHIAKLADRFLIKKVLHWCEKHLIASNKFTVEKKLQIADAYRFPKLMHNCLQIYTTVQQLKVFQNSPAYKKFSNDTKALEYIF >PPA18966 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:202884:206720:-1 gene:PPA18966 transcript:PPA18966 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQAVFSNCSSPAEKQMKYILVTGGVISGVGKGIISSSLGVLLKNNGYKVTAIKIDPYINIDAGTFSPIEHGEVYVLDDGGEVDLDLGNYERFLSVIEKERKGDYLGKTVQTIPHLTDAIIEWIERVAKIPVDGSTETPDVCIIELGGTIGDIEGMAFLAAFERFQRPAFRDSLMNVHVSLIMHPDATGEPKTKPMQNSVRHLRAAGLMPDLLVCRTTNKLEPRLREKIAAFGLVDLEQVLGVHDVSNIYRVPLLLESQGVLQAIQKRLHLPPTSDEVLNSLKFTMQHWGRLAQCVDDIEEEVTIVLVGKYIQIADAYASLNKALGHAAINSRRKLKQIFVNSELLETPKPGEESLCEAEWKKLEGADGIIVPGGFDNRGVEGMINACKYAREKKIPFLGVCLGMQCATIEYSRNVLGIEGANSTEFVKEGLTERQTVVLEMPEHHTGKLGGTMRLGARTTVFLTKCKLRSLYGEDEVEERHRHRYEVNPNIVPELSKNGFLFVGMGVDEDTTEKFSLYEKKKMSENSAALIEYAEKTKENEDLLPKIDYLCKRGGEDHTAVRMEIIELADHPYYVGVQYHPEYLSHPLQPSPPFFGLLLAASGQLESHLSGNRVSTPLKKCLTAEQSLDALEKLSLAHESSKNLLVNGH >PPA19103 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:1014674:1026606:1 gene:PPA19103 transcript:PPA19103 gene_biotype:protein_coding transcript_biotype:protein_coding MIDYSYGVVVEDANPNYNIWLLFKGVDSCLTALDGTKCQDPLRVGSIVQACTDSRDGETRIRLGWPYPESIWSDKGRIVIRTIVSYNADITIERGKWIDNDSNIFYVNLVSRDIGIVLAPTQWYNREFFDSNECHVFVYAELRRKIDCPFSSPRRLVSAALPEEHCEFFWSIIEDRLSLPVLFPTKDLEPDRFLEPDEIRKVPAITVLRQGNGMLVWSYGLGNRMARILANPAGLNVGMWLTATVIRTSPGDLLIHGCTYTVVKYDVAIPYSQPEVRVVNETIQMVLTLNRSEIDPGNPAAGVKLWHDFWGLQVGYGDGTPDWVRGEDGDFRGVVEIGLSSEEELDGRRVSIQAIGPAASPRVGKDSLSPSDVVTSGAFKDMVDLKNALLDEEEGQMTTPSEGAELTQRPTNQSPNDANNYQMPPDQTVSSAALSNQSLQNPDEMRGESTEYYWGRGMRDPWTGGPTREPSSDNKPPDNTSRPRSQGEALNWRDVGKEQVKEKKEAAKKERPLQERIAAGDLGWDGIVRDDFCDRGANAQAGRGKGRGLWKDLSNPPTWTSASGNTYYGYGPPQDSGSDSGPGPFAPVRGGLENADGGGDGFAGAHRETVSGVRAPPTVFTDVPPPSGKTKQKSGFDSDNIVGPVPIRAFDDKKTERQWKKEMTMKGWQFPKEPEEIVIPDGEDGWEQCGAKNFGVLVERPKPPMPVENLIRKRTIVRDALKLKLRQCLITRVRFDFHWRYAEKVQLFILDGTPSYIVHRMDRPLYPSYLKSLPEFTTVGNVVELHVGRSQSGFYVHDEMWRLVQKVQLPMREVVFEPGRTKSLELIVNCRCLGPDAQDRHNDRHRYDEHGFTMLNDYYLHNIVYYGRAIDPREHDDYTFQRCK >PPA19018 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:549045:551597:1 gene:PPA19018 transcript:PPA19018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-inx-10 MCNLPYSAFFVTSMYITTKLFYFINVVLQLLLMNKFLETDRYSWYGFGAIVDLIAGSSWKTSGVFPRVSLCDFDVRVMGNVQEHTIQCVLVINIFNEKIFIFLWFWFAGLLLFTLGSLLYWFFVSVIPHPARRFISRHLEMSEMPFNADNKETEKEVDKFIDSFLRSDGVFVLRMITMQSGVIFGTDLTVSLWKSYYGEHIKRSNSFTELRPPTKKIEELWIEGDGFNKNGGPGDKSRQGSVELNLPAWLVNKENELRMRGARGGGGDRSTEPVY >PPA19032 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:654672:656109:1 gene:PPA19032 transcript:PPA19032 gene_biotype:protein_coding transcript_biotype:protein_coding MNYVIYKIRHSESLFLLDSETVEELASALGDITADCVLFRQLVFPEGNALEQILTTSRFGKLLVQLNPSVIKSYPEDRDVRLMSSDARFHLSDTNWSIIAQYKQLFMRTLIVDTKWLLELMLLRLESFSNNTDWTFEITSFLTDIQLLAQNTDTIEYHLEPNWGHIVRVDTRKYAYFAIESLGENPYLMGASFYENWSVEGREAKNRPI >PPA18942 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:63109:66278:-1 gene:PPA18942 transcript:PPA18942 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIDVKEEAEKKAKEQKQEESSSGGDSKKKETKNEKTEKETSSKRKNRNKAKTKPSTPKVETPAKPSQPKEKSERSKKSKNNQPKIPDDPNAKDLDNPGHTSKEKTKDSSVRRRKLKSIDDKNKDKSKIDVDATQRSARSDRSSKNKSKSKSIDGRKKDNSFRSKSQGGTPTPKTAKSGSNEWARSSMKSSKSVRKEKAKSIFDESPKVMKSVTEKDKAQKRKNRKKSKSSKSNRDLNSEKKVMDSNKEGKSMRNLDGSKNEGETSKANMSKKEDGVKNLIEEKSERVGYGKQTKKEIMKKMNEDRLNESDSSGREKSRKLTESGEKKSEKSKKSKKSREKKASSVKFTDETKMTEKTEDKKSDKDKKSDRLNKTEKSGRSREKKTSSVTMKPKGADIFTNETKMTEKSDDKKSDKDIKSVKDKGSDKKKSDRFKKTEKSEKSREKMTSSVIMKEKPTKEIFTDKTKMTEKSTEDKKCDRVIHSEPSHEKSGGNMTSSKKKKKKVNDKEKSKEIFTDKTKMTEKSTEDKKSDRDKDSAKEKKSDRDNKSDKDKSDRVIHSEPSNEKSGGNKTSSKKKKKSSKAKSTDIFTDKTKMTEKSTEDKKSDRDKNSAKDKKSERVIHTEPSQEKTGEKNTSSVKKVPKKEIFTDKTKMSEKSNEDKKSDKDKKSDKGKKSDKDKKSANDKKSDKDKNSDRLKTSTYEKTKDSEKEKRKGSERDKSMKESTKSKKDDKKKKKKLSKSTRTTGKKSKESKKSFLD >PPA19000 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:453703:455248:-1 gene:PPA19000 transcript:PPA19000 gene_biotype:protein_coding transcript_biotype:protein_coding MILRRSVAMSGPLLVRFQIGHLSHLLDPKLPYGHTHLSTVFVRAHDGEEFTDNSFVEKVEFHQYSTSKLVLEHPPFMNRLSDSLENLTTA >PPA19068 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:801697:803122:1 gene:PPA19068 transcript:PPA19068 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTELASLNKKLILIFDEKTVLSVGHSEEEKSILLKFWSYTQDLLDGCSPTDVHDQCPSTECDKLIITPNEVTCAQKGLKLWVVSDGRSTSITQIKCTISFVARQRILLEPNRKPKKGLYIGCGVAALFILLMLAGGVFVYLQRRKSGEKICPERSTTEGESTVLGVTIDPTKAETKSWRWSDAPSVPSNKDNHAAKATTLSDKGTLSPPDPAAQADQGPKKDTTFINVTESATIATQSGTEKSKKK >PPA19011 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:516832:527987:1 gene:PPA19011 transcript:PPA19011 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSVAGLEGTVGDGPAARLKPPLNFGEDDDGLENMLATPSGTWAKKRRQIPLLFRNDDSAVDGELDISDHTETSGSSGEKHVSARYDWCTHNGPVAQFRPLTPEGELRDDPVPTPSPPPSPTLANILKRRRRGRKDSEDSEEEEDDFDDDDTPVDGTTASSSVDKDNVQCPMCDTFFFAQHQLDSHLVGYHRMKPTFDDTSVPQDSSQSATPSSHLPSSQSIGGSAVIGSTRPLNGFGLSTEQKRLQGGGTAQIRLGATTVTSPGGTIRHYSSPSNAARAAAKAAAAAANGVFRPNVPSKPYTCRQCGIVLQSQQMYASHVRYNHPKDKMEGGTPIVVRQKTASNSNKETAIVHLQMDDGSKAFKCRRCDKVFDSAQKVAGHSRHCLARFNAAQENITPITGTKTNSFKRVSQASPLVFEGKARPATQGPVPTEDRPYTVYRCGLNSDLARTCPYCGELLPSIRKVDKHDCPQGVLTVHKDGMVTCADIGEIPPPLKRPKLVLQAQMRKAAEEDEDPSVSIVNAILGAHGFKVPGRDAPALSPEPEESERMEEGEDGETAPDLDEYGEGEGEEHDGMEEFEAELRMVEDDEEEKEIEEKPIMKKEKIIVSMKLMRCRHCDFAARSREGMDAHMIQHHPDESLAEESAASAHDEDEDDDEGLPTTSTSPENIDDAMNGSSDSPHLDESANGETAQTGEMRRMLGISSD >PPA19077 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:836574:837218:1 gene:PPA19077 transcript:PPA19077 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTVEEAKAILAPILASYDEWLAKGDVDGVSSLYSPDGVLVHKGNNCAHGRDQIKHALVPFAIPTESTASKFETIYRKEGDQWLIIFDEFQA >PPA19038 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:676705:679229:-1 gene:PPA19038 transcript:PPA19038 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDWMYHCVLTELRIGYGFFFDYHKVVDLSLCIAILIFIFKCPQLRLTYSRNFAIMVALKAVILLGHVVVKMTAPYCLSILLGMIIVDVSLRGKIIVYYIIPSLLTLYPYSDRILVALAFANCVQALFVIYKGQYTSFLPILITIWEAAPLFLAAIKMTHFIHRDNLIFIDAEILDDFVILLDPTDAGTTRFPKVPRVPSPPAKRDGTRRFGKVTVAVCIVLAVENGFIPVKRGDDDRVEMVQVSEAVQV >PPA19023 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:580096:582969:1 gene:PPA19023 transcript:PPA19023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-srv-7 MNSTLFEITDRVIGNFLMVIVAVTSILFKNRKHHSMNNVYFQIYSLGSCLDLIAMINNYLGSIFPARGYFLHFYLSSTVPPKIFLILAWGIRFGQEFTSFLIAINRASAVVFPLRYDDIWNHYSRIICVFVQLVPCLVTGAMVAPADVYWKQKSGNWYIQFTNARFRSFLFKYAFLSQTAIVVAIVCCYAVLIYFFKARFRSLSEAARERNNQEQQLIFITMIVCSLEFVNFFFFLYVFGINTKVETRVFYFFYNAINDIYSVVPPYLLIIFCTPVRDRVLRLFGLKKDRLKTELLSTF >PPA19051 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:733754:744305:-1 gene:PPA19051 transcript:PPA19051 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAWEVVSDFLAEKFGCSSCPLRFSSTHDFDSHKCSPKIRCNGYIHNKACAAAPFNTMEEFLKHFKLKHIRKTACIICCMNFDEEKLRTHPIAEHVEEVPRSVKRFMTVPEERRPSAPKQQPVAKPPPCSTAAIPSTSSDVPPKLLGPFSTPAQTIAEQVPSTSTMVRSSPVPADPSPPAVQQSVKPAAPIFRPFADEEVPSTPSAAAPPPLAATACSATPAAAVHQSPLQVKPAATTIRPSPAEAIPSSSSNAASVQPSAAVKADVSRSTATPPPRRPAILKRLRTPAAAPNPPLSPTVAVARFAMSAAPAAPPAAADAAAENAQADAKILKIVNKVVRRMVDSIVRREDDMRRDAEIRAEGLKRKAERVRIDGATGAFHWTNSSDEAMEVSTSSVNLTPPNTISPVPVASSIQQPKQSESPHSGASLPIEETQSTTAHPISQPQTPFTISPLALDFFANRPQGVVPTLPSSIPPPPINPATQDKAIKEEPLDSSSSSSGPPALSPHPPQETVSSKQPERRIQEVVDITRAPTPPVAPMHEMKREPVEEHQECSIVQQLPASMTVFNKSLLEQGQAARRVPPPLSHHSIQMNAQQNECLNRMPAQSRNQARGQSTQPRTPVPPKPTAPLREVKDEPIDADAPSAPVVPTARKQMTGAPATSAAAAEPMYHTHIEHAAKDHQFCRPDEVAAPSTRDEPASVEVPMDMDHPYSKHGEASASSTSVQPTPSQGPIGMDHQYSKPNLTYTPSASAQPLTIQVRAEDPIADDVEVHVREGSFDSVPITPVDRLRLAPIPPYKSPHEVKEEPAEIDPEADAADEAPRVIERADFASFFSGFKDLSRGVQERIAAAEARAHELRRMAMDDLFDSGIDDGAATGSVDQPPSEVVHEELEPAAHIEDTDDPMDDGPSNSGMNNGTSAADEDPNLEPEAMEDEPVIDPTENLSRPSISRSVTPLRITRRSLRKHIGCDDPMEDAHVVITTFGREYFSYILIPLHHSTPDHKKKSEKADDSQNGKAKSLVAEAVTPRSCLKRRSSSRKSKAHIVFADKESVPRVQSPINWEEEREMTEKKDIQKLRERRSRSVKAEPTDEDHEKNEHEKFWCGESAVNDCPACNAKISQNLSIRVMHYKKFHYDIFFAGDVSRMCVEKWMCWKLGPQIARLESDKGSFVLSTQQDDRACLMCSQGWGEMHRGRVALVKHMKQHKAEFKKLKEEYRLHARVRKVPEDTNTHLALSDSYETRLSMAKSPSMPLFSILLLIVITIFDPMTMKKLFEMSSSTKN >PPA19086 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:872018:881021:1 gene:PPA19086 transcript:PPA19086 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDYRNTITRVRYLLELGLLELQESRLVSGLVLGSVTALGQTGPGDASLHASLVLGTSHKASLVAELLLLVAVGLVLQCVYDKEGSLKQRARSLGNMVGEGDTSRFCRLFARSAHVSVMTVTAAASEAAGTSSCIQIPAGVRGMKVLDRDQFRASFKFPVLAVEPKEVGRLRGCLTKYTIKQFGKRIKPIMDTPDKQSKLFVLNPDVVNSDETRQAVLEAVGGATGNAQREITETEYSLGFDEWDQRAIFRAVLPEGLEFSSFTQTGHLVHCNLVDELVEYGKIIGEILLAKNATCRTVVNKPDMISNTFRTFDHRLLNMNSLKPESSCSPNNRIAQVDLLAGEPNYVVDTIEDGVKYRMDFTKVFWNSRLSHEHARVIRQLSPTSLVFDACAGIGPFVIPAARKHKALQVIYSNDLNPESVRWLKENMKINGLSESGISVFNQDAAAFIRGPVAECIRKHESLPSSALPEAAHIIMNLPALAVTFLPALRGMLHMSSLQQGGEEAAADATPAAAAQPFPVIVYCYLFAKAHEDVPPEWYATRAREMVEEQLQWEGGVEIRGREEWRYGKECASRAYGVESEGDVLHGDRRAMGADEGGEEGGLIVQETAMILVVAIL >PPA19040 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:684497:686983:1 gene:PPA19040 transcript:PPA19040 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKHHNQQQQQPMNGGSGMHGGGSPTETKWWYKGPDGETYGPYKAREMHTWGSTGYFNESLPVKTENDDCFHPIGEWMGLMGGANPFAAPSVPAFNVLMHGAQAQVGGLVFHAPLIIPRLQQFLLYPPGLPTPAPFAAAGGPPPQQQQQQSNGGAQQQQQRSYGGPAYMPTNGGGGPPSAAAAAMANLHLGGGAHMGMHPMHHGGPLSQPLSEGPGDGANSSNSHTPDSAEPDGCICLIGIHLWYQIGRY >PPA19055 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:757906:758355:1 gene:PPA19055 transcript:PPA19055 gene_biotype:protein_coding transcript_biotype:protein_coding MYVKGTEFRTSELELNRPFVYAIVKEKKDTKKKMKKMKYMKIVSEEGTEAAAATGIAFEVMCFIPTPELNFDHPFIYAIVKDNKDMLFVGQKA >PPA19091 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:923603:925784:1 gene:PPA19091 transcript:PPA19091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-glb-24 MSLLPSPQAMAMSPSLSDIGRSNTNPEGVASSPVDLTRPATLKRSVGDVRDPPSPTKKTLSEIIGLTAYQQKLLIQCWPNIYSTGPGGQFASAIYNRLQNSCPKAKQLLAKANGVAVFANSDVDCTAMHSRVTIELLDTAIRNLDADHAKLTAYLIEVGRSHRPLRQEGLAIAVWDDLADSLMECVCRYDAVKKHKELRRAWLALIAYIVDNLKNLRNRRQR >PPA18972 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:317019:320266:1 gene:PPA18972 transcript:PPA18972 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIHSRPYIFVNDLHERVSSDVSHGSGLMLASLKDRMEELEAKLRRNSHERTRTIYTLVEQLRSDHQATALRALRHAHKIALEGDALDYKEVMEGLIGVSSHTIHEGPNVAELLLALTSTDKQNVTQAVNQVATAAKQGDAMDSEDMVNALLRVMRTADHDTIIVALTAFSCISERLSGEGDGSQSGQDFLTRKEGLKMLVTMLEGEDENVVLQSLGVLQTVLENYDSSRATAKEVQATAALAGVLDDTRPPAVLTLAAHSLFFLIDNDEEEQRKFEEYNGTALLERLQQTHQNHPQVLTAAALCQQALAKSRQQSDKKESAQNGHNCLHMKT >PPA19079 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:841190:841943:1 gene:PPA19079 transcript:PPA19079 gene_biotype:protein_coding transcript_biotype:protein_coding MASNETSLRFGLGVDRAFLYEVFEYYRIILPIFNTLTLHPTMLLLLHDSKAMASDIRLGYLLNELALIFFDWTFSFALRMYPIMPYSAFFCGARALSNNYCEYSVFPLSHDEHAQKHEQRTAKYSENYESVYERPERLTDSE >PPA18963 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:196921:198564:-1 gene:PPA18963 transcript:PPA18963 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAEADRLLRMIGPLMASEQDIFTSLAVYRPEIHQRMPCVYNFQMGWGALQTYCIPKRGDLIRVKTGEVTMAVHTLANQGVNLIQKLGIWPGPVSLVLFGTDLERAQLKFHVDYPHFYMKKMAVDSSKSDNQQPLGTLMKRLTLQRIITQGIPYEDGARSLKLKSIDFEIVNNEEKKEEKNRKVSSFPHDEFCEKEIVERQKRIRTQSGK >PPA19033 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:656936:658622:-1 gene:PPA19033 transcript:PPA19033 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNSDNVGSPSVENTDNQSTNEQIANSEIIMTTKDAKTHAFNFTTTADEPVLGCWYTGMDNGYRLTKGKISKRKPVNSASTSVPESSDARFHLSDTNWSIIAQYKQLFMRTLIVDTKWLLELMLLRLESFSNNTDWAFEITSFLTDIQLLAQNTDTIEYHLEPNWGHIVRVDTRKYAYFAIESLAENRYLMGASFYENWSVEGREAKNRPI >PPA19030 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:632944:644274:-1 gene:PPA19030 transcript:PPA19030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ehbp-1 MDYGEGVKREKKSFSNGLALCALIHAYRPELIGEFANLDISNTSTGKKKNVLVALAAAATLGVQPSSLPDDSDITTPDPKQIKLLVERLRRLLEGSTELPTPLSMSDHRISKMFGVSEDERGCLKELCFASAVIASLKTLQDEAEAFQSPAYRGVTEEDREMAVRTLEREKKEKKQRSALSYDTYASPVDSASTVPPTVPSQDTVVSRDSVVMTSPSASREAHDPFASSSSEEKEERREDQPSSNGAEKDVEEVDGKTRKTGDLRDMTMLTPTLARKFSAGTLGVANKGMIGRTAKVTGQIGPEGATKERYNEKDEHYGSMQFELQVQGNSNGRSTTLTSKEEYRQKARAMLNDPQTALASFSTPPSGAAEERRREEARNIIENAQADGATFVIHSNPHHHHQRAGSSSGGNMTSSTIVQSSTLRKFPSRTSLGGSATDLRRVELVAPSPVQIHKFKKRDPSPALSRKIYDNGETPHVPAISASREDVSSRVVNVVTSFRRHGSMRADELKDLVYNYAQQFNAAPAQSSLAAPPSDPRSATLPATPSTQRSRDVMATPTRKVTAQWEKDVDDEERTTREQETIAEELLRITGEIRAREAVIREAGLVPGGEEETKLMEDLHRMAREKNGLVVKQDYYNVIENLREAINRFNGLKAELDTVSAEQDYCKTEEEKQRRDDLMAKYMAAVESRNVLTQELMAAEEKLLEGDDYDDFSTPGGNNTNSLGRGATMGSNGAFQRGAPVSASRRIAQGVKNWLQGPP >PPA19106 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:1034531:1036783:-1 gene:PPA19106 transcript:PPA19106 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPWSEMMTLINLDNELNPTPSAIATQHKKTTSHRYNPYKKPTSSSNKSSSSSDLSALHQWIDNLMQSDTDVPEQDLFEAVPCKDATGKEIRMKNATGRNLIRPLRDYTGLFDPTQPLPEDPELSKGKGKKQWLWILQQLVDPSKREVVAWTGRGREFIILNDLAITELWSKFDGLAKPIDFESFRRTIRTHYQKDIMIPSDTKGRKKNRRFAFYTEPSIHVGMTREELTNYINTYNTNSPLSSAEKTIEEVPSHSHPTPAPSTESFFNFSAPSPTNSQFSHPPLSYPFNVNPRTVQAPEFSYPHPADPSHFNSPTTIEHTPEFPFPAPVYPTPSITLPPIELSQSPKPVTDQFISNIDQSESLPVLEINESDLQFDVSWNSPEDANAENGDWLDGLF >PPA19095 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:972055:972668:1 gene:PPA19095 transcript:PPA19095 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGDLSFTSRSPGDAHAGSSTASTHLSHGRSPHASRPNAPPEDAVPETRQFVVAWILEV >PPA18988 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:394884:397506:1 gene:PPA18988 transcript:PPA18988 gene_biotype:protein_coding transcript_biotype:protein_coding MMPYYCFFCPAILLWIMIREAMSVTLIVHYIVGACSIVFNVGILIIVARRTPKQYRMNAILIAQECVFMLISSIANFISMQRQKTLNGIALEHVKFWFIPFSIFFIGVHIIVALWQSDTELLTALVDGYFPEFAGMNLEINGHDNFSGPVILVNTLYITGLPFLYFSIAIRRRSILALLDSQLSKISDRSKQMQRNLVHLIQLHCALAPIVTVYYVPTYKRAFLSWTGVSISTNQEVTEITKRTRIFEESKAPSVAF >PPA18981 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:362449:363659:1 gene:PPA18981 transcript:PPA18981 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVKLISSDSNEYPVDPKIAKMSKTVAVLMEALNMEDSEDVEVFEKNPIPLPNVEGAVLEKVLEWCNQHKNDPAPQEEEEENHDVPECDKEFLKVEQKILFDLMLASNYMDIKGLMDSVAKMIASMIKGKTTEEIRATFNIVNDFTPEEEEKIRKENAWADN >PPA18960 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:191419:192245:-1 gene:PPA18960 transcript:PPA18960 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKGTWCCVYCKTDRSDPIVCAIGAIIGIPALFFVIQTIPTNQTVSYSVVPPGRRSTANAIQILTSHLLGDGSGPYILGAISDAIRSTMDDPDSPGAHWSSLATSFYIPNIILIPAVILYIVSAITYSRDRRIFLKEIGQLPDIGIEKERINSAYSSEETYKI >PPA19093 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:959250:959914:1 gene:PPA19093 transcript:PPA19093 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDVVQRSLGSTCSDAASVSHPDELVHISATRSDDPDEQTVIADDEEEENSMDGRAIYAVIELGAENKPRYRLDKIQNYLYTASNDIRRSENGGYYSKKDRRRAASMEPKREVQSSQRCNSTSSSLSSGGLRRKLNGAMKDFVELMVPRIGPESVAKSYSTIVHLYRLCRDAKRPCSQESDFES >PPA18989 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:397542:398375:-1 gene:PPA18989 transcript:PPA18989 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVSHTTSAAPIRRFSLNLFSYFYWFIYCVGWMIVIKKKWNIVRFGWKQLISLLAIIYLDSNIRPHFKILTHFAKPLGLFYPKFFLAYAGVALVIAFITAYHIGNKVEHYCEKRQQLHMCAVVGAYYLEKISLIKHSFTQ >PPA18997 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:434659:436879:-1 gene:PPA18997 transcript:PPA18997 gene_biotype:protein_coding transcript_biotype:protein_coding MFEDPVRSSSAGTTMTEDDEAVARSANLNDDLSGSLEDLVGNFDQKINHCLRDLGESTEEMAPVTVRTQDEIMSESHPVVLAANPVGRGGGCDEK >PPA19044 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:698883:701283:-1 gene:PPA19044 transcript:PPA19044 gene_biotype:protein_coding transcript_biotype:protein_coding MARALRTATKFIPYPYKNYARTWEYAFKYRAVAENYRFEKMGQHHLPQLMDLMKLAFKDGSVTLGMDVTYDECWKAYEGMFIYSIAANSLTNLSDICYDKKTNQPAGFRMYDPHYRDPLKAPFPVPKEAPRNKKEEHILGYVDSIYNNFWKTFPEEEVFVSPSLGYINPAHRGTGLFKVYAEYDIDFPTVIKKTGAKYYATLATARTSKFWCEMLGETRIYTSPPTVTNVSGETVPMPDGENVLFVKDMRTNFTINVEPCWEKMRACGMMPK >PPA18940 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:61197:61593:-1 gene:PPA18940 transcript:PPA18940 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRRTPTGEINTPTLASIEQETIYDIPRVFDIFNGHWISLKLTDARLCGFGVFSNVYYGKLIEPEEMEVAIKKVWPKKTKRNDEVDS >PPA19020 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:560365:562208:-1 gene:PPA19020 transcript:PPA19020 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFEECGRVTSLYAASSLLREREDAVQFDCNKNDPIRFLVYEISNMMGTLIKNCNEPIKESVGEKGGSVHIKNELIEEPSTTFPEDRLNRVFSPVDDPVESVTEMQHYDSIHQPVVPMGDDSVGFSLGTSFMNIFTAHDGVKPKQPVLEETFDEFQDELFIDEKDEEIEDEDDSNSQGLEDSIDQSNEEQSLDSYNDPGYLGTSVRQPRKRGRPSKVNDRTRAVTAQKRIKHRTNSRDTREQHMDSTNSYVRNAELYFRRNTN >PPA18959 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:189414:190083:-1 gene:PPA18959 transcript:PPA18959 gene_biotype:protein_coding transcript_biotype:protein_coding MAILNPMAEVLQSFLMTAFERRYHMPVSESFQRIVWSSLAASLFIGAAIGAWIGASLTNRKGAATTVIVAAIVSELVLEQLRKEYFLLR >PPA18995 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:419449:422558:-1 gene:PPA18995 transcript:PPA18995 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLELRHVFSSLCTLAEQGPLPVQAYSMLVRRMCPEALAEELHRGWLADSRFAPTAAGIILNVCTAEPRDVALSSSCLALILNDYRLRNEIRADSKLMWRNAFRALFHLYPIYRQLDPCFSKCFIQPMFTALEELFDADPDQEDYETAAGLFSTFGRTMNDLRPGSDRCRLSSDELPHSEGVLAPPYTELVSWIPNFNR >PPA19007 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:495824:497822:-1 gene:PPA19007 transcript:PPA19007 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKTRSSRQQKSAAQVAPTTVKLMKFPTRPSTDASVAAQDQEKRPPAAKRGRREERRASRCTFRHDSAALNAIFNAVIDHPEAMRCRATATELAAYNDAYFERFEGRPVRKVDIETKREAAGSERVGELFLLR >PPA18934 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:29363:30572:1 gene:PPA18934 transcript:PPA18934 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAVFATSDALHRISLGNDNRMEMNTFHTGTKTWRKCRWGRIIESDPSISEESLSLPGTSHLPEAVVQQLVMLRRSTALEKAFPLSDSLLIARSPDNLLIVHNDKVRRVRRDATAPRVDFAFNLSNGSIIYGGVNMAKHACLQVQMASSIEELERQVSSLEGGIPLFRRYPSSSSDEGPFDYSKALFSLQDNRLTIVVPKMNKQGKIVQ >PPA18937 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:43447:50046:-1 gene:PPA18937 transcript:PPA18937 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLSRVNNLFKTALVSLLQMHAFHSINFFAQTASIGCVSQYSSMGAWRIVTDRPDKGEGIDCTYEFTVFFSSIQTNLVTAKNSGLHHQSYKAYNITVVAPRGGILIDFSCKDTQEPLNFYTGLGNGAAEDMYYYGSSLCDDRLSVFAWDTAITINVPNDGYIMITYTGTGAYLSLGSVSYDFILLGSGKSNAIVQHGEEDKFVWLSPSMNDDLKFHIDGFAEFDPRNALNSTEPPPTIPPPKPNTDYSCGCELTNGKAEFASSIWMDIIFIVDVSKAMGNDGIAKASSMIDSIVSSLTIGNVSPSSIQFSRVGVVAVSDKAEVIYGLSNAVQSPLKLTPSTSAEADIGIGIESSLNLFLRSHRSSTRQFIYVIAASDSSNTDRNQPRSNQFYDATFDVQKFKYNGGIIAVTEADPAQTVTWFNNLASPGYFNPKFNDNVDVITLRPPLIHMLWLRKRAKQKEEFSLQFMIRINNYFYFSSNSTFSNWASGQPVSSLGRCSISQQTVGFNSGWLSILKKTTISASNACVALGDYSVLAGFVQDIFVQDGTGKNQWNLIEVHEAGGCVNSTVDSWRIVTNRTDGGEGIGCDEEFTLLFSSIQSTIVTARDNGRAHYSNTAGNITVVVSRGGILLEFTCNNTLQKLDFYTGLGNGEEEEMFYLGSAQCDDQLSLWALDTAITVSIPDDGYIRMINTGTGGYLSMGYYEFDMIVMGSGKSNNLIQHGDNDKFLWLDADDRVSFAIDGFYEMDQKVGNNIVLTAATDCERDPCTTKSVPLNSSSVHMTMVADLLSFDYYTKVGNADYWRDDDAFFFRIISSPLTTESPTTIPPVKGDTDYSCGCDLTNGIASFSSDLWLDVIFLVDVSKSMDSIIADLSSPFPNSINFNPSNSPEANIGNGIDTSIDMFSRASNRTSRQLIYIITASDSSSTSFKIKFTQASALQSSPGDSFKNSGGIIAVTDVSPSKVPSLVDLSSPGYYNLGFRNNINLDLQMICDANCFCPQYQTGFSDDSDERETPSRGCYISQPITATFSLAMDYCSSSGSLLSTVHDDQKEYFLIGVISQFGAKTPFWIGYENNGKDWEWIDNSNSTYTKWASGQPNIGSCAYEIQTTGFNSAWYSDNCSNEHNFICEKSPCSVSNFCN >PPA19098 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:984366:985794:-1 gene:PPA19098 transcript:PPA19098 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRPSSSHWRMPSSLLLLLSLPSLSDAFFFGNSGCGCQLLPPPPPPPVLDTCTCRSEQRNQVFRDPPTLPFMPLQQSSIYYAPPPAASPTYYSAPSGPVIAREYIPVSSYPTSQVGFQSGTFPIGQDSGGSYQQPFSRRPQFAFQRSNQDRLNRYLTSGWAMKEMKKH >PPA19027 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:616990:617897:1 gene:PPA19027 transcript:PPA19027 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-crp-1 MVWMPEIRKYAQDKIPVILVGTKSDMLDKADPSTTVSHEEAHKTAVKLGCAKYIACSSLTHSGLKQVFDDALLGAVGMLEPETDQGKPCCLIL >PPA18980 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:359134:361473:-1 gene:PPA18980 transcript:PPA18980 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNDGKFKTFSTDRLGVSRVAKDCLKMDVDKVNGDTTILSLPDDLLWLIFNSRAHKLRPLCVDTISCNSEKYLSKEQKSKRDMRADFNLIAAICKSIAYEKMHVYIHTEVNSSENIKYLTSDLMSNRVLTNSEVTLQLRNEKFAPDVQKFRRLFMQIPKLRRFDLEFTGYSTNPRYCGGRKIFDDDTFLHVLYNVAHLKLNSINLSAQGLLKAFDTSDNIGLGLDDRGIVERRKAALCTPHNHELDISLSQNGQASAKYGENEVDWMRAQIF >PPA18999 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:449120:452408:-1 gene:PPA18999 transcript:PPA18999 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGTLCQTLIDSYATGLIFVEDIQSGIKFNIVSASIWLAGKEYKFDLSTVPSATSFTTATFYISETSQAFHAIAIRFGADNLVRCGEKRFMGLPGIVGPSSLDSMDIVPLEKKRSIENFKLLDLPNEMISQIFSYLPIGDRLRARLNQKLDTIELESKYFVKELYIHEVSVNDPIICSYSGQEIKFFKEKSYSMDGMKRIAKNASIGRLELYLSGCESVHRDLFNTIKEFEVQRLNVSFVTNEIAEAIMEDSFFLHAARNCKDITFEPCPNVTSETLFQVYQAMMDGSSKLRDVALVEYKYKRLLKSFFKLIGIKYRHGRFLCSSREIEVYSENDDEDGMFKIFDGNIEIHFWKGPFIGDEEFNIFITLHETQKSLDDAKNKYDRIY >PPA19076 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:834663:835751:-1 gene:PPA19076 transcript:PPA19076 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVDRMEQGNCQKSDPDVICGCCRVGNNRPQAPPTFEGQGRGEKLRRELTAVGNGNPSRLKSVHHL >PPA19109 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:1042065:1042799:-1 gene:PPA19109 transcript:PPA19109 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRLTLFFCLFALTTACGSSGGGGESGGSGGSSGGSSGGSGGSSGGTSRRRRSLSDDVISVIVSTKPFSPLTHMQDMDKVEKEIHEFSSSSGLSFNTLNAIDREAENAQGKFGVRFRVVGEVEHCQRVTQFVQAAVNEIKDVSHGFVKCGAFDTIRLSKA >PPA19088 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:890742:894370:1 gene:PPA19088 transcript:PPA19088 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKQGSGVAEGAHLLNVDASDSAIANEGFKYLREVRSLRKLKLNFCDYFGDEALRELAGGRPARTLEDIEIVLNPSVSDGAVYWLAKMTALRRAHFYFLPYVANRTAFIRAIKMAVPRCTVTFPEAINIGYGYDEKELEGKKK >PPA19034 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:659527:662831:-1 gene:PPA19034 transcript:PPA19034 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGILACWEISVEGKAQAKNQSQRTRGIVALICAQGIDVDCASEGYEQEEREDNREKEEKRRGRRVRFVDWSHPERVESDQDGPDHFSALPFDCSLKIFSNFDRETLDTMKCVSEHFNSIANDVSLKRIKWKVDGIYIGKFTMAHAFFMKSHTDNALLACFSIDLPYKNIISKSKWEANTLMRKARNPPAQNLPAPVPPVPVPPVPGLPAPGFPAPGLPVLVLPPPDSQVHGFPPPGLLAPGPPAPVLPALGPPAPGLPAPGLPVPGHPAPVPLAPVLAGQGPLVRGSLVQGLIWETDTLPIPLIVELKKAMVNYSPTSLAFINAVQLGAFSDSTGYQYVVGAILSSWLRRLMLQLKVSSIISYRQNAFELLKVFDEGFLKEYASSQTDVSLTVHGQHRRSAQSTPLRLSSDNWSIVAKFNRLILPTLIVDTKWLLEIFLMRLNSVNDETDYRFGVTTFLTAVQLGIQNTKTVQYLLTPRSGYIVRTDTMNYVFFKIRHSESPVNLMMEAKNDHSADIAILS >PPA18961 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:192411:194381:-1 gene:PPA18961 transcript:PPA18961 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTYGSYNTGDPAGSRDPWSADDSDEEKTADRVLEDVQKFYDIDDAMAGLLQTVFIATFIVISPICGYLGDRYNRKWVMVVGIFIWVAAVFSSTFIPKEAFWAFMLCRSIVGIGEASYAVICPSLIADMFHGVLRSRMLMVFYFAIPVGSGLGYVVSALVAGLTGQWQWGIRVTAIAGVICFILIIVFVEERPRGQMEVRNTDQLAGLKEGYWKDLVALAKNATFVTSTLGYTAVVFVTGTLAWWVPSAIEYAEASNQGLNSTAELGDEGTK >PPA19063 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:771808:776997:-1 gene:PPA19063 transcript:PPA19063 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASWKYSTTPQPQMNLPHHFAHPTFNGHQDALSQSMHSSAPFPVMNGSHLSPSQQHRMTARYATAPSPHPAQLWPQQQQQPLQQPRQFLPTPQPQLHQYHPLVPPIVRATPAESVGPSPHQQAYLEQKVMATQASPLTLPPDGPALNRLSGTVAQTDSPFEIPTSTDVICNRLDQIMEELLGGEFPIFAPWQMTTYRQQLLTDAEIAELREKDRKEAERQARCATYRAEMSKKGLIEEEIARGVERIAAGQPAVEDIQVIRDVINLTEGAAIPKETEAAVKPADRVIETGNEEKRTETVPQVSLVTPVAKPSQIPRKVPKHRPTTKKTVSTKNKRVMVPTTRQIEKASNRLSMPNASDAFLNAITTTSRGSKQATKTSINKAVEPNRFPARNRKEVSFPDFVTNLSKGRMGGKVLNEFSSFSGKSKKRMTSNAPRASKIELTSAFATSERLLSKQREQRMTHYKDASVLTKFPQITTTTDIILSDFAATIGKGMKELIAENNKVWDSIDMISEKLTKEQAHSCEQRMTKNDDSDVRKLLHLFAFFNGFKANSSLDRISGIYAKLNGPSTTDAIAHLENFTHLLIFKYGPDFEKYHLYIDGKSIPVDGGIRLAILSLVAATFLFDIEFYPPVGDVAKFFYLSAGLTLDKVPAVVKNALNIARG >PPA19061 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:765781:768141:-1 gene:PPA19061 transcript:PPA19061 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTLLFCLVFGLTNAVVEFTNSALYDIYDFYRIDEVHLTKCDFGCLIFAATQGESFVQYPDNLDPYAMNLIITDNDNENNTYSIAELSQNRDEKNRKLPLTINGNKNISVVNMNDPAEKVFWTVLLYVVEKTKAEEFNYEVADIVTFMGGWMHRIHADASTQPNSVTARLTGFDSALDNNKDECPYAFKTTDGPSFPGFTINSNPQMISFVIAKRNPIQFSVDLQYSSMPEIGTDGFINTPGWNGCTKPNNGGIQVFRTQNDLPDDTYIFLDTEEFNVTIDVVPNFDAKHKLVVQDRNDPIPVVFTGTQEQRHDFSYAKNVAVMFQNMRGDQGFLLRYSSALIAKTTAMSPTPESSTAPSSSSTSPSPTTPTTSSGFRAAGSMISALLLSLFL >PPA19037 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:669529:670126:1 gene:PPA19037 transcript:PPA19037 gene_biotype:protein_coding transcript_biotype:protein_coding MHRITAILLLALLSATIEAASLSRVTRHYESTEFIFAVFQKFPSNEDCIDFFQQDISVDWDIEEPTTLGTFTSYCDKYWCSDLHFRRFLCMEKVRARQLIL >PPA18968 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:209040:211197:-1 gene:PPA18968 transcript:PPA18968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pgs-1 MDYLKETGVEFPVDANSIRIIEKPSEFYDLILERIGSAKNRVYLSSLYIGTGQHESAIVDGISSRLEDNESLDVRILLDFHRGTRGEKDGKSSTTLLKKIADKAKIYLFHTPELRGALKSILGERNNEIVGLQHMKLYIFDNTLLISGANLSDSYFTDRQDRYVMIENSKKLVDFFCSIITAVGSHSFQLSGDGEKKLHSDCTKHPFQGDTVIYPLIQMGMIGIDQEYQFLKRLFASKDPSLNMTLASGYFNATDEYENLMLREGDYSLKVLMAAPDANGFAGASGLSRFIPSMYSSIAASFLAAIDKRNRKCVELVEWSRKGWSFHAKGLWCDRGHRIDTVIGSSNYGYRSFHRDLEAQVVVSTTNEDLMKRLRDERDNLLEFSSIVDGRALRRIDHHVPTLVSFFSKVLRRFF >PPA19100 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:999898:1003612:-1 gene:PPA19100 transcript:PPA19100 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTAIDRHPDHHHNGHCNTLAVPDGKKKEDDEVSISVQVVEKRVVDPKDLEIASIAYIIIIGSSMNNFLDGMSMGAAFADSLLRGVSIGISSFSQQFPQEVGTLAILANSGLGVKRTLLINLVPAFLSYLGFTIGVLLDNVDESYDTYVFSVSAGMYLYVFLGTLIPEVRDTTEELIKTNMRESFLVTLLQFLGMGGGHFLK >PPA19111 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:1049166:1050276:-1 gene:PPA19111 transcript:PPA19111 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHVRSQFNATSPLIVMIGDDQEWQREIIKSLYRTTALLLPSNSSLPAVAAWHFARTYCDSVLLTASSSTFGWWLAYQARGKHVFYNAVFSKPRGFNRSLDPSDYFPHEWSALEFDPSTSSVGIRERFF >PPA19065 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:780845:783505:1 gene:PPA19065 transcript:PPA19065 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGDDDIKFKTKVLDLLSPCDISQLKDEVNNGSSTHPVYRPVADIVCKNGSWRETGEFPMDNRRFLCSDKQQAFCDIAKLNDICETSKEQGCAKMTANFTQAICTETSWINNGSAEQSNFIKVERIDCKEQDWIVVIGNQLKNEPLGKRGTLCSVQEPKSTLKVQEPKPTQKEEINSDTDLKIAPHNVTCPTKLWEVACSATSLRSLVLGVAVDPTKAEMKTWNWPEETEGTQIGARPEAKTPPSRPDQNVANSPQPEALTETPDKNVKDTKFINVTESPTKNSSRKARRSKGKTVNVTESPKNSSRKARRPKGKTGDVPESPKNSSRKIHRPKGKTSDVNVSPKRKSDRPKKGKTTREDVLW >PPA19006 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:494610:494902:-1 gene:PPA19006 transcript:PPA19006 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPDSPALITQQPLPHDTLVAGSQLDSYRTQQNEGYRSQHYSNSSDDRPCTPTMPEQIFWVQNRNVVGESAI >PPA19026 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:598137:610210:-1 gene:PPA19026 transcript:PPA19026 gene_biotype:protein_coding transcript_biotype:protein_coding MYVFQSHYSSLRPMMVSYRVHVRQHTVSGILSSASQSQVNIFLVCSVLFLVAMVAVLLYVLVLLYRYTNTPDPHTIMEARIAHDSRPHDVYVCPMKENMATLSRICDNHDTNVPPQIVMVPCTPPPEYRSLGHRAPVCGSPLPTYEELMVDSARSTRTLMTIDDVEMKDVSAVAEEAPPPEPFETISILQLVKEAQQQHGLRHGDYNRYRVYCCDKLRRVRSALKVTNTHKCMKRHKAKFAKKPIAVEAFGDIKYIQLPLFEVERRWAQAMNCKTALEDNPTSRQRHAMRNSLKKAVQHVAILESLVKASPRCDAPTKLECQAYSLWLKGIVAFELREWSTASEHLAAAKVIYERLAEATPNSVLANLYSGKCREIQPQLRLCEFNSGEAPKASMSELMKLKEEMGTDSDSIDHLIAEMRLAAKTDDSIPIEWAGVTAPAGDEKVRAIVVAWSQTDKELAECKEPKEKMALLEKQIADTRDVIEKLNESQKRKAAEGATGLDASEAVRLRGYLDYVRLSRTAERYLAIIENTKLEKKHKPQDLLRLYDSVIEILKEVTEVDGSDNKELQSGYRTRIEYYRTFRCHYMACAYSSLGRYSEAAALFERALERATRAEKDMKAAKGNKYIIENVSSLTALRADVERALVTAKAERLAAAARGADEGGETKKAEEMNERPLCDTMDEWRSWPQLAAAAAGGAAGAAAAAGGAKGKKKTIEGAPLIPIAALPPALLPMPVKPMFFDLAASYIQLPDLRERMAALEKDEKKDSTPSKSSGKKGASSSTASAAAAAPAEEDQGILGKAKGLLGFWGNC >PPA19072 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:815384:818391:-1 gene:PPA19072 transcript:PPA19072 gene_biotype:protein_coding transcript_biotype:protein_coding MADYFLTDCTEGAQTCTQPLDTLACPANSVFQMKTSLNGHWRNIDSLKCADKRFVVGIGGQDSYLVPVGFRCMQETNCLTQYSSIDVSTIQVNKQWNEAASISCTNNKMSAKLADSTTFNDFPQNIRCVKLRCTECTAPCSAANCPSLTVTASTKWNECASFKCAAPAAPLILVGDKFWSTTVTPELKCVSKPDPAQNKNVWTADGKDRIETNQDAFCVAEVTCNETMAMQSLFPGESHLCEKVSIDDHHPATCNGGKLIHTQELTAAFCNLLDGKWMIKNKKREIETKEEFLAGDNIFCRFICKRHAERVYVPGKQRTSISTRRQENLVIYGEDNLSTREIFCLGLSPMQTRCPEGHEAECMEITLSDDTPASCGENKKIEVVGREDETFKRIQCDKSTGEWRIFDEHDDGTRLEAESHVYCVDANHDHGNDPDSAHSPSSLYFLNIALFILLLH >PPA18946 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:76602:76904:1 gene:PPA18946 transcript:PPA18946 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGTVWILFLTCISMAGGRGGMGGGGRGGGRGGGGKGGGGKGGGGMGGGWGGGRAVASSSIGMKGGGSGYIIARKLS >PPA19016 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:543648:544078:1 gene:PPA19016 transcript:PPA19016 gene_biotype:protein_coding transcript_biotype:protein_coding MSSINSIFTFLQQYPQCLQSTCCECGKCLRDFMPPTRFVNLSPLKYAHPECHQPDR >PPA18953 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:167718:171645:1 gene:PPA18953 transcript:PPA18953 gene_biotype:protein_coding transcript_biotype:protein_coding MRIETVKKIIDNDPSLIDVPDVDGMTPLTMAVQAGREDVVNLLLDRGASISHMDENGHSLVHWAVVSAQLGTLNVLIERGAPLDVKDHNGATPLHYATAMDGLPDNVETSILLTLIRKAPVNARDLDERTPLMWAASNGNIDALLSLKQAGGEINAVDRDRMNVLHMAASHGSAEMTEKVLELVPITMVNQKERSGCTPLFYSVAHGYYEPARLLLSKDANPNHQDINLRTPAHIAAAKGQLRILKLLKQFGGSFEMQNYRGDIPLHEAVQTGSKDVVEWLLGLHPSTVNAASHTGRTSLHIAAAKGHIAIVILLCGQSAHVNPLMLLRKELATPLDLAIQQDHQVVVDYLRMRQEALTADQMPDDVRRESRVHIEESIMDAKSKLGQNHRGIDSEEEMENRKNRQRNPRGAVEFRGQREVLSRDSSIDEERRITHATSTTDLKSGEEDERGTRLVEERIEKIIREEMRAAREEKDGEKSPNDRERNGSKKKRRQKRDVKENEEKTSSEEDDRINRNSSRSTNEENGKSRGRGRKKNTESGDNNGMSDGSEEELNEKENGEGSEEKGKLSSSTKMKRSSSKKSSANKKGKTRLTPPLEEEGEYDIFEDEWEELSDAHPTGKDAQRRYLHEKSIFQELTHLKRMQIQYGKVQERVLVHSLVGNFCKMHGLNPADYKFSSFYSWEKYLYEQLKSIYMEERERLLTSNTNGGTRPNHAQRLNKFETKLRQARAVPLNDRIRELTRIYGSASMTAGKKVQQRNLFPGQKRCDCLGKHLLVKST >PPA19074 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:826511:830483:1 gene:PPA19074 transcript:PPA19074 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRLLLLLCCWTALAKHRDGTEKKYGISYFDFTFELAPSFLVDYENDRFLLDGEPFRYISGSIHYFRIHPDQWLDRLKVPFQNIFDFTGGRDFARFSRLAESLGMYTLLRMGPYSCGEWENGGLPYWLIANDKDIVQRTNDTRFTSEVSRWFSVLSTQIIPLLRRNGGPVLMVQIENEYGSYYACDHNYNNFLRDEAWRLLGKDTQLYTTDNFPADGSGEGYLKCGAVDGVFATVDFGPTIPQNVDKYFAIQRKFLPNGRGPLVNSEFYPGWLVLWGQREQQLPSDEAMIATAKAMWDKGASINFYMIYGGTNFGFWNGAETNAPVITSYDYSAPISENGDFTPVYMKIRNWIKSIPGWKNEPTNPPKSNEKKAYPTASCSASTNPLSFEDLRWQFGYVLYYTKLKTCGTNLTIEKVHDFGYVRLSGKPQGRFVKNFWDKNTTTINLKGCQNGDTLEIIVFLLSRNTKG >PPA19104 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:1028192:1029785:1 gene:PPA19104 transcript:PPA19104 gene_biotype:protein_coding transcript_biotype:protein_coding MTELHKRTGTDYKKKLRSAIEVGQTAMPHKKSMKRELQINADFLVSIVENAYTEALFKEMGERRLWKSLVKTSDSIKAFRNTVNNR >PPA18976 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:338015:343912:-1 gene:PPA18976 transcript:PPA18976 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSRADATLVANIDKMSLNPPAASPKKPTRTWNPAEFLALQSAKSSGLAGKYRTGKFSRDPANVLKDLHANASITPDEKIVTKSRGVIVEKIFISDDSSESEEEEQLIPRGLWRGKVSKKNKKSEDKLRTGKALIEYASYSVTGQGYECGVGQWDDLHSPSQIPSNVTASVGAKMTGPDTKIEDEIPDSLVDFILQYTREVITPALAAAPKQPQPAAPAGAAVAASAAQRTADWMAAAAAATAASVVDAEAAATGDAAATAAVPTEEATVPSSTEATLESALHALPVDELCAVALNTLLLSQGKLANVVAQNRPAKSKLRDHIGQSTDVLFLPIREGYTLLHHALVMGGPRELAQPYVIVEQQLLLPPSLLLTVGQPWDIKNNFGETPLWFAVSGKKPLFVDYLLELGADPNCKSARNRQDSALHLAARKGMTAIVRSLSGDPRCSIDVTNNRGETPLFTAVLYNNQSERRRFKVDNLAVAEALLRAGANPSALDRNGNTIYHVAACWLDTQMLEMFARVVPDGVSQVLANAPNEKGARPMDFLQQHEGQIDEERYRRCFFALLTCNAKCEERERRRAEATFYCDNYHSATD >PPA18990 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:399203:401137:1 gene:PPA18990 transcript:PPA18990 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLNDRIHLSVLWTVDGLAIMANIFLIIAIILKTPKALASYSLLLLNNALLDLASATSSAKGAVRVVQDHPNFSMIFMEYYVEQADVSTESVLLLNLSNYTASTSSIIGSTRAIFLNGSFVFLSPVVMTSIFIVRRKLLKKMAISALTYQSLLPVGMGVAVSLWLCDVIQLWSSEFLERFVMIAASVFSLASPLINFLVLPPYRAVLPFSKKTKPTTQLMDFTSSIKQSLHNLKRRFIH >PPA19019 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:554674:559925:1 gene:PPA19019 transcript:PPA19019 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKNAHRNRKGKKERNPIRSHEGSSPLKPLDIVWGLFIPPALSSNRRAGGNHHNNHNNNNNNNHHNSQQGGNSNHHHNNGEKGGGGREQAHHGSTNHHHHHGREGGAGGGGGGQAGGGANQQGGAQNKRATPCVRSRSELRRILHDKLEWNEKTTALDLTLLVCADESDPAEAEATPCVRSRSELRRILHDKLEWNEKTTALDLTLLVCADESDPAEAELIVVSSGCVATTTRYVRSDIVEAQRRREKEERATLMPDALPVKVDIAEVVQLPPPLPLKTIDEKKQRSNCEDCSIPSSVPLAPLPPLPVIAAPPGDELFRFDPSSKTHKCKVLNQIARSVQKAWCGLLFVPAYQLGQATAFIRALNPKPDSSHYVDGYISRLCCLEDLLWALRSARGLLNVEPPLAYSIWHSMREEDMAQLSEYSELTCTCVIATLHERAQSPPSLLDGSELWPSVVVTNRNCELNMTKLRFPCSSPSSLADFPTRDDAHRRTIQ >PPA19042 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:691641:695497:1 gene:PPA19042 transcript:PPA19042 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gana-1 MSPEGGKVETVMVTHSGEENLAIFSLTSAKAVITPSRVDCGDTGAQLQIRSIDNNITLLDHLHCSNNQWMYKLKMRFVYIDLQETDRIQCVKLGPPTTTTVSPLPPITPITTTKPTISIAPVTSDRPAHDCDCSTASAAAAHASPTVIGAIGGASGLLLIVMIMVIFVLMRRRRKKVQIASATSVKATEKEIPPDKVLTTLGEKTWNWPQQQENSITGLSSAKVSAENADANKTAEPLKEAPRRDTQFIDLSSSTPTVKSEVENIEALFRAENALVTLGNCGLKMDAQTFADWDVDYLKLDGCNINLDLMPVGYPEMGRALNATGRPIVYSCSWPAYLINQPQKVDYNVIGESCNLWRNFDDINSSWKSIMSIISYYDHMQDKHIPTHGPGKWHDPDMLVIGNAGITPDMARAQMTIWCIWSAPLIMSNDLRNIAPVFKEILQNRAVIAVDQDPLGKMGRLVANSVEFVLGRLGLNSPGGYRIDDLWSGQLVGVFKPTDNFQTIVNPTGANMFKATPVALADLKGLRFATFK >PPA19090 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:910014:910416:1 gene:PPA19090 transcript:PPA19090 gene_biotype:protein_coding transcript_biotype:protein_coding MLESAVKRAECIVGGIGVMRKKKPVLERTRAISPSPRPISPCGSGAVLSAPANPTLR >PPA19067 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:789366:800116:-1 gene:PPA19067 transcript:PPA19067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3FA51] MNDFFYMNHHNGQQLSIMPAPNQSVFALGPANAQPQMQFLQQLQPTQQQQQLRTVKVEEQKQSQRPKLQPPRHPALLRSKFYPSKNTLARMQQEEEERKKADEETAAIQERTVVNHDSSLSVIPEQFAFQQELQKSVKRKSEETINMDLMTLFSKADQENQDVELNPSPNKLPRMSEKVMEDIEEEASAKPIPVDLFVVDGEPIESTRSTDASMPADNESAAQPSNLSIEELHSEDATTPKKQKTSISQEKSGTDDTKAMTTRVDMRSVTPTVLKEIEAKANLVLTSEEERLPIPKLRIPMSLIRPNIVTLKTEETSTPIRKSRKSAPSKINVSKVKKVKTTKAVEESNVELPSIPKLRLSTASKSASSSPVAKADKPVEKSRSKRKSSTSVAKPDRILEKMRVKRMKMYKKTNQSVFNAFPQLDIDTTMMIADFAASQNKTMDEVIASNDALWNSIENIEKLLTSEELQYCKSEMADDDDSDLLNLLYVVAFLNRSRNDNDIDRFSRIYNKMEAKQDKMFNKTESSSEEAQSELLRFPDFYPLAFSCSSDRTQHYLFIDSRVIPIHLPCFFIALLLVGASAKYKIDLTSCKAETECYLLNKCMISTEAADRFNLWNNMGAPNESCHFILQRSVELEENVPVDLVHVYSVPNLDGSDDIYISDSFNAYCAVEEPLEVFLRKFQAASLSKDRLLQKAFNRYCYLQAKRIIDKHGWISPNPITKTNFAGYIRVVAIKFLAIARRDDVDAQKMILEGFKTLRFNAVEQYPHCGLLWALIPEDDRFYYYGSVKNQVYFAIGASDMCYTFHMAYKAYDTRDVSLMRSYVLGTFYCTSGNTTDSCYQMMRMFKESIIKETDAIDSTGKSTKDDEEEGRKVVRKKGPNGFDPSPSSDISNLIENLTELDRRLTTMVFQRRFRAAKDLENWYLDLTERKRTYADKKKAKDENREWKEKHVYDTAAEKAAKLRPNEPRRGKTPSYYEVKAVYRVYMEQLEIEHESLLKWMSEKLGKEEMKKLSKSSKDPTSMNSSVTSNPIQGRENSSSGDNFIDQFVGSFYKERSFEKVTTCPVPEKDQTKLREMLQRIFSEKTILGEQVLFSPVEVIDVFDKIIPIISSEPIFIEDVPAGITVVGDLHGQIFDLARIFETSAVDGKQGYETGKYLFLGDYADRGTTILEVVLAVYILKILYPDRFWLLRGNHEFLAQNWHTGTMQEIEFIYGKSAAEFIFYKLNESFAYLSICAVVGGEIFCAHGGISASPMTRHELRLICKPIAESSFDILVNDMVWSDPTKGADGFFYNDNRGCSIYYGEDFLEKTLEELECTSLIRGHQMMTKGYAKPWPCLLLVFSATAQSAIGEGHESKLVGPTTTCLHPAVTTPNDAAFVRISEDLKYEIIVLKEDKERNEIEANIGKEKPVKEEVTMREERDKIEGGGGKDDIGSGEMSENMEAE >PPA19097 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:982182:983940:-1 gene:PPA19097 transcript:PPA19097 gene_biotype:protein_coding transcript_biotype:protein_coding MPALQPPPISLPPPIILPPIWPSSFPTPSPAPLPTTPPPADWPGWTPQPPPPPRPTPPPSDWVTQSPSNPYAPWHTTTQSPADWPGWTTQPPPPPPPPRPTPPPSDWVPSNPYGPRTTTQSPADWPEWTTQPPPPPPPPRTTTSAPADWITQPPSNPYWTTRTPPPQDFTTQSPPPPPPPPPTTTTTPAPPANWVNNPYWTITPPLQDWTTQTPLPPPPPPTSPYKPWNPYKPHNQIYSVNDIVVDVMRPPPDLSRFPPGFQDEVRDFRKKHRKHKKVLKKA >PPA19078 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:837781:840619:1 gene:PPA19078 transcript:PPA19078 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQIPSTRIEESLRVASIISNSDPTIGGVLFKSVNLLFKALNDGVPSPTLAYASNGLIRETQEALSFDENKTEPIRYLVYEIGDLLGLLMKILNDMKKEEPIVHQQTFTPVIPVDRNPTGLPTTRNDDPMQYYSHLFESDPSQPSFEFADVKIEGMPLETGDDSLELVSIPGSMDPNSSAEREQEEQPSTIAAQPSSRRRVSKAKRLYTPMDDEEEDKPRRSRKKKNDDDSDFEEDLRKEEEAVRKTKQCQVCQRFFLSVGHLKDHMYSHTGERNYACSQCSRAFSNTSKLKRHERNVHGIDKFECEKCGFVFQKKYELTAHVEEDKCR >PPA19001 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:457460:463243:-1 gene:PPA19001 transcript:PPA19001 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-trm-1 MTVEVGEEEWSVIQEGMAKISFKGEKAFFYNEIQEFNRDLTVTVLRQFVDDRMKEREEKTENPAPEADRPAEPVAKKQKFQIEEKDGSIRILDALSASGLRALRFSQEVPHVSSVVANDFSDTAVEAIKRNVKLNGVEDKVEAVYGDAVSTMMSHRAIDKRFHAVDLDPYGSASPFLDSAVQCVADRGLLMVTCTDMATLCGNTPEACFNKYDSIPIRTKACHEMALRILLRSMDAHANRYTRYIEPLLAISIDFYIRVFVRVHTGARQAKDSATKCIRVLQCTGCQSFETVPILRKIVDGVSVKYAAPTVHSALAGAEGKCVHCSHTLHEGGPYYGAPIHSKDFVSRLIQRLNSTPEAERLGTHKRLLGVLQCVNEEIDAPFYFLHDQLSLVVKCTVPKMVSVRSAILHAGYEVSGSHCHPRAVKTNAPPAFLWEMCRRLVEESATHKIEAASPGGVILAKKRETEINFSAHPKAHQLQRNEQIVRFQCNKGKNWGPKAKAKGSVNSVMAGFQVDADAIKKD >PPA18985 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:374843:378483:1 gene:PPA18985 transcript:PPA18985 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGGACGPYSWEIFTNVSIWWERGMNVIQIGARVTAKTDCEMQFHRVIYCIVYVMLSYTGYPLEGETPYCTGMVTGDATVLLFNSFALMILDVVNMLPYYCCVLPAVLLWLMKREAKKKERELVNISTASTATSSAASAENHFSSLQLAWENDNSEDQRGLWRARSRLVAFLAMRNRRVASDQ >PPA18931 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:5681:6712:-1 gene:PPA18931 transcript:PPA18931 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nlp-13 MASKRSEYSRDILSFGKRSAPALERNIMAFGKRSPGMDRDMMAFGKRSAFDRDMMAFGKRSSFNNRDILAFGKRSSGFDKKAFDRDMMAFGKRSDYDRSILAFGRERMG >PPA19114 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:1065005:1065341:1 gene:PPA19114 transcript:PPA19114 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNIIPAIATTNAIIAGIMTVDALRIAAGHADKIASSILLPVPNFRGMVVIPE >PPA18956 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:184606:185225:1 gene:PPA18956 transcript:PPA18956 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGSVAESSSSSLEQMKIGVVFKRYLDDAIELMAEPDMVCLHMRGRFSFEVTSSSLAEKTVACEYIGAFSYDDAIKDLLALTYLGKGDKKMARLYLTCVLEHKSESAIHEEMKEEAKKKLTEIREEE >PPA19084 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:862792:863205:1 gene:PPA19084 transcript:PPA19084 gene_biotype:protein_coding transcript_biotype:protein_coding MQWHDQQIWTTVVEDNDYRRERDTRSELQQRQQAAEEAKRYRELYYQAMAEMQMMKMKLGKD >PPA18947 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:78084:78781:1 gene:PPA18947 transcript:PPA18947 gene_biotype:protein_coding transcript_biotype:protein_coding MYQTEAEKWKIDVEHYKQENIRLKSAYDELKKVFKSLFEEYPDNETICHPLPEVFTVLAIPPVKTERSGRQFDSIVFSVRFCIDSYTNI >PPA18996 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:427705:428365:1 gene:PPA18996 transcript:PPA18996 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPSLFLFLLLPSLAISQVFPNQNFNQFGQPNGQQPGQGNPQFPFQPFEPVNRGVYGGGISYIGNMVPYGTEAGDQIINDNQRSAGLTIRLPGYFPFYGGRYNYTMPHPAFSVGLLELSYDCEIV >PPA19045 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:702558:704617:-1 gene:PPA19045 transcript:PPA19045 gene_biotype:protein_coding transcript_biotype:protein_coding MARALQMATKFIPQPYSNYTRTWEYAYKYRAVAENYRFEKMDQHHLPQLMDLTKVAFQDGSITLGTGVTYDESWKGYEGMFMYAIAANALTNLSDICYDNRTNQPAGFRMIDPYYRDPSKAPFPVPKEAPLNKKEEVLPRKNARNKDQRSLTVHTAVGYVDSIYSNVWKELPEEEVVVKPSLVYVHPTHRGSGLFKVFYEYDIDFPTVIKQTGAKFYTSLVTAMQTKVPLPEGEIRLYAADMRTTSSINVKPCWEKMKACGMMPK >PPA19062 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:770073:771242:1 gene:PPA19062 transcript:PPA19062 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRPNHKESLSDRATESLRHLSFGGLLISLGWAGSRGMFLLWNYGPSMDDLMDSVWSMADGAWSGVYEASENKEEVDEEEGDEENDGIWECRLCYCHATVRVAFTGCGHVICEPCSLKISRSQCPYCKFKSKTVRLYE >PPA18970 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:215174:216708:-1 gene:PPA18970 transcript:PPA18970 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGGPTGGIINGRQAGQTTNDVQSRYHELDSTKSVNKGNIVEEMTDELMDEVRLLSSGRFERLASKLAPINPLHLVFRDHSLYSRRPVQLIFWFISLLRPRLRRITLDGLQSKDRIKVDAVLPLNNIEQLNIKQASSNPALIANEEILLSWLRLPSTERAKIRVRFTNCRRISPKGMCRFIREWQMYPEVTEFDSIIIDEDSMHPWDLVEEAEKDIMHYEKQDSYLRARQSDLPAFATSKNEFRTEEQSESLKRTMEFRHAKGGKAVKMVYSSLHVVLHQFLVLVLHHLHLHHQHIFSLDVHLIVHLFHLDRVHSLETH >PPA19024 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:583195:591644:-1 gene:PPA19024 transcript:PPA19024 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGPLLLLILPLLVGGQQPLVVPPGECATYIIDSPSGSPPLVKPVSVVLPDGSVHQQSQPEIVAYTVKENESLDNLINRIFFSPSDSVKREIASPSSYAQSGYAEPEAILPPLEPTYKEPEGYARQNAYTELQGNGQNVAAITILMHNNKTTTKPIAALSASPDTIVIVPQRRGYETAPSYTQPRNPSGYQVPRGPEYQQPGFPNGPNGYEPNQPGTSNKLCPYKNCRDPTNCPLVPCDPTLPESTVPIQPNQPPPAIWLPPHPSSYHEHQNPNPSVPGYHEHPNPTFPGYHENPQNPNFPDPSVPGYHEIPPNPTFPNPQVPGFPGNPSFPGYHENPPNPVFPNPQVPGYHEHPVPGFDPRTPTFISSNVPPTRPEYHEIDPRPPLPSSQQFPKPFVPVDPRVTVPQQVVQSVPPVIGPPIGVPQPGWIPRVRTDWYYAPPRIVGFTRNNNHSALHPVHILDAATTARDYHTPIHHNHDTAPAPARPTALQAPRYVPTTRATTGPTLLHQRGASGAAGAHHYHVDYHDYHIVYVYPPQPPKPVFTRKTTKTTTTTTTTTARPTTTTTNHHQSPNPVFTTSTTFPTTTTDNPQTRLPPHTTTTRSIKPLDPSDPKLTTTTPTTRTSFPPGAWPPIPGFEDGTVYPPPPPLYQTTTTIQGNHGPTPPPPSQVAIETTTRPWLTTLNVRLPTVPEWDPRVAVNSGGPQTTTTTTTTSAHPATLPFFPGTTTTMHAVDPRVRTTTVPQDSETTTTIDSDPNGITTTTTARAGTRISTVPEKKTTTTRNHPGMTTITFTLPPLAPWDPRVVPDHRGPRPSIFPSEHRIPSFPHSILSPHGETTIEPHPSFPPGAWPHNPRDPPYRTRTTTTSPEPSDDLPRYPVVPEHEFARPTGRPFGPSTTYSPEQVTVPPGTSPNYSIGPNMGPLYPSEPRHTTNLLPNRASFPPGFRPWNPLVLNPLFPSHPRPAPDDREWPTEPWPEHPEGPLAPSGPAFIPDRWRPTQQVPKEEQHSTNSTHSVSHHHNTATPRTRPTPPPRRVAIETTTLPWLTTLTFTLPPVPEWDPPVVVNSGGPSTTRRTTTNRPTTTTTPRTTTTTVTPPTYRPRQRPKEPLTYPTEPPPTTTTTTTRRTTTTRPTTVKWKPRYTTATHPTTTTTVTYPTPPTYRTTTTQRSYYTTPPTTTTDYYYPSPRYTTPPPSYETTTVSYPAYPVPTSSYPSYPESPPETTTSSYYQSYPAPSYPSPPRTNYYSAAYPSPALPAPYDSYSARPYPPTTPAPAYPESYHKYPEPQEPPRNPGGPPESPDWVDGIHRPAIPHEEEEEKGTYSSPPPYMYRPGEYDQLTSTTARPEWTRGYTGNMIDIIGPLILPDEPDPLLGYVTKEELEKAVKENNLPKESFGEPSDVIIDDANLPPGAGYKYILNHIRARAAAFVQRLYSAAALRQRLKAA >PPA18954 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:171984:172320:1 gene:PPA18954 transcript:PPA18954 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVDLTRMEKNQFRLGSFLVRCTSNGKGGMDARIIKCIDILSNLNIDVGESKKVDGITYSCELKDGNVHLNRIASTDN >PPA19014 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:532618:533294:1 gene:PPA19014 transcript:PPA19014 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKYLNCNMFEALYRLRGTDSGLDDRDVIELARRGHSMVHICMNRIASQTLLSVVKSIAHRTQYVAIHTPVADVDVFLHTLGVARVDRVDRVFVVERIEAEVHSITETFGYQTREVLAFGKARITWSTVVNARTEHIMISITNF >PPA19058 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:761686:762337:-1 gene:PPA19058 transcript:PPA19058 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNFIGLYALGYTFFLVPEFTGTYQRGFYCDDESITMEFKENTISIPQLLIASILACIVTTLICEWYVSLTDKTVETEKYNYRNYNIPPFLIKALTFFGYSHIGFIAQLGLIQVPKYSVGRLRPHFLDVCQPTGYNCAFPHQ >PPA19008 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:498370:499161:-1 gene:PPA19008 transcript:PPA19008 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSTPCYHTPYPFPTGYRAPNSFGYSSATVSQSTTRRQLQAPPLQPPTHFTHINHGYHHVEPEFRNKPDDYDAAAIAAIRQVAREHPYVLLRSEEAIAQAEAEAYALAYAERFPPGYRPSFEETPSSILRRVAAWLVEAEAKPW >PPA19102 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:1011412:1014248:-1 gene:PPA19102 transcript:PPA19102 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNFLPYSVPSLQQPQQPYSPYMQQLPHQSQLPMHFYPMHPFPGSFPTHNFTLIPIRQPLMPVNQFFSAPPCPSPIPPPVYADPPPSTPTSSRIAMHNASLNASFGATSFNNTSVRFNPLAGGRSRDHSLLFDQSTNSADLSFQQPFIDPHEMANNLDLISYCFSELCRKQLTEQELVTKVTRSRKFKRFGKSNLPSAISIILNTFPYFISRTVILKTCSADLQPFSKTIWKADKNFQKPRGSKMNATMFEIPERVSNGGEVLSVLGDIASLNLDENKPPTSIGSMEKILEGVKLLVVTHCQEFLASHMPEIHFILL >PPA19052 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:747910:749831:-1 gene:PPA19052 transcript:PPA19052 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALAIVHDGAKEDTQKELTTLLGKTLSLAEVSSLYSSLTAAVSEKNEGVATNVANRFFLDKRFKLKKAYASHVEKMFKAGAESINFMDGERSSNHVNAFVEKNTGGMITELVDAGCFANAVALLINAVFFKGEWETQFDQDATLEKTFHGIAGRRDEKFMIANKLNTRYSLNDDLTVVSLAYKDPSYSLVVLMPSGDFGEWRANLTAETLQGAIENLQTGKINLELPKFKIESSTDGKAALEQCGVMLIFDVDNANLSGISDDDIYVAKIIHKAVIEVSEEGTKAAAATAVVFASRSLRAEPPIPSIRQAAN >PPA18935 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:32840:33905:-1 gene:PPA18935 transcript:PPA18935 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDVPFVSLLFSLNVRPSVLPLSEKVRMKKTRLPSASFTSMAVSTPLLNVCPPPPPSLVVTAVWWWWCRCR >PPA18950 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:88169:91960:-1 gene:PPA18950 transcript:PPA18950 gene_biotype:protein_coding transcript_biotype:protein_coding MHSANWGLNWSRMSQSSTSWNGDIGTRYERLAAEYSKLRAQATVLKKGVLEERAKNDKLSEDLKSAENITRKLRSENESLTFRNEQLLKRVQNVQDELEGSQKPIGGKKKEKKKDGPSEREKQLETSLAILEEELKNKLSQNEKLTNKVEEVAQVNEMLTLQLRELKERIEEMDREGRGLQSRIPSRKIIENGTWSSRASSPSITSQSSISIPLSDSPLWQIAESGRPILSGMSTLLSLLEQRVRIFPYDASLEKLPPHVEQLGYHLGEASKRFAAADEETNRLFDEPADKWRDSSSSIISSMEEAMRYCIENLPSLLSNLSQEESRCAWSDSTLETLNERWHQSLCSLLSALSSLPSSITDSINGKNEELIELTKTISTLHQAIKNTQESFSARWLMETRLPVQTKKGKCVGTATRDSLLKMLGTSQKVVTRLNGVVKEMEEKEKEESEKEAKEEEKRKKREEEAEELLMSEEELPAPQVVETLVEPSLVDRKDSIRSTKSTKSLSPRRDEPLNGKKQSELDLLRCRVSELEGEREKMLLDIALMKRKIANGSNLSEVPGVSEEVEKHYRERLREISERVVKETSRGNYYNKEDELRMTQYGYETKLREMSDHVASLNNEKEEREKEKNQKNTLSRRLTLFK >PPA18984 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:370579:370847:-1 gene:PPA18984 transcript:PPA18984 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVVFILILAVLSPVNGFFWCWWWLPRIGFNIDWQITVGRPRPRPVVVVPPPPPPSPPLPAYKRYRGPGPKPN >PPA19022 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:578317:579094:1 gene:PPA19022 transcript:PPA19022 gene_biotype:protein_coding transcript_biotype:protein_coding METKLRLKIGRLSTKVTIRVFFPEDGQCVFLSNPADLAIDVTGLLMRSADPQSFSVPRLREKDFSKQNSESAADGHLKNPSRAYMDCNALIAKREGLANLILPEDVDEALDLYSRGKNKNKRKRQLLTAIDRAMSGGRARMRERTGRNSSNVRNLLVSQEWRSTIKSTITISKFFISTSFSRLSFHLA >PPA19112 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:1052846:1054533:-1 gene:PPA19112 transcript:PPA19112 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFPAAYGAASMLQLLLLLLISVFIITMISISVVNQSEMQTLQQRRIQSKRSLPHPTPPHRLLIGHLSSVDFKAGLANHVFELISLIGIARTRGRKPALPAPYYDHFHDNHRDLPRLLEAFERCGEEELQECGLKLVKLLEIMFIVHRSHYRTNGLFYLFGLFSL >PPA18933 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:23025:23219:1 gene:PPA18933 transcript:PPA18933 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSIPLNSTPWTPSDVTPSTPSKDTDDQSNASSFFIPFDILETLSQIN >PPA19054 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:755753:756042:1 gene:PPA19054 transcript:PPA19054 gene_biotype:protein_coding transcript_biotype:protein_coding MYWRKVTEEGTEATAATGIRMHVKGLGPLTPELTFNRPCIYAIVKNKKIKKIKKQILFIGQKA >PPA19031 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:646576:652678:-1 gene:PPA19031 transcript:PPA19031 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLIRRIRRTNKKAAKYSFTATLQELHLTAKDPAVHPKAVVVSFQHRRRITSSKEREWETSFSNPSQTVIVWPELTPDTIEVLTTLYKGPNDEAFEDKEWTIVVDEITAKNRRRPIAAVPLNMRLFIHDHPGSRSQLKLKLRPLSPQIEHASILLTLAASLITDGFRDDMSLTSSCSGRATREASVVDGVGTEEGDGRPVDAKAVAEIARISDEMAKNFGEKGEEKRKEEEERERKESDSKVRPPWRMTSPTGEKEKEKEKKREKKEQEEKERPNPVQEQLAKLPTHAFFEVGRDMVEKEYGRNG >PPA18994 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:413470:417467:-1 gene:PPA18994 transcript:PPA18994 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-76 MLFGVSSPGLVQSDHPYSLDGSEDEDLRAALDMHQLVAQGPPMSADSPPQTADQVIEEIDQMLSSCDFTGSMMTDRTMESVDSMYSSMRSPSGTYQGAGTMSSMMTSSSIPAEVDAKMRQAANITAHPENLKELSYSKLVQLHAEMEQLIQVYNESLVDELAHRDELEYEKEMKNTFISLLLSIQNKRRQFANERKRKPGKMDASSLPQFMTASIPYNEQLRAMDNATICTLNKLLRAINEDSSSVPTMLTEYILTVICPSGPKPIPC >PPA19041 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:688044:689216:1 gene:PPA19041 transcript:PPA19041 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMPPSMQQQHAGRMQFGYGMPPMQQHPHQQQHAQQQQLQHPMGGGATHAANGQLQFTRVTSTHDAPWNSRREVAIDASQRPVQGKTISTQTLPVITNRNVLCGRFPPSSSTLFV >PPA19096 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:976716:978894:-1 gene:PPA19096 transcript:PPA19096 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKSRGRRKTNWRTVNLAAYDPICSGEYDLEEYAPPRLRSSCKPVCAGVKAPSSPPPFDDTVTTRSDWKYMGKQVRKMLIPGSISAFASCMWVSLELVHGAPIAAFSKIVELALPFWDALHADEQDAWAERAFAEARRAHWIYVRNGSSDARPSPRSRKKAQEWDDDYDDEELSDTDELPSRPTRKLNIDGDDAEPEGAPVPKEFKSEDAAPSAPSLRPPAPIGTPPSGNGKTVTFRIDHHDDEPPREPWRERDGTVATVTRSIPSRIPGTRTVPPPPLTYRPIIVSANPPAQNIPPPASFYPTHSALRGRSGVYMGRRYRPLSHLP >PPA18987 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:386711:387814:1 gene:PPA18987 transcript:PPA18987 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRLCPLPSSHVDYCLTIGSRREEGWQASMRVSSRCPFDSALFPPPTQLPMPFRLCPLPSSHVDYCLTIGSRREEGWQARMRASSRCPFDSALFPPPTQLPMPFRLCPLPSSHVDYCLTIGSRREEGWQARMRGTSAPDALRLCPLPSSHVDYCLTIGSRREEGWQARMGGTSAPDALRLCPLPSSHVDYCLTIGSRREEGCQLPTHILRK >PPA18952 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:99271:103671:1 gene:PPA18952 transcript:PPA18952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dre-1 MFGSSSPSSPLAIPCKSSPSSSNSPSTSKWKEGEEDDDITYSPSSPLYSTDSPTYAAEESLTPSDASLRKYVLRKRRSFQIKDEEEICASTLAATAEESEIPACKRLKSAIDSPVEATKCASDAPGEAKVPPIEEENIISRLPEELVHKVFSYLNEKELNNCSAVNHQFYKTANSQRVWKNLFQSTFEYSIPMYHPQSGKFELREPQRWKGANPWKESFKMLRHGVHVRPGCSSFYEGRDMLHFEKIEQALLHVNAQSTNEKLIFVHTGHYTPDPLVITTDVQMIGASSGFVIPTKVVIQNRQDTTMSLMEGSAGAYVGFMSILFTPEVNPLPNPTHQAHYAVMVSDEASPVIDRCLIQSSSSVGAALCVKKQNANPKVRHCKIADCENVGVYITDGATGCFEDCEIARNALAGVWVKNQANPFFRRCHIHSGRDVGIFTFEYGMGFFEKCNIHSNRISGIEVKQHANPTVVRSQVHDGLTGGIYVHEKVRGLKRWNTEKEKRKGEHFEEMMR >PPA18958 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:188156:188713:-1 gene:PPA18958 transcript:PPA18958 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFGTFLLSQLGFSDRSAALSNCLSSLAGTAGAITATLAVDKVGRRLLVIGSLLLLALINTLMMVLELLYEATNWMGLGHAFLLIFIAFLFIFSAGAGPAAWFIGAELSTQETRARVQAASIGAQYITCFLSPIIYFPLQR >PPA19047 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:710709:713445:-1 gene:PPA19047 transcript:PPA19047 gene_biotype:protein_coding transcript_biotype:protein_coding MIILTLSLVSLLSLSDCRVTFDKADLLDHSDLQYSTTAPFSCPKGCSVYSPSRTTSIKVTDDKGIVYFTLDNLSSFGGTKGYTLSPGNYLLKNTDFYAAEFTFYVVQKDAVNFDVPVYTTGGKVGVSNQRYVTFLTDMPGFRIKDINGDLSNDAVQVYTTGAQGLGTAHCKPVFTSRSADNAARSTLNILGPIATIDFGSSKSMHYATFVGDYSTIYTDVGTSSIYVSPGYVGCGGTQLYANDYITKIQKQFKAQKSGGMCVQVYADYAISSVTSALSISVNKENLTLTDTGVLSKRYDGTNFDISLLWNKQGDRDSTQFAAQIDLLAKADCAAKG >PPA19036 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:667513:668231:1 gene:PPA19036 transcript:PPA19036 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRPSLALFLILASCLFAGTFGERLERACGSRFMQVSAEHMPRSTSICPTLESPHWDIPKPSENPISPRTATLARIAVRMGAIPHITRGSGATIERNCGRRESNEAPFVSSAILLNQSPPPA >PPA19092 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:928921:952645:1 gene:PPA19092 transcript:PPA19092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sos-1 MRQSDDIMVEPKSPRSPPSTTSHTLASCGDSLDERVYRAIKEKHPGMEIDADAVDHIRNLMERLLREMMSDCPGNVYELEKKCAALFSPQKVQEIYQKWSESCDRWSSRLHRAMVAKDRQLHERLVLFIKETLSSAVRRDAKELERMALYLLTSVDCLAVDLITWVGNYVKKLRESGVNIIISLQNVKISLLADPSLMQLNELLHRPDDDAGTSGQSMIFDFDTSEQMSPRAMLKLGAARRNKSYQEATAQFLSEERAYLRELNMLVNVFLRRFENVMQTTKEEGSYMEDIFGNITELHELSRKLERAIEDARELNDPFSAGAGLWELAEANEFDVYHQYVKHISSGAYLDMIAKVLTVRKYASSFESEAKPYTRQRDTPGTGASSYAASSASAVATSASFFLAVRYVLPQLLLVPIVHFFKYVEQCTRLHAMSQNDDDRGDLANTRNTLTALAVRIEKTCAMNGTIQKRMKIEQSLRMAADSMPGSGGTPFDRILDVSPDHAVPYGARIEKGIDGYMGEPIARTCRELIKEGDLRMVRPSVISLTQESLRRRWSTDRHVYVFDQLIVLCKSHKTGWKFKDRLSLRTAEIRDLEDTEVLQNAFKVEGKEKERGKGGAETSRHYAYTFVCGTPEEKEAWMEAMIGIQSRSLLDRMLDAYLKEEKQKVPLLMPPHEHYPFAEPDSDENIVFEDYTSSSGMPVVKHGTIVKLVERLTHHSTIDIAYVRTFLISYRSFCKPSRLLELLILRFDVPLPKVLQQGSDAKPSLFASSNAFRDMAGNGSAAAAGTTAAAAAAANVGAWRSGGPLAGRFDTVQSHGLSGSPNVGGASGGSSSAREPSIYTGFLHTSLVDQSIQRFKKEYVGPVQLRVLNVLRKWVVDHWYDFEADHELLEGMQDFLTKILDAKRPVSAQLRKFSKNVLFSKNVLSIVRKKVCASTGTTPAPTASEGHFNFAFDRDDEPEEETIAERRRRIAEVNNFQPKKPDPPVWHVAKKGDTSAYDLLSLHPLEIGRQLTLIHFDLYRAIKPIELVGQAWMKSDKYKKSPQLLKLTDHSTQLTYWVSRSIVETESLEERVAMFSRVLEVMTVFEELHNFTGLVAFYSALNKACVHRLTWCWDRLDSEKQKCYDRFEKLNLVLAIQGHYLTSIVFYDSGNTTFVNIPGNDMRQDQQDNESLLVSFLKCRRIANVISEIQMYQNEPYCLKMEESIRNRGGFSP >PPA19107 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:1037185:1038020:-1 gene:PPA19107 transcript:PPA19107 gene_biotype:protein_coding transcript_biotype:protein_coding MFARLTLLFFFIALTVACGSGGSGGGGGDKKDEKKDEKKDEGKSAPISLITRDKRSLSDDVISVIVSSKPFSPLTHKQDMDNVEKEIHAFSSSSGLSFNSINAIDREAENAQGKFGVRFRVVGEVEHCQRVTQFVQAAVNEIKDVSHGFVKCGAFDSIRLSKM >PPA19099 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:990359:993737:1 gene:PPA19099 transcript:PPA19099 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARWLAAASAAAVILLHAAHAHFAPPGGAVYSTTGGYSSGYGPQACACNAPCSCAPTYSAAGWAPRALAPWRAAYGSWAPPSVVAGDAYAVAPPLRVRGGYVGPGPVIGSGPQYVNGGANGGAYVNAPFGPVGGPYARPSDYALSGRLGGRDYAIGGRGGPLAGGSYASRGGSYVRGGADKYSGAPDDGPMATVKVLLPDGSTRSISIPARFLLNTVDEYAENKYAGGPRVPADPFGPGLGGPGGKYLTDPVGSSLSAPGKYLSEPVAAAIPDPAAAAAAAAAAAAADAAVAALPPAGTAAAEAAAAALGSIVPQPIEAYRAGKDDPIAAEERRLSNYRALLLPQQDIPAAAARAFESQTTEVERVLDETREQSVLPDPRGRNLEDYIVLRKDDPRAIYLRDHLLQQAAKDAAAAAAATTVASTTIAPSQGGMKASALIESKIAFHGEHLSPFSKFRAI >PPA18943 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:66832:67603:-1 gene:PPA18943 transcript:PPA18943 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMGNIFFTTWIYNKRLLIRYSTRDTKIIRTRFVNYTLGKKYQIKENLRAFRFILFVLLTGCFFSIICLPVATISAQSNPFTQVFLRAIVDLFTTVSFVFSSLAGLYAHDEWRSKFMELLPLRRRRKETRSSEVHSSSPSNKNEIAEGEVYFNHLKSAWE >PPA18964 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:199623:201233:-1 gene:PPA18964 transcript:PPA18964 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGSSSSMNNSSSNLVEAGATKVLRRPIFKYIKYIKRGLFYLLLSIPCFLDFLGPSLYTRIAGRSFLIASGLFYLLKDREYKHIEIIHMTTVVGGVSICNRIETLLKSIFVFHKGIIHFHFIADSQFVKYN >PPA19085 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:863463:864058:1 gene:PPA19085 transcript:PPA19085 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLSLFLTAILLWSIVEADEEYTAPYCTDGSRLICPKRPLCTCVSGTKRRGERGIWHH >PPA18973 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:320885:321965:1 gene:PPA18973 transcript:PPA18973 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRPFIFENDKSERVSSDLGHGSSLVRNFLNDRLSELTRQIQKKESEKMASITKLVNQMESMNENIVLAAVKQVHDISTHSDALDCEQIFQALTNVSRSSMNDLKETIEQLEKDMETVVFHLGLTVPSFLVLTHNEE >PPA19017 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:547524:548982:1 gene:PPA19017 transcript:PPA19017 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAATLSMLRYIGGSEDRDFVDRLHSYFTCNILIALSIMVSFKQFGGKPVECLVPDMFSSAWEQYAENYCWAQDTYFVPEGKAVAGMDDREKRVRRISYYQWVPFFLLMEAACFRLPSLLWKYLSGHSGIKITEIVKLSSDPNNIKPEIKKANIKSITMHLQGALRFHKRLQKKQIKPHKRKNTSLHFQRAMKARSK >PPA19110 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:1043989:1047386:-1 gene:PPA19110 transcript:PPA19110 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNAVYSYYGKILIEELYGNPRFLIVDLFAFNDGSGLGNLVFELMGVITLARKMERTLVVHQTVYDKMQTKYPEFTNLIGEMRWNVSNEIIPFGPVFNYLSYHCCRFNPAWERIKTEGPVVSVKVQYLQSFKYFSSVPLTEVRRLLSVNETLRSIARGELLEKKKLDSFDHKLCVHSRRGDFLRSYEQAPSNEEFTVNAVQYLIKQMNSSIKRPLVVMIGDDLYWQTETARGIKGAETVVLPRSPRTSSASVDWQFSEIYCDTVLLTAPASTFGWWLAYVSKGQKVFYSTVYAKGDRFDPDEATSVS >PPA19108 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:1039863:1040434:1 gene:PPA19108 transcript:PPA19108 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIDNQSNDKLNFNLRWGCPSAPDCICLNLQQCESMKQVTLPRCMHAECSNLDHNALEEFVDVFKRIAYTAYDSDIL >PPA19003 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:468512:469262:1 gene:PPA19003 transcript:PPA19003 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-figl-1 MSPKPPLVRRTDNTRNFDFKHSDFFKPRPAVVAPVAAARTTTTSPPVNPFQPGAGKRTPTASDKAPIGNVDPLRTKRKHREEQRPARRAPEPSPSPTDEIVLSDLSDTDVLTDIPPAAGPSKPKVSI >PPA18936 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig42:40405:42016:1 gene:PPA18936 transcript:PPA18936 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGRGSIADDKFDDCRHLDTYSSGATPRFFKSHMRVIGPSRSRFDSVARALVTPLIDPFEFDADENEDPTNMNSPPMDVPIMPVLTNKLKSMKMIR >PPA18978 pep:known supercontig:P_pacificus-5.0:Ppa_Contig42:345094:350360:-1 gene:PPA18978 transcript:PPA18978 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPTAKDAKELKNESLATAILDKKSKPNRLIVDQIEKDDNSVVALSQAKMDELGLFRGDAVILKGKKRKETVCIVLVDETCTNEKIRMNRVVRHNLRVRLGDVVSITAASNVPYGKRVHVLPIDDTIEGLTGNIFEAFLKPYFVEAYRPLHKGDIFTVSAAMRTVEFKVIETDPAPACIVAPDTVIHYEGEPIKREEEEENMNDVGYDDIGGVRKQLAQIKEMVELPLRHPQLFKAIGIKPPRGILLFGPPGTGKTLIARAVANETGAFFFLLNGPEIMSKMAGESESNLRKAFEECEKNSPAILFIDEIDAIAPKREKTNGEVEKRIVSQLLTLMDGLKQRSHVVVMAATNRPNSIDPALRRFGRFDREIDIGIPDAVGRLEVLRIHTKNMKLGEDVDLEQVANECHGYVGADLASLCSEAALQQIREKMELIDLEDDTIDAEVLNSLAVSMENFRFALGKASPSALRETAVETPNTTWNDIGGLQGVKRELQELVQYPVEHPEKYLKFGMQPSRGVLFYGPPGCGKTLLAKAIAHECQANFISIKGPELLTMWFGESEANVRDVFDKARAAAPCVLFFDELDSIAKARGGGSGGDAGGAADRVINQILTEMDGMNSKKNVFIIGATNRPDTIDSAILRPGRLDQLIYIPLPDEASRLQIFKANLRKTPITDDVDLAYLAKSTVGFSGADLTEICQRACKLAIRESIEREIRREKERQERREKGEELMEDEEETDPVPAIMRSHFEEAMKFARRSVSDNDIRK >PPA19115 pep:known supercontig:P_pacificus-5.0:Ppa_Contig420:9414:14874:-1 gene:PPA19115 transcript:PPA19115 gene_biotype:protein_coding transcript_biotype:protein_coding MANERPTGKEEEEEEAAGQSVESGRSIESPVTPNRAATVPLQKIAAKPPGQTTSSHHPAVSPPTDEPPAMMVMNGNRLIAVHPYPQVRPLFAPGFGPVNFFQPLLAGQGHAVHIVRDRQMPILLPKGLIATAIPPPNTEIQATEDDLVQLPDGSFTFSDEFNQRLRDAGSRQVIVTAPHGAQMGYQQAPLMGAPSSIAIGSNGSNGGHPETAHNGGGPPPPDPVVTQPAAQPQGVSVGIDDANVTSQPVTGTQESNGATKKESNGTKKEKKEKMEGGALPTQTTGQDQSGSGETSAEAPVGEKQDNNGGAKTEKKKGEGSEGKGSSRSNVRKPSEDQGKKKKEGGQNGVGTTSDISTQSSRKSKAGGSVDSRPSTAQTAADESDQILDALGADEGAQKQKRKWSRGAKNDKEAAVPKRVKIEDDAEENPINNVNDAETSMPINETGSAISHAAVVWMKNRLEMDIPLAERKHKETSETPKASSSAEGAESSRKRGKKPGSELQQLLSMDFGPRENSRRSREVLPVVIHTAETAKTRAQMLQETPGSSSRVSRRGTSRPSTSSSAEKVKRTFRHRDATTKCAICGQSFDQNAVDFALSGHCSEACYQCVVLDNITAGALEDDSGTERSTPEMSEDYVRKDSSTPSKEEAKEEPVSPPNRRPTSAPKKRGRKAKTAEQGETPKTDNTSKEEKIGEEQRPKNRNRKRVVVKKEEETDEEEEQEEEEEEEEPVAKTSKVEAATPPPVVAVTPAAAAAVTPAAAAAAIPQAAASAGEMDRATLARMTIPQVRDWAEKVLGNSEAAQKFFDEDIDGAVLIKMNYKDLKDDLKLTIGHAKRVQNELEKYQKK >PPA19116 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4208:299:564:-1 gene:PPA19116 transcript:PPA19116 gene_biotype:protein_coding transcript_biotype:protein_coding IRQLEIDLANAQAQSAVYQTKLNSKIATESRDMNRQLEVDLANAQAQSAVYQ >PPA19119 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig421:6578:6890:1 gene:PPA19119 transcript:PPA19119 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLVIQFPCMESGPVVLPKGEKVDIYIKKTIKCLTGEQPDHIRSSTHRSSSIPIPHLPLL >PPA19120 pep:known supercontig:P_pacificus-5.0:Ppa_Contig421:14516:16903:1 gene:PPA19120 transcript:PPA19120 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTLMSVWSKTDSEGAKILPISTRFHDGWVVVESPESGLTRKQEPVDLSDLLSAAGEEGVVRFADGNSLPCTRRHIQFSYADHLHYGSFGDLVTRAIYTQTSTQIAIIRIFDRLSGKNDGRSMNDILRKMKLAELMWHENIVRLHGFVIEEMTCYMIMEPLRASLSEVITVIHSNNISKCDNSELENFLGSMTVDAVSAIAFLLELKICSVLSPKRILIGDDGTVKICASAAIESGGAVPVRYSAIEAATGHYPYSGSIDFVIADQITDGKQRPRLGKQFSHRMRNFVDACLFHSPQSRASVSAADANCLQNKRFLRLHAQREGRQESVRAVLQKTLPHLEELRKER >PPA19118 pep:known supercontig:P_pacificus-5.0:Ppa_Contig421:4127:5253:-1 gene:PPA19118 transcript:PPA19118 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRLVRGGFSHSEGDHAFVSVGEEHHATVREALSLPETAVPQNEAFSVPLSARAVLDRLQGWRLVGIAGPEAGNGFYNDHRSGQFRERATLTMKSSK >PPA19117 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig421:3025:3806:1 gene:PPA19117 transcript:PPA19117 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLLVRGGFSHSEGDHAFVSVGEEHHATVREALSLPETAVPQNEAFSVPLSARAVLDRLQGWRLVGIAGPEDAIFTTEQSLLER >PPA19121 pep:known supercontig:P_pacificus-5.0:Ppa_Contig422:2718:3201:1 gene:PPA19121 transcript:PPA19121 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDQENPSDQAAYNQQTWFIFGLTNRIGIKQTTMSAEMQHDSIETAKRAIEQLGPCREVPQYKEVAQYIKQEFDRKHGHTW >PPA19124 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig422:14724:15076:-1 gene:PPA19124 transcript:PPA19124 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKTINCVGATPPDMNFNLPLLSIIATYIVVFIIAFIGNFTMFLILCR >PPA19122 pep:known supercontig:P_pacificus-5.0:Ppa_Contig422:6676:10668:-1 gene:PPA19122 transcript:PPA19122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gnrr-1 MINVFSLDRFYSIFFPLYAMRARRSVNHMVAFAWGVSILTSAPQLWLFKEAPHPCYPWFNQCVSRDFIGEIPTDFAFYISILNIVQVYFLPLVVTLVCYTLILFKISSGARGVEEKNRDNGGLLRRSTAGNLERARSRTLRMTFVIVLAFLLCWSPYAIVMFLHFSTGAKWIPKDVRKLIYAFAVFNSAITPYLYGYFSFDVKKELKLLAKCSKATVSERHLSHSTAIQRNTSVSASVSVRRRSASANNLETTTTDSRSQSFSNGNKSSFKVKDCSAMNLSLTPPVVYRNQDFV >PPA19123 pep:known supercontig:P_pacificus-5.0:Ppa_Contig422:11865:14247:-1 gene:PPA19123 transcript:PPA19123 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVRRVHSLLLHMNIAHLMVTLLYMPKEIMHNYTIMWNGGDVEQTCASCGYPAAKKCVYQWSIKAIRRSTTGTGRMRHLKKIQHRFKSTLALRADTPPPRSVCTRGYPQTHHRNWSHASSKEDPAPLQKHTCASCGYPAAKKQAIRRRTTGTGRMRHLKKIQHRFKNGFREGTVAVSQKKRTQSAASN >PPA19125 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4220:124:528:-1 gene:PPA19125 transcript:PPA19125 gene_biotype:protein_coding transcript_biotype:protein_coding MQFATWNNQKPECLHPHGKEWDWMDRLLRNVLEGVIADPTGGSLHFCNPTEKMPDWTRTMQKGVKIGKYQYYNEEK >PPA19126 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4225:383:1067:1 gene:PPA19126 transcript:PPA19126 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGEKPNTEKNDDEYEFDDDEGEETKTSSKMTMKKKAGETNYRVFIPPSRKKLFGGSNVKTDE >PPA19127 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4226:14:520:-1 gene:PPA19127 transcript:PPA19127 gene_biotype:protein_coding transcript_biotype:protein_coding MALNGLHTFLFTYACIAHFLYLTIIASILLQRKTSSIMRGSFFMLVLGHYIADVIYFFEFNILMRGRKYGYLTSFFEEGSPSLIIVPRISTALHYYIKIVVYIGQI >PPA19128 pep:known supercontig:P_pacificus-5.0:Ppa_Contig423:2374:5841:1 gene:PPA19128 transcript:PPA19128 gene_biotype:protein_coding transcript_biotype:protein_coding MNQHPQYDSFPNLHGQLGDVVYVECPEVDSEVEKGNCAGAVESVKAASDMYTPLSGTIIEINEELLKDSSLINKSPFEKGWMFKLRIKSSDELKSLLDQSQYDKFKKEEEAEH >PPA19129 pep:known supercontig:P_pacificus-5.0:Ppa_Contig423:12470:15048:-1 gene:PPA19129 transcript:PPA19129 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLMIHVNVQFGKLLLNARPIYVIMMLFATICNPDSIANVEMSWFVVVDVEEQLDHSEEIHSHDLRMEFSSIMEHSKDRLLRSGRIVLDMVMNGESKNTVEVKGYRLDDGKWHTVAVRQLGRLIELVIDECRFLTPTGGKDEEETCRAMITTRDDDERLNVVAPLQLGGLYPLDGTTRYPQSITSPGYKGCIRNVLINDEDIDLVTFLMQVLHITRDLHLVVVYGDQHGSPKCICDPGWSGDRCDSPIDWIQFAAGSSIEYSLNIAVEQNTNDVELLFVPGRSSDNNV >PPA19130 pep:known supercontig:P_pacificus-5.0:Ppa_Contig424:8186:14009:1 gene:PPA19130 transcript:PPA19130 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKIAKKRVARKNPIVGVDVPYDDKEYEWTRLMNWGVERGLLRLNVVWADGSETMTDARNFIDFKDEVKELISKEAEEDPRRRIGKVEAIEFTNIFGIDVKGMFPSYTKHNEPDNAADAAIPDPTLKVAVHPTPKRQSYWLSNKNNSCAAVRKRVGLRKYDITTKFDTPRDNADDIPDPSVLKTPKVGVTSIRKCKLFDSEENEDMEISFQMTSRMAGAGKTTRKKRADEWTPRVRSPSPVYLPVESLAELRDELVDRERQKSKRPPDFAKVARIQAEYSLELERRKNAVEPPADRSNCITELRERRDERTDRFEDGEILESWEESVWKKPDTEDEADDSEEDIELIENPSSTVPTDSADPSDASITPGYENPPIIKYNLNCHLDDERIPPAPALSPVHSSLSVESLLNTAPPLAAIDGPVQEGPPRPSLERTPSPTRSSASHEDTTKGRRKGGTMRGPRGPKEPKRPRYWWCQL >PPA19132 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4245:298:722:1 gene:PPA19132 transcript:PPA19132 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLVAALVAAAFAARDSLKWNHEVRSESVDGVTSFKTGFEYRFHLDSQVSNGLPVPGSQQSAVRSKSL >PPA19133 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4247:333:685:-1 gene:PPA19133 transcript:PPA19133 gene_biotype:protein_coding transcript_biotype:protein_coding KDIPAEFYWDEFESWKILEKREFYYEVTVEEDAIAGFGRHYFEGRFHFATAHTLLRDLTRAVGDESIDYVDILTVDHQI >PPA19135 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig425:8602:9477:-1 gene:PPA19135 transcript:PPA19135 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRGWMLGNLNRIAARHPWWEKVFFPVDCVPTLLQETRDKDLLRKNYGPRILNYYRVEKFNQQMSEMTEFNDIPKVSWTNSLYVAPNSKSSTLVLYIQLCRDKLFDEWKVAE >PPA19137 pep:known supercontig:P_pacificus-5.0:Ppa_Contig425:17114:23063:-1 gene:PPA19137 transcript:PPA19137 gene_biotype:protein_coding transcript_biotype:protein_coding MILIALQTISTSSILWFGSSSLRQSSTILYFVHPSMGRNRESRTGIAVLAFIVASTAAQFNSPAESFSCIGRDDGVYGEGCSSMFWYCSGGQLKHSLCPNKTFFNPQSQQCDFRSNIKACGDSTSSSVQSARSNRLTTVPPTTQSPSTEAKMRDWTEVPYGQTYPTYAPRQRKEDGSHSMDKCSGQYVLCWAGTGKVMNCQKGLLFNEVSHVCDYPLNVDGCNGSPPQQDISSTGYNREPVQSVPSYPQQTASKSVYQQQYSQNSPPDQYQNGYQSVPAYPTSGYESAPQSSQYQTGYGMESVPVYSSFDDSSLSSQSHSSPECDIGSFRRVSACSPSFDTCSPTARQWIRRICGKSHRFDSTVNRCRPIFEIRECDEPMSSYIPPPVQMEVPAVYLNPATSFENGVPLSGREYEDDGLRWVGSAWSEGGERRGKKVHKHNHHHHSGDYVIVSGNPFGAGRDRVLNDEWEGRRSYDGPVRSSLTHARGHRVRNVEDNFKERPTARSVIDENEEERGMEMEEEPIEENEDTESMEESTVEGDTSEESEGNELEVNEDENEKTETDPELLPESIDNEETNEIDEVNEEEKQNEETEVNDGKEETEDTNEEKEDGEDVNEVEKTEENEEMNEEDEDGEDVKEETEESTEDNEDGEEVNEIEKTEENIETTTMKGPFEEVDDKIEERKNEEDEDEEESVNESTEEEESSDGKNIEERAESESGEENEEKENAEEMRLVADMDFDELMVEKDRRRFLSLNCFTCVSKINFHFP >PPA19134 pep:known supercontig:P_pacificus-5.0:Ppa_Contig425:929:1999:1 gene:PPA19134 transcript:PPA19134 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPNGLFSSDGNPDRRWQNGSIAEFHFPTFQHPTNTDDNHAATAAVTKLDGTIVHGSRIRARHSKYWSHTWQTAVNDKKSRSEANSGVNVGAPFPREETVQTILAEGGTSSDAVAEWPFDFSDQLFIEDMSDWMEAARSRWMRTSNWFCSCWECSDQ >PPA19136 pep:known supercontig:P_pacificus-5.0:Ppa_Contig425:10921:15884:-1 gene:PPA19136 transcript:PPA19136 gene_biotype:protein_coding transcript_biotype:protein_coding MLESRLFTLHPYTLPEMAKVARHIDGLMGMGALREVRVGGPLMASQFAAWGGMFSTIDCCLVAIRKKEDPINSIVSGGATGALLAIRSGPKVMAGSAVLGAGVGGVRMDVSRTSPCRRALQLLTAAGTEETINEDVESPESVEKEETTENEKDEESEDGNNEKEETSEAVDQTEENEEEKVVEEEEMKDEETDKDQMKESEEIDPEQPESVDNEVKEEDEVEKTEENEETTTLKGPFEEVDDKIEERKNEEDEDDEDEEESVNESTEEEDSSDGKNIEERAESHQLSHMTAYGAYPISGCSSHYFVCSASVKHMYTCPSGLIYYKGSDGCEWPTNVIECTGGAASDTTSPPISIPTENEYSGHSMNRDILATTVPTTVSPMHVHFDCIKNGGFAIDCKGGFVMCSNDWKGTAPSGSCSSQFITCSNGKPEVMLCSSDLVFSSSTSLVDGSVFAGIFGGASSEMEVGLYCAYEKTPENGKNLLPLKKDLVNKRQFNFADIVCVTVPTNGEEK >PPA19138 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4255:166:688:1 gene:PPA19138 transcript:PPA19138 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKRARGAWSEDEDDEFEEKDEDEEKGKKVKEVEEVGEVPAKRPATILIDNSPLEPHKLYFTKIDGLPDRFNEHAYNLDELLSHIKPVSSVHFNFMIDTQWLISQYPSRCRNTPMTIIVGDKQGTTVKEIKSEAEKEGWTNIN >PPA19140 pep:known supercontig:P_pacificus-5.0:Ppa_Contig426:3605:5307:1 gene:PPA19140 transcript:PPA19140 gene_biotype:protein_coding transcript_biotype:protein_coding MHDSAWKELSTIPIVLRRADYANVNLPSQSVIALDDFESPAAMGKYLRDLVKDKAAYAEHFSWRRGGWTIAPWNTEGYRNGYCRLCERLWEEDQPEKVVRCICDPGFAKTCIMDKTRKCDASKRLICC >PPA19141 pep:known supercontig:P_pacificus-5.0:Ppa_Contig426:8939:16177:1 gene:PPA19141 transcript:PPA19141 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSETRYWQLKKIKQEPAEEQQREALPAVVPRDPRLVRSIKQEPGTPPLQQGRPAAPSTEPANQRPENGQRAAGDAETRIAQLTKQLAASEKRAEDAEEFASTQLTEWLEKVSDAERFRGIAEKQVEEERRHYEAQQQSTTAQILTLHERLDEAMTTSSEWENRAKGAIEEADRLRVRLHELDEEDVIKANGQLERDKAVLMSLRCSDNADLMATLDVVSRRLAESERQRAMQRETIAEAEQITKGYQKCRKELRDDLEDAEQRVKMMEREREQLLKKAKDGTEASAMLDELRAELIMARAEAEEGKNAVMEKNELRSRWQNSLQQRGEDKRKIDEMEKEIVELKGKMEHLPQLEADIAFFKGQKEKLEAELKEKREEVERMGRTDNGRIIEYVNQLPCRKACLNRKNDELEERVKEMEFYLRQERGDAAVTLARAINEKDEDLRR >PPA19146 pep:known supercontig:P_pacificus-5.0:Ppa_Contig427:13169:13862:1 gene:PPA19146 transcript:PPA19146 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKDKLEEMTKAIEKVDTVAFNNKYIASGLARARLEVAVAAAPSGAKGSP >PPA19145 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig427:9694:12382:1 gene:PPA19145 transcript:PPA19145 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFEGITDYDYASIKKIELLKGGRNKQRGIWNRGEKSSSKSALYNGRLLRAKAYHNRIGDFGLSQNLGNIDHYVVSKKNFDMRVTAPEAMFLGTELKPIRNGIFTYSADVWSFGVLLWELYSNGEMNEWMGRCWAKEQKDRPSFKEIHAFLSQIPPVKEVIQCWNLDKMEDGRFRTQDWMIIHDGGSCEAAGFICVGESRPFVVDFNQVDVEIDEKSCIEGDTRVGITIDCIKNQEFIAMPLKDKGQPIYNYTLKVTNSTGKTVSEILLEPNVGIRGEWGMKKIEENKYLTNEDVMINNGCSTYAFGCMTLGHPKPRLISFKSFDTFIK >PPA19144 pep:known supercontig:P_pacificus-5.0:Ppa_Contig427:7320:9216:-1 gene:PPA19144 transcript:PPA19144 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLDDRFHSFDVRNGNEKVQFTIPKRYRPSIERTLIGSGAYGKVISRTAKYNNSEKSSTRIVQIFSQFTPQDITNDFNHLYLVMEFMQHDLEKIIYKDKKILKHDQLSFLLYQILCGVNHLHQNGIIHRDLKPANIAVNEHFQVKILDFGLSRIYDPAHNSEMTNYVITRFYRPPELLLNYDRPYTEKVDVWSIGCIFAELIKGKLFCFRKENNFEDAKNRSR >PPA19147 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4273:242:701:1 gene:PPA19147 transcript:PPA19147 gene_biotype:protein_coding transcript_biotype:protein_coding NPVQMLVNVVINSGPREDSTRIERAGTVRRRASLNQVMWPLCAGAREAVFRNIKTIAECLADELINAAKGSSNSYAIKKKDGI >PPA19149 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig428:10840:11334:-1 gene:PPA19149 transcript:PPA19149 gene_biotype:protein_coding transcript_biotype:protein_coding MDHMILGRKYYSRLRNVERAVRQDYLIGANNHETLVTSLRALHKMIEIAIGLPSQAVVSACRDAIANENLILIPTIIEFGAM >PPA19148 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig428:2950:3678:1 gene:PPA19148 transcript:PPA19148 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRDYDSNDPSFLNIVPDSDRAKDETQKILVRSTEASKWNAIKTTYEEASKKYPQRASLILTYVLTRIYLLQEVQ >PPA19151 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4284:222:688:1 gene:PPA19151 transcript:PPA19151 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRARPRWSISHPHPHPHDDTLDRVHFEADATASASLAPCVEKRYGRRSAPGAPSAPATRLAVVGGGLSARAQSLDCLSAGKREMAEFLASPSLSHPVSSRMGTISEEPREISASCVNLSEEDAFKVRAAAKLVQALDNMADATTQSRSPDAK >PPA19153 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4289:401:633:1 gene:PPA19153 transcript:PPA19153 gene_biotype:protein_coding transcript_biotype:protein_coding AFRFRHHLKEHTRIHTGEKPFQCPSCSKRFSHSGSYSSHMSSKKCSSALAAMDSS >PPA19155 pep:known supercontig:P_pacificus-5.0:Ppa_Contig429:10732:12766:-1 gene:PPA19155 transcript:PPA19155 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-aakg-1 MKAHKCYDLIPLSTKLVVFDTHLPVRKAFFALVYNGVRAAPLWDSEKQTFVGMLTITDFIKILYRHHSAGDDPEKMAALEEQKIETWRDKFKEDDTLQPLVCIDPNESLYRAVEMLCESHVHRLPVMEKGTGNISYILTHKRIIKFLSLYMRIANRRRRLSVLPELMHDLPKPAFMDDTPKSLGIGSWGNVLTIHVDTPLIDALKIFLENRVSALPLVDEDGKAVDIYAKFDVISLAADKAYDKLDITVHEALKHRQEWFEGVRTCKETDSLFSVLEAIVKAEVHRLIVTDENNKVTGIISLSDILKYLVLDRCAPASSTSLTMERVDEQMNGEVIS >PPA19156 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig429:13012:13795:-1 gene:PPA19156 transcript:PPA19156 gene_biotype:protein_coding transcript_biotype:protein_coding MMTISSATTAPPRVSSPARTSASPGPSGKKEKEKKGLLSTAKAKATGSLRKALYGKSKKKARDAEDIAEEDEDDYSSSNYDTVRSLPASGHYGNRRRPQPLRVSIEEVDHSSSSSPQPSHRPRSSAGSSPLPPSAPLTSTVVIRLPRHRISSTMGGLPILSSSALSRLSPLGLTACGRLSRSVPAAPTDPDPAFDFAAWHSNLDLISG >PPA19154 pep:known supercontig:P_pacificus-5.0:Ppa_Contig429:3941:9029:1 gene:PPA19154 transcript:PPA19154 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPSQDVLDHFYGLADCDDTKRLKSVAAIVNNVKSHAESRDYCMERLIGGLCSARGASRIGYAAALTHSLAGEAHWTLKKIVEQMEKSLDLSDREMAHNNSIGHHLVYACLLDSGAFKKETSSIMEKQLALSSRFSFLSLSIGDVIACALLQMTVSDVKKQAWSTLKPALKGASLKPEMAYLCLKLKKHAGSLLAESVPYVSADGNLKWSDISETFLIDLLKGMDKGIAYLFIKQWLIAAKEDGQWENGFTKVLKWAKRDEHTATERLLRVTEVGLTLADNAKQISTVISEDLVTRMSKLAKVSSLASALTEKVKGLGEGTSAQDLYPILVSLEQAHVDAFVKARTMEKILERMDEEHVKLYVTKCISSPSSLRRLLSVFPQWPTINRKTALNALFALAKETESSVDKKKERDDIFATLTQCIDSLFKIKVKGGEQASASLSEENEEMIMKMAKKGEDGWKNAEKTIKGMGGELARCLLVLYGCLSLWKRTSPDEEEKKEYGETMKEVITIAKNGDTEENNLVLVDLLVSLLGHSRRFHRTLVCFVFSPMLPNAKEQWAEHLVKVITSSDAELAGDKGEEEEEEIDEEGDEEMESEEEGEDEDEEESEEDDDLANMGEVDTEMVEKLKAALGKAAVTEEEEDSGAESDGVDDEVMFKLDQGLVEAFKNIGGAQARSAVASRFAKPLRMRVCDLLLFTVSSKETPDNVKISFILPLLRATKKMVIERKNEDSTKKLIELVNIMAHLKKVSADEKIVLSIVSQIEKESLTTTNPFIHDIMASITGFLCSLLCRPSLSPTAHSAFIALFDRFVKQDTNGISAPLASAAMATCPIAFGNDTKTFLKIAFDEDVRIFRRTEALQCTLSLTRKDVLRNCCGAAKRAGKTLGPLAAHALTFNGKKEEVKPRFMAMLLKLIFQLADNEEAKTALSPVLTPVLESLLSRETEIFKGSGPLSKCNSACQRAANRSALSLIQGINKKLHCYKNVYK >PPA19157 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4294:33:481:1 gene:PPA19157 transcript:PPA19157 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQLNGGSIADKVERAKERLEKQVLIDQVFAQDEMVDTIGVTRGKGFKGVTSRWHTKKLPRKTRKGLCKL >PPA19158 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4295:575:1156:1 gene:PPA19158 transcript:PPA19158 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIEQTGKDSSQLLALAEAHERAGRGAKELHRTGLDTVHTVRDRGEHPNHRTRNSRSGHKHREQPRARSQCQQFCHICKLNGHSTDDCRYNGLNDESANVVSEDEASLSEYDIDHIEVHAVELVPEVVPPKCLIQAVIDTSPIEFELDTGSAVSVIGYQTWKALGSPSLQETHRAAKAYGGQLLRFRGVLQTE >PPA19230 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:371710:372038:1 gene:PPA19230 transcript:PPA19230 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWILVFFFIYLYTYQFLIGNSPFTKLEFALWFKFLNGINNVLTPWVLLLSFPKF >PPA19171 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:71650:77847:1 gene:PPA19171 transcript:PPA19171 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTGPSEDSSFAELKQEKHGRVSKDELGDWQGGGMGLKLMQMMGYKKGEGLGKRSDGIVHAIQARICPKGASLDAIMEKKAGRRENKCASLDAIMEKKAGRRERVVDGKSLQRLKDAKPKNAAEQGIFSFLNRKLAPGPAPTQRELDEKEAKSLAASSQAGLGAQSFDTDRKMKELKGKEQKLVEGIKRNSRDKSTAARMQTQLEAVRKEMASLEGKKGRIAREMDSRVAKKKDVF >PPA19236 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:407982:408386:1 gene:PPA19236 transcript:PPA19236 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAARCPRLPDDKDGWMRNYTYVSKHCALPKRTREPPPRSKSSTSHRLRASDAIRDAQ >PPA19270 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:702525:704537:1 gene:PPA19270 transcript:PPA19270 gene_biotype:protein_coding transcript_biotype:protein_coding MATPETRERPNILVTGSPGTGKSTLAAALAEKLGFDQIECSREIREHGLFSEFDERLQTHVFDEDKLLDHIEERMDSESGGVVVDFHGCDFFPQRWSVGIL >PPA19312 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:970143:972157:1 gene:PPA19312 transcript:PPA19312 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYKSLGKDLVDDFYLNLVDWSSQNVIAAGHWYGVYCARVEDDKDPEAFELTVPERYDIVGSVQFNECGELIAVGASHGWVQMWDVQAQKMISRFQGCSGRVGCLAWNSDILCAGDSNGRIRAWDIRQKAGAVVVCLRGPQLITNNSQLFPNFGTPVCGLKWSPDKQQLGSCGANQPVRVWNLRKPEPCVTFTEHTAHVKVFFWDTIAGVPLQRISTGLAQICSVAWSKHSLALVTTHGHGNSGLMMWKYPSLRQDIVQPTPLRLVYMAMSPDGESIVTGSAKSLDFWKVFCRNDESIDDNH >PPA19256 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:542588:543809:-1 gene:PPA19256 transcript:PPA19256 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAPVRQSALYNPLGQMVCFIDIVEVMRLMPTVMDPIFELSQAEERRRERSEQQRREDGLEKIVVEIIAKNRH >PPA19280 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:780580:787531:-1 gene:PPA19280 transcript:PPA19280 gene_biotype:protein_coding transcript_biotype:protein_coding MCALKLRCGRVAIPISTNARVIIVLIEDHVDVDDFVLVNQDDGHPVGQLVAAAVRCLWRGVFFNRKWVGIKNSTPAPFPQVGIKRAYTLPEFEYNPFRHPPSLLLLLVWRSVKLISPRTVQNIASGYTMYSGMSPYGQYASMSIRDGREREKKQMSELNDRLANYIEKVRFLEAQNRKLGGDLDMLRSRWGKDTSSMRVMFECELKEARALIDATNRTRAELEAQIAKLILELAEYRRLYEEAVLTDRVLHHELLEKLSKLEAEINLLKRKIAHIEEDVVRIKKENHRLIGELQRARNELDQETLNRIDHQTRVQALLEEIDFVRRVHDQEIKELQSMVSRDTTPENREFFKNELASAIRDIRQEYDQRMLTNRTDIESWYKLKVQEIATSSKRQTMEHAYQKEETRRLRTHLSDLRSKLADLEGRNALLEKQTEELNYQLEDDQRSYEAALNDRDASIRKIREECQALMVELQMLLDKKQTLDAEIAIYRKLLEGEETRVGLRLLVERQLIEQNSLHKSARAEEDEHEILRVLKGETSSRTSFQRSAKGNVSIHETYANGAFIVLENTHHSKDEPIGEWKLKRKIDGKKEIVFTFPADFVLAAGKNVKVFARGHGVAAAPASLVLDSEDSWGVGHHNAHTILFNAAGEVAF >PPA19168 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:50690:51435:1 gene:PPA19168 transcript:PPA19168 gene_biotype:protein_coding transcript_biotype:protein_coding MANESIAAPPSAPATGKKRRLLEEEELTMEDMKLMYLQLRATLDKQAAWNDRLEMEPK >PPA19189 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:177475:178294:-1 gene:PPA19189 transcript:PPA19189 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAFTIEEKKFFLRKPASFLMGGRHRTKHLLSRAERDIGSPVCLYENCCTAKNHADM >PPA19160 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:2994:7846:1 gene:PPA19160 transcript:PPA19160 gene_biotype:protein_coding transcript_biotype:protein_coding MHICARPLNITRRACCQLVAMLNNTGVSPCTPTMIANNDDLNGTMPFKYSAPSYLPEPEEVREDHVTFLLGILILMLIALTCTFALSKISRPKIALGYGSLSNYGMFMFLQSNSARTSMSGSARTAGGGPRRRSSFMGDRLPTGRRAPQHVLAEHTQPAGVSFLFRDLELVELCTTEQVISYASFTYVSTAVVPDSHCDADVHWVGAPSAALLPVLGQEGKNGRRRRRAPSNGDDLTRGVVPLVRIHGAATGDDS >PPA19196 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:216994:218068:-1 gene:PPA19196 transcript:PPA19196 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSLPLTGLLAGSLVAALSTTSSLHKNKAGKFKTTVSSYSRFRQSQPLVVAQDEIVRRFISGFFPQNLVVFSNEVTHRPRHSHILTPMHRETTKYHVFCDFLAMQRFVETPQLSES >PPA19272 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:711406:712784:1 gene:PPA19272 transcript:PPA19272 gene_biotype:protein_coding transcript_biotype:protein_coding MCQMWTKIRYGVRWNPAERLALGIRALDLQKVKSIDISMDPLYEGNQSIRGDEQL >PPA19266 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:668024:669780:1 gene:PPA19266 transcript:PPA19266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-124 MDMEKYRMAPTTGMKRKHEPANGEGGETKKLKALRPFDFAAHPKRKIALRFFYYGWLFDGLVQQRDTENTVEKTRSHCNS >PPA19217 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:324302:325606:-1 gene:PPA19217 transcript:PPA19217 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQENISHVNLGNLPGDIIWTILSFTEARDLDNFRLISSQWNSIVVEYRRIADLDPVRSLWWTVRPNPPYPKERNMSRELFVGFSEELEQLNYETSIRTDCAETKYLELESYRREENSFRESAMCASTIFRGRRHFDHLIVFMPEIIPAPNTDSDSNAIRGISAAMEGVTIGAIEFFRYGVHEETRLADVGHTPTMALKDLK >PPA19321 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:1009072:1009633:1 gene:PPA19321 transcript:PPA19321 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRFMLLLALLILLAATAAAHVGNNNNNCAGPANKECMRHEILCSTPICSPGKKLDFVNAHLAVRRVCERNERRIPIYSWN >PPA19198 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:225048:225529:-1 gene:PPA19198 transcript:PPA19198 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSAYMGAPGSGSGGGGAGYAREEYAWGSGSGGSSGGASGGFGSGAGSSNPPGVTKPRTDQSCYMGP >PPA19249 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:474062:476583:1 gene:PPA19249 transcript:PPA19249 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSHERSRHIGRTSSWCRWGNGNLLLGLFYFFAYVTYQALMIPLYSYLKKIDEGASIEFYGFITSAFKIGHLLSLALFATVTFVTRRYRANLMIGRIIAIAGCIIYLFVELK >PPA19293 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:833262:835131:1 gene:PPA19293 transcript:PPA19293 gene_biotype:protein_coding transcript_biotype:protein_coding MANIPNFANPNYADDDVNMVMGIYNAIEDTLEMARRESETAHQERKAARRKCIKIIVFILLILTLLIPLVTYVSILAYHHMQHPRVSISTPFLASPPACNGDTRTFNGTGLAKESYPELLHFNYVAKVYWTDPANYLERGDAIISVNGEDVRGNHSDAVWRLIEADWASDTELVVQNCTGALDKLEKCESKYREQYQSEHYPYRYVDSLRRCEKEGFDLNVTSSWADDIWRGAMYFIHEHQGWFPTMHKKTYMS >PPA19245 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:445750:453639:-1 gene:PPA19245 transcript:PPA19245 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDTRRPLLLNGTGSTERQADGSASSKERGAGDSGSVKDASFGTQKTQSFSDDSSLKTGSVTKTSCERPTQWRGLAICGLIMYLTTSMGQTLAVSGWPYLRTIDPTVPVSFLGYVQALTKCGHAIGSCVFAVHAYTTKTFRNALIIGRLISVVGCSFYILIELFAPERRRWAYMLKFLLQSIAEGSLIVVRSYVPRMSREKDRQQAFSIIEGSNMLAIVSGPLVQLVCHVLPEVGTPLLGGWLKFNMYTVPIWISLALNIVTLLISMFCFKEPDLDELEDEKQLPLGQAMRKAWQQAKKLDKCLVAMCFLEKSCASYGFAAMYTTMSPYVTESFNVPPSQALFILSIAQSAAGITSLLTVLLFVLTPLARVAKARYTFPFALSCYTIMYLFSYPWPGISEDIPLRNNETAPYGCDSARYSWCGDGLKITHHVAWLAVSCVLFGIGIPVALISFDTIYSKVLGGIDQNIMQGLLIIMDDMALAGAPMVSTASFEAFGPGPMWLSVAGICSLGLVAWLAMMPKLRRLNI >PPA19274 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:717530:720616:-1 gene:PPA19274 transcript:PPA19274 gene_biotype:protein_coding transcript_biotype:protein_coding MDALEDDVGIVDYRLGEFLARFAVLLTAPGHPGVVEAHSSTEVAELANSTFRKIFLELEIACPKVKQIFYKAALVDAFNKDGENAATLDEHIKLAAKFFDDLLAVFDDEEEFRTLIRRMGAVHAVLARSCNFGGEIWERLGEIVMERICGLESCQKTREASRAWRTLIACVIDELRTGFEEEHRLVTRRSSSASDLPDEMLLEEEAANGMNGDEMLLEEEEAANG >PPA19163 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:19925:21825:-1 gene:PPA19163 transcript:PPA19163 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRVNHQLAGVEVINVDMKTAVPGPKSKALLKEMTKHQMSSSVRVFVDFEKSFGNWLVDVDGNRLLDVYTQISSLPLGYNHPALVKAATSPEFITSLVSRPALGSFPRSDWPEKINSVFQPIAPKGLHNVQTMLCGTSANENAIKTAFIW >PPA19221 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:340941:341900:1 gene:PPA19221 transcript:PPA19221 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAEDARVNLNNLPEDVIWRILSFSEERDLDNIRLISPQWNKVVVEYRKSANLIPHRTIWWTIRRKRPPQPNLSQPASTIFQRRRHFDQLTIFQPEVTKACMFLTF >PPA19180 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:132681:133418:1 gene:PPA19180 transcript:PPA19180 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRMSARDLKTANDAFHRFNKNVGASNAFHLFNKSWAKVVTKISEALNVLSGQAEVGEEAELLSSTVMTE >PPA19252 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:487865:490939:1 gene:PPA19252 transcript:PPA19252 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEEDKPVPLVPSLRKAWQQLKTLDVSLILMLVLEKSISGGGFAALLTIFNPYVTTTFNYSNNHSLYIITVTSVGVGIFSIGVVALFVFAKLGTIIPASRTFPLSLILCLALFILSYPLPLISSQVPVKSADNPDGCDVSNPKFLWLGMVAVLTGTAMPFSLISQDTIYSRVLEGLDQNIMQAAYVMIEDVALAAVPAIATFCFKKWSPAGFWSGCAVVMVIALALWVPVYRKLRHGKEIGN >PPA19194 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:209053:210868:-1 gene:PPA19194 transcript:PPA19194 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCGTASDLVCICCDFFTITRLLVVPGNILYLFSGPCSHISTRSCYIAHSIRIVTLVYSGYSGDLTSSQNELSVDIYAPSPSPSKIRIAMFLILIPPAIVGFAFTFADAGTEFLREYLLEHAPKYLSEPGALSGHPGLTPHVIFTIVYVVTTPGPVYGGLIIMRHKLREFADSMSLQSRNMHRNFVKALTIHASLCPITIFGVLIYVALFFEVYYHPTMEKIVYTFAAFPPALTPYGFLYYVRPYRMFILSFFRRVPLVRSFAGSVVVVNVCQGDMTTVSS >PPA19227 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:357136:359220:1 gene:PPA19227 transcript:PPA19227 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAQDPPVNINALPGDVIWKILPFVDPRDLENARTISPRWNTIILKYRHVHDVKTFSLVTWTTPGTGAVLTLATWSWQKPGDMKPLMRRKASSKFAIGFSKRDSDSQARYRTNIITDDAYNMSLRLESDVISTSLLGKISGVISGMRVIANEEPTDCAFLTGVSAAMEGITIDRLSIGRMQFSENSGLRRAVYDMVRTHHIRELELTDCKLFVDQISFFTALARLQVLSVTAREGPYNEYGGPKSSDVYLGLSFSLWPGYVNEWNNEPIEFVWAVSTASKGDFMLK >PPA19258 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:545238:562266:-1 gene:PPA19258 transcript:PPA19258 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGGTMLMMTCKKKEYKVLLISLKYLVSVQDHKCYVPVVTYTLSRMGTTMRFDELISLDEPSSKHKAALKVILQYSPFETEQKLHEFMLAEYPEGKTGTIISLSDLKRLENNRCELQFIGGDFVVENRQTDFGQYQTLSKWLQMLYMSPRVVIFIQGQQVRQLDVIRYFAEPRHMRFAPSGIELFATRQKEHIEVQIKKLIQDKLPLEQDRSVLLGKQQSVDTNPLDLKRIRGELVRKEAELAEYTKQISKLKSDMDRVTGGSDITLFFGMDVWRRGQPRIIFYSNGRRIVVHPMDTKPKARFQQMMGVVVMVNIPSTLLTTKQTREHFEIPSEFEFVVKKIKQAAKEYFTHVDRKYKTKQFWHDLSYCTSDADDLPALTQLHVPRKLFDAMSPWLRQCAKCGQFRQCEWDPTLTAQDTFERTLEQPQFCCEWNVDANRCKPLTDKEVKNLTIKPLAKNGKGSQYESSRADPKWKNNGRQLSAKVKQEQQQQREQREQRSMSKARAPSRARSTTTTTTTRRRGRPITSSEEEEEEEEEEEEPPLRPIRKVRRFTVSPPSAAARRKSTRSAAKKKSAPAPRSSSMNEPRSEMDPPPRGRGRNNSRLDINVGRRGRERFSEDEQSESGDGGRGDVRRGTTSDSSESTNGESHFGYNFLGRPKIAPVRETNYDRKGNLLFTNPDVKIKMDPDVTTGTTDSVMDVMMRDEQQELQQRREDGWDEAAAGDMGDMGGGMEMGGGGGEDGAAMEVAGEEVVEDQEVEEKPRLRKGPGPAGAGAALAARGAREAAKRTAAAAAGGGRQPEKRRSESGQRPCAECAAIWNEYGALIRTTTKAMAHEQRPCAECAAIWNEYGALIRTTTKAMAHEGAVGEGDIVTSRSSVRLLERSDGSHPSYLDTEFAFRCHPIHPCVALLNVTMECFRTSLVEGDDEAYRIPSAHTIKVPSREQLKELTNDLELEVSVPLSLGDRHAYACTENYQVAMHFRADAMRKAYERFMDACYKRDEVRYLVHFAFGGQFTYIAVGCSQ >PPA19181 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:134362:136033:-1 gene:PPA19181 transcript:PPA19181 gene_biotype:protein_coding transcript_biotype:protein_coding MQEASQHAATAAVCIAKRELASKLEAQKRVSEMFREHHAAKHARSVAAAAARAKLRPTGCPPSLVVSAIASPRSNLSLLIFSSFSAFSRFTMPHEDRIAEEEQRAKNGYHLIRMRLERLEKNIITRNRVQLRYKASSGCKSFFQSHFRSIANQQVAPYR >PPA19315 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:978714:980566:-1 gene:PPA19315 transcript:PPA19315 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLYLVRVTVFVRRDQLIRVLTPFEPANSVHRIGHTGRLGKPGHSTSFIDSELDYEVILPLIRQLAKSPVDAHGEPASQEAQTNGADGDSQREGTEGTTPREVLRIELLG >PPA19261 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:600672:607674:-1 gene:PPA19261 transcript:PPA19261 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLSLAVGVDCEPSAAEIARVETKGRSVVARPMIVSTSSLLPPPHHRVVGGLDERRASSRCSLEVLGDAVVSSTAAASAKMTSSSAHQPPSSHHTIPPLSLCASTSTSTEETEEFDEEEAELSSPASSGYGRSTTSESEDLRAAAVRFRAANKSGRRQAGGADQREGGGGEEWSIASMPRHPFLKAIGRLLPLDDIEELGSLGEGFFSVVDKVRIRSTGEILVRKVAKPGVRGERRETHADVAREAKMLRRLEHENVLALRGMSIVESEIAEWDLHLFLDYCEGGSLSRLLLETTMPLPWRARFSYAADIARGMAHIHSKRVIHRDLTSMNVLIQYSPGFPACGRAVIADFGLSCEFPREGEKLSQAVCERVEQEPAQLKKVDFLKLSDLGFLRVLMTNLMLNTPI >PPA19247 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:457464:458300:1 gene:PPA19247 transcript:PPA19247 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGAGGLGQGLGGLGGNLGGLNGLGANGLGLPGQGLNAPGLGLGNPYALGGLGGPGGLGGAGGAGGGTIILLDVRRHPTVIDRDL >PPA19206 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:255218:256956:-1 gene:PPA19206 transcript:PPA19206 gene_biotype:protein_coding transcript_biotype:protein_coding MEYETMEFCGQTLLGVLDRDLAIAKIITKFTAANSIFLDDFIAATQARGNFSVSRATEILEEEANRVGVPVQLHIDQSICSFNAFQLFKLLDEAPEAWDEVASSMNFIHVGLEHVSAAAEQFVKNVTNDFKKLSMQEKNELEREFCIFTSIRIFNGTMEV >PPA19213 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:287139:293386:-1 gene:PPA19213 transcript:PPA19213 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pah-1 MESYVAEVSNTDARTSIIFTLHEKAGALAETLKVFKDRDVNLTHIESRPSKTHEGHYEILVECASDADAHKIEEIIQLFKRRASTVFVHDHNTRAKQNKESIPWYPQKIADIDQFANRILSYGAELDCDHPGFTDEVYRARRKQFADIAFNFRQWWCVRVHPQFRGGASVYMPNCSGDKIPRIEYTPQEIATWATVWDALTGLYPKYACKEFNHIFPLMQQNCGYSRDNIPQLQDVSDFLKDCTGFCLRPVAGLLSSRDFLAGLAFRVFHSTQYIRHHSEPKYTPEPDICHELLGHAPLFADSEFAQFSQEIGLASLGADDATIEKLATLYWFTIEFGICLQNGEKKAYGAGLLSSFGELEYAVSIKSSPDQKAPEIESFEPAVTSVQKYPITEYQPKYFLADSFESAKNKLKSWAATISRPFHVRYNPYTQRIETLDKVTSLQKLAAEINSEITTLQDALGKIKTVA >PPA19282 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:793167:794689:1 gene:PPA19282 transcript:PPA19282 gene_biotype:protein_coding transcript_biotype:protein_coding MREYIKGSGGNQLRILIDSMHGATGPYVSTIFNDLLGCVGTDLLRTVPKPDFGGCHPDPNLTYAKDLVDRMRVGEVDMGAAFDGDGDRNMILGKSAFFVCPSDSLAVIANHIDCIPYFKNINVAGKWKNEKLYDYENVESGGANLLMTFLEASMNASKGKELSANGVTYKVAHTDNFEYTDPVDGSVAKKQGLRVLFEDESRLVFRLCGTGSAGATIRFYVDSYVSPHDTNKLYSSAQASTLSHSSHRSISLFSGSTQTIGIDCS >PPA19211 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:279499:283802:1 gene:PPA19211 transcript:PPA19211 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTPSLLLLLTAGAALGFRGRSGLPEDTEPPMPEAPGASVTGSAAACPKATKFGPGPPASCAGPSDPNKRPASGLEAWFTRDMFYDLFPFANIGWGPNKCLPYSYEAFVIAARYFPEFGTVSPNKEGWVKVYTADQNKKRDLAAFFAHAVQETGENNADLYAQFSGQQAANCFYRGGFYNWFEGGPVSSFLDKSSPGYKPEDGNQCSIGGKYCAQSAELNYFFGCSNATGSQAGTYKGCYFGRGAIQISYNYNYGMFQNWLKSQGIIVDLLANPNLVMTKMDPPLAIMASLWFYMTPQPPKPAMHDIVMGSWNGGAQNSAAGYSGPIFGPTSLIINNECNGEDASNPGGPGESRRIKAFKWFCQYFSVPAGADNTLTCKKKKNQESKVSPNC >PPA19233 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:391538:393624:-1 gene:PPA19233 transcript:PPA19233 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGDQRRWPWLAIFLVQDVAEGSSIIFRSYIARLSTHADRQTACALLSAGEMIAIVSGPGIQALAGLIDHDIFVFEGAQWLKLNKYTAPIWICLVISIVTLLITLIFFEEPGEDDIVGKGEDELTLMEKVETVKLQEE >PPA19286 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:819804:819999:-1 gene:PPA19286 transcript:PPA19286 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPVLLIALALLTLSDAIECYAKTSASGFPADLQKKFDAEAAALPVDH >PPA19201 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:233075:236630:1 gene:PPA19201 transcript:PPA19201 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPTINNDLENKMVRAGLGKNKAWIRNVYNGETTHKWQPERRYGRFATCHRNTYAGVAYQMNRFCNWRMSSQIDTDGYWENDGQDVWYDTMCVKNADNIFTKLKTRTDCEPLHEWDDTTGKCEWTGPTNACAQLIDFENRTFSVPFPKFPSETFTDYDLLVNNQRWLDNNMILTGNKRYGVHNVPSEKTKTLTDWWEGLTRNHCFCDPAEATYTHFHTNKKCVTHHVYNAETKNCEWQHDPKYCAEVIANDGRKEMIEIPNWPHNLRYDSDDKNNPTKRADEFLVRITDIIVRQHCWATLLWGRLDQGLTLPTKGYGRHQVNSYYNGLLRAANDGTHRIVCECIR >PPA19204 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:247603:248768:-1 gene:PPA19204 transcript:PPA19204 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRDRVSLVHKTVHVEKLRRKAIMISDAVHQAVPVYEERKDDKLWKLRKLLNPDVKVPPKKRKRMENPPTSPSHPTPVAKEEQQSRDLERFRREEKERIKREKKEQLSEDIERFRLADREREENEKNNNTVFYPN >PPA19224 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:351467:351776:1 gene:PPA19224 transcript:PPA19224 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSLDSATTTDPDVPSSSSGRPPGKGSRGKSMKLVRIHYGVMKLRQSRITDKDY >PPA19178 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:116430:118012:-1 gene:PPA19178 transcript:PPA19178 gene_biotype:protein_coding transcript_biotype:protein_coding MKDISVDKLTLELKKVTSEDPMRLFLSLSSMVRFIHIKQHYVDGVDQSAAYFFGAHDIDWAPIFIEAFSSKTIDGGKLCIDNFSYSDYLSKKSADVLRETLPNLGKQIWFEASCDQYAEGLKYTLNDHWVKADGGEMIDRFLAVKYISRRREKF >PPA19164 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:26633:28479:-1 gene:PPA19164 transcript:PPA19164 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPKPASKGKKASKKDKEAAKGDKTLENVAKQLPDQETTQYMEDLEKALADFKELSDLYEDAQGGKRRRQASESESDGSVTDEDVSSDAEDEDDGEEDREGEDREEKHSKTSSKASSSHPFPDADDDSEVEIEEVEQDDDDDEEQDQDEEPAREPTTPPPAPEAADEKIRRRKPKKI >PPA19240 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:425888:427032:1 gene:PPA19240 transcript:PPA19240 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHCQRVLCNDARSRPSFVYALELMKIVSAKADGAAFWTRNARHYQKMIKYQPLLPPTTSLHSWWDPFKDTVVASADLGSHIVVTAIRARLREYATKMDDGDPSAGAVALLRVMESLLMEERRTAGLANELESMSFVNICQK >PPA19273 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:714456:716691:1 gene:PPA19273 transcript:PPA19273 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRVRMTNPAVKVKANLTNDRRPPSFVATIVGGSKLRIPAYFIEQRTMNPYESYNFAAGADGKKLRFETDKMPAADLVATFNRLLGNPEAGKAGTRPKINILNDDGKGNKFVI >PPA19254 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:534250:534634:-1 gene:PPA19254 transcript:PPA19254 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEGGRVLGVARNERKGEREMRLIGRKFDDSAVRSDMKLWPFKKNFLLDYQFDKCEL >PPA19279 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:776585:779613:1 gene:PPA19279 transcript:PPA19279 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLINQSAMMAATLGKFFIALHRYFVLRTVAVNEKAWSVNLVRCLIFLQLSVPALITGICFSRGYVVQAVANGTVLYNVAAQGQIFVVTNGLATYAPPLILIYCSSKIRRLLLGPFTGVGRVVYSDQTANATPSTQACSGDKAKLAAATCAICTNLLEAQNPNYAKECRHILQRIIKLM >PPA19239 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:420071:424611:1 gene:PPA19239 transcript:PPA19239 gene_biotype:protein_coding transcript_biotype:protein_coding MYDDYKMKLSSALNSFLDRFHRNEGVLRGFGLEEEARKAYGVLTECDWTGVVSVLLSLTHDQTTNRAVGFTAAILEAQECVGLFALAESSLPLQRLLVKQLMMMERKEVKKKDNIRQSKLMDDDAFTYDETPSLDKRKESGKELASRIAHRLRVLQLVDELAECNKSLSFDSMDDPARLSVLHRLLRMSVTEEGESALCSIFSQCAFSLLTSIVTAVVEDKRWEAYAKDRKHLRKLQYETLFV >PPA19251 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:485350:485838:1 gene:PPA19251 transcript:PPA19251 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPYRKYLVLLVFIMHSTAEGSIIIVRSYVPRISTPADRSAAYGIKNGSVLLSIVAGPCEFIEK >PPA19319 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:1003180:1004364:1 gene:PPA19319 transcript:PPA19319 gene_biotype:protein_coding transcript_biotype:protein_coding MRDCFFSTLADAGMSAYSPFVQANCRVIYANSSLKIPATISWVEQKAAYLILYESEFRLLNMTCKTNGY >PPA19255 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:537071:541132:1 gene:PPA19255 transcript:PPA19255 gene_biotype:protein_coding transcript_biotype:protein_coding MARQMAGVLRNIMRMDRDVEPAVDSKQADTSETVYISSLALLKMLKHGRAGVPMEVMGLMLGEFTDEYTINVVDVFAMPQSGTGVSVEAVDPVFQTTMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDVNTQKSFEALNDRAVAVVVDPIQSVKGKVVMDAFRTMDRNPLKEPRQTTSNLGHLQNANVMAIVNGLNYYYYSLAIACKPQENEQKMLLSLHKQTPMDALLLKPFSKCSASNKESMQKMLQLAGKYTRALEEEEGMTDEQLAIKNVGKQDPKRHIGEEVNELMADNIVQSVCATLDTLFICIA >PPA19302 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:899732:899931:-1 gene:PPA19302 transcript:PPA19302 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRECCEKQDHNNLLLLEGLLLYYYYYYYYYYYYYYYYYYYYYYYYYYHQTFIPL >PPA19162 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:15442:19577:-1 gene:PPA19162 transcript:PPA19162 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gta-1 MAQRRGGKGPDAVALESSMKQQLPGTPKLAVLGFQGAFHGRSLCMLSVTRSKAIHKVDIPAFDWPIARFPRYKYPLNENEAFNAKEDKECLADVERLIDEWKAKDHDVAAVIVEPIQSEGGDHYGSPAFFRGLRDLTKKKGVVFIVDEVQTGGGAANGQIWAHSHWNLKEPADIVTFSKKLLTGGFYFADHLKVNEGYRIYNTWMGDPTKLMLLEQVLNVYKKDGLLERAKVIGEEFQKNLASFQKAHSSLVQNPRGLGTFAAIDFETAEKRDKFVDKAIQSGLHCGGCGDRALRFRPSLVYDRKHLDLTFQLLEKTAKSL >PPA19244 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:440727:442123:-1 gene:PPA19244 transcript:PPA19244 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAARRKPSRTEEKSGLLASDLSTPSSDAASQRPLSTVSPATTRADAQLLMQETEDSESISVDEDDSKKHRIVEGKEGSEPATNWIGITWCAVVYFFIATALQALNCVSYMYLTHVSSRDGEKDVPASQVSRDNAS >PPA19314 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:978031:978476:1 gene:PPA19314 transcript:PPA19314 gene_biotype:protein_coding transcript_biotype:protein_coding METQKVVCERTQTDGFARCLTWNADIVGSRSNGPIVLWDTRAGPNALWNLCGQDRNAANAPPPGNNAAGLRWSPDRQQLASTGMDGAVNVWDLR >PPA19291 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:827802:828887:-1 gene:PPA19291 transcript:PPA19291 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLLILIIALALLSLSAALKCHSAEMFYHLNGTMRVGPQNQMRIDWCSEGTAFCLWRHSVNEKGCGTGSETVNANRKVYHIEYEECCCKTDLCNDLVPSTTVPPTTTTTSTSPPATVTTAVAPNDPKQDGKNPAAGPTAHFLSLAATAAVIAALV >PPA19260 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:591200:598214:-1 gene:PPA19260 transcript:PPA19260 gene_biotype:protein_coding transcript_biotype:protein_coding MSPECLKEQHYDEKSDVFSFGIIACQLIARIDADPEIGLHRTADFGLNYRLFISYCPTDTRMELLQVAFGCCLMDPSFRLSFPEILSQLERVLTAMNKQAQVAVYPSDLSPGRLERSRSDAALRRPRAIHQRKYSAYHRPSVKPVTEGLAEEAAAMDAEYLDRMPTEGDAAGAAGEGTRPMQLQGTPLSSIHGRRTLPSPLNPFSTHARFRSARKILPSREEERDRRQSERQREGEEEEGVDECDGVRRRRTGRLMRRCSSLPSEMDSPHSLDWSDDEGGWRESEEEEEEMGDDEVYYDKSSLPGGSSGSPTTVDTLARVFTEWDQKFLRQSRRFSTRRNTIMGGASAAAAAAAAPAAASSSLTATPSTASMMTMTSSTVSPIEPTTAVPNLPSLSLFPSDCEATSSSLETSSVPYSPATGDRMNNNGEKQVLIMAEATKRVTHRSTGIDDRPLSQPQRDRDA >PPA19263 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:655248:658697:1 gene:PPA19263 transcript:PPA19263 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLLIALALLPLSAALKCYEGTDNVEDSAGSVPKVSNSCPTTSDVCTWMKTPDLVIYRCGILEDGVKAECKSDTKLYGSSTIPRDICTCKSDNCNESKAKAEESIKSPTATTGTATVVPTTPSSPPPATSSSTAFACYTGQVANATDPKNVPPPGEKQPATGFNEEPGPEAGAGAGNQESNFQNATACEDGINSCWWELHEGPSGQMLERRCGMKDGCVEVGAICRLRPSIEFDGSFPFAGSGLLFAGPETQFHSPTLIPFAIRRVGLKGCSKTKLEEGSEELTRTVCCCEDALCNGPDTPMTPPKDVVAPPSDATTEPTKGAAAASMFLAAAVAAIVAARCY >PPA19309 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:940254:941093:1 gene:PPA19309 transcript:PPA19309 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPQGRPYRALDAPGLRKDFEFSLVDWSVQDMIYVALGTSVYYEEIKVICADTDPANFVTSLKSNDSGELLAVGMNKGWKIWDLQAQKVVCGRSFGTYRSLAWNENVLAAGSQA >PPA19182 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:137800:143711:-1 gene:PPA19182 transcript:PPA19182 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYQAIGFIWLCEFAFAYQRMLIAGAVAKWYFDRSGRSPVCGSRWNTIRFHLGSLALGSFIITIVRIPRYILMYIYANYLNYNAYTVIAYTGQSFCPAAKTAVNVLLDNAIHVATINSIGDFVLFLAKAAVGAACASLCLILIKDDASITNWWPQLLIVGLAAYQDYMERTIVHEESIRSSRGLKRREGRGTRDEKETFEIVNFT >PPA19209 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:272972:274013:1 gene:PPA19209 transcript:PPA19209 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLHEAYWNSKDVELIVIFRFHVDTRATNPLSMHERSGDATLKCLLVIEASKSILEPEVYERLRSCYEDKVCSQLMGPCDSPNGQLM >PPA19271 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:708631:710794:1 gene:PPA19271 transcript:PPA19271 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAMVIRFDIVVVLRCDNTMLYDRMAARGYPPEKIRENIECEIFNSIGEEARESYDEEVILEVPSESLEHMHENVDKPTHSSDFARGVPFAAVWAGGRTRDAVDAATTVRGEYPNID >PPA19176 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:108851:109032:-1 gene:PPA19176 transcript:PPA19176 gene_biotype:protein_coding transcript_biotype:protein_coding MEESISAVLDDMQIDRPSFASIPRELVWMVLENAPEKVLDLRMSVGNWFCPQHS >PPA19276 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:755511:762945:-1 gene:PPA19276 transcript:PPA19276 gene_biotype:protein_coding transcript_biotype:protein_coding MTYTENKLKCNKEYQVLGYTDGAAGAKQAHFLTCDPAAAAPMWKDEAGVDTTLPATSQITVTACADNPCDEKMVKPEDGAVFAALTTPPHLASLNIEGNPYSDLQCEKGAKGWTDTSGATKFADTATFTAKCGDPAVPPPTPTCARILLEVEATFELGVLRCVAPGKALKLNSKFYPTLTCTGGKWKDDTGTEHGEDTVPLTATCEDVPCSKVGNTPLCLAFDAIKPKPEGYECLEDEPSPFDKTDNAYQANCNGAAQKSQIYGLTTKTFYNYAPLCHKDAIWHDKDQQTIPDEPNQLICVHKRCNSCTKLEEATGSATASNYTEGTASECAQATCHNNLWMIQKEGATSEVEYAGEVTCSTETNNTWLIDGKDAIGKGSCVTSVKCKEAVRLITTCEAAWTTGCAEPILSDDAAAPCKGNKMMFYKKPEDTYFEGNVTSIECNKDKGHWKVKTNGRAEEDTLKRGGSLVCADENPSPKPPPPACWVCPNSTDTQSPFRFRKTCNTCTDKDIEIRQIDSGATCEATVKFVSQRNRIQFDTEKAGIVEGKLNCTGDGKWRSADGKEVGLFAAYIPDSSDEQDQQKQQGAKAVIIGCSIGGAVIFLVILVVVFKVVMGSRKRKTEEEKEKEEKRKRMAEEGKKRQKSRGNNDEILDEVSSQAPSEIKFLSINQHRFAV >PPA19166 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:36592:37378:-1 gene:PPA19166 transcript:PPA19166 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRSRDRPKKGSTKTASDLRDRRPRNHHRRRRDEDDDEEARGFGGWKLGLVIGVIVVCFAVVYPHVFHPLLMSFMGRSDPAPQASSR >PPA19219 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:330915:332495:1 gene:PPA19219 transcript:PPA19219 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPRIKKCFLCLESAPQTRRFPQSSKPDEQLEWLQRQNRDEEGFEQLLNRHRTMRPDAIAPPPLLTVPLRTSRPTNLIHQTQFSFTTGSQSGNDNSSMPPSPSFSALDTMVLSMR >PPA19246 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:455057:456230:1 gene:PPA19246 transcript:PPA19246 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQCQEALGTGSTERQADGSASSKERGAGDSGSVKDASFGTQKTQSFSDDSSLKTGSGN >PPA19268 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:686887:688082:1 gene:PPA19268 transcript:PPA19268 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRLATLGCKRTQIDPEDDADFRFIVAFVEERWLRRRGEEWVSIHYRSVNRGYGSLDARLRGGTEEEGRGVGGRAARRDEWRQAEGAGGGGA >PPA19300 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:880435:882479:-1 gene:PPA19300 transcript:PPA19300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-grd-15 MCCNKKLQSLIHDTFANLTGRSQNKAQEAFGTDFEAITAIGDFASKTHFYSDLICKTEKAGRCGGAGMWQFNLRNAQFSLSFRLVVKATRNLEEQ >PPA19172 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:81670:86388:1 gene:PPA19172 transcript:PPA19172 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dif-1 MSDVVLNFMAGGVGGMCTVIVGHPFDTVKVRIQTMPMPAPGQKPMFTGALDCCKQTIAKEGVFALYKGMAAPLVGVTPLFAVFFGGCAIGKYIQQKSPDEEMTFLQNANSGAIAGVMTTAVMVPGERIKCLLQVQSAGHAPTGVHYDGPMDVVKKLYKQGGIASIYRGTAATLLRDIPASAAYLSVYEFLKKKFAGEGNAGKLSPGATLMAGGLAGMANWSVCIPADVLKSRLQTAAEGKYPGGIRDVLKEVLREEGPKGLFKGFTPVMLRAFPANAACFFGLELALSFFRIARL >PPA19284 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:804672:805063:-1 gene:PPA19284 transcript:PPA19284 gene_biotype:protein_coding transcript_biotype:protein_coding MDKCPPTSPADRIHQIVEMAAIVCLHCHNMVNDRCPNFNADCTSAKTGASGELE >PPA19310 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:942781:943676:1 gene:PPA19310 transcript:PPA19310 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRLFISHTLSRRPSGRERVYEMKRRRYHFSIQHDNCDCKILGVQWSPDTQQLASTDDSAVVNIWDQRSTKPCLTFTEHVGRVKALAWSPHHRGLLMTGGGSRDCTIRFWDTITGVPMQRVRTSSQTCAVAWSKHSNELVTTHGGNAKEARHLIQVVLKPLGND >PPA19231 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:374187:379868:-1 gene:PPA19231 transcript:PPA19231 gene_biotype:protein_coding transcript_biotype:protein_coding MEASREAKERLGEYVDLLPPVPEGAPVISSRTSSKSGGTERPLLSDCSEERSDWRAIYTVSGISFLTFIVIYTLNIESFVYLTKITSDANVYHQGWQRFYIRLFHGIATALFAVIAYNSKKFRFSVLSGNGMLILGSVLYTLIEVIPDSLKTFSWLLVFALHSGAEGANTVLNAYIPRVSSKADRMQAYSYLAGAEVIAVFFAPLIVIACHLVEGEHEVFGVSWLKVSEFTIPIWLSLFIALANHALIYCTMPEPKENKDLKSIKETWNQAWIEIKSTDRRLLITCILEKCIASFGCTTILTLVPPYVAATFNADKRSQNFYLIIFQMTSGTCALVTAALFALVKPLSRLNSARVFVFALVLFAVAYSLSIPMFDFYSHPVTVANAANPFGCNSTEFAWCEGARIVDTPVWIGGTGAVLGVAFLTANIAHDTMYSQILGKIDQNVLTGLLILVVDITMLGVPLVATTVFSTFGPTTWWCIVVTAMLGGLILWIITLPRFDEYRRQVARRDEEKEMEEASRKITEDFNNPWGMGARRDEEKEMEEASRKITEGKRRIRGFGGQMW >PPA19299 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:873909:878360:1 gene:PPA19299 transcript:PPA19299 gene_biotype:protein_coding transcript_biotype:protein_coding MHALNDLDMGEPSCIGHTRTKWKVVVVSQLDLFLVLPALIKAAHFWIVYQQNDLSTLVLVLLSQLAGFLLLAGVMLVIALLLDKSLLLIPLILLKILLFLALCATAGVLAYAVWFRFEDLVTLVLHNTHLDDLDEKPTIKMASIILLTAAAAAAMAELWLLIVLVRAYRQWTDREIEQEAQRLIRGKGGGETTEATGAATAAGGDESVHGEHSKFELVQPCISHIAGLSKEDVSLEQINTVVITHAHPGHVGNMNFFAQKPVLFHSMEYIGRHATPTELKERPYRKISANVEVWKTPGHTQHDLTVLVHNVAGYGTMAIAGDLIPNENLISNKHDDMADEGVWDSAIKRQNANLIVCMADWVVPGHGQPFRVLAHYRDI >PPA19169 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:50720:62826:-1 gene:PPA19169 transcript:PPA19169 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nsf-1 MTALRLKVVKVPNDELAYMNCCVVGPATFPNAVHLEVVTGPARHFIFTVKTDKSLAEPCCSFTVKTDKSLTEPCCSFTVKTDKSLTAAVCKFRQFSGHQAAIFFRWMPAHPDQIAFALPQRKWATLSLADQVEARPVKIDHCIVGVVFSVDYNKKGDTAEPLDSDAMARELSMQFQGRAFTHVQIQGRAFTHGENLVFKFPDGKGKTHILALIVKSMEGAEEFNGENLVFKFPDGKGKTHILALIVKSMEGVHGISDRKPEPIQLGRLLPDSGIIFDKEEGASINLIGKSKGKSAYRSIINPDWDFSKLGIGGLDTEFTAIFRRAFASRVFPPEYIEQLGMKHVRGILLYGPPGTGKTLMARQIGKMLNAREPKIVNGPQILDKSRGHDWSWMPDKEAIYVGESEANVRKLFADAEEEQRRCGANSGLHIIIFDEIDAICKQRGSMAGSSSVHDTVVNQLLSKMDGVEQLNNILVIGMTNRRDMIDEALLRPGRLEVQVEINLPDEAGRLQILKIHTARMRLLVECRSELEIHQLHIFHRQFLFLEESSLLAGCWG >PPA19250 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:477266:483761:-1 gene:PPA19250 transcript:PPA19250 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGLHTFQSRSAEAIFQLAQSRIQDSANASADDPSRGGLKLKNFLILTFEIRSVSDCQALFRSIEKLSNRRGFHHESPFYYRVHILDDGWDAFDLENEFTNLSVPFSSLISSESRLRIFHYFCQSFPEKVIVPKGIGDDYLGHTAEFREGRSSPTINRPASLRPVADNAPWSTSGRSDESSMQRTPMSVLPRHYEPMIPPHGYSPQLNYASVEGADTKQLSGQQSRIGRIAIGKRIPNGGDRVKLSPAPLAAVEDDDESQISYSQIDMLRTQALREFIELIFFSMLGVMRKKKCFLCGEEMIQARNFPPLSKPLQRKEWILRQDRDDEGTRALIEKHDAIKDPRWCVRHFADPSDSLPIDIIAVSYHVPKSPTILGSKRDQPWLSPLALEEEYSWNDIDIDYTLSKKFKKEGTEYRYSQDSQLEEEDIEIEGEEMENESSTEMGKYAIQPWLSPLALEEEYSWNDIDIDYTLSKKFKKEGTEYRYSQDSQLEEEDIEIEGEEMENESSTEMGKYAIVEDSSLKRLFKRCEECGASLDTSGITIRRCGSARIVSYHCINEECNAFVTWESQEKVGQGRGKVYSANHKIPVAAFVTGMPFPRFIDFGKLLDIDLPCDSSLRRNMREYGGVAIERVFEGWQEAAREIAVNASETKLALAGIALHKDEDGIDGISCRMESEGALRGLVELIEDGIEIRTRVGDQNGMVNKKLREHPLTANIENLHDWWHVQRPLRKEWWQANSPELELIRELLFKPKFTKAFLSAASLIDTAINECYHSLSLMYAAKRSPHYYKLKMRVSMMHYNSLMLDDILGRRKEIGNTMITRKGRIVIAIKRKRSPGTHTWREEVRNMIQSIIRKI >PPA19225 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:351914:352505:-1 gene:PPA19225 transcript:PPA19225 gene_biotype:protein_coding transcript_biotype:protein_coding MMGWMSSYGVFSPCTPPHRTLAEVVANADAQNERAKEPRDNRLTRSSSTGTITRHSRTSRGFSAWRRGTSELTQAGTPGHSREMEEVSPEVRTSSVTRGEPTTPPVQLGRARPYVTRVVKKPKFDEDS >PPA19186 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:157770:165809:-1 gene:PPA19186 transcript:PPA19186 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRVPDADFERAVRLAHAQASGTAPIPDSEQADTSETVYISSLALLKMLKHGRAGVPMEVMGLMLGEFTDEYTINVVDVFAMPQSGTGVSVEAVDPVFQAKMLDMLKQTGRPEMVVGWYHSHPGFGCWLSHVDVNTQKSFEALAQRAVAVVVDPIQSVKGKALMHGLNRYYYSIAVDCKLQENEQKMLLSLHKQTPMEALLLKPFSKCGGSNKESMQKLLQLAGRYTRALEEEEHMTDEQLAIKNVGKQDPKRHIGENVNELLSDNISQNLCAMLDTVAFAWKASGEHAMTPRLLLLANLFLLACSSLDDSALFVRVLSPAHLAYTYEIIPAQFGPPLDAYQHTHRGLLLAACTIEESCNGLLDDMTGKVVIMPRGECSFVEKVANAAAAGARFALVTEVNTVQMSTNTSTNVKAAVSVSSSTATISMSRGDVDTDIHIPSAYMAGTSGSRLHRFLSFSNEPVRVDVPINATDAAILLEKAPWEICIIKFLAHFLPSYLHSKL >PPA19297 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:866302:867883:1 gene:PPA19297 transcript:PPA19297 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSAYLILCVGMALPVLGTPATTEQLRQWILANRKSLPGSDGSGEATPTGGAEGDLASTILRLFGWEKTTESPATVAPRTAKMIAPTTTTTTEEPSTTTEAAATTEEVTTPSTTTTAEPETTTTKKIEGAR >PPA19288 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:822748:823621:1 gene:PPA19288 transcript:PPA19288 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPAVLLITNGTACEYHMEYCATYLGYSEKGVTWNEQGCGTYCGQNSSNLMPKNDCHCYSAIIT >PPA19317 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:987069:994215:-1 gene:PPA19317 transcript:PPA19317 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRIGQDPCGCPYTPSSTCAGCDPAKLTVISTGSGTCTMSCERGWMMASGADYVECADGHSFQGSLRDQGAYQAMGDNPQLSCVKAPTPPKTCPAGSVKPFECTDCDASKIVVTPGTKAECTILCDRYYRLVAHRVVNGAGYNYHAGSASLRNSFWSFYYLGAMQTSGGGPLDQMSFSCELIPGTILPTVPTPCSCAMEGVEGTYTTQCLGDVSVVSCLSGKINVSQSETPGDTVAFERATCMLDGSWYGTSCNGEVYQIARPTPFFNCPDVQLPTVAPTVPPPPPCACAFENVGAAFNMAPPSSTCTNGANQVLKCDDKIYVKSGSDIAEFDSVSCSSGKWYGTGCDGTLSAIDAVSVQVQCGEGAEPPLCPQLPSRGGLRFIGIENGLQRYTCPGQEIAKITIEDKSGTIHAKYFECNSTYYQVSSSNGASWTWYTNKITDFSCRDYGRINQACAVPFFTNAWIQDGVIRCVKGTTLLGVQLSSFRCSPSPSSTASCGALTLAPRNVQYYPMSDLYQCYNAIKEEMKIVTPSTTYYGSKLQCVSGSWQFTGSNTVTIPSGSSVTCSPFQMDVLPSAPSALIYVGQTPEGLSRWTCIDSTIELEMGNGDDTTRGTVHAAYIDCNNTISCVDLGAKHYYCSDPWDIGGTRKGNRYECKRGTFLNSVGWIDLSGKRQEFFAENSDTKRWLAN >PPA19190 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:192333:197099:-1 gene:PPA19190 transcript:PPA19190 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSDDVVWCNRKESISNCRLWILHHESPSRKKMYLLMAALLIVPAGVGSTFTLAQADDSVVRAFLLKSAPDYLLEKGALTGHSGFTPHLIFTILIMIGAPWPLYIVILVFRYKILCKLRRFSMEMSERTRSMHRNLVRALTIHAMLPPIYFIGVGLYLVLYFDIYRHAALEKAIYTISLVQHELLVPEDIHIRHAIDHCCLMSGALSAEEDFPKRSLALPASALVQLVKDGDGSKALKSGDWFNN >PPA19184 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:149511:153825:-1 gene:PPA19184 transcript:PPA19184 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLERGDPYRILYGSDSFGNTCGRENEALRVAGNESIRVPHSGRNMTDKRFLFPLDFRRPLSTLWVCVEACPGQMLMSHGEIERQTGLVQSYTIKLEIRVKPEIIWFEGAMKNESGMNISLCVDETSTRHATAHTHDGHCPVLPVHPSSAILNRCLPNDLLSVGMGLATEVLNVVKDVDWVRTCVSSVMASSWAVLQSIGLAVVLASSWAILQSIGLAVDEYGSDEDEYVLSLTMVFLLRFLAPVAVYFVYVLVVIVFGGISGGLWYAWWTAHGHERYGVDETMESGVR >PPA19305 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:924624:927305:1 gene:PPA19305 transcript:PPA19305 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPAECNNLIKYVSYNAQQDCVAVATDSGVRVYNCNPLTEVVTLSEGKLLRLLCIQSMWYSQVGSIRIAQVLHRSNIIALVSGGRRPKYASNTLMLWDEARKRCVAECAVQGGPILNVFINTTRLVLVQSNRIHIFGLQPLHFLCAVDTGSNPSGLGAMGGDGPSAVLAFPSFKKGTVQLVAMDKVTPSADKKSLPPCVLTAHVGDIAVLAVNAQGTMLASGSTKGTIIRIFDTRTRLPLNELRRGTDPATLHCLAFSPCGTYLASSSDKSTVHIFNTRERNEDYGWSMRSMRNFYEEGKRSCAQFSLPDDTVAKLGFVAEEMEEGSKGTASQMSVVAVCSNGTFHRFSFNKEGLCERRAFDHILQLGSESDFWTAPF >PPA19214 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:299577:308120:-1 gene:PPA19214 transcript:PPA19214 gene_biotype:protein_coding transcript_biotype:protein_coding MFNIDDIAHHKYSVLEDCLFYKLSKEADQMQGKPNALMLLPPTVAAGETLEVDVQKVVVRQMWMSEVFKEMHINGYVGLSWKDRRLRWDQIDWKTDTLNIKSFGRLWVPDINSEKFQTSAQSVDYTNYQNIEARMTGNVTARLEFRMQAQCEIDYSDYPNDRKHCCFKLRSSLYKRYIKFFILPGQDGVSSLDVTPIRTNWHIENSWVKKQEMDDDQKAEELEICVTARRKSTTLSIELTIPVLISALLILLAPFFGKFQQQINVKMFSILLQFMCFQFLANKTPQVGFGESVPKIYIFYAFTLGVSVVSLIATVIISAAARVVRKVPPAHRYTLLASVLNANVCCGFEEAPVTDGTSSKDASADWLQIHVALNNLMSFCAVIVYVIGAIVIAF >PPA19197 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:220174:221669:-1 gene:PPA19197 transcript:PPA19197 gene_biotype:protein_coding transcript_biotype:protein_coding MLASFAYRLYILHNEPPKCSSVVIIMSMAVVPPVITGALTIHATLPPITIIGVALYLILFFDVYYHATLEKAIYTFAAFPPALSAYCTLYYVEPYRRFISCVPARVSFSTINVSVTHSIQVPTKRLQFTLT >PPA19187 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:166406:170113:-1 gene:PPA19187 transcript:PPA19187 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPDAADGAVTALSRSSVASLNDGHDDTADVFDVYSGGLEQRSKIKSKIRDQGSWIRDQGSSPYVALSARSDSNVSMHTVQMITPLQRSDSSPRTAFADSYAEPRTANSVQGGPVSVIMLSSSDDSDCDNAARFNVPPPVRSPSVISSFDCSTASSIGSLVALSDGEVNWYAGQHTLGSGSDVVSSPRGAWGSITLMLEKEYTNRTSARVTCKIMGCANPHHPSQPCQQRRQWGVASPFWQSSSCCTKQGSQPLAGCEDSSITYQSYRTPNTVSSPDRSRKFQPRRPTRAAAATARARIAQMLASSDASSESSDDVLSSRLVLVGRLGCKLGKFLSANYLN >PPA19265 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:664786:666659:-1 gene:PPA19265 transcript:PPA19265 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPNLQSQVKRNDFVNNYGYGVHGLGPSNIGVDSFGYYRDKFYNSGLPPLYTHASYRNYYHEISFHKNKYGLPPTNVFQTLQQERYGPYYRGVWGYADHSPYWFG >PPA19228 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:359861:361330:1 gene:PPA19228 transcript:PPA19228 gene_biotype:protein_coding transcript_biotype:protein_coding MCEARLGKTKSKEVNEISPHWNTIILEYRRYPDNFPLWKGADRQVDWLIATPRSLNYAKECQHYDQQPYQYNLRKLHGPRSTRENEPTRSYFGFATDDSRDKALSYRTELIEDSSAVHKKLVVLEGADDDGDTHFSYRRSVGSRRRDV >PPA19320 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:1005292:1008282:-1 gene:PPA19320 transcript:PPA19320 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVRFMLLLLLSLLAFATVDVMASADFCSLADCPAERCCYKEQEDNTVKVVCSDAPITPTDEFMSTPIHFRILLLLLSFVLLAGSTMHVYLLLAPVLLATEMIPPLCSWMY >PPA19195 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:213351:214169:1 gene:PPA19195 transcript:PPA19195 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEQRLQVARCTKIIIAEGQDHSLTMVLTEATAEDDCELDGDHTEYNQDHE >PPA19281 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:791988:793067:1 gene:PPA19281 transcript:PPA19281 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRLPSLMEPFHQLHSSTYEVDKRQPLMYPYKMHYQNGPISEYRKEFPSKNDRDDSSWKQSQSSITLPVLKSPECSSDSSEGYDNEKTMIERERTIITENEWAMRAMPSNQMNDVRWVDGYRVQVANLNTLIQRLLKDKRESTRRTMPRDSSWIHEEAGGNACRRVEIRRKQDMELKSSRNLSIE >PPA19267 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:671588:673909:1 gene:PPA19267 transcript:PPA19267 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSATVDEQSFWAPETSEETKLKYKQADDLPYLKMLNGVLPPSIRRNYTEYVKLVFHVLLAT >PPA19296 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:846632:850193:1 gene:PPA19296 transcript:PPA19296 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAGVKGGGIFAMSLDQLTVGFRRRSTQEKIMKQNGASWVDPRLKWNVSNYGGIDHLYVKLSKVWVPEAQFCESTSVTYLAPRYAQFVKISANGVVEMQKYMDVTFACNFDTFRFPFDVQYCMYCLTLPFYTTEELDTSEWALALTGLSSNSFHEEADFFYFNVTMTRRPAFWVTLVILPTFLLVTVVLLGLFCGSVHEPIENHAKLMEC >PPA19283 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:796809:803543:-1 gene:PPA19283 transcript:PPA19283 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRATKSVSSSSSSKTMSMSTTSSSSTKTMSVTQSASSEQQSAASYGSIAAVGNGATALTSTTSRVAIGEGVQLNSPRTVQSIASGYTMYSGMSPYGQYASMSIRDAREREKKQMSELNDRLANYIEKVRFLEAQNRKLGGDLDLLRRRWGKDTSNMRVMFESELREARNLIDDTNRTRAELEAQIAKLILELAEYRRLYEEAVLTDRVLHHELLEKLSVLEAEINLLKRKIAHIEEDVVRIKKENHRLIGELQRARNELDQETLNRIDHQTRVQALLEEIDFVRRVHDQEIKELQSMVSRDTTPENREFFKNELASAIRDIRQEYDQRMLTNRTDIESWYKLKVQEIATSSNRQTMEHVYQKEEIRRLRIHLSDLRSKLADLEGRNALLEKQTEELNYQLEDDQRSYEAALNDRDASIRKIREECQALMVELQMLLDKKQTLDAEIAIYRKLLEGEETRVGLRLLVERQLIEQNSLHKSARAEEDEHEILRVLKGETSSRTSFQRSAKGNVSIHETSANGAFIVLENTHRSKDEPIGEWKLKRKIDGKKEIIFTFPADFVLAAGKNVKVFARGHGEVAAPASLLLDSEDSWGVGNNVHTILFNAAGEERATHIQRSSHS >PPA19170 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:63389:64095:1 gene:PPA19170 transcript:PPA19170 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPHARAHHAEHLCARRGYTVLNDDVIRDAKILIVLAGEPSASFPLGRAIAHHSLGRIDSDPTVILPAIPLVTQIRNLDRIVPGSL >PPA19307 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:937334:938222:-1 gene:PPA19307 transcript:PPA19307 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLKTPLPTAARGGTGVRYASQESVDQLSTKVDKMMSKLEDVLTVISSLSPSLPPSSLSHVTTPLASTTRHVYEACMKAIDEKAEYAEKEKRAVIIGSPEEATPTETLKKDEKLVADLIKYTESDVVQKAFTDGKVSYHRHPSDRTGKRRPIKIAFESKELRDSFLNGIRSKKGHPPPLISPSSYVRQDLTPHQLMLEREAKSEALTKNLSAGKIIFGVRDYTTHEARTQTRAADQSTAGTLSSTPLSSVSDAHSAAPPSSTRAPPSVSHVTTRTKRDDTPK >PPA19264 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:663116:663553:-1 gene:PPA19264 transcript:PPA19264 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVDTATPTTVTPPPIVPAPAVPPLIALPKLPFPFALSSGMPPVSPLPENQESNKVPNRLLRVTVPTTVPGFLPRPCTALGIHQVQPQRQSPSESDYSPPRTL >PPA19259 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:580789:588977:-1 gene:PPA19259 transcript:PPA19259 gene_biotype:protein_coding transcript_biotype:protein_coding MHALLEKAAEKKEKKKKEEKGKDVKEEWIPKSITEIKEGEKLRLRPLPMHLESLTTYFAATYRTKPREAEETVGGMLRRALSDQIPATGTEEAQAATTPMPYCRVCDLVFDRLDPFLDHINSEDHWYLKENDSMPLFDESAEGEELTVNQELAREFMSPALVDIREEDEREKEREKEEKAGGREVGRTSAEQGGKLVEAVEVEGKNAIEGKKEGAEGTKEEKGRETPKKEKLIGAILSSLAPKDIVGYRLRRGSTVVDT >PPA19304 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:911939:923667:1 gene:PPA19304 transcript:PPA19304 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPSSLLLLLVMIPAITSQLPEGWQYPDLKCHLAGWFCYPLDEYHEKLPFMERDTTAYKARVKIVPWKWCSAWKIFMYKLEVGPGLYVADELGLFIDPVMKLGIVKNLQKVLANDGEARAVSRPFKPFECEINKDGAQPDAIAVKATQNENTFNCGTNTHAELAYCGVGGWFGKDKTMILKRFREEKVAPYCTKDTIFEDCSKNKCFLFNPSEAPTDLIKVDDSNKLDKKFGAYVIFDSDTTWRLQLQYQHQNDLTSFTIGKETFNLMWLFGFYSAGAVNKLHLDFGGKALEADGVANYDKYVQTSGYKFGGAGASPSSIDVNNLFECNGDLRIYLDNARTGLMKLRFTKSKWLDVDGSPARDFDKDAICKEASITLAGNPKVIESSGVLTCKPGYALTIANSADDKIYASLTVSSNGHWLEDTKDHGTAESTHRAVCYSKCSKSNLDVKPPNTDSEMAEYNHVQTTNIGKLKCTSNNNLLVSIVEGVEKAYSVLTCDNDGWKEGNVVKKNFNAAKSVNDLKVKSRCYKVCDNVNIDTKCTAADSDNGCAEPTYASSKLTCLDTHVLLWGFERLRIVNDKIADKPLICSDKGWTKDGESTLFIDNRAIDLTKKTTARCISKCGKKFVTVSTPLTLTANTIACPGTDMISYTVDSDPAKETLLDLTCDKDGWKGPDGTYLISFAPGSAKQIKAECKQVCHEEFFEIPASETDKTLPTLDASNKLTCDDAHVMRIKTSVETKYIFGNALECKADGFYENTEKLLSFKDDPNEDNEKFGIACISPCDSKLALSQGAEITKNSNPKRDGDVVQCQDVAGQILHVTYGEATIRVFGPATCDKDIGWTGVNAMERPRGTADGNIVKFAGHKAQFKTQCLKVCDGAMVKDIKDEKDKNDHPYCPAADLCASIKFNQQANGPTLECKDNNYRLVINDENNDNKPVTVTRAKTMACTNEGWTEGTEKVVDFKDPDEDLTKPVEAICKNKCHPHFIKFTNEEACPKETECSKPTYDPSSKTLKCSDNTEVLVLEYNGELKESFTEATCHLENGWSNGQELFKMDELNFRVKARCEQLCAFNVDKAALNDETETGLDYDRVAKMLTCNGTDEMLAYVISYVVCVSCSFRLNGVGVYSDLTCTKEGWITDPKIKDVDGNPMKKVEFKPIIASEADAAKAQRDERAKQFTCVPNDCLRAFKCKGHEYTKVGTDEDCINPDITKIKYEMSCTSPSKLTNKDQTLSYDTLRCSDGAWKSADQSTLIDKLNPDSDEINKPVSERPAFDVFCNALACTGCTVDDLTIIQSTTDANIAAYQFNDGSFTTCSHFKCGSGADYVVEGSGKNKYYRNTGSNPIKCSSTKQDVKKTFTAPDGRSNIGGKVGCVKQIPCNEHRPLQTDCQGLKSTCDSDAFQQRLKIGSLTSESEPVTCDTLGRMYYQKDAGKTGWKIVDKLLCTKTGEWNFTYYEVKDEKKEHVFGPETLSETMKLDSSKAETNPRVICAVQDPNPEAKIPDNDPKTATCTYCPFPPVLECNDGCKNAQEHATATNDGNGKCEMKIINGRFKINGGDEIDKGTVLTCQMIDGKYPWMTPDGKKIDSLAVIMKDSDNISTGAIAGIVIGVILVLGLIVFAMVYMAIRKRKEMERQEKLKNKGLEQKQDSIIDGATIVDDTKTLVL >PPA19207 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:260320:260830:1 gene:PPA19207 transcript:PPA19207 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVLLLVLICTSAIQAEAPGKRGSGFPAGRSGAPGKRAVDIVLDWQPAHHIFKRSAPFLTSFPAAGPGDRQILYECQFSPI >PPA19262 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:630691:636234:-1 gene:PPA19262 transcript:PPA19262 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVCDGNDKNELRKCPDRDGSCGEYKGKRSDGILLDAIDLRCDAGRKVFAPIDGQMEFFRPFGGKKDKKCADAGVKIEGTGQWQGYVVYISSVELDHFGGSVKAGDELGKAMDRVCNEDESQKGVEPHLQIKVTKAGQPIDPTYHLQQCMCTGQICEGNIANTLLGAPFKSDSRYNGVKGYDIECPMIEEDDEEGKRRAPIIYSPINGEIMGRARTHFDANGYYSGCENDAVFIVGTDQWIDFEVRIYNARYREDLPLGRHRIVQGQPIAVRLTCDSAPDSVFVEIRHQGRVIDVSDMITAESCKLPNLFN >PPA19238 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:416384:417791:1 gene:PPA19238 transcript:PPA19238 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAHHPNREKESVRKRQGRTRREKNDYCNGDLCNNLVPSTTVPPTTTTTSTSPPATVTTAVAPNDSKQDGKNPAADPTALFLSLAATAAVIAAWV >PPA19278 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:772978:775159:1 gene:PPA19278 transcript:PPA19278 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPQQAAGAETGDDCWHRHVEKQLHSTYLCSKMPSLRVLLICAALILAVHCSCLSDCDECTQAKGAACWYNNWQRRDDRCGTEIGKDDDRRFSFEHPFGGRCNCCPPPASSEED >PPA19313 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:972480:975440:-1 gene:PPA19313 transcript:PPA19313 gene_biotype:protein_coding transcript_biotype:protein_coding MIYCGYYSIDLLTWLGSDLNTSVDGVDDAVGFKEGRMGDGNAIGCPVTAEPQFLQLLHTLSASRFMSLDAPRLVGDFYLNLTDWSCQNVVAVGLHQDVYLTRFQKSKNNKVIHLCDFATSDDVGSLQFSESGELLAVGTNKGLVQIWDVQAQNMIIEYNGNCDRIGCLAWNDNLACAGCDNGTILVWDTRECTGAVMKLRGPEILDRDRGVVPKVCGLKWSPDKQQLGSCGADHRAIAWSPHHRGLLTTGGGNRDGMLRFWDTIAGVPLQRISTGGSQICNVAWSKHSLELVTTHGYEDNNLITWKYPSLRQEIVQPNPARLVYMAMSPDGESIVTGNGHECLDFWRVFRRKDDENVDDQ >PPA19185 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:154081:154745:-1 gene:PPA19185 transcript:PPA19185 gene_biotype:protein_coding transcript_biotype:protein_coding MCCLFPCCGHAEDDGNERGMSKTSSRSCTDICFLFVFLIFCGGLVETIRKPGET >PPA19232 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:388565:391051:-1 gene:PPA19232 transcript:PPA19232 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVVLGGCLLLKCIGSFGSTTILTLAAPFITATYNTDQYSAFLSIFQIIAGLSSNITVALFMATGMSKRLDPTTTEFLALATFLIQYAASYPWFDAFSTAVVLKDAAHPLGCDSLQYTWCAGTRIVDPWTWVILTGALIGIAVPLANIGFDTIYSRELGNIDQIYGQY >PPA19222 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:346175:348452:1 gene:PPA19222 transcript:PPA19222 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGKDRCYVARAQDKRISTLCQEVTWFGHERNSDREEKFRGRWRVWDRLWDLWDGIPGWLYVEDGQWFEHKVHWRRNAVGFSKSDSDYQARSFGRLNGRDLHYDKASNDLHLTSVLESSLYGKISSQLIPKYSTFRSVGPSFTFPLFSSPRTWKPETFGRGKTQDPTY >PPA19257 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:544366:545162:-1 gene:PPA19257 transcript:PPA19257 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKIMACSCREGKPFCCEVCWVLLPSLRHFKVHMLSDAHDKKGWQRYGRHFKVHMLSDAHDKKELIVLDIVPCSMTLAYTIGN >PPA19215 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:312682:313047:-1 gene:PPA19215 transcript:PPA19215 gene_biotype:protein_coding transcript_biotype:protein_coding MALALVLAAAAAAAVNAAVYDPDCKWRANVTESVCSPDESSVCSRALWMRLSRFQ >PPA19301 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:895943:898286:-1 gene:PPA19301 transcript:PPA19301 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLLAPLIFLHAVEASNNFTVEQVEVFSAPPSNLPLTKVEVMGKQVFVRQPLSLPKREVSLSSLNALAAISEERPCFCPDFALAREQFDDITAKLFSPFIARVDGVSERVTTTTTRAPPTKAVRTRRNYGGSYGGGYDTYPQKPKYPLSQCFTNDAGE >PPA19223 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:348476:350156:-1 gene:PPA19223 transcript:PPA19223 gene_biotype:protein_coding transcript_biotype:protein_coding MVQATHNDHQCAVHSVTMIINALYTRHKIRPEKISMYDFALGTFKRVLEEVQQGVGIVLPESFKEFTKAPKNPRPKGWALRSTKKTGRYDPVARKLVDDLFEQYFSNGKKLRPDEAEKRMRERNDILPAQRMTFDQIRNRITTLLSQKKEHQRKEHGNRQRRYVKLIDDFERDLAEEGISLDEIEEEVDLERPLDEDDLIITSDEIYDLVHSNMEFFDNPSEPVFSDFGEFEQ >PPA19242 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:431223:431552:-1 gene:PPA19242 transcript:PPA19242 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFLSVILLQQALAIPELCFKLVNSIVRRDSVGVVTTTSQQGCNIRCIENPGCDACMFYADRGK >PPA19248 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:465912:469516:1 gene:PPA19248 transcript:PPA19248 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVAYRKYLVLLVFVLHSTAEGSLIIVRSYVPRISTPADRSAAYGVKNGAVLLSVVAGPLIQLVFSSIPWPKDGVVIMDPYFKLNQFTGTIWLLLIFNILAFYLVVVHIREPSDMEGEEEDKPVPLVPSLRKAWHQLKALDVQLIVMLLLEKSISGGGFAALLTIINPYVTTTFNFSNGHALYIVTVASVGVGIFSIAVVGLFIFAKLGTIIPASRSFPISLLLCLTMFILSYPLPLISSQVPVKSAENPHGCDASVYAWCESLFMNPKFLWLGMVAVLTGTAMPFSLISQDTIYSRVLEGLDQNIMQAAYKMTEDVALGAVPAIATVWAC >PPA19237 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:410669:413150:-1 gene:PPA19237 transcript:PPA19237 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFGTLNDLISVFSDFFTMQRLLVIPGNLIYLSLGPCSLISPRACYLVYCMQLCTLIYSLMWILNFSTPSTRTVVIVMILLYFPPAILAFAFTFAQADIEIVQKFLLENAPSYLTEPGALTGHSGMTFHLVFTILFVIFTPGPVYVIIMVLRHKILARLTQHSTDMSDRTKKMHGNLVQALTVHAMLPPVTCIGVLIYLILFFDMYHHVALEKAIFTVAAIPPAVGAFCTTYYVEPYRRKSLFKHNLHTGTPRNTLFPSFKPNFYL >PPA19193 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:205839:207741:1 gene:PPA19193 transcript:PPA19193 gene_biotype:protein_coding transcript_biotype:protein_coding METALDRLNQALPVIHGIMFLMGFSNNTALLIAAFMRAPPALRSYSVMIKVGALNDFISVICDTFAMQRLWILDNASPRRQNVLYVMLALYSLPAFVVALTVHALLPPINVLAVAIYFTLFFDIYRHPALERATFTVSTIPSALAAYCTLYYVEPYRRWNKNSSCITCADFPWLELRRFQQLIMENRYRRPSFRTDFERFRSPRPLRIPAYWD >PPA19174 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:91218:91752:-1 gene:PPA19174 transcript:PPA19174 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFRTEILPVFREVTDDETNMIPFLVEMGLHFMRAGYLSDYLKNSHKWYRVIYSTSRRI >PPA19235 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:404698:405064:-1 gene:PPA19235 transcript:PPA19235 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPLFATYSDKNEVIQTQITLPVFRGPIRPDVMSFIHDQVSKTCNVLDIKAPNLVGNDGRDFSNLQCVRHK >PPA19167 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:42582:50378:1 gene:PPA19167 transcript:PPA19167 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAPAAQQQQQQPLPEGLRQRIAAGDPRPTSDESDMDNILREEEESDAPQRARNESIGNLTKVLPQGSDKMGIFDPIIDMVPEKWRNWVVRGIFTVIMVTAFSLIVSWGPKALMLLVFAIQFMCFYELISIGLVVYRVYDLPWFRLLSWYFLAASNYFFFGESLIEFWGILLRKDKFLHFLVAYHRLISFGLYCSGFVWFVLSLQKGYYMRQFSLFAWTHVCLLLIVSQSFLIIVNIFQGILWFLVPVAMIICCDIMSYMFGFFWGKTPLIKLSPKKTWEGFIGGAASTVVFGLVLSYCLLSNPFYVCPVEDYAVDNANCTIPSSFQLREFALPKPIGWIKPKAES >PPA19216 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:321742:324120:1 gene:PPA19216 transcript:PPA19216 gene_biotype:protein_coding transcript_biotype:protein_coding MGPILLVVFTVVAYVMVYATLPLHVRLLYVLVRPKKTVALDGSFLTLMINTTIVNLLFSLDFSLIQAPAASGALFDFYKSIGMAISKIEMIKATTLIFLGSLFHLVLACSRLTAISMPTRHKTNSTQLQIWQYLSYICCALWVLTFLISIPLLLPGTTLYLIRGVRRSQLEAEGVEPPTFRYQSFSIFGVPAIEFSFGGNYFLIYSLGLSSIGAIMEIITILCYVGMIIGFRRFILRNKFVLLNKEEFENNRPSTSDFHEHKIEYRPNVQGSSEFKWACSAQL >PPA19192 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:203955:204424:-1 gene:PPA19192 transcript:PPA19192 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAMDILDASFPIIHAVIFTIGVVNNNYSIIIKFETFNDLFAVLGDFFT >PPA19269 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:696716:702098:1 gene:PPA19269 transcript:PPA19269 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAHPCSSPPVADAIRALCTALGVNGTHPDPEVSLRAACLYIERELNPAALKSWLAEVEAKRERLDVKSSFPLGVVSNKDPAVNIAARLIRVLALDGLKSSQSAINATLIAFQNTVAEMEREKKARK >PPA19229 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:366001:369512:1 gene:PPA19229 transcript:PPA19229 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSQLLGPYLAKIELIKSTLVITIGSILHLVLSATRLTAIIVPMSHARIWSGKKLPYGCAALWIIAAIGACPLFLPNATKVGIITNVFNSTGIEFFFVGQYTIFYSIGCSLVAGVVEVFTLLAYAALLARLNSFRCKRGGKRATHNERCDKNHDRVYNDKHGLLNAINNVLTPWVIFLGFPKVREIVFHRESSIPDALTVMPHNHTSSTTPRTQIIRRPPSMMVP >PPA19292 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:831644:832927:-1 gene:PPA19292 transcript:PPA19292 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAHYANAGLDDSNLPYEILLNIDGVEDEEQANTAEFGNAENLILLALIIVILYAALLAHHHFYHPKVTPSSAELFLSSPPACNDSIRVVNITGLTPYANELHFNYFTQVNATSPGIGRLWRGDAVISVNGTDVQGNHSDVVWRLLLVNFPSFVTLEVKSCTEALDQLEQCEAKYREKYQKHHYPYRYIDLLRRCELEDYDLNFPSLMVGDVWRGVMYFIHEHQGWFPTTHKKAL >PPA19308 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:939074:939859:1 gene:PPA19308 transcript:PPA19308 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDGNGIVTVWDLRSTKPCLTFTEHSNADLNVRCAVKALAWSPHHRGLLATGGGADDRTLRFWDTLTGLPTQRVRTHSQICNIVWSKHSNELGTAHGYNTNELLSWKYPELKPEYVENHPLDARLLYMAMSPDGKSIVTGNSNETLEFWRVFGKTREE >PPA19253 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:491361:499708:-1 gene:PPA19253 transcript:PPA19253 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDTVSWLLYYIAAPFLILLAVVATVLNVTVFMSRPTKSCYPPGVGKLVATFRLRKAQAASLFWNSYGPVVLNMRHNNWCFPMALEVFRMGFMLTGVFHIAALASVHYLQIVRPFDHTRILSLAAEKCNNEAPDGMDFYYNIFFRGQVSLIIFIMMLATCIIYWRLLRVVDNVRQKTTMSANKNAPASKTMSVKSWKSGGSNGCNRGRRTVVTATIIYGTFLFGWMPASCLFILTAKEMPLFANKKSWFGVVFFFSMMCMILKTLTNPIIYATRIPEVRNFVHRLLRIRPTTSRQVTLEATSRRRSENGETSCKFKI >PPA19243 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:435913:440529:-1 gene:PPA19243 transcript:PPA19243 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFDSSVTPVYAGFHMAATKTGHATGVVLFSVYAYSAKCFKKPLLIGHAVSFLAFGLYLCIMLFPAPARRYVFLIAFFFQSFAEGSSIVLRTYVPRVSSKTDRQAAYGVVSGAMMFSILGGPLIQMAASAPPDWKPLHWLEFINYTYCIYLALLLGIVATVIICTKLTEPAPIKDADEESFSEKMATALTELRSMDKVLMAFLFFERIVTSVTFSALLGAFIPYMQMIVHGDHTKNTLFISGSQACAGATSITAVGLIIFSPAKKKQIARIDDTGVHITYSRNLLASRIRSSCILFISLTCYAFLYLYSYPWAPISEPITVWSEANPHACNTTQLEWCAQQSHVKLWYWLPPICLLFGLAVPTAMISIDTVYSKMLGNIDQNLMQGALELVNDLASAIAPVITLKIYTDHGPATFWLVLSGVAIVGFLFWLPMIPKMRRLKM >PPA19177 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:109390:110569:-1 gene:PPA19177 transcript:PPA19177 gene_biotype:protein_coding transcript_biotype:protein_coding MYCANLSFGERWLDDCIKLLQHISMCTRIRALETDVLESPAVQLLLNGKRIRSLTIANSLLSKTVQDRLMKTITVGGTEKLILSVGRIENPEPDAFLASLSTIVYSLKIQQLERQEKEELPLMGKKAVVLCGFKLERSWSERCKKLRVYPISSDLGSPSTNF >PPA19173 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:86926:88146:-1 gene:PPA19173 transcript:PPA19173 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVFRDQRSLRSLVVPHGGCRIQRSKITSVNDWAGPEELNVHLDTFARVSSLILVVLSASSIASLLFPVEISSIHLVTCGLKVATVATAAVTATICSLYDIGDEAAAVATLSAMSMRGPLATGDSALRKELRQEFRSNVQHGQQQQAPPPPPAIGPIAIPAPPLPPIAQQNGPVGGPPPPPLPAPIVRPIEAAKV >PPA19241 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:427180:430022:-1 gene:PPA19241 transcript:PPA19241 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGLLHGTGVYPRSGGNGDRTTTKISELCFKLVYSTVRRDSFGVVTTTSQQGCNVRCVDTPGCDACMFYADQSKCVLLGKPQSPPPGQCPISYACYEKAYSGCPMRSPFPADRGYTPGPCSNPSDLANPPRAARNNSRSNAILHDGTRVSLENDERSFIDWDPYIGSWFFTVHMTTIYFRSGYCIHPAVPALSGCGCAPFASIQQIPAGITVDTSQPAPKIDDPYFCPSRALYELVGWHNSVKIVGMCSNFTRVIPRANITCHKKLAPQVDL >PPA19295 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:843012:843284:-1 gene:PPA19295 transcript:PPA19295 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGWLFSTKILGTLCGVPAQVSNEERNEFALKSFKEYKHAGTFGGRVDRDDEEDSEV >PPA19205 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:252390:252720:1 gene:PPA19205 transcript:PPA19205 gene_biotype:protein_coding transcript_biotype:protein_coding MIMFNFLSVFLLVLLLSVSCAPDGAEFKGLRGKREAPSMDKHVLVKRQPRGGHTY >PPA19200 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:230363:231225:1 gene:PPA19200 transcript:PPA19200 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLKCLLAIALLKLPVEAQIVNSRSSHISDFLKLKCDSLLYRTAYIDTRCYFSVPIEVATDVPASVINAMMVEEF >PPA19285 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:805293:807195:-1 gene:PPA19285 transcript:PPA19285 gene_biotype:protein_coding transcript_biotype:protein_coding MSWVPLYVPDFILAPSFCCFLAVLSVTKDQSLRTPFFKFFIVTGICGLSTVVTHILMNRVIWPAYFFWMPDSLLLINQSGMMGATLGKFFIALHRYFVLKQAAVNEKIWSANLVRLLIILQISVPVLITGICFSFGYVVQSVSNGSISYNVASQGQIIQKFISNALIGAYVIVSIALTYLSSRKLNDLQVRLEGQSKRAVLRHQKNMFIVVASLIVIYSLNGKIDRSVYESLLWPTFVVTNGLATYAPPLILIYCSSRIRSLLFGRITGVRDFGSSEQTNIKYDQIARAICKI >PPA19183 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:144131:146108:-1 gene:PPA19183 transcript:PPA19183 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTISSILGQEATVSEQALIGLALGASVITLFIILLMWCLLPRGKLLVNLFKQSGQALAAMPCLLIQPLSTAICVLLVGGYSAANALLVYTAAAPVPTLHDDTQLAVVTYNVTQTQKICYVCARAPLGLLDKLIPSNPMYCS >PPA19188 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:171366:172007:-1 gene:PPA19188 transcript:PPA19188 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLFFIALALLPLSTALKCYEGTDAFTDGQRNDQSVDKKVKTCPGDSAVCAWLSMPDVMTAIFWKQLVPVPHEGETIEILRDVCMCKEDLCNESKVKAELSVLRAPAPPSGPTPEIQRVES >PPA19220 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:335257:338005:-1 gene:PPA19220 transcript:PPA19220 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKPSQKSSIVNLIFSLDFCFIQAPAATGVLFAFYEALGPYIAKVEMIKTTTIIQLGSVFHFVLALSRFTAIARPSQHATICRKLSRICFALWLITFALSVPLLLPGSTDYLITTNVFRVPGIEFTFLGNYFLIYSIGSSSVGAFMEVITIACYVGMTAKFREFKGSGHAGQYTFL >PPA19210 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:274314:275934:-1 gene:PPA19210 transcript:PPA19210 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLPVAVLLSAILLIGNHHYHFNYYNYDHYDYSNHNDDYSDADYEQAEYNNVDDDCNNSFHDQTQHNNVDHNCDYTLYDQGNHDFYISPLHNGQKSRRQYGRRRNDHNGTYYYNWWHGVHAFCADLPCVDAIQSNGIGYDMTNGKGPLGRAVSESSITAVRAACPNGSQDLRNLGFTKSGNKYIHNLAGSGLKLGWVATI >PPA19234 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:394083:397206:1 gene:PPA19234 transcript:PPA19234 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYSRQLAIESRRPTASEGLNCVDSGHQRSYSLISLGRVAAHGEGGAADRVAELDRAHPAIVVDGHGRVDLECGLRNEYDSIAVEICPIDLVII >PPA19212 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:284323:284916:1 gene:PPA19212 transcript:PPA19212 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKFDMLRQNQSYQPDWSSTWKEQPCACAPAEYGGMISYFDPNFYPTQFVKLNAANKVECENSLYANPAMYSLDPKTSPCLNHPRK >PPA19202 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:239541:240960:1 gene:PPA19202 transcript:PPA19202 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDEVRTNTYRNSIYHNKHLFKDKIVMNVGFGTGILSMFAAKSGAKRVLAVTAKAKCIFARSSSPTWPFSPDKSSRINLDSIVEVIQCTIEDIKELPFGVEKVDIIISEWMGYCLFYESMLNTVLYARDMWLVPEGALSPDRHKLFITAIEDRRYKEDKINWWDNVRKMAITEPLVDVVDNNQVVTGNYCVKEAALTVKKGEELKGVFTCASNARNERDLDFNIKVSFHVPIPVVDC >PPA19287 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:821195:822282:1 gene:PPA19287 transcript:PPA19287 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPILLIALALLPLSDALQCKVKASSSGLPPASRQRFYNHAAHLPPVETCAPGIEYCAAFSGVDKGGAKFEAAACEDVSVKCAEEGCTATEKELPLVEGSVLMFVGHTCCCKGDMCTPPTPPPSFSPKFAGSGSGSGSGAEKPAQ >PPA19175 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:102942:107119:1 gene:PPA19175 transcript:PPA19175 gene_biotype:protein_coding transcript_biotype:protein_coding MKTREIAMDSEAENGTQWQIRPDRISRAQSELNNQFNLDRQVEKFLNLKSESDKRPFGRSYTDQPNESQAKTAQKSINVINPILPATPDAPLPESAANQAAESALPKQSLNIIDKGPQSSSETVAAKSSLKRPPWTFSNMISLALIESETGMLTAAHICEFICHRFPYYREATFAWRRSVRHELWNNRSFVCYGSDAKGQERNKNAKWQIRPENSVDRCLVKQREKVGNATTTPVQPASDTEILYSFPDDIAVVVEEVCDAAPQTPITICSFQTHHRLNQHTSTHTRPMFAPMSNRASILRTKRGFEATWYIPPKNSEKVKAELAAQLEKDRQYEMDKAVVQDNDE >PPA19294 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:835763:838370:-1 gene:PPA19294 transcript:PPA19294 gene_biotype:protein_coding transcript_biotype:protein_coding MFHEEFLRSTALLATVSYSSASKGYSMAELHGLTHGQRNVTVALSNRFTCEVFRGVRRHIESVLHYTQSPKVDIISHSMGVTIARAAIIGGTLNFSDGVCHLGHSLASKVDTFLGIAGANYGVCYCAIQHLMGFEACSHDAFATGVCQVNGENDETMTAHAPLHCAAENVSCDNNYASILRQINERNEPCGDFTVSTWSKQDEILGGTNMAWGKKTSHIPNSDLTIEYGDLTHSEIKDLTSRHQHELVNMHAINVEVPRIRHATDTITAATTPLTAPRIVISLLSI >PPA19298 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:869427:872758:1 gene:PPA19298 transcript:PPA19298 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDYAYCKPSSVGDCPVGFLCDQSFVLGRSICCRDTRPKILPLQGSQSTVRPPFSWNTVTPTAQTPKSATTRKAPWYIKDRTAWKAVSAKSTAAPFISIPEKTVKRVENTPSPTTAEPSTTTPTATTTEAPTTTTTEEPTTTTTTTTAASTTKKAINNPWSSLWTSTVAPRATVNVSVLQTGNIRHLKDSQLEMVGTISLINDNGFYVLVDTGAAADTERLLHSSLKFLQFTRVMIQHRLLEWNNE >PPA19226 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:355358:356091:1 gene:PPA19226 transcript:PPA19226 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIEALRRSLRDLEVEIDLEIAALQARVAALRLPSKCVGPAMSNIEALRRSLRDLEVEIDLEIAALQARVAALQLPAKCDGPAVAAPAPTRASGAHTEAKTPCDRLPERDLLLQEWQQKVCEWSETGDFVFTASEAEAEFFQDCLDTKLKIEANPRS >PPA19275 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:724580:725025:-1 gene:PPA19275 transcript:PPA19275 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGTGPGFGGAGPEPGSAGGPPRVMQAARAKFRRAQSVQEESPGPGCPINSNTQLIDFAAAQPLGK >PPA19289 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:823875:825293:-1 gene:PPA19289 transcript:PPA19289 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLALLLISIPLQLHASVVNATGVNLHLSGRILCPSGSDATSINLLLYAKIKNELILINKTFADNVGAFTLDTAISAGDHLILYELFGCKNTIHMQCLGLNKGNRLCEQSYAFLVHTLDIEDTGNAWRLNGFDNVKTQEEQIAIDKFPVDMSRIYKSMRTVWQKDLPIGTGSDITDEYVKPTAQPDYIAPPPFVRIG >PPA19179 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:121126:128275:1 gene:PPA19179 transcript:PPA19179 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRRLIEKNRYRLPILHPVDRYRGPPDYRICGAVGVGGREGRTAWRPLGERALCTALCPAGGTQRDSTPEGVKNLIAALYEGNWLATPQAIVRAARHFEAAAQVCTRRRVMEACSKHLHPRTICARADNASGADSLPTRVRVALPARIDLFGGWLDTPPITLDTVAGVLNAAVLIDGERPLACSVSRSPIPGYSIGLEDGSMMTLSEHEVWQRHDKPAMQGALVCACLVACGFVTRDRAGDKRDDVKNASGGLEIRLESLLAHGSGLGSSSILAAACILALWEMSGEERDDERLVHVVLYVEQLLTTGGGWQDQVGGVYPGLKLARFCPERQTVIVQPITVSPSLEHAINDRLVIVYTGQPRLAKNLLQEVVRSWLTREGDKCAALREMSGEVKRAEEWINSDALPLSHIAQYYSIKKRLATGCEPEGVTRIIEALSTVSSTCWLVGAGGGGYLCVALREEYGSEQAQLAIDRAGLANLRVQRVQLCHETVNVERQID >PPA19191 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:192484:195236:1 gene:PPA19191 transcript:PPA19191 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVILLSVPVAIIAVWRAVPMHANTRLIYISFLTHCFFASAARIPLIYHQAYGHFMDEILYQLVFASVVREFTFTYPSTLVNMLAIDRLVATFAWARYEKQRASSYLVVILLLICAEMFTLGSSVAIIFKYTTLVAHFSLQSVVAVFGWLAFALVYLLNHKLLGRYKCQVRLASNHYNVNRSYQIRENLVVMKHLSKLVLMTPFIYLPPFSFFTLSLIVKEPFLQCVFKALFDIAVSFFTASLIVRLLTADRRFEKGLRSITIFDELYKCRGRERESSIASITTTTVHRDEMSIHFTQLSKDWATTRF >PPA19203 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:243500:244385:-1 gene:PPA19203 transcript:PPA19203 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLPVLLIALSLLPLSGALQCDMKLGGASKTIICQNGIEFCSARYLKDHEGKDVTWTMCDVAKECREEGCYEGKLGYASAKICCCKDDFCNESNRPLALPMVAAPPTPATTTTTGAPKSAVSHQSPLAAAAAVIVAALF >PPA19318 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:995341:995953:1 gene:PPA19318 transcript:PPA19318 gene_biotype:protein_coding transcript_biotype:protein_coding MKSISMSGKLQIGSIPSAVTERAPRKKFRRINDSFDDSGEDEEDRQADEIDEEVVDRQPEEIGLEDEDEEPERDETHVSTTQNVKGKTIQITINIT >PPA19290 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:825612:827470:-1 gene:PPA19290 transcript:PPA19290 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPPILLIIALALLPLSAALKCYVGTLAFHPNGTLNAEVTVEKNATACAESVSNCYWAYGSSERGYGDEWTCDDEGKCPSRTENTTLDCGSGTTNGTNAANEPISALYEDCCCKGDLCNIFEWTTTVPPTLTPAPAPITPMVLPPFSDDVYGPNPATGPTTWLAAAAASAACSCCSLCCSLCC >PPA19303 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:901539:903856:1 gene:PPA19303 transcript:PPA19303 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIPVLSCFLLLWIDYVSTKKHAQPAKEHDCGHGSNHRVKDLINNLKAKGMTAAKAKPAPSVHKPHFDVPKGGRMVGLKGGKGILVFGPVHKGDHHPRPPTLTPSSVSSEPTTSVSAAATAAATAAASNPTDNHAASAVTATTIATLNDDKKNISETPPSPVHP >PPA19277 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:765117:767393:1 gene:PPA19277 transcript:PPA19277 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVLELVLIQIEIRRSRTVCEHVSTLPIVTSFDNVLGGCLSTNNNYHNNHYYRAIDDYHYSEFEYVYINCFNDNNNSAVDYDDNWKLVFNNHNYRAVDYYDNGRFDYLNVDNFHYSKPGRFHYDYNKSSRGKIETELQQQPDFQSLRLMFDIAATAAQLWNVNFYYNWFFGTHAFCADTLCTDAIKANGIGYDMNFGKGVVGKAVSEASIAAVRTACPIGSANLRNLGFEKSGKHYVHNLNGVGLKQGWVSTVNGACGAKVPIKRWKSRFTDDMMYTVNLEWNMWYQGMIQDNGQVLFYMWE >PPA19316 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:981399:983011:1 gene:PPA19316 transcript:PPA19316 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRYLLHLFIVSMLSVDGSQRGETQETNMTMVRQRLDLRSGMGGRVTGLAWNGDALCVGYHFGGIIHWDERMPAADSTGCIKTLGHRKNVCGLKWSPDKQQLASSGNDYQALDWSPHQRGLLTTGGGLTDGMLRFWDTIAGVPQQCIHTRKQTCNLAWSMHSNELVTAHGYGENEILTWRYPEMRPVFRSPNYARKLYMAMSPDGQSIATGNTREEIQFWKVFPKDQKDTDPT >PPA19306 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:932630:934737:1 gene:PPA19306 transcript:PPA19306 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGKILDAPGLKNDFYLNLVDWSNKDILSMALSTFVYYVNMTDYHGKDQEDQIKVLCADTDHTNFVSSLKSNESGELLAVGTKKGWKAWDVQAQTVVSGWKLGAYRCLAWNGNMLAAGSLGVRLRGAVMRREDTSISDTPKFHWADQSDTIKGTLQIIGTVWGLSKNFKVVGINRIMNRLWKARANVVNE >PPA19165 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:31661:35529:-1 gene:PPA19165 transcript:PPA19165 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ric-3 MGHPSFGQGQVPAADAGSKGGARSLYTFMIPIYTVGVIAFLLYTMFKSKKKNRRNRRRGSDDEYSDDEDEEESRGRGKPLGGRKMRDLQERLKQTESAMSKILEQLEKAQAEGKLTPEMMANLGEQASKVEEEVGRFVK >PPA19311 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:944831:947222:1 gene:PPA19311 transcript:PPA19311 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSIRCSASNVAIAALFLFVFRTLPGHLLGEPWTTFAIVFTIVHLLTIIYLFAAVIEEFGALVLPYIVFQLIGCILMMYASARDLLGLNDEDSMKTSIEGEHAVLFLGRLAWIVMSSSASTPATEDAIESSTQAGLASPLSNTLYALVRWEITVS >PPA19218 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:326866:328853:1 gene:PPA19218 transcript:PPA19218 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEPMLEHIAATVPNMADEWAANEVNEAVDVAPAFELESDLPEVKLFGKWNRQEVNVADISLVSYITVKEQAKRFRKAPCPIGERLAWSLMMPGRSNAHECPHRQALFRDHPPPYWRGYAAAAFRNIKTIAECLADELINAAKESPNSYALKKKDELERVAKFNGYAVLLSRHTSLTLKIFRLLLMLLGKL >PPA19199 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig43:225736:226666:1 gene:PPA19199 transcript:PPA19199 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRNGGGKEESAKITTLVPASSSILSPLSSSKVNNLTVDIKHTFCPSGIKAVDTPVLLYKRVEAGKYELACKLRDKQR >PPA19161 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:9778:13708:-1 gene:PPA19161 transcript:PPA19161 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLGLNLREEQQRIDERETDELLANEEGKFHIDEHTVVDFERKDIVIDDTGRLGEGGFSDGVYKGTFKHSTMDKCIPIAVKLMRIEDRQNRRNNLRGISERTHETKVLRKVANHKNVVALYGFVNYDMAFYMCMELMDASLLETAVTKTRADTNYVGTHSYMAPERFDSKTYGITRGYGSKSEVWSLGIMLIELATGVHPYGGIGETFLSDVITDASQQPPRLTDNEYSTRMRNFIDSWCAFLCFCNDDYQNPIPIRNP >PPA19208 pep:known supercontig:P_pacificus-5.0:Ppa_Contig43:261002:266324:-1 gene:PPA19208 transcript:PPA19208 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGPNGTIKSWINDAELIRTQREARRGGVSPLIAMAQSTLFVALCVFAASAFASKDLTAHFLAPRNLIPKSLQVKNYPGQCTAADQTKTKSCLDSYFLTYGIDSSKGLPGFSEYMKRTESVIIQYGAAGFDFYCDFESTLETCLGSLMTSACMNPDGFVKMYGLEQLEAINYATSYPVESYTCQYKDLAKSYYPCMMDMQNDGLQGLVDCTLAMSKEFENATDTCVPIDHYVTCIENYYVQYCDEGIRSYICNTQEIAFNFDMDGMCQNKMHQYYFDIAILWGRGLSSKRTLNRISSIPVVATVTTKKCLNAYFAAYGIDATKGLPDYYEYQAKITSITDNYGVQGYDIYCDYECTLETCLGKLMNSSCMNPNAFTIMYKTNQANSINYATSFPVEAYTCANKEVVKENYDCMVDVSKNHFQGIIDCSNALNAALPGTDDTCGDLSTYILCMEDLYVGLCGPSMKGFICNTQEISFNFDMNNFCEGKMPDCEA >PPA19323 pep:known supercontig:P_pacificus-5.0:Ppa_Contig430:3634:5303:1 gene:PPA19323 transcript:PPA19323 gene_biotype:protein_coding transcript_biotype:protein_coding MANVENTQRSDREDATPPSSPRSTQSNSSLCSEISEGRFEIDKQIGIEANSTGMYTLVLWSDGSTQWLPISNMNYAKDKTNKAGLILFIAGMLFIDPEHQFPRQFEVYFGYLKIDIAGMRQEARDVKAYKPGKCSVRKVSGRRKKLTPDELKEQARKEKAIKDAKMFAEFWAKFEKENKEAFVIPGKYRSKKKANRGRRGQSRARTPAARTPTGRAAPHVSPSPSPTGLPHKRIMTKIAVAQRQGRSTKAATKSGTATKAETSTKAFSGAGKTTGTNKVAIGSSTASAAAASTSVKTKGGKGKPSTKVFNGTGRTTGTTTNGTTVTSAAQSKRGGKTGGTHTAASGKSTKKTGQKKGK >PPA19322 pep:known supercontig:P_pacificus-5.0:Ppa_Contig430:13:1073:1 gene:PPA19322 transcript:PPA19322 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLVLWSDGSTQWLPISNMNYAKDKTNKAGLILFIAGMLFIDPEHQFPRQFEVYFGYLKIDIAGMRQEARDVKAYKPGKCSVRKVSGRRKKLTPDELKEQARKEKAIKDAKMFAEFWAKFEKENKEAFVIPGKYRSKKKANRGRRGQSRARTPAARTPTGRAAPHVSPSPSPTGLPHKRIMTKRQGRSTKAATKSGTATKAETSTKAFSGAGKTTGTNKVAIGSSTASAAAASTSVKTKVPET >PPA19324 pep:known supercontig:P_pacificus-5.0:Ppa_Contig431:60:3727:1 gene:PPA19324 transcript:PPA19324 gene_biotype:protein_coding transcript_biotype:protein_coding VLPFTPDGIYALAKVAELFVDHLLRETMELHDENIDYDELADTIQNDEDLSFLHDFFPTRISFEEAQAKMAEKIHDDDD >PPA19325 pep:known supercontig:P_pacificus-5.0:Ppa_Contig431:4167:11179:1 gene:PPA19325 transcript:PPA19325 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVIDLSDSEDAPVVVAGPRAAGAATTASAATKMTVELRAVEAELATICEQIEALKKKKWKLVQRREALEGRIAAASMDYRDAEERWDRDGFAWSDRLQAELQTTFKLQHFRPLQRAVVNAITVLFRTGQDVIVIMSTGSGKSLCYQLPAVISGGFTLVISPLISLVEDQLHQLRKLNVYAVALNQATDKDEVHYPNPLLSHCQAKAIDSALLNASSALRMLYVTPEKLAKSKRLMNKLEKAAEMGRLKLIAIDEVHCCSQWGHDFRPDYKYLNVLKRQFKVG >PPA19327 pep:known supercontig:P_pacificus-5.0:Ppa_Contig432:4442:6057:-1 gene:PPA19327 transcript:PPA19327 gene_biotype:protein_coding transcript_biotype:protein_coding MTILKIIYGNIKDEGGNADVVVNASNEAMVIGDGVAGAIGVACGEPALTCDCKEFIRELKEVHNMAKVPTGSMGVTGAHAMTRAKAIFHAVAPICASRNSRTLDQARQLRMAYTLVFEAAAAHEYKVVTLPFLGAGIFNYPREESVDFALICALNACSSITSVEEIRFVSLNKELVQRMHNMLGGYSAIHGEDIPRKIKLDTVKKADKAEVDYFIVFGDYCIDPNGLQIDLGPFGAFKDQIVHALSQSSQSDSDWASAVISLTIADNLRPKGNTMKC >PPA19329 pep:known supercontig:P_pacificus-5.0:Ppa_Contig433:31:11055:1 gene:PPA19329 transcript:PPA19329 gene_biotype:protein_coding transcript_biotype:protein_coding AEEEAAAKKKSEEEEAKRRAEELAKAEAKKKEEETDSSITKRKLSGASSGEEEGRGVMTQWLAPNRKPKFSRRSRRPKEGFVIPLDEHITWKIDGKPATADARVQIIVDGPVQKLILKELGPKDSGLKIEAECGEFTTTSALAVDETPVKIVEKLERKTVLKVGESASLGVTLNHDAVSVRWFRDGDPLAAAEGTYEMKEDNDKCKLTISSVQFKDAGSYAVIADGAESYTNVEVHGAPQFAEDGKHSTQSVDATESLTIALNFDAYPEPSAEVWLNGRPIGTETKCTIEVIDNKVRFVKRGTSKKDFGEYTIKLNNQYGSATHTVVVKLPLPVRDVPEAPADISVGDVTAQSVTVSWAPPTDDGGAEITGYLVERKEANRRAFHKVAQVSASKSSCTVDDLENDTGYVVKVSAVNKFGTGDPRLSSEVCTGTPYSPPSVSDAPKIVELLPRGCKLEWEDVKEDGGSAIYGYDVYVRENGGEPRKLNDEPIFIHSFTVDGLTEETMCEFKIEAINHAGLRSNSNKYSDSITISKTLGKPKAKLDIPRITITGTDKVTVAWDTVDDEDVTCYTVAYKSEASVAWTDIETEQPPLNIDGLKEGVSYVFKVAPKNSAGVGEYSAESVPLRVQANIKPQITKALKDASIPRKSAMRLECHAMAEPAAEYVWYKDGQEVIPHDDNTEIITEGFMSALIVHRTSAVDEGEYTCEVINAIGKTTSSARIEIAEVRAHFTSSFPELQEIDEGATITLTCELSDPDASVRWFKDGRRIVIDEHVIVKKAGAERILVINDANSDDSGHYKAETVDGRSKTEGELIIKEAEARIKIGPQDKTVTSFGETIIFHCETSRPCRVVKWFKNGTEIWPTTGKFYMSVDGCTATLEVKNVESTDEGEIYAEISDKEKSAPAKLTLIVPPTIELDKLSILGGEIVHHAGKDLDFVVKFEGHPAPEVKLFLNSEPLAHRSRIETYDDCVSVRVKEVKRTDSGDLKVTATNSAGTISKDLHLSIIDVPNHPTGVRVIETTSSSVKISWDAPDDPNGSPVTGYVIERKSAETNRWRTVGKARARATTFESDDLFSKEVYSFRVCALNDVGQGAPSEAVDVITEQSSDDESIISEVIPQLPIGLAPPEKPEAIALEGKVTLNWIGVTDATEYTVERKGEREEVWLEVATIDVDCFTDRSVVESKRYAYRIIASTASRTSRPSVPSDFIMVKVDDEHIAKLAEEKKKDDEKDGDKKAVEAEAKRRAEEEKRKSDDIVKTKDLLKRTIEREVKDQEPSTSQDDQSKTDEKTDDVKTAEPEKKTKKVVKKKKEKSESVASEAEAKEVEKKVDVKKDETEPTKAAKKEEDGEVTIKKSDAKIQDIKVKEQEDGAVIKKVDTVGKKKVEQEKKKESTAKDDAAKTTEKDEAKVEEPEKKTKKVVKKKEKSDSVASEAGPKEVEKKEDGAAKAEIDDKKKVDDGKKKEKDDTAVKKEDEDDVKKKEEEAKKKAAEEEAKKKKDDKKKEVLKATAESEEVILQLGTSGDLKINLSGAPDNITATWTKDGKPVESRFSSTTTSTAAVLRLTGVTESDSGKYTCTLRTTDGQSASASVNVTVTDKPSAAAEISVVEVKEGEPVQLYANVAGLPPPECKWYKDGVEIKADKTHSLSVRAGQATLSMKKAASEMAGDYTLVSKNKHGECTVALKLKVKGVPSIPIGPLTHSETSTAVTLKWKAPECDGGSPILGYCIEKRDPKRSTWSFCQRTTDTEVTIANLPEGSSFLFRVAAENAMGTGATLDTTEPIALKKPKSAPTKTPGKPMASGTTKDSITIEWTEVTEEEEIEHIIEYKETKSKRWSQAGKGIKTNHFTATGLKDGVEYQFRVSASNEAGTGPASDASEPIKCEQKTGASPPKFTLTPSDTTGNEKAKVKMTVEFEGSPAPEVKWTSGRSEIFSGKRVWIETTSFSSSLTIGEMREDDERQITVTLTNSSGVVSHSFKLTMDAPPQIVKNDRYNSPALYDKGEEVKLRLSFTGMDLTMLTSVNELLCFFC >PPA19330 pep:known supercontig:P_pacificus-5.0:Ppa_Contig433:11668:13958:-1 gene:PPA19330 transcript:PPA19330 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-exp-2 MFLRLNIGGAPFILLVDAILRAESTGFLAKFVQLTHPSRCQVADGFLRHEQAYFFQRSPTAFDAVFQYYSSGVVHRPSEVCPASFLAELEFWRISHQHVGSCCADVVPREKEAEKEEEKVDDNTFENLMFGKLRRRMWTFLERPGSSMQAKSFELSSTLFVLISVMGLSFGTIPDFQVTHYMPPHNETIVLPSGRVKIVEKIEEMRVEHPAFVFTERICIAFFTVEYSLRLFAAPRKLRFMMKPLNLVDLLAIVPFYLELILTLCGVDDKKLRDLRWAFLVVRILRVLRVIRIIKLGRFSSGLQTFGMTLQRSQKQLQMMTIVLLTGVVFFSTMIYFLEKDEDGTPFTSIPAAYWWCIVTMTTVGYGDAVPATTMGKIIASAAIMCGVLVLALPITIIVDNFIKVAQDEQTAEQQKMEEENRMAVTSMLNGGDDHDYS >PPA19331 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4332:64:715:1 gene:PPA19331 transcript:PPA19331 gene_biotype:protein_coding transcript_biotype:protein_coding RRNESSSSAASSRGNTPFPSSIRSGSASIPSSSPVVSKKKQAAVKPPTFIPPVSSSTLPPSLTTPSKTTTAFLAAAAPSASAPSLFTPSLRHTAPAKRTVPLGSPQVPSALPPTLTGNQDPLVLHLLSVVGNLSSEVATLKNLMVNQFTAWNTLIIGAANRSEATARETE >PPA19334 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4344:220:691:-1 gene:PPA19334 transcript:PPA19334 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMDSLALKGRQYEWMNRVYEQGKTWKNLHLLPNWQYSIALAAFHTQDESADEK >PPA19335 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4346:318:775:1 gene:PPA19335 transcript:PPA19335 gene_biotype:protein_coding transcript_biotype:protein_coding ECDQLRSDMANILRTVEEHELDRDKLEEKCKNEIEELRSKLETSEEEKRLITEKYEKAIAGNVQILPQLYKFMTEIVDMQEFFALPK >PPA19336 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4347:90:501:-1 gene:PPA19336 transcript:PPA19336 gene_biotype:protein_coding transcript_biotype:protein_coding EVFPSKDAIRVEPLIDKASKEKYEKIDAPKKERKPKEVKEKKPKVEGGDIRKFATKKEEKKKKKEEWNSDESSEEEEEEYDEASDDDMDGLMVKRTTAADRKTK >PPA19337 pep:known supercontig:P_pacificus-5.0:Ppa_Contig435:1313:14269:-1 gene:PPA19337 transcript:PPA19337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-eef-2 description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:H3FAW0] MCNFLPILLAVLIILIHRLFLILLLFFILHIFIIPRIFLILLFFILHMFMILLLFFILILFFFIFLFFAVNFTIDEIRALMDRKKNIRNMSVIAHVDHGKSTLTDSLVSKAGIIAGAKAGETRFTDTRKDEQERCITIKSTAISMFFELNMKDIDFIKGENQVEINEVGGEKQKYNGFLINLIDSPGHVDFSSEVTAALRVTDGALVVVDCVSGVCVQTETVLRQAIAERIKPVLFMNKMDRALLELQLGQEELFQTFQRIVENINVIIATYGDDDGPMGAIMVDPSIGNVGFGSGLHGWAFTLKQFAEIYADKFGVQVDKLMKNLWGDRFFDLKTKKWSSTSAGDAKRGFCQFVLDPIFKVFDAIMNIKKDEVNKLVEKLGIKLSHEEQDLEGKPLMKVFMRKWLPAGDTMLQMICIHLPSPVTAQKYRMEMLYEGPHDDEAAVAIKNCDANGPLMMYISKMVPTSDKGRFYAFGRVFSGKVATGMKARIQGLIMSPRTILMMGRYTEPIEDIPSGNIAGLVGVDQYLVKGGTITTYKDAHNMRVMKFSVSPVVRVAVEPKNPGDLPKLVEGLKRLAKSDPMVQCIFEESGEHIIAGAGELHLEICLKDLEEDHACIPLKKSDPVVSYRETVSAESSEICLSKSPNKHNRLHLTAVPMPDGLADDIEAGKVDPKSDFKERAKILAEKYEYDVTEARKIWCFGPDGTGPNILVDVTKGVQYLNEIKDSVVAGFQWATKEGVLCDENMRGIRFNVHDVTLHADAIHRGGGQIIPTARRVVYASVLTAQPRLLEPVYLVEIQCPEQAVGGIYGVLNRRRGHVFEESQVTGTPMFVVKAYLPVNESFGFTADLRSNTGGQAFPQCVFDHWQILPGDPMEAGTKPFQVVADTRKRKGLKEGVPALDNFLDRISVMTDSADTIAKALGDVVKNEKATEAAMEAAKARRAGMARRMTIEEEHAGDYFKQEQQLDVLPATTHLEHLCKLDIREPPHLVRKTGIICTIGPACRSVEMLQKMILNGMNIARLNFSHGSHEYHAETIVNVRTAIESFSETRLIAIALDTKGPEIRTGLLTGGGSAEVELKRGSSITLSTDPKLKNSGTAVNLYVDYKNITKVVSSGSKVFIDDGLISLIVDEVHEDAIVCTVENGGMLGSRKGVNLPGTAVDLPAVSEKDIKDLKFGVEQGVDIIFASFIRNAAGIRAIREILGEKGQHIKIIAKIENQEGVDKADEIIAEADGVMVARGDLGIEIPAEKVFLAQKMLIAKCNRAGKSVICATQMLESMVHKPRPTRAEGSDVANAVLDGADCVMLSGETAKGEYPLEALQIMHYICKEAESAIYHSKLLDELLMNTPRPTDMTHTIAISATTAAVLCKERTVDWPTDVDGRIQFGIDVGKERGFIHTGDNLVVITGWRQGTNLLCLIMYETVNIQVLDSPTR >PPA19338 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4352:257:866:-1 gene:PPA19338 transcript:PPA19338 gene_biotype:protein_coding transcript_biotype:protein_coding ALARDEEIASFDHSKFVFTDITFYATDQDRTVVVREIDGTLRTATPDEHDRMNRVYYEKAHRLVNAPAVFSDTASSLSMLNCGSVGRSSSSSDSS >PPA19341 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4355:357:1023:1 gene:PPA19341 transcript:PPA19341 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDGESLPDVKPPTTSTTSTQTKAIEEDPSALDTEIDELVKRFKRVCDRRQEPTVSAIKVEEESLPSQDPITQTVLVEFAVIYYPPELSCARYIVRLTDFISN >PPA19342 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4359:45:563:1 gene:PPA19342 transcript:PPA19342 gene_biotype:protein_coding transcript_biotype:protein_coding WVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVRVPPKLLSEGKILMLMDNARPHHAKITQKKMDELEMEWLPHPPYSPDLSPCDYHCFRSLSNFCRGKKFKNRDALVKEFEAWINSKPQAFWKRGIETLPDRWRQVVTTKGAYIDY >PPA19344 pep:known supercontig:P_pacificus-5.0:Ppa_Contig436:6020:7543:1 gene:PPA19344 transcript:PPA19344 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPPSKKWKGVGEDEEKDDSFDEDDEVLDVIISQRTQGSNQSSRPITDPSSSVSPITPPTVTSSSRFVTSPGETAYKTTPTSSRIRPSVFSGSRSSTTTPTSSNESQSSAVEILISQGEFIAVKFQLGNAQREKDELKYKLAKANEDFVAEKKRMEMENAKLLRKMEEKIKVTELEVNALRMSNASMRAETSMAGGDLNQSMMSISSVGSSQRINPYSHREARATQSVPRLSGGNGFHACSRYFSLLSIYPIYYFLYCR >PPA19343 pep:known supercontig:P_pacificus-5.0:Ppa_Contig436:2386:5559:1 gene:PPA19343 transcript:PPA19343 gene_biotype:protein_coding transcript_biotype:protein_coding MREVRRHSEVDDLKKLMKSKGGVEESQSFQTIPSRVYPEVRFRSERTRKRILVTGGTGFVGSHLVDKLLLDGHEVIALDNFFTGRRRNVEHWIGHSNFELVHHDVVNSYFVEVDQIYHLASPASPPHYMYNPVKTIKTNSIGTINMLGLAKRVKATILLASTSEIYGDPEVHPQPESYWGHVNPIGPRSCYDEGKRVAESLMVAYAKQEQVDIRIARIFNTFGPRMHMNDGRVVSNFIIQAIQDKPITIYGNGTQTRSFQFVDDLADGLIALMNSNVTSPVNLGNPEEHTISDFAHIIKDLVGSKSEIEHMDGQIDDPQQRKPDIRKAAEMIDWQPKVKMHDGIRKTIEYFRKEIHDEEKKRRIDP >PPA19345 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4360:10:657:-1 gene:PPA19345 transcript:PPA19345 gene_biotype:protein_coding transcript_biotype:protein_coding ADAHATNAQLWNVNYYYNYGVGVHAYCADSGCISVIQKNGIGYDMTNGKGLLGRAISESQIGTIRAMCQTGSANLRNLGFTKSGNNYLHNLNGSGLKQGWVSTTYMSRGASLPIKRWKSRYTDDMMYGENLEWNTWYKGMVQDGGKVQFYM >PPA19346 pep:known supercontig:P_pacificus-5.0:Ppa_Contig437:8188:9491:-1 gene:PPA19346 transcript:PPA19346 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFKPTIYIKNALTEPRGRATETGAEREFSLARWRTREDARHEPRGRANKLERSLARRRTREDARHEPRGRANKLERRDGGPMRTPATLGRAAGTSNKLERSLARWWTHEDARHAVDYFNRFWRRSAVDRTAVCKLG >PPA19347 pep:known supercontig:P_pacificus-5.0:Ppa_Contig437:11212:12161:1 gene:PPA19347 transcript:PPA19347 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVLNIHNFFYPLVLCDLDIDPNIRDIYANHIDANAPQAHEVNVPYQQLMEKIGFLRYLFVWQRQTIRCVNERLEKDDEMTEEDRRMEKLLDIIVKLDKEVEQLDVDKIQTAVERAYGCRNGGMLPIRRVIDMTWRYDIEFELKELYKHMSTEETDSSIMKCMKHVIAKCIGMDEEKYEVNDVLMCEACQSSQDAIIVLDNRFHEKFKDQIKQ >PPA19349 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4372:17:760:-1 gene:PPA19349 transcript:PPA19349 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPVPSVSDAAHVEQPEKSGKKKLDIGEKAKEIGDKIGGLFKSKDKHADYPISEPFEGPYDDTFRSSELQDEPLTTHVAVYHSGRSDEPTVAHPKDVEVAPAVEKVKKATDYPTSSPFEGELESTQRTSDLPDEPLTSHVSVYHPGRSDEEPVPSVSDAAHDEQPEKSGKKKLDIGEKAKEIGDKIGGLFKSKDKHADYPISEPFEGPYDDTFRSSELQDEPLTTHVAVYHSGRSDEPTVAHPKDVE >PPA19352 pep:known supercontig:P_pacificus-5.0:Ppa_Contig438:6491:8304:-1 gene:PPA19352 transcript:PPA19352 gene_biotype:protein_coding transcript_biotype:protein_coding MYTKIMYAETRPETRPNRALSASVFPSQDPYRCYINTDKRVYEPGDRVRCKVELTFDRKFICDEIVATITGEAKVQWTDKQASGVSLARNQRRTLFKQEKTIWTAQSTARARSSTLNDIVRYSSSTSIRTGSLLYDENVPAFRGFEAGRHKLPVEFPIPEEDMYTSIEVDEELVSVRYQIDIQCFHGLYPKNFVQVIHVIAPRDLNYEFEMLSKPTSSEKTMDKDGKLMARLTLPKTGFTPGEPLNAQIRIDNKTAHSVKYASVYIVKQITAISEKPVRDMKTREDETYGSIFPFHKIVKGEAKEWQSQLHLPALTPNFCIDGFMQVNYVAKLSVGFERGARKNAVLHVSVRILIDIL >PPA19351 pep:known supercontig:P_pacificus-5.0:Ppa_Contig438:3394:5551:-1 gene:PPA19351 transcript:PPA19351 gene_biotype:protein_coding transcript_biotype:protein_coding MYTKVMYAEPRPDTRPNRALSALIFPSHDPYRCFINTDKRVYEPGDRVRCKVELTFDRYFICDEIVATFTGEVKVQWNDKQVSDRVETVNPSVWRVIAAQPTKDAFQARENDLDLTINDENVLAFRGFEAGRQKLPVEFQLPDENIYTSLEVGEELVSVRYQIEIQCLYGLYPKNFVQVIHVIAPRDLNHDFDMLSKPARSAKTIDKHGKLRASLALPKTGYTPGEPLNAQIRIDNTSAHAVKYASVYIVKQIMAISDKPVRDIKTREDETYGSIFPFQKIVKGESKEWQAQLFMPALTPNFCIDGFMQVKYVAKLSVGFERGARKNTVLHVSKVWHDHLAIRVLDAR >PPA19354 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4389:30:475:-1 gene:PPA19354 transcript:PPA19354 gene_biotype:protein_coding transcript_biotype:protein_coding QKLLKHRNKKAHIMEVQLNGGSIADKVERAKERLEKQVLIDQVFAQDEMVDTIGVTRGKGFKGVTSRWHTKKLPRKTRKGLCK >PPA19355 pep:known supercontig:P_pacificus-5.0:Ppa_Contig439:1602:3758:1 gene:PPA19355 transcript:PPA19355 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFTEKPDPTRPTDRNIRRAILIGLPIPFFLPPTVFVVSPFSAEESERLLNESRYDLYWVRERGPYFKLPENTILHNLFGVIVVTMSPFVHMFYVLNQEAGKSDRTKQLVRRSLQRLFVQLNVPLLFLVVPLIIFFVQMETRIFPFMFPVITMFTVCLHPICHNLVLLFVMPTYRKAIAKGLRKLSGMRASNVVKSPLNSVKSVKSVK >PPA19356 pep:known supercontig:P_pacificus-5.0:Ppa_Contig439:6365:14843:-1 gene:PPA19356 transcript:PPA19356 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVPVARVAGGPAVRTVSDHARSRRAISEATAHDRTLAEPHVGTRVARHLVEDPHPLPNPQDDRGRRAGDGEGNGAAGADQCGVSARALDGAAALDTARGDAGGQGQQSDGVAGEHVHEGPPNGARLVPQAVLVRLGQRAATVLTGRRPGHVRVLLLLLVRTTVRLSREGGGQPDRPVRAHLHHRAVPLLRRMVEDRSRSDASVRHGRRRHRAAVHLRAQRRDVVRHRARAAGERAAPRRQRRRRVLDAARARGESGDGELVGAGAQAHAQAALVHLGERERSGVRAHRLQQGGETEETIRKRGTHQL >PPA19357 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4391:428:881:1 gene:PPA19357 transcript:PPA19357 gene_biotype:protein_coding transcript_biotype:protein_coding PLTLQAKSLVQQLWCDNLKWDQSVDHSIQSQFHELLSDIESFNLTIPRYSGMSTAKEIHLIAFADASKLAMGAVIYLWTSEKTTLLMSRIRFAPVKNKATIPKLELNALVMAHTLLQYVVKAIQKEFPTTIIHTHVYSDSAITLFWCLSDP >PPA19360 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4398:96:537:-1 gene:PPA19360 transcript:PPA19360 gene_biotype:protein_coding transcript_biotype:protein_coding DLTFWSDMMETWNVYPYHFWLDAYCPSAGEKYKWRDGTETDYYGPENELKSCDPNIGFHVEWNGVLGNEELDQLAPALCVYDPSLTTL >PPA19488 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:883239:885048:-1 gene:PPA19488 transcript:PPA19488 gene_biotype:protein_coding transcript_biotype:protein_coding MHEDAGVHRGRKFLTDLCIGGTAAVISKTVMAPMERVKLLLQVQNTHAGIASSQRYSGIRDALIRVPNEQGIASFWRGNATNVMRYFPTQALNFAFNDLFRSRLTSEGEKKGSLSYLGRSMAAGGLAGSVTISIYFGLYDTARGVYETDNGSEKRKLNFLSSFLLAEGVTAGASYLVYPWDTVRRRMMIMGALSHFTAIETVKAIVRTEGVVGLYKGALANLLRATGSALVHLSIFRAVVRKFDFGNEAHGLREEHSKDVGF >PPA19413 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:461565:470064:-1 gene:PPA19413 transcript:PPA19413 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-kin-4 MAPGHHHHHDNRRWSLASLPSTSGYGTPGSNSAFSSQYSSNEQLCEALDGIRLHHSHHHGHGHHHAGHSSHRFDSNDSSVTNEEVAAVQRGESGGGAMTPHQTFRPRSRSLTSPVKLGGSEWTTDVVVRNSVYKERFPRAKQQMEEKLETFVRQNAPLSGGPSSAETDDVTSGGGGGNSSRNRKSMVLTAETTLEPALLRLIADGATRFLHHQLVEIASDCLLRSRDDTITCSYFCEMSQRLDETLNEATQKTSGDSFEYLNKLVRQLLMIEFDPDEFYHLLEEAEGVVREQLGSGTARVPDLPQYIIGKLGLDRDPLLEAEQAGVCSPPSTPAVEAPEQKQDDTHRAPCEDDLETIRLVSNGAYGAVYLVRHRETRQRFALKKMNKQTLMLRNQIDQVFAERDILTMTDNPFVVSFYGSFETRHHLCMLMEYVEGGDCASLLKNAGTLPIDLMKLYVAETILAIEYLHSYGVVHRDLKPDNLLITAMGHIKLTDFGLSKIGLMNRTTLVAEGVADIAETQQFKDKQLCGTPEYIAPEVIIRQGYGKPVDWWALGIISYEFLVGIVPFFGESPEDLFSKVISEEVEYPDGEEALPPSAESLIKQLLEKNPLERLGSVTGAPELMAHEFFNDLDFNGLLRQKAEFVPQLENDEDTSYFDSRTDRYNHDAAESNGEDEAASAPMFHSFSTASPRHSIVGLEPGPLLPPERIAACTSPLSHEMDSPSPTPSLASSRPTPSPSAMNRKPPPPSAQSSEEHSTTDSMNDDKYGQPMASAVLLRRRFSAQRQSNLSTSSSGTTGTGYIGTAPSSTDSSMDAFTFGDRRMTHGEEGRLRTDSTSTAASSISRRSPLPRFAISCDPDEDVNSPLPLSSGSFSSAILSGSAAAAAAAISLQQSHHELSPVEERERSRSI >PPA19431 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:657152:658387:-1 gene:PPA19431 transcript:PPA19431 gene_biotype:protein_coding transcript_biotype:protein_coding MREMLRGIGKKKQQRIETEVRHYAPPEQLVAWKDEFNCKVDVWRIGAILCELLAGQPLFIGDILKKQMRFCGRVDKTFLRKCLMDALQANIVEGRNIKQSDKLKRVEGLADFLKKSLKLNPNERLSTSKALLHPFLRITPPYEAVIPGNQAEEMAALRTLMDQELGAF >PPA19485 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:873677:877662:1 gene:PPA19485 transcript:PPA19485 gene_biotype:protein_coding transcript_biotype:protein_coding MLRADKLENIVGHSLTGLLNALRFTRLPCLPSTYPPHLRPPFLSSHFSHLLFSHLPDDNFSTFLSLPHMNSPCPSGVLTAGYTDVYFPFFYYNGLTHRGLSLEIWRVVAKQFNCSSVRLIEYSEYTSQSLLNETGWEFTENGNLAAIKKSDIFVDLTLNSLTSLTIGQFRSTADIVIDQLSLYQADNGTVEEGWTPVEFFLVFSPPILVLIVISSILINLTNNANERLKTTPGLCFRYLVSMLFSLAITTLFFLHAAVFKGNMIVAAKPVLFPWDQMMADLKSGSVQLLESVSNFELDQYEEMLGSNKPIRPGSTIDVVTELCKKKRTSVAALYDTQVLFISDSGSMPKNCRLNRLPPQGNSSLVNESFLPATFVYLLPRNTTKKTVEKINQIIMKMFSYEKIQDFWINKELRKPSLPRDTIQQECPARKNIDSEEDGQIHTRWDQINVDLATPAAAAAAAAEVFAAASAAVLAVAAAAAAASLLPAVAAATSAVFAAAAAAASMAACEVFTAAATAALAVFTAAEAAVFAVFAAAAAAISAVFAAAAAASAAVAAA >PPA19400 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:327944:330754:1 gene:PPA19400 transcript:PPA19400 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVKEELLALQIITEQAIFEKDEPSASSMEDDEMVSGNNEQIHQETPNASSAAIESRKKSGDDGESVRTIPTELVKPEEDIKGEAMEDMDLSNSASQVADENAQDESVEPSFNGGKGSTKSSVRRGRPSVPSRRTIMDERLNEKLCPPEDLLKMEKITKDNLHTYLHLKAESSEEKLENLKFLARYGIIYNEHQCLCKAASTLNRCGKPGKKSDGYHWKCSPCERKSKQGVKVSVRYKCMFATWKQPIASILLAAARLIDNPLDLGDIVNSLSKTMTVESMVHWELSILDVIQVVVLDGATKDQIGGPDKIVDMERGYLHAHKFADPKKEKRKREECFIFMERDSPAYSIVRAAAFGQNTLDEVIVRTVREGTKIASNEFDWVEGSTEAAQQQSFVQLHPDRDIRFYKCAAREVSWAGGTNWKVVEDLYRNASAKMTNRGDLTLLYVLNRMFPKPFNAFLLGLSKYDTSNPLL >PPA19496 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:934929:943018:-1 gene:PPA19496 transcript:PPA19496 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHRPEQSLKSASNTRKGRSSTSKANRLKHKIIVPSSPGARTPADVSKKGGGTPRPLQCVPLHQKARSHAASPAKYRNPRSIFFYQRILGPMWIDKWGDDQYFPIGIEVPRMGLIPLFSADRSTKPKPQPSNSTTPSSQPPSSQPLSQVGYSTLKSESHEPLDDVTTPDKTVTAAASTYKPIPTAYGGVREQRVKYEHVMLRYGHWDSIRLRVYTYLGITLCASLLSNYILILYAIVVYDTVHENGRPNCPCNVSATHATARLDLGKVDPHNRVVYWRMISGILLGLTSPLLERFLGRRNCLLFFLGLNVVWALILSTQTKYFSDVSMFGLCLGDTFVVFSSPVSLIESLPFHMRVIAPLTIPVANAIGTVFCLLLFEQVALQKICYAAIFLYVVGMILTFFAAEESLCHLIVRNRIEDVQNLVTKYEMEVCEREGGKKKFHQRAAKKIYHIFKMIRFDTDELSIFYFLRRFFKSNVVLETCLACFFAMASGLLDFEMYQSMAELFAGAYVLMCGKLIACVITIAVMIMTRNTHRVKVMSLLQCAILLLLACKKMMLKYDKGNVCSDHQFVARSMFLSAILLSSLIDGLVMALQTTLFLNFLEAAPSILRMTSVVTIMIPVHIVKVAAFLGFGIGGMKDTLPFSLVYLFHLIVGAVALLRMADAVPFSLYLIDLLPLSDRPSYCSTRRGLKAEEESADQKTKNDGVVMQIRWRPGASDVSSPLRRSRGPRTPSRPP >PPA19448 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:723277:723842:1 gene:PPA19448 transcript:PPA19448 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVIASPFDPEDRGYYNRFFFVSRHVEDFAKVLVILNGIGIFGEFLLVLFERSDYW >PPA19408 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:370957:372291:1 gene:PPA19408 transcript:PPA19408 gene_biotype:protein_coding transcript_biotype:protein_coding MCFFIVCKRMRACISKIITFLRICKIKKRLVLKEDICDFDNYWMRKGVNSVQTCGPQFLSEAVRFVPEVKIAIAGQMSRTRIKERLIHWVQVVSALNTTGRVRAVLHIDDNTNRSWITVAASEVKAYGHAGRFAMLDKLGKLSAVVDVVTRSQEEVAVYLTLAFAKAT >PPA19476 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:829611:831680:-1 gene:PPA19476 transcript:PPA19476 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNWNDTLAKAMSGTPAIKLATMGSFPDGDRWAMKGEGEITGDCFIFNGEHYEVTSIELEEHSGRMVGKGGRGGFFAKRIRSAVIIAVFEGCVDSDGHLKTQTAIESIAEYMKFIEDFTFNGVKYDVSVSEEDSINGEGGEGGFFAAKTGSAVIIAIFEGGDDERIQTQEAVNSIADYILPTM >PPA19363 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:7839:13662:1 gene:PPA19363 transcript:PPA19363 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTWNSAVLHCSVSPLDSQKGSIDVDRKLTTAIMPLDFLKFTDWLREVIVRHIDDNDNDNDIDIVPMVGSNEFGEPTKEQERVSYQNMLVRYADWPFVRWQMLWYFMLNTFAQIYRGHNVTIRMLTDVEMIKDGRHMHCCCDKPQSLSDLMDYRNQIRLTEPMRFLLYTALASLGALAPFWERFIGRRKVMLMLMIACLPASVTLMFVKDSQNILSQLSHLVLDLCGMFGVIVSISSTIEMFPYETRYLSIINCFVGASTTSAAAAIHFRIGYSSSALGISCFIGCILGAIITRFILKDSICHLNIRNEVEVIEKVFQEREQQLHQQKPEGSSHFHGAVARKVFEDLVYLDQDELKFSEFFKRLWRSFAMIEIVLTIFQAMSAGLHEFESEHFIDERFKDPFKLFIDSILSFVFCTFLMAHRNRAICILFSGLLLNSALRHALVGYNQQNKCASIQVVKDQYNIMSMILSISASGLCNAVTLMIALHFLETTPSILRSTCALLIFMPLKIVKDQASKRFTDHVAKDLDNLAPMYIYHLLIIVFTMLRTNSRLPFSLYLFDLMPTTEHEREGNCASHEKIRPIMEATAQPLHMSGESEKADDKNQITVTEKREKCSEKKGKINFRRILKSNNQG >PPA19426 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:623790:625396:1 gene:PPA19426 transcript:PPA19426 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRALFSVVAARGSTTNFFPVRCEMEEAEERFLFFEDRTIFYFLVKRATEATRHNQEKKMFAKELKKAAK >PPA19377 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:117415:121367:-1 gene:PPA19377 transcript:PPA19377 gene_biotype:protein_coding transcript_biotype:protein_coding MELGTATADDFFDVSHGVASVLAPLSQPAAAAAAAAGGDTTAESNPIATFASTVSIVTKKLAAADNMREEEAEKQRQKEKEASPAASAPSNPSDVSQASSVDASSEGSEVGPTGKDSSCYQLKAPEPVRRVSLRKRLSHTKVPEPSTTGSSDDRPTEESAEDPKSPPPKAKKAKVSSSSAKEAGSEASAATVAQMVVTERRRSARGRASMDKSSATVPVEEAEKESTVILNWG >PPA19430 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:654091:656268:-1 gene:PPA19430 transcript:PPA19430 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVKLKLRDPFLPTLSFPTTTLRDEYFQDFIPVDKLSEKFYIPINYKRFTVDDGPNQILWPKHFIKLDSQDGSSIVVKKFTQPFASVSRIESQRDKEAIEAYSKKCERQDFIEILLGQMLPGRRICQADLIDCEESLRDFIDSTLQFDPNLRLSTEQALAHPFLHITRPWELPTDEAEARRVLMQHISSNFDALDLHFL >PPA19466 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:806445:808056:1 gene:PPA19466 transcript:PPA19466 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIQGVLSEVLSIPTDGQFVSLFIHVQPLADSTQRMVGRLIMAIASRGRNETQMDKNQIDRARLSSLNQQLRSIEKITGHRRFSTVELRQSYDAPTLEVRTRIQTHLAQEKSKSFGEDDTAKGFCEFFKEASTDVLKIKVDVL >PPA19480 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:855542:856221:-1 gene:PPA19480 transcript:PPA19480 gene_biotype:protein_coding transcript_biotype:protein_coding MLRILLATSLFTAACGLKCYYHDDDMIARSENAIEKDCGSGNQRCLSIKDVNFRDCANSHLCGGNYTCCDTDLCNTPAKNFPEQVVNPSPSSTVPEVDSTDTNSTSTKPEPEPEVPKVITTTTTSTSTSTTTSQSETTSTTAEPVETEDPAQSEEEHESTTEEIESIPKNSCLNSGSGLFMILLVLAYVLL >PPA19379 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:128861:133265:-1 gene:PPA19379 transcript:PPA19379 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFMSTSASSSSEQSSGSLISPRSLVGQRGERSDAFSPSPLPFLHPMRALLLLLLTSSLFSPSASASASSLLFPTPSLTALMEPSTMRPPPDDERGPAWSDRFTAFWNVPSERCHGRYDVAMPLREYHIVHNAGFRFRGDQVILFYEYQSGLFPYFEGYNASRPVNGGLPQKVDIGAHLAILEEQIVTHIPDETFNGPAVLDVERKTFAVTAEQDVEEWRPMYHLNWGRKSVYKLESVRLVRERYPSISEKSARIVAEEEFNRAAKKLLTLSLQLARRLRPNAKWSMYGFPYCNYDAGTKGELRCSDNYRTHNDRLRWLTAEFTALSPSIYLDASANNTAANYRYIYAVLSEARRIAEGVSGLNT >PPA19416 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:484292:485240:-1 gene:PPA19416 transcript:PPA19416 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMETGVVLRKYGTMTRPCRPLTADCCSSPGAAAAAAAPAAGSCSSSPRDFGHFASPSPRAHDSPMSRSVVFAYPPRASQKSQKAMSRSSHLGSIGHQSSQSSGGGWRGSGSSSLWGSGWGSGTGGGMLLLGTPPATAGILGVGSSGRESVGEWRPRRSVSTKYLAAAVKGKRSWHVLGDVDGLVLVVDDESDQFATRSDKEIAEQRVPGRIACWIEQ >PPA19459 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:772327:774199:-1 gene:PPA19459 transcript:PPA19459 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLRPLLLGATITASIATIGALIVAFTIVNDIAAFRDDVLEDLSLFKMKADDAWERMLLAQPFAAGSSRRRDDILLRYARQAYDTGPAGAAAAGGAAPPPPAASATPATPAAAGGGAQCNCAQPAGNCPAGPPGPPGAPGERGQDGMAGAAGQAGMTGMAMMAMQMTGGACIKCPPGPPGPAGPPGPAGGPGMDGMAGAPATGGGMGPAGPPGPMGDPGSDGAPGGPGAPGPAGPQGTRMMSQPGPAGPPGPMGGPGPAGDVGAGSGPGMPGPAGPPGPAGNPGGPGEPGAAGGPGADGEPGGDGAYCPCPPRSGAMAAMAASGGTAPMGGNAYATPGGAAGAAAAGGGAPPDAPAAAIPAPAAATPAPAAASYPAAGAAAAGGGADAYTGRKKVKVMLCHYMNH >PPA19392 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:274240:280983:1 gene:PPA19392 transcript:PPA19392 gene_biotype:protein_coding transcript_biotype:protein_coding MANHAPYQSDLRQIIVGDPKIKRFLNSGDRQLIVGLCPICKTQKTEWKEMKDHVEECRRLHQHPLLMRTCYCPYCLKKHSDGYSMSSHVQKHSDGYSMSSHVQSTHAVNLRTAADILTKKTGQIVPIDEILKQAGKGGRSGAGWPTKLLQEPFPFACSACDLCWPTAIGLVSHFIARERKLGGKGCGGHLFVFIKPGSRRMTEMDDAVACNRYDFAARITCPFCTDAFDEAYALSEHVTDEHGKWQTNMEKRITPALENAPIKKYYFAPFSCSKCRSSYKTMVEWAEHVHERTLNGAPCNGELRVMKKVRKEDGVGAFLGNVSPQLLGINGAESQSHLKQPAKINQTTGTAVKPEPLEDTHDASVEAIPEDPSNGATTSNSTAAAATGKPAATAAASGGAVVVKEEPGLDEDEEERPSTSQQQHFQLERANGSSSTQHDDDGPTTSSSQQEDQQKEDDYEGPFDCEDCGAVFDDQKAFIEHMGEHGYELATEDQIARLDAGEQIEDSSEPTAKRIKIEEDQPGPSTRRYPPLVTLSSPSDNWFECEHCGQMCETMREFREHKLMCPSGQKGLPAMYTCSKCKKRNFGSAEERNKHEKECAVFQLAEAREKIFNDTHGCKMCNKRLGNPYVLLHHFMDEHYDTMEKLRKHYLLAQELLV >PPA19389 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:244476:247446:-1 gene:PPA19389 transcript:PPA19389 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDWCSVELNVDYSLLKWFLEKKHERAIKDGKVDIGPQCEYLDKIDGRDTYRRYLYLSERNIHSLFTQNFGFANQSFGTGVFVGPEAHEDGRPQEDGVFPVRFEKYVLDEDGTAFTFTENAGDEVNSRIYSVHVKKKCASYDKTDDYGETVLRSWNDDEGTICFQTEWEGKVGEAVPSDEKVKKLVERMSSMAAFTKVQIMDGQILEGQSLRFVEKFLDTEQFKLEFPIDQAIIGSNGINEYKSENVRDFFLDIPPDTEYEQNTEIFNKEFFETLITKKNHNHVAVETRREWTSVEFNVAYPLMKTFMEFISGPVEIGDGQLKFSNSDLKTGKDKNYYNGYLFISEMQLHCFFLKQQIRETTAVYVGPEDQQIEFT >PPA19433 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:664270:665370:1 gene:PPA19433 transcript:PPA19433 gene_biotype:protein_coding transcript_biotype:protein_coding MLRARAGSSAPSTRGRPCGPFAGHCESPPGFTLPSSAAPSSFSHGVVHPWPVMIVCRFVWVVLRPFLRFPQVHRHAAHSGLHKEGLLPAP >PPA19397 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:296632:297666:-1 gene:PPA19397 transcript:PPA19397 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSNPLRPAILKLFRAGIPRHDIVLRLAIPKRTVYDAIARYLELGSEEDRKGRGRPATVSTPRNRERIRKRIGRNRKQSMRAMAKNLHISNTSVRRLIKSQLTLRPYKYLKLHGLNDRQIKLRRDRCRLLLMRCARAEHFSTGKMNSQNDRILAHDPEEAYKSGGFIGQTSHPLYVMVWGGVCATGKTPLVFVTPGVKVNKEFYVKHILQDALLPWARSHFGQSHWTYQQDSAPSHKAKKTQDWLKSHVPDYIPTSEWPPNSPDLNPLDFSVWGVLQAKVSTTKYKNRDTLKAALLKAWAELDTNYLRELATAYERRLKACVKAGGGHIEIR >PPA19373 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:84170:84386:-1 gene:PPA19373 transcript:PPA19373 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFLPKRVDQLNTSFESRFESSPAIASNVWFFLRIALTNEIVVKLTIFDKK >PPA19491 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:893930:895113:-1 gene:PPA19491 transcript:PPA19491 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKPSTDSNERQWWPSAGENAPTGRRLSFTEMILGAPGKGGFSWGQGLLERKLSKDEGEGKKDSITNEERFKELMKRETNVLNDDYGSGRLWYQLVTMQHSLARTT >PPA19463 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:792546:794689:1 gene:PPA19463 transcript:PPA19463 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVVTGLIMFALRKTHRIKALQWLLAACVFATCIRHVIVRIDKRNQCSSGHHHFMIAKYEWFAAIFGTLIVGLGSALQTTILLHFLETAPSILRPISVMMVYVPMKFTRQVCLMIFAEEGVESTRSIVPVYLYHLIIIAITMARSRTKDKLLFTQHLFDLIPQSSSASDKEDEKDAKTGGALTQPGTDPLPDKSEALPLPSVKSSKMSMGQSVKSTKATL >PPA19434 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:666008:667053:-1 gene:PPA19434 transcript:PPA19434 gene_biotype:protein_coding transcript_biotype:protein_coding MLRARAGSAARRLDDGFVAPSRTTARLPSTPFSSALWPLLVTPGSFQSRRLSLCVGRAAAVPSFPSGSSKVLPVYLLVLHNSKKSP >PPA19442 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:696939:697567:1 gene:PPA19442 transcript:PPA19442 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVCNEGQILHRLEGNHRHPDETYTAGPSENRSEKIEQARKIATPKINLAFASRAFR >PPA19384 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:162380:164274:-1 gene:PPA19384 transcript:PPA19384 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGDSTDLLPLSTNNSTTTSNPLAGTGTTGMTGAGNSGSGIYQSAVHNCAKSIIDRKLRKFASETSFATEGTGSDASEREVQSRGRVFTVKPVQLRNGGAGAGGQQRRRRHDSDASGSAGSEATVASGAATPVRHHAPRIRRPKSASNVEMNFSMTSATIHSLETNADDEIIGGMDMPPDRLAMRRQSNIYCFDDDSIHEFEDDDVSASWLA >PPA19365 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:25553:30170:1 gene:PPA19365 transcript:PPA19365 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSHKNSSKNSAKNSSKKNGSEAELRTAVKLIEYYKLDEHGEPIREQERVSYQNMLVRYGDWSNVRVQVAAYFLLTILGVVYLGHSDEIGLIARGLPVNDGRHMHCCCEVSPAQRNLSKLAGKFAKHDWTKGVLMVITLVVGGAAPLWERFLGRRKVLLAFMIASIPTSVLLMFAPAQSFAQQCCHMLLEQSCLMVLLITVSSMIEMLPYETRFLAVLNYIFAKGVVAAISALHFTSGFTFSNLGIMCLIACAIGAALVQFVIKDSICHHNIRNNVDIIETARLIDGFVYDAGQKAVKRIAHFPKQTGKVKVPEWSDLVKLGVTKDMAPVKPDWSQHRARMALGCAPFWADPLLHIPLIVPKTLGAELNWTQGPLQNRCRPPVHNLGKNGYLNKQDFLLKWSDMVSY >PPA19444 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:703547:704101:1 gene:PPA19444 transcript:PPA19444 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPDSENSRNALDANVRLGFGISIFVICFILNLRSLLTVWKMKNTKTRTIQRTFLAITLCIFITQACNVAISVIIAILNSFKSSGLDFAYEAVSYFSDFFSIGPAL >PPA19441 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:693883:695090:-1 gene:PPA19441 transcript:PPA19441 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWLNALLYLRFLSEEFFYLYYEWLSDKPFLINTHNFLASHFNYVQNIYVLLLTFDRYAAIFMITKGIKWWTNYYLYIIVATHVVVLGSHLGFRLPMGNSVHLNPETSSVQLTKDESDIFRTVIDANVRLCFGIATFLACSILNMGDTRPVTQADGRGFSKLEMEESGGESRPPVVSARMENGEWRRYLKIF >PPA19465 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:801197:806021:-1 gene:PPA19465 transcript:PPA19465 gene_biotype:protein_coding transcript_biotype:protein_coding MDADCNLEKSMLEDREPEAYIAMEADCNLEEIIMEGDEPHAFTPMDADVNLEESMVEDDLDISFFVDNLQIETKENSAENTSSSRAVILPPIHDAATECNANAATADEPSNADDVAATSNYDGCLDDQNDSGIADMNSSSTAAFDINHRSNDRNDSSAHDELDSMEDIIAGDAATVAAANLLAAAKVPSATIYSSDETKEDDEPRDFDKTFAHGSEYDKSKSLDNRTTDKPSPVFSATEVVVGSVSPRTIAVDTYPCDDEAFFDLYVDENPLEVVDCSFNEHQTKDQENTSDIIVLSPPPRLECVKTAAVVPTHSAHSSNNKSRPLDNVEDDIIELPTSPQLDANVDIKQESTATKTHNNPTAFVSNRQLDPSQAIKIDNDEEDVQIIEHVCSPAITVPAPQLSAEQIKAVREFHLRRHALFAVMLVESMKSQTAFNEVQRLATPSAVPILRINGPPSNVVSQPKPEPAVVKPPIPSTADPTVQVGTASSPTTKAKRDHAVVTIDDDDEVQVIEHKASVPPKPLVATSIPASASTPATPLFTREHESILVQSMRVVVAAEAAKKPAVVSPQTAQTPRRTDFGEVITIDDDSNEEVTDVVYPDCQPQSKKAPHQPTSILREEVRSGAERTYPNPQAAKRLAPSNAEVPVVEKKNKQEKSEEIMVIQRRMNSLSLRLSLSLPRRSLAQERVLLISARPTLLKVPAAVYFSPTVLTTQNKLLSKSTAASQWDCDKECEANSECVAIIVDRKEDHVVCGYLGEVVDYTICAKPANVYKKTAAGDATKKVGSLGNDQAGTWGIAIGQKAKENAISGVRYPQTMISRVSEGCEMHFLMRNKSTLVHTFTVRTYPPPDGLTLID >PPA19472 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:820069:822284:-1 gene:PPA19472 transcript:PPA19472 gene_biotype:protein_coding transcript_biotype:protein_coding MLYEGARIVHDFFCWSAIIINILLDIDLRLCSDSNEREFIARKRIEPDIMNKFVQDQVVSVSPSQPFSLTGIIHGHQILHTKHYISLYCPLHNLCADGDRVEKSASMRLGMAWFQGVLIPAYFVIIVSSVLIHRLLSSSLRMSEKSKKMHKDIMQGLIFQAMLPLFYVVVIVINQVAQKHLLPDNKDNGYVFITSSDVHVLLSIVLILLVLSTANERK >PPA19438 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:682884:685340:-1 gene:PPA19438 transcript:PPA19438 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDKKHPFTLPEKYECEYTPVKKLPGSLWLARKYDSNDGDFSIVVKKCMKPFESVDRAKRLLRQLHLLRMIKHENIVRLIGAYTVKEDNGEEAIYTINSYCGDPLSERIEKGDYTMEDVKKWAREMLMAVQHLHSNGIVHRNLRPGNIGIDANNKLTLLVDIWSIGALLFDLITGFPLFDEKNPLNQQIQFCGPVGDSVIAKMKHSKDRAHFTDILMDESDERMDFITLMRENLDAQTARGITDEDIVKNADALSDFIDGMLQYDPEQRMSTSQAISHPFLRHSAPWKCTLPEDKTLALDELKSRIRQEIETTTL >PPA19368 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:36044:38737:1 gene:PPA19368 transcript:PPA19368 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYRSQIVALRRTRSKLPFSLYLFDLMPQNNNDLDDNRASYEKVRHMMEITAQPANQASTNVTTEQTKDVEKSKSVKSIATTKETAAQAVEKPRLSLKRALKTIQR >PPA19453 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:735664:737129:-1 gene:PPA19453 transcript:PPA19453 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLVVVALILASCLADQVVINNFQFLCQKQNGEFVPMGSSPNDKTEMLVNADSFGFMTWAHNMGTYSLNPEANPPGLITISQPLDFKHGRQIWVVLVLSCSLPYRNLCPAVNNICVSSGESHPKTAPIDYNKFANYFNNGGVLLLRTPQDWKIAMSKAALPMEKLTSQEFCEVPQGKNSISCSWSDTVQYTHASYEEQSNVSSDSGAAAESNSAPVVEGQEGGNAPVVEGQDGGNAPVVEGQDGGNAPVVEGQDGGNGTGKGTASSGAAATTGAFMALPLLALF >PPA19380 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:146733:147640:-1 gene:PPA19380 transcript:PPA19380 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRETRLASLLAHIMILASAFWLLPYPLRWIPTSVLHGLFLYMALTSLNGNEMFERLLLLITEQQAYPPTHYIRKVPQRKVHLFTACQLLQLIILCAFGFSPYPFIEMVFPIVCFFFLPIRFFFY >PPA19428 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:645635:648608:-1 gene:PPA19428 transcript:PPA19428 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGILCLSLTGIFVVTLLFLYFGGQKGPAIIAYVDELRQFRRSRYNYVIGYFEEQLDPVQNPDSAEARDFLEALAEGDVRDLPYAITSDPVLRAKLGIPEGPRGILLVNEDERAVYEGDAYTATLWSLQVAAARNRAPLITDLHLDPKNIYSGAFKHYMFLVAPKSSPDFPAHLEAFTEAARRYRGRTRYVLVNTDLERGAEYAKRFPGYELEGGAAVYAMAHGEHGLEKFAHGLATLDSTHIINYNKNLLTGKLKRYYKSEEIPDDWDSKPVKELVGRNFNEVIANSGKPAFVFFYSPWSPYSKQIDPAWTELGDAFVDSDRIEGLHINSQPSFMFFPNGLNEPIEYSGGRSIEELVAFVKEQIENNKMTEEQRSEL >PPA19387 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:231351:238553:1 gene:PPA19387 transcript:PPA19387 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFVHFKFEDDGSSHRFALPTRGRKLFPRLKKRVNYIRGVTEQELYWDDGETINVLNDSVDLHAAIVFAIHQGTVCAIVPCVYVQFTPVRRIEKPIDAHQGHLQYYKADRDRPAAEEDPSANKTQSGARRIHVSVDEHGRSQWRAEDPYRLTIDQVSANTYGIPNENAEAALASATFHQSSDDYAGPDEALLSLLPALAQCSHPLNVSLNETEIPSSAIECHCEDRSACRLPPSQCKVTCPDRDRHIREALLCEAVKNLQLEQQAAAQMNEKGEPLHIPTEAETLEYGPAIFALHNEIAASKERIAQSVDRFEKKREAQNLIQHKMQLYKERKHLIETNHDLREEVRLAADYFRAFVADDGDEISEMQRARHLEIVKDGISGKIPFEDFPLAGEHQRKIVQNALPFLRVAIDAGIEKIDGLPSRIADRVASKASKRNSGGDHTSDSSGSWVGRKVMSCLILSLAEQRKDRTCLQESALEDLHKPNARRPLHLGTLSSCCSALSNMEPQDNKRCDLTRTDPQPTKSTRTRTYSVEQQVVTMASRNNADNGSNRLMDMGELKQAILHGTYVRPRPTRPRPSNDVNLKKLSPFEAYLRTGFPSSNHPNDQQLAEQAGDDRHADQFAARPDVGYNVEMINLQDNEDQGIYDAEEVSTSTAPPPLVEQVVTSMLDAKRSSIATVLNKQFGGDREGLAVHPLISQQEPPTVPSAPPAIMMDGSGAAEALAPANASLHTLVMPSPPRLIAVDGDIPAAIDRHQERVAELERKKAKLREMRLAKERWYSHKNEQNHHAILERSLAYTAYPDGVYDVLSHRPLSAPTTETSTVDGAPDARTIQCNAEEVASPIKEPVETALQRFKIDYRANELQRRKATAAEIRRARRKEQEVKHQNKEREIWMRAELSRLAGEGFLADLRSLLVGLNSVTADAATEEFLYTARSFISSPKLNAVVALIFDMAVERPECAELYARLCAAQTEDTKPLRARMFGHIMLAGHLFMHDVLPPGFILLAAVQLLQSVIGELNKQTQVDNDSIACAVRLLLLCGDRLDAVADDEQRASSFSMPVLMATLEAAVPYVNERVRAMIVEMANMNADWAGGGQAGAAAVAGNGEVRRLPFFTTQK >PPA19479 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:845436:848658:-1 gene:PPA19479 transcript:PPA19479 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLLLLASIIATACGLRCHHHTHPMMTVGQNAVETTCHRSTDRCTSHPSSAFRDCVQKESCVECGGFYQCCDSDLRNKPAVITWQAPVKVVEPCQACVRKVFNTGEIERSCFSTNICLRGAVVTYWSCHHNSGCKEFHCCTTDLCNARTPEEEERYESTPEFNGTIVASATDSNTSISLYYTTTAATTTTPESFSLTLFREVLQNVSRDICSEDEKYYEKHCHHPEGCQEFIHRKEKCMKNALTHPTDADCRNSYREMRLLLLSAFLLILPAVLTLECYTTERWKPAHLTIRSALRIRSTQENSEKAASTLGKWT >PPA19395 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:293247:294613:1 gene:PPA19395 transcript:PPA19395 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLEAIEKVAPSMNMQRMEKSHHLQLLNSMMRLTLLLTCVASAQSWHIYYKNNCEDNVSAIQFAFSDPVRVISLHLEPNDNMESQSFDNETVTVMCDDAGLTAHFRRERTIATRYHLDNRNAYSASEMSLEIRPRGPALPTLHCNSTSCRKIGLFDSEAAVSESRQSASALATTDFEIIFCAPSPSTLKNGEKKKKIAFML >PPA19436 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:678366:678671:-1 gene:PPA19436 transcript:PPA19436 gene_biotype:protein_coding transcript_biotype:protein_coding MWSIAVILCEMFTGYPVFYIGTVKDTLKQQISYCGPVDEEVLNKVTSQDDKNFLIEYSRLYASIFAKDAKNKRMVRTFNSANFD >PPA19424 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:594571:605667:1 gene:PPA19424 transcript:PPA19424 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAFFQPINGPMMVRAPAPAAYETRDAFPREASWRRASPPREERREFFGSTDTWRSAPRSSPPRDGFNSEAFGRGRDYSPERRKSFRDDRSPVRRDRSRFDDKSKKDHKERRSHDDRDRKRDRERSEDRKRDDRWRDMTSVAQQGSACSIIPFVAFDSLPIHTMFSPKSVSAALATHFGNALVSPAPSPLTDEERRMFSRLKILLTEKEQGLIESEEEDEEALVQESGDSDSDYDAEEDEKPEQRSRKPWTKELCDKMLEFYRSKDLEDGRRGASQSFKRMQNRFRAHMKTEYDLTLLRKYEKTGVIPSERYSAMRQLASDVRAKLGEKMKKGVPIHDTDIRKIALDLNKLNAASGNFKASATWVSKWKVHHRIVSRKVTKFVTRKATKDREKVLKQIDELRIKFLAVVRKNPGIVIINADQTGQVKEMHSTRTLAEEGSKDVVVEIESKSATTHSVTVLPTIYLDGRQHPIVYVHLGEPTGSLPAKKAVYGNKNLVIGASKSHIMNREAAARYFKEGLVPKGHKVTILNIPKGGTSLAQPLDLCYNQQWKCVMRRLNDAILVHDIDFVLHTRDNLLRCISQVYWAFGAPMFKEYRKYGWYRGGFLTTHPAPFVTPPKRKLTAPMVHFDAKWIWKDKLEKDRKSRDRDSREKERSKENGEKKRRVDDKKEDKVEETDKPEDKNESKPEDTGDETENNDEEIKEIEVIEEDEFGDEFVDEEKEESNGAEKETKESKKEEPKKSDYKESEGTKKSDNKKVEEKLDWKSDRTRIRSPSIEKKSRSSSIEKDKGKKEYSEFKIITDASFDEIHRPFERPYGNFNKSKNFPTSSTKYHSGDDSWYQQYKAKVMMTKTATYGLSGPPSAPLHPPVVPMVAPLPAAYVDPFAAAREVLARMPPRDTAAAYPQAAPPRLEYPLEYARASSAYGAPPAHIDRHEADPHRVHEWTREERDAYDRRRADELRSISPPTRRDVYDRREEYPRRDDRRDEYDRRDDRRDEYERRERREEGHHHVEYFTERYRSEQRPDERAARYADHGADRRPLADERPRYREHSPAYEHSAAAQPRDESRHPPARPRPVPSPTMTERLADRLGMTGGGAEKRPPVPPPVEEIDASKLVEPLEDDGWDAVARLLNPEKVVVEKKAKKPLIASMPPPPPPAAIPPPLPHRPIPRGNILEIENGGEHPPHSTRPSFFPAPTDGRVVAGGVAPPRAEADAYGRATSYEELASSNGAATYRSGPAPGWESGRRH >PPA19366 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:29943:32392:-1 gene:PPA19366 transcript:PPA19366 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLHARLIDGFVYDAGQKAVKRIAHFPKQTGKGRKVLNRIAADLRSTTAPAELYIVMLWTGGRQRFCRGPCVPENADFN >PPA19461 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:778663:780942:-1 gene:PPA19461 transcript:PPA19461 gene_biotype:protein_coding transcript_biotype:protein_coding MYCIRPASPSTQATLQTVKLAVTFVSLVVATTFDVYTQCIFDAQLIMPVMCIFRDAPIINIPLSACAAFITWIVLILLNAPVYAACFLHRHQIIVPQNSVFKLSTVGHVGFLVVTGVFCCSFSYTYYLAWVPGAELESSLREMISDDWDIEGIRRMDCFINYKYLGSGPFLLLHNYDFCDLNSYLLNHSKSELSQCDHEELSQDIASIALACGISPNYVRSFPVHHHSRILQVAGSGDFHIVSNDRRLYRPPRFPLYRPLGYAHSHHSNVSKEDLESDAAVATVCKEPTRVQVNIGNESAHPTIVFREMNGNGLMMFSIRTIY >PPA19391 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:260023:261767:1 gene:PPA19391 transcript:PPA19391 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQMSFNFWTLDEKHEIVDHDFSLPLGPLLFDEMRVRVLEIIQKTEQKFYWDDGFSRVSLNNRYDVEAAVNWSFLSSKIRRKPHESHHENPVSTKKKHVLPSICNEALKSVVSKFNASQLITQRQQLGEAHRPSTCFPRPSRMNQLVSLALVGLLVVAPVLSVDIEEEENVLVLTNVGIL >PPA19470 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:817176:817746:-1 gene:PPA19470 transcript:PPA19470 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFYNADHDYHSASMAAMLRHHEEEAAQERIRHERERHEHEERLHKERDAARRKHEREEDERRKEVARRKLEEERRRREHKKKHSTASAPGRLH >PPA19446 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:711888:713470:1 gene:PPA19446 transcript:PPA19446 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNDYSTCFGASITTIARALVGIFIAHWVIYYLLMDKYSLLLGLFLLAQAALFGHAVAFDWRPTLVAALAVTMCDIFFHFAKYISQLIAHGYHYTGTTPSQEAGDDVLPLSQVIYGLLYLAYLAVMAKVLWNLKKFRKYGPGHETLVGNTQVTEVKTASPSGAYQEQ >PPA19443 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:699323:701060:1 gene:PPA19443 transcript:PPA19443 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSARNFTVLSGPMGAHFTKETAQSELPRHLLLAHFHGSNTCNFLVSNFNYVQNLYLLFLTFDRYAAIVSVTRNMKWWDNYHKWIILVTHVIVLGAHMAIRLPMDISLKVNPLTGHYTITRPDSENSRNALDANVRLAFGITIFVICSMLNVLSLLRLRVLKKTKTKTIQWSFFAISMCIFATQSANVAVSVLIAVFNTFQIAGGLAFAYEAVSYFSDLFSIGPALYTIILPGLIRQFLVQKILKVLRMTKTDSQPPSLTVVSSPDHSHRPAKNSIQPLTTFRI >PPA19370 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:47368:49541:1 gene:PPA19370 transcript:PPA19370 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVSSENLKAADDVDATTAPAWSDKPADDNDKWVRRDASGNWKAVFLDIPHHSIFEEDITILPPRRRTAVNQKSTITAEKPKPTSKSSSGIQKKGAFAIEDGVKNAPPKKKSTKTLNNSDVSNGTEKSEGAPKRTAEWPNNCFMIYVFIVKWQQNLPKIERYGQLVKPLLLTEEEMKIMRLVYR >PPA19374 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:86317:95747:-1 gene:PPA19374 transcript:PPA19374 gene_biotype:protein_coding transcript_biotype:protein_coding MADERYYNTDEQRDQGEAEWAEDLGTDRDNTNYAQDTAETVYISSLALLKMLKHGRAELPKEAVGLMLGDFPDEFTVNVVDVFPMPPGTGVSVEAFGIPDPVPEGSVRPPCYPLDMIDKLKETGRLETMLLKVYKTLRLDLPQSIRLKREKMEKERRASSFSARAEQNKTAMQSVLKRVGDCNKALEEAEQNPMTRQQRAIKYFGKQDPLRHIKEEIAEMMWDNIEQLADAIWPNKDIAFVPQKQEEQNPPAVQKMRQIDIHRIVNFAQQQLDKAVKDSNAPDTSETVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTINVVDVFAMPQSGTGVSVEAVDPVFQAKMLDMLKQTGRPEMVVGWYHSHPGFGCWLSNTDINTQKSFEALSDRAVAVVIDSIQSVKGKVVIDAFRTIDREKNWIDPRQTTSNLGHLTKPSRKALSCGLNAHYYSIPVACKCQDNEQKMLLTLHQKSWMHSMQLDSFSKCAGRNKEGMQSMLKYAGYYKKAVEEEEWMTDEQLAIRNVGRQDPKRHIGEEVCKLLSDNIVQSLGTMMDTQEAIGKEAYGSVILALFRYLFFGKFCIAPEIEKQEERKVELIVQATEQ >PPA19398 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:317593:323078:1 gene:PPA19398 transcript:PPA19398 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIPGKRRELKDTLPWTQEDVDHLGLASGSGTSQEREELLSTTDEEMGKRLLHLLMGSMKEKKRKEKEERMRARIENDAENIYNYKGEDKEDGDSMPDLKQLALIALDAMSSLSKSEKRMADLERDAFKWEDEAKRLDEILEKIFELYLPNKDMYSTIKNVEARIRRENKEESEEANRKAMKELDETNEEEEEEEEENEKQTMTSRADRDARKKLRDDAKAAREKEKKARGETSAETTPERPTRKSEKRINDSHQTPIKLRIRRSRFEDAKEKESTESEESSESPTLNSSPEEQRRRMESQQRAKAEEDKKRAEMKHTQRMRRDESAVGISTEKTGEDFAIVPTKQMRRSPSEETNISPSMRSLLAAVDEAIKDTERRGEGEQVAIDRRTRSPSVEIIDVVPADDMRSGASRRRMMEEREREEREREERDEANRRGSSAAGPSRGGGGGGDERIEGGTAFVNQRRSACGEHVEMRERAESVDTRYMQNMRLEDEPMMDQSSRRRSTDSAVHSCDGAIDEADIIGNVEEKNDKENEQAETQQCDHDEEPTTSAHLHLYRARKDALYDVDEIEISNFEKHLHTNPATFEQKLKNIKFLAKYGLIYQEVECDVCKKKLTLMKKETTDPARDGFVWKCTKCRDARHRAVEITVRTGCFFEGFNFSIGRILHLACIFMDNPRRQLHELAESLDSDIATVDRLRSYFLDISQELSFVNADKKRIGGWQKPLVDVRLGYIFKNKYEKDPARNFAYALVFKGVVEFVVVPVKNLDAKTLSKCAAKALLDGSEITVAEPQLFGSVAGIQKCFDDQFGHGRMLFRADFTRYPAEDVVALREGRSTRAQLALVDVVRDNLQKPFNVFLVGMSAYDVLERDLKCGDDPL >PPA19419 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:538010:539172:1 gene:PPA19419 transcript:PPA19419 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFFILTAHASYSWPKLPVRIPQPPSLYPLQSACSLGGQRRETAGEMRIVIHRIPRDPYAPTQWKSMSFARALERDGISRAGRGKTTDKTRIPTSF >PPA19455 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:743578:743932:1 gene:PPA19455 transcript:PPA19455 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKNTVPDVHDLRDWEGNLKKINRYAKYTVLQAKWVDAHYTFAMRADLRANFHVINEALEVRASIHRAARKRIIEEAADHVIDE >PPA19410 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:398478:402085:-1 gene:PPA19410 transcript:PPA19410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lin-29 MLGALAVQAPPTPIGFDQKPDLHAIQSQMQMRESKPYKCTQCIKVGHDKSFANSSYLSQHMRIHLGIKPFGPCQYCGKKFTQLSHLQQHIRTHTGEKPYRCKYAGCEKAFSQLSNLQSHSRCHQTDKPFKCNSCYKCFADEQSLLEHIPKHKESKHLKSTKVHICPFCGKSYTQATYLSKHMTKHADRTRNMPYPVDTSVSNGVPSSGNPLDQLHWNTAGLPAMPDDAAQAHQLAQFSQFANAGMMSQSYPQIPNSAFTQLMNLQRNAAARAPSYLDYNTFAKPTDRPAGFNMITPLENIQRYTQQAVSGGLQQPQQQQQQVPSAAGTPTTNGHAVPFIPTSSVRKKFRS >PPA19493 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:910145:910823:1 gene:PPA19493 transcript:PPA19493 gene_biotype:protein_coding transcript_biotype:protein_coding MHADSKVHFPSINRSTLISAYSFTCNGLCFIIYCVSAASIIRSKAVNINEVRLFLLGFFVLLSSAPSIAYQIYWSIYDSKGSAQVFMLLPWVIMVKTLASPLLLILTNSGMRNEIRSLLPRMFRGRVKESIIRDFSITIAK >PPA19393 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:283055:288816:1 gene:PPA19393 transcript:PPA19393 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPPAGGPLPDLGIKLKAVNIDFQFVESKRPPVPVPRLTAADDREDEWDECPRDPRPSDDVDLTGKVQCPHCTEDWPRTQLKLHVKMEHPKLYFEYAKYFCKKCKGSVGYFCEWRYAEHYENCEADVGGPVAEFDVRELVCAPHNDLPVQPPAVKHRLPPVPEGMGNEDAPYQCQRCEEAGFYSHEHYTNHLNRCTGFASIADQHNVFAGTATEIEQKTGKRRTETPCYRVLIDADRRRYGHLAAFNRPLKCTHCDHWASSLPTLVEHHADNHQTREPLTFMCGGCARVCVTVEELKEHLEEEAEKGNSRCYDQGMLNGNGIFTPAPGQHWDVNRWVKDDRQVPLMPSRSGIAPRGGLPSNWRPPTAPVDISTAMRYKNLARQEAMQKRHSFVFQRRPDQLLPIRPINIMSKSPLSGERNLFRQQQIVAAANMRQRGRTLKQQKAVYSRRPEDFAYKATVEQQQAVYSRRPPEDFAYKRVAAQQRQHDFARVDFSTPLNLPTEPQSYSSRLMSNDGRRVTAIHTPTPPRASILYQPFSGTVKLGNHAGPSTRPAQTIGRQVSQSSGSMHKATSSFRPSILASSSRNSSFNPSNNNVRIIRPTSGSAIPYPRVIIDGPPTLLPNSPTPSATPSKVPKKEPKQEPDY >PPA19482 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:864306:866393:-1 gene:PPA19482 transcript:PPA19482 gene_biotype:protein_coding transcript_biotype:protein_coding MKALHFSRTDSALGRLLVETQSLMTSVFDNGTESPTLPLKITALRHDCVEKMAGDPNREDDERLLHYGTVFSICALLTSMIGTNEKPAQAPVAPVQEINDFPTQQILPVNGPPPSAPPEDESSTSTGVFDSAMKDAGNEMEDQKNGRHDSSEELARLNSPISISSMKYHNSVGGYFYKQATRYDSDDERSMMITDSETEDVVNIVEESDGRLLRVMRPMQVSQIDDNSTEDTRFGCNEMRSLICDQELNDMNTENVGQEQNNEETCGLSKKENTVTKLKNDESRMFKCRVCSTIYENRNDLRRCNAAHSRGKKIANFKCEHCGKYCCDNWVLRRHRDAQHRVVQNADSNLVPAGSSERRGKIRRNDSRPPLNCDQCGKTFRDNGLMRRHMGVHKAMNRKPNKSSEPSDDSRKVANPFKCAECGQGFKNTLELMKHRMATH >PPA19422 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:589445:592035:-1 gene:PPA19422 transcript:PPA19422 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAAPPVVSDGPPAAAPAAKVVDPVDKYAALVVRGTIVGMGLLGTALFLRNSRIFAKFESASQIPKEFIRKELELKGKIREVLPTGELRIEHEPIIRLPRLLPRKKNAKVGLLTLRLAGLDISKAGTTYITKDLRLTNKPVTFTVIKGSDAVENALDADVTIKKIVGT >PPA19406 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:361693:362692:-1 gene:PPA19406 transcript:PPA19406 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEGNIDQVKREIWDRIEELPKWNSIVNSSHVLAPLNGNADIFYRAIDCLGERKRRGRGEESRIHNGFSLGEPSGDTGEEGQIETPNRLTKGGPRQYDALRKWGTREIWGEEDENKQQTTNAKRVNV >PPA19449 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:724510:725043:1 gene:PPA19449 transcript:PPA19449 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAEAIGLLFAYALYKLYSLKIFGNLLGFYAMRDEFERSRQSVRSNRGERAGGGGEESARLKDLLII >PPA19486 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:878390:879306:-1 gene:PPA19486 transcript:PPA19486 gene_biotype:protein_coding transcript_biotype:protein_coding MDSADVDEIQRKAEAKRERREKMKRLCQEMAMRKKIEICLFIFIICFMIFMIIDKELRQREMKQLEEKKRLYDEERVQVEAAQKAILRQQQLAEELKNLKIGSSQSRLTKGQERLRMRLSARQATKTE >PPA19498 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:956272:958000:1 gene:PPA19498 transcript:PPA19498 gene_biotype:protein_coding transcript_biotype:protein_coding MKEWCANWVRETANDFHAAKHAQCPYPHVSSDPIGCGDDHQFEGIMYIKWPKEGHHPKDDVMTLDKQLPVSHIVTYYREKM >PPA19495 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:930287:934984:1 gene:PPA19495 transcript:PPA19495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-shk-1 MGDEPNGMLLRRGSTASRRTSPQRGTLASDEKGAEIITINVSGLRFQTFERTLQRYPNTLLGSRSRRQKYWNPDAKEFFFDRHRGAFESILYIYQSCGRVKRPEAVPIDVFLREMHYFQMGDDFVEEFWISEGYEKPKETPMPDHPMQRRIWELMEFPDSSLSARIVAFISIIVIVISIVSFCWETVPSFIDPDTIANLTEKGEDHLVVDRYANPFFWLEFICIIWFSIELFLRFISCPSKRAFCCSFLNIIDFVAIAPFFVNLIWAENGPKGSSSMSFAVLRVLRLVRVFRIFKLSRLEFMSQRSKIASSRHSVGLQILGKTFRASVQELCLLVFFMLIALILFSSGMYFAEQGEPNTKFTSIPASFWFVLVTMTTVGYGDLVPTGPYGKMVGGCCAMIGVLTLALPVPIIVANFKHFYRQENRLATMKVVGKECEDLENDEEDY >PPA19414 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:470983:472705:-1 gene:PPA19414 transcript:PPA19414 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFTSHEDSPWRRFPSMLSRFGGGGSGSGRRCSSSSCSRPDSAASTSSSEDADLSPSTVTFRREGRKEEGAGVNRSVSCFEMMMTPSSSMQRLSLSPVAQLRKDVLSHSARGPHSARHSTARRSLNTSTSPVLAPRARSPHRQLLPSAAGSSSGSYHGSSTAGITVAGASQPGTSSSSHSRGGVIAPVPLSLSSIISSLIYDMPLLRRMCQMLCAF >PPA19403 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:346548:348039:1 gene:PPA19403 transcript:PPA19403 gene_biotype:protein_coding transcript_biotype:protein_coding MHVFSTPARPLPAGPTPTENRTGTRIAIPPPQVAHRADPLLLPHHQGHHHEKKDHHHDDKKEHHHHEHEKHHGYSDDKKDEKHHDSRGGHHDHEKKHHDGFHNNHEEHHGKEHHHQRERSHSSSSGGSIKSHVSIITRGSPESSRPATSRTDKKRDDHERDEESDNASVSSGESMTGNKKKGK >PPA19382 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:153271:154876:-1 gene:PPA19382 transcript:PPA19382 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQEPEFTSEQVRSVLFTQDAGNQFHILSRTVQSICTTGGGCGTFDYDQTWICALPGCDIELQLHAEYRAASARGHRAAEPSDEFGQDHAGPPIHHHRHRAVPSEVIMGTPKRLIPNRFSHTL >PPA19451 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:730844:732399:1 gene:PPA19451 transcript:PPA19451 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLFIALALLPLSAAIECYQGSQMQGMAAMKNSQKCMFGKYCIYKVDATTMMTTWACDDFEKCSKPGCGPAKREVNYNGASSTFTCQGPGCDKVKVESTNTAGTSGNGGSFVHSDGSPTFCCCAYDNCNETRETAEARGPSVPSGPSAPSGPSAPPTADDPFPAANPRACQQCAHAKIPHRKKNTTQRRISLHRLFQRLGNNETGERGEARGLFVPSGPSQQSGPSNPNNADDPFQNAKNARDNNGGRHATRGGGYANTANGPIAEAEFDSAPATVLFFTTAARAAMSLASVRDSIPSPTHGGDSPAAKGSPPPSHELPAPE >PPA19457 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:747794:748706:-1 gene:PPA19457 transcript:PPA19457 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLGEEYIRNALYGGKCSAAGGWRCVPKGQELEVSPITKDEACGTFEYCPFTRKNVASGRFARLTDPLP >PPA19405 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:356425:358034:-1 gene:PPA19405 transcript:PPA19405 gene_biotype:protein_coding transcript_biotype:protein_coding MGREHSPGDPQRRHEKEENELNLHGFFEANLHLAALRLRPNPSNAGKILCDLAVSVDLKGNLPKDVVKSMMPSIMAIVADLMITHFKELGGHL >PPA19469 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:811062:813994:-1 gene:PPA19469 transcript:PPA19469 gene_biotype:protein_coding transcript_biotype:protein_coding MADHDGDDAQRKAAEREAKKAEVRKRLEEAGKAGKKAKKGFLTPERKKKLRKLLMSKAAEDLKRQQLAKEQERQKALADRTIPCPQVDGIEDKAKLEAIYNELFEKVRQLESEKYDINALVNNNQNVINQLNIEVNDLRGKYAKPALKKVSKYDNKFKKMATEGEAKTAPKADFRSNLKVVKKENAVEEILAKVEKKKDGKPEWSKKGGEKKEEEEKEVKEEKAKAKVKERGRLRFVASSNIGFLSFECGMRVEEAAPEEEEPSEAPEVEEEEDEEEEEEEEE >PPA19439 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:685765:687792:1 gene:PPA19439 transcript:PPA19439 gene_biotype:protein_coding transcript_biotype:protein_coding MQGYHLVTRIPSPFYIPLKYKDWTLVRGEEGEFSGSLGIVKIFVSPDGRSSIAIKKCGNVFQGRGRAKLVLRQLNLLRTVHHENVVRLIGSYSIVDEPSRESIYNITEYCGEPLSVRIKRGDYTIEDVKKWTREMLKAVIHRNLHPGNICIEAYNKLTLIDKCRLVESVPSAGYGHARVIDRDQNMTAERGTQPYLAIELMVEWVGVYDEKVDIWSVAVLLCDLLTGQNIFGCNDAKNSLREQLKVLGRIDDNILNDKDIMHVLREKMLPERGVGVEDIAEHEVHLRHFFDNTLQFDPDRRMSAERALSHPLLLTPAPWERHLPEDEQQAIAALRFHIDQEISLAPVPQ >PPA19401 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:339835:340067:-1 gene:PPA19401 transcript:PPA19401 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFDRELIRKHFKGDFSLIDHVFGDTPRLRRYATSSAIRHVFGDTPRLRRYATSSAIRHVFGDMNL >PPA19427 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:635551:641798:-1 gene:PPA19427 transcript:PPA19427 gene_biotype:protein_coding transcript_biotype:protein_coding MFELGMLKSFKRPRPESDDEEGHEVYFKRQRIKTAKRKKTMDEKPILTCPHCDREFRYDKPYEKHLKEHKGDDWRDDSNHNDAVIDEIEHLPIVIPVVLIDPHEEAAAAAAALLELDKDDDLLRDDYYDYFGQHDWAEETSENIESLEAQASKSEDTVVDKSAFETAAVEVDATTAIVDDSERESESLVPSAPDGVADEQERGDKREDRDESEHDPETEQLEHEESVPAEQPNTSADPNKVVEALRTTKRKSRRITKETSEVNVTSSTEDENHVDEPESLPLAPTTSDSSPSVEPEKKKKRRRSIKRTEKRDEEKHDSTHPEHPFVDDPPSTDATEKRKKRKGSSKRCVQDTSEAEPAGDEATPVAPEEVDELTIPTESNPPSVPTTKSERKRRKSRKRTEVADCADTVFKSGEPDEKEHAPDEPKALAVMEVTMSGKPEKKQRKSSRRVKESSETELEVASIDKPKKANRKSREIDEDADFVSNTAEAAGNDSEKTSTDEISNKRRSNRRSARSIEDGAPPSASQVKPKVAADEPVAVQPTAPAADAADSVELSEKSKRKSRRRTEQADPAADEAASVALKGAASDPVEQLDQLEKKGHRKRTEETPSPIEAVTADRSVIAAADESNKGTRKIRRSIKKSDLAKVVTAAEQTNEQQDLMEPEQILSDEAKSSKDLRKRRRRKNMKDASETTSASATVTVALHQAPTKLAQIHTGAAVTDAAEKSEQKRRKASKSKNSAAEIEADESIVESEYCAEKVDATDGNYKKHFLAHEEDMEVDKSTANEAKQNDGKAECDGNVDNNSEAKPTFTCSSCERTFKYEGSYKKHLLAHEEETEVDKEISAEDDDIGIETDKSNEEDISEEKSTLACAVCEREFVFEGNYKKHMLMHEEEDVQDVKPESLQDTISPVAPSKELSCPVCDRKYKYEGHYKRHLLAHEEEEEEDKKIETDASSYSDAATSRKEEPALPSKTFKCPSCVRTFKYEGHYKRHLHMHELSEAASMDTSADEMHDDSLEAAPPSDNTVAPAAVLEAKKSFSCSTCQRRYDDEWRYKKHMVAHATAMDISDDEEANNVRAVLDNSISDRKSEKDAERSERQRKRIAEKPLLTCPICEQSYKYDVCYKKHMKTHGDETHLTEVSTAVQPLVIITSSMLKWRISEEIVNNFRVNVDRDVAANCVVSSVVESAEELAVAAVAAIKFIVDAIEVEERAASLARLPSSRQHRCLSPCRDVLLNVKDREITEGLWSDSSSLSQNSANFHTNSLAAAKDQRPGADSTTNDKKTRNLDDDVVRSSTGDVCTLRTGLKLTRPDRLVFTGSKYCPAPAISTWAWSRSQ >PPA19473 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:825385:826390:1 gene:PPA19473 transcript:PPA19473 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSLLLFSFFLIHSMGAVREPSASYRLGRIALRNIPKYTHINGTEIWLKLDSLEKNAIAPGPGPAIIHAPDFEYLCKVFYCHNENKRYCEPLCTRRALQFDYKSFFDRLDNINSTEPTPNKEACLKDCIDLCGPNDCKKQCVDLVDIHFEYKNRKEVEHEFKELLKLIRWARWNPMKIDSVCI >PPA19471 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:818625:819383:-1 gene:PPA19471 transcript:PPA19471 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLDSDSDAEFACGHRKDGESVRLLMQHAMLEEEDRHRQLMAELRSFKPPPDQLSVLEEKIRVGIEDVEKALRVKEKMEHERNELWHELIRLMERKIEEQDECKSSKRPDHVLKLLTIVIHNCYLSDQ >PPA19415 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:482766:483542:-1 gene:PPA19415 transcript:PPA19415 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLGHSDPQISASSLHASAGTAGGVVGGGAGPSTSASGAPGGGGSPAQTTALPAVRMRYLCDAEEGKYY >PPA19376 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:103792:106745:-1 gene:PPA19376 transcript:PPA19376 gene_biotype:protein_coding transcript_biotype:protein_coding MMFDFYDQRWINTEAGKPKDDRAPKILRQKEKLFGGDFPEGFFDKIPECLRDAVDVIGATKWARVPDRDKMDGIIRQLLPAERGSRWWKLDWEGHQEVDMMTSVFRPPTIAAGCNLLAHFPDQSDPLCKQRWDSGTTLNVKEVSKRKPVTISSSPGNTERRHEKEQHEPQGKTADQLVKTDAEGSGRKGKGDDEIKDQTGKMGDQMIQIKDQRSTSSDKKEEKDRTDYDVEYTASPKLTADDSQSPMTQTQGTPQKVGGERVLKGFEVFRKIMEFGHLNRVAN >PPA19484 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:871501:872634:-1 gene:PPA19484 transcript:PPA19484 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLFLIAALFFPAFGVKCFIDYDELSTRKPFEGESLKYALEVECPAQSSPDYCIIAVSSDEVQYKSCDYSNVCTDLNEQSKAIKAHGAFTLWCCKGDLCNR >PPA19452 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:732897:734189:-1 gene:PPA19452 transcript:PPA19452 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLFIALALLPLSAALKCYQGKQIVKADGFADASSVAKVATDCAADVRGCLFEFSSGGGRQNHDLGMWRKRGDNNVVVSDEIDERQLVTDDDTTTINEIVTTTPQHFCCQNDLCNESKETAGKRPASNHSNGGNAAGPGSVPGTGHAGAPGAANPSAVTGSATAPALLLTAAATAAIVGARLSGRGGRQWPFAPAAATLFAPAPEVAVLLPDE >PPA19497 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:943195:946641:1 gene:PPA19497 transcript:PPA19497 gene_biotype:protein_coding transcript_biotype:protein_coding MSARGTVDERPAEGRTRRRNGGKKLDKTPEEKTKLLKLSKDSIPDAAAKSLSSPERTDKQSKGSNTGSSGSGGGSDNEETQGLHTASEPEYEARLTYEQAMMRFGTFTIVESSGDWKVIRRNVFGYVLIILFSTFTRSFVELLTVTSKMGTIVNGSSPALGCCCNLDRVPEKIQADEWIAAISFHAILAFLLQYSTSIKGYAAIGLAILGPWIEIYIGRRRLLLLGLAIGSSSAFGMVFLSSTLVIKLCFYCRIVMDMLINVLSFTTLIELVPYNQRFIAPLIIHMGTSLGLALNSIFFLRLRVVHYGIYLGASFFVGFIIAYYFTSDSICHLIATRRLEKAEDTIADIELSQVTNSSNTIYRYHEKVAKKVFEDLAYPDRDELKLMSFTLCFCLMYFLRKTNRARAMTVMHGSMMITIAFRRILLSFDHRNHCGSHLFVDIRFGPFSYFLNVAMGALLNTYMGFVSWLENVGVDIKFVYLLKETSICVLMI >PPA19361 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:3062:3290:1 gene:PPA19361 transcript:PPA19361 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKFATLPNTKNDKGRGSKGTTNKVRCGTRFIAREQALARKGADWGYYQFNWRSAR >PPA19458 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:750388:766065:-1 gene:PPA19458 transcript:PPA19458 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKKVSPLENRLDGDAWRGKTIDPNDSSDDVMDHEDDEPYVHTISTAEKVTNLLLCRGDLNSQEITIKPVTLWGLMRFGSPYDKLCFLLGCLCAILSGISQPCLAIIGGRLANTMLVYAPHSEEFRTAAYENVYIFIGMSAAVYFCFHGVCTRIILRLRREFMRALLRNMERIHEGIGDKLGLLIRGFSMLIAAIIIAFIYEWRLALMMLGVAPLSCFTMALMARKTEGVAGRQMASIGAAGSVAEEAILGVRTVQSLNGQPEMVKRYAEKLTDSKGFAMWNGFWNGFFGGFFYFILYTYMGCGMLYGGYLLSVDVVKDPGTVFIVIMAMMLGAYFLGMISPHLLVLLNARAAAAHIYQIIDRMPKIDVYAEGGTKPSGMKGRVVLENVHFRYPSRKETKVLFGLNLTVEPGQTVALVGHSGCGKSTSIGLVTRLYMPERGRVLIDGHDVNTMNLEYLRNIVGVVQQEPVLFNATIADNLRLGKPDITRDEMVDVCQKANAHDFIVKLPQGYDTLIGDGGVQLSGGQKQRVAIARTLARDPKVLLLDEATSALDAQSEGIVQEALNKASKGRATIVIAHRLSTIKDADKIVYIDKGQVAEMGTHEELVRLGGKYYELVKAQQFNKEEDDSTGSDVVEEDVPLDDEFPEESPRAMSTYSRDSIRSGTEAFRRGAVDSMRASISSRIAAEEAVMAEEAEKLIKHDEITRGGLGTALKHAKGNYHWIGATVVAACIRGVDLPAFALLVGYVFQAFQERPYSNGQMMHTILMALICFIAVGVGSLICQVVSSSLTAAVAENLLLKFRIMSFRSILYQDAAYFDNPSHTGGKLITRLATDAPNMQAAFDARMMSVVYAASAMLMSIAIALGFCWPVGLLGILCVICLLTMMFFLAYRIYELNILMVKEDEAGRRAIETIENVRTIQLLTREKKFFELYYKELRQQQGREFSKGFYEALNFTFTQSDMFLIFTVCFALGIHVMYIGLMSADRTFIAINAMMIACEALIQAAPFFPEMVKAKTAAGLVFAVALREPTTGDSSKGQHVQVVGNVSFDNVHFRYPQKPKHPVMRGLNLKIKRGTTVALVGPSGSGKSTLISMLERFYDPSSGFLKIDGHDSRILSLDHLRTQMALVGQEPRLFSGSIRENICFGLGEDVGEKGGQLSGGQKQRIAIARALVRQPKILLLDEATSALDSESERAVQMALDAAREGRTCITIAHRLSSIQNSDAIAYIENGKVRELGNHSELMARRGKYYKLIMQQDLSVIHRDAAIVEQDYTKVSLRGVPRFLIDAFDRILKGKTMEGLFWKEGNAARMKSSQDCANLSDAERFRHRRLLVIVKAKFFSDSVEQKIKASGEACVLVAQGTITCCACTQNGEIPETAQPDFVKSRTPA >PPA19467 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:808472:808802:1 gene:PPA19467 transcript:PPA19467 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLIKTFKQIKSVRINWNVAYTNDLIAKPLILNLPPTDEYTFISQSDVHRQRSSDAHDQQFAYYH >PPA19362 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:6248:7236:1 gene:PPA19362 transcript:PPA19362 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSGAADAGTPVSRTGETPLSPGRTPVAGTPVSRTGEASPPGRTPLAATPLAASALSPSSTKKEDVGGGESGTDKETAVGAAGATSPPPTAVDTVNVEVEGSREGPPEPVPNV >PPA19481 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:862853:864040:-1 gene:PPA19481 transcript:PPA19481 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVLLLASVIPFISTLFCIVGENDGNEIATFQECEPDYTNCFTKVEKAPPHYLVRLHPKPDITKMGCMKKSECNDATRSCEIKVLAKKFSNSKSVQCCKGHLCNSSNVNSSPILVAIILIAFTSVSY >PPA19409 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:391189:393764:1 gene:PPA19409 transcript:PPA19409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hot-3 MFVLDAAGEPSIKSQGAACLLPGCAGAADDRECAERRMRIWLLSWLAALCSATYVKGPYKPNQCYSCMSTYYEQLFNGRVQNIFNEPKNFTRHCDDPAAPHLLEAVACRTICLTITHDLVVFGQRTGQIVTMRGCAISIAKKGIHNHTLAMFDRYDICREMNAADLFRTEYGRGESQRIKVCSCLGDR >PPA19489 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:887278:888191:-1 gene:PPA19489 transcript:PPA19489 gene_biotype:protein_coding transcript_biotype:protein_coding MILGSPGKGGFSWGQGLLERKMSMDEEGKSTITNEERFKELLKRETQILNDEGTFSRKNYMKNEHADLVHRDPNWIEWYEFLFGRSHEM >PPA19492 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:896058:901849:-1 gene:PPA19492 transcript:PPA19492 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLAYSRLPTTEGDGDVDIQMPEPPKMTAAWKYIAPCLFILAFLIVYMDILSFDMFPNKSRVYFIATRTADEHKSDVSNNNIYNNYHNIENNNYNKVNNDNPDNYNNNNNISGRNCNNPRAKYNSRLFVDNEQRRSVSEIAKRRPNLRKNAKLDMSCEAIQKRILPARNPDTGFPIMYARIVNTDYEFLEDQLATNYANENVFCFSIDKKLATEIKHVSVCKDLFQASRAFHERIFNLEKCLPNVVVAKKEEDVDGSGHNQNEAHLDCMGAVRNHDVMIKTHQEMTEILRIYGGANEVEINECPDHRCISELEKNLGKLELCPKSLRGMELARCKNSSITFGKGAMQAILSRAAVDFIFDEINIRPLMKEMNEMGFGVDEQLYESLQITPEIRLPGGFHYKCRSKQAQYISRISIWSGDCKSGNVRHSICVYGVEDLPFLAESKFVMANKMMPEFDQAVTSCISELLFNRTRDGSTIDRRYYENINAVRYHHERNQPGFSIDKFRCVV >PPA19432 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:660882:662746:-1 gene:PPA19432 transcript:PPA19432 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEVRLSWRAIDCGQKVYTEDSGDDKGQGKCLKLRSKALLILRELNLLRSMRHPNIVRLVDKYAVVDHSCTPSQVPETMQSIYHITLYCGVPLSSVIARGDYDMAQAKTWIRELLSALQYIHALGISHRDLNPENICISTEGDRKLTILGFGKARVLDSKTNTLNRGNGFYMAIEMQITMKNSYDEMVDIWSMAVILCEMLTGKVLFTGKDRNKDNVKNVMKHIIEYCGAVEEAVLIKIDSDIDRNGLIGLQKGMERKDFQEFLRADVGTGRGIRAQDIDNEPELRSFLDRTLQHNPKARLTADEALCHPFVFPQALPKEPAKSKGEESAIRALLENIFEEIQAPMGSN >PPA19445 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:707958:711119:-1 gene:PPA19445 transcript:PPA19445 gene_biotype:protein_coding transcript_biotype:protein_coding MLARCVIQLAYGVIGIFAYFLVFYAIYGVRQTLSRNFIVIYTMMAVTIMNVPNLSGGANSNSDLSTKTQKKSTHFDCTQFSCQVRFGGFSLERH >PPA19462 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:784377:788085:1 gene:PPA19462 transcript:PPA19462 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFSGKVETPPASAPNDDRTENIRTAKNVTTGIDTPATSPMPNEERTDVPVDRTDVSKTSKKDSFLSRRKRWIKKKLLRRRRSKNEHGPERFTYEHMLLRYVEGDLLFVVARLETITVGRHIGCCCDLNKVLEYSQRLSEMLLNLLKLSDCEYCQFGVDDISAYIILGLSGLRIGRKNTLAAACAAGIVISICSSFTAAPWVQLTWSICFSLCTVVISLLSIATLSEILPYNIRYMAPVSYLWISGLCNAITVLHFRTKMIEKTLYLGIISAVGYAAGFITVMYWARDSIIHLVIRNKTDVVELTILRKEMRIYKERPEGDKKTHKRIAGMIFQDLVYLDRDEPKISKFLKKLYSNWAMGEIVLAFFQSFVFLEFFQH >PPA19440 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:688258:693819:-1 gene:PPA19440 transcript:PPA19440 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSKAVSIQKSFFIISMCIFLTQAFNVFVSSLIAIFNTLKFAPGLATAYAILPYTIVMPGLVRKFLFRKFTGALHLPVNHSQHSSSIKFITYEMYALRDHIVWWTEYYPIIIVIVQLVVLGCHLAIRLPMTNRIEVNRTTLDANCRLGFGIAIFLACSILNIHDSHAWARPQVSRSKINKRIWLFLKQYSAIVITEDKVQFELAICMTNFPRSQRFDKGVSAAVDSRQETGTQALTVRSCLCDPAKVETTAEQGPDCSQCTSIDSSCMPHIHPSFFYLTIVAI >PPA19454 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:738935:739672:1 gene:PPA19454 transcript:PPA19454 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRLFIALALLPLSAAIECWVGSKTPGVESYSNKQCSGSFCSYVNSGGTTTWSCGNQCSQTGCTNGPAKRDTVITGPGAAGVHVESTNTRQQSSSTSIVTSGGGPSAPVVTTTCCCAYAKCNLSKESAEVPAPANSGGHGSHGGNANTANGPSASAESDSAAEPALLLTAAATAAIVGARL >PPA19474 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:827422:828319:1 gene:PPA19474 transcript:PPA19474 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSRKNPAMKLDALEEVAFRPPLTPGHGPEYEYLCRLFYCHNENKNNCNELCTEHTKKVDDKLVDEHIRSINSSQPWASAGKSDCADLCLKNFCREECDKLVQIHFSFENRVEYEQEVKELFKVLNWARANYEQAKNPPF >PPA19456 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:746602:747157:1 gene:PPA19456 transcript:PPA19456 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFNGGFFLLRSANHVWLARTKAELPKVMLTSDEYCEVGNQKPKLRSCSFTSVQQYSHTVEQKETNNNQENQAPESTGTDGSSTGNDASSPNGPSGPSGPPPAEGADSNTGTAAPTDGAAASGVVLMALPLLALF >PPA19429 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:650099:651144:1 gene:PPA19429 transcript:PPA19429 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTFDENHEKFHCCCGVHLTVIQRLVSLFSVLLNIFLLVDGCYYPRRFSSLYSFFFYIAWELAIIVVITVADCGESQTACRIWISAMCVQLLVFLESAIRHFPIYVIEDLRWHGFIVESDFENPTAAAIIAFIIAVFAALIGVVFTYDRFITARDRAYCSPPSLIERCDSSPAIAVQFV >PPA19412 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:439687:440795:1 gene:PPA19412 transcript:PPA19412 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALTKHEASIKPKKCLPTDIRYKKTRAMRRALTKHEASIKSAKQLAITGAYERETKLLPTLIFIKSVVWKNFFNKEADKLERSNDDNKTCEYELV >PPA19464 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:795340:800196:-1 gene:PPA19464 transcript:PPA19464 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKGEKSLLLALPSSSGPEDDSKADKEKSRTSKDDKDLLLTKSAMMGREKTEIERQPSHSSRPERFTFEHMLLRYGDWRNIRSQVVAYTVLMLASCFCKAHFDLLIVIGRLNTIAVGRHAQCCCELDEEAEYAEKMAKEFDVDPFTFYIVLGGSAIFSLSCPLWERHIGRRRLLLAACALGIIMSICSCFHMAPWVQLMGQILFFVVHTVIFIISISTLSEILPYNTRYMSVATYLTTSGVCNCIAILHFHYGLIEKPVYIGIFSAILFGISGFAVVFYARDSIIHMVNRNRNNKAERMIMKREMQLFKNDPQGTKDMHRKIAQLIFHDLVYLDRDEPKLGKFLRKLYSNWAMNEIVLTFFQSISAGIIEYEFNHFWEEQFADLYFVNAIMISGYSIAGFLTFFSRKFHRIKILQWLFAACVLISSACHLINRNDKNNLCSSSDRLFVIAKHQWFAAIMGALLIALHFLETTPSILRPICIVMVYLPMKFSREASRMVFGFSGVEGTATIIPVYIYHFIIIAITMARSMTNHKLQFSLHLIDLVPQDTTSESDKIDERGSREGFGSLRQPPTVRGKIEPSSDN >PPA19385 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:208364:226578:1 gene:PPA19385 transcript:PPA19385 gene_biotype:protein_coding transcript_biotype:protein_coding MHFKFSHKGSWHRFVLPTRGGKKVFRRFKNRILAVLGAAEQQIWWDDGETINCLNDSVDLAAAIAFAEQEGQLLSTIPCVYIQFDTTPSAQTEEVASDYQQAVAEALVTTLKQASSRSGDDSIRKKIEREMLNSTFAVQQAIELANVEELTGLRIVSEKIARVDAMFTEQERVLAGIMQNTEDMQWQLNEQWLEKKILAKGELEVTRLSHRTASTTTTTAPQGPARAIRRVDVGVEASSLDLLSDLSPEEKRRALLGYLPRRTMERGTDASSPFVYIAPGFCPSITAPSSQARSGHVDCGVDAMHPMLDDWLAMSEALRITPPRADMFISKAAIDATRDACEDFSWSAAISSHKPAPPPRCRSAARLPNVYLVDTDDEDVRRPIGDVVGAANVRVSQSRPVRAAAPIADDDVVRTTADEEEAGLVEWLSKMLGATGVAEIIDEAFPAPTAAVPDDAAAAPDAVPRELQSLFAALDAAEGIAADDDYTDTDDALDEPITLPSSPSAFNVPCRSKERRSLSSSLEKAEAIADDSEAEEPIYVNITAPIADGVSHVCATDPRVVSAPHSRSKQLLALLASLEKADAIADAETDTEEDIVVDVVDVLSTAPTPNEVVVDALDAVAPRCRSRDHMTLNDDMDKAEAAANDDDMDIEARMDAALAVPTDHDHAVDLVSYLELLAGDEDAVPIGSAPPEDVIAVPTSPSGTICTLPSAAAYIDSVVSQVAAARAAVEAVATIDMQEEKGRLPISESINKEPSATTWSLLPRTINTDAYGLLFVGGATERSIAAAQSRLVTDAPLGQPAADLAPIEMESNKQKRREEKEQFAAEIREMMSGRVEGNEQPALTSIKVTKDERMQEQKEKKEKPTERPRLYLAPRTEREHEVFPKREDFGTRSRPKLEPRIEPNEANVLTQEVRDEVANAAERSSNEQRRAAVAMLAIELSEEATSPEVFVMLLSDVLKSRPRAKLMPFIEAILPAISSALLSDDINSLGVLGDRLAERFIELQASSDAVLDEATLTAPQWIEDLHRQLQLQDLKYEDENAPKLEDEKRKNQLKTIRSLIDNFTPATADELTKEFLQLKVYGWTYLNDVVSIVFDKAVDEPEHCESYARLCYQQVQEELKNTKNGSQFRNSILVRAQETFNTKEMDGDENAQMCMVDTKDKIRKRKLGNILFIGHMYRQKIISNRIIFFCVIDLLKSVTARQINNAESNEIDEASVECAVRLLETAGARLQEEMDEYDELRPLQQLPRALFPMDKVFATLEEAVPLTSSPVRSMIMNVIELRKNGWTAKTSQESSVSPSLPSGHWDCPTCCVSNRNADGQCVCCQETKLSSVGIPTFGLPSEFPALPVGAFREDALMVIPDWNCLFCSASNSAADAMCQYCGESKPVMKKPTSSSFDCIGKHSDSGSKNDFRISSESKDVARRIGQCVINYGTEAIELDEARDEIFNIIKDDCEHFHVSSMLIGFKRFMAVAVQKSSAAMGGREVAPAFGQVLAACLQAAEKGRAEEILEGIIMFCREVVAKEAWKVTPNVWDIVAEILVAAHKTYGVAVPPRPSITDLQDVFHVAANDTHSTCTLFVLFLLKLVVSLIFAESFERPELNRFLASMCTAQINKERVKDVDAFRSAILVRAQSTLAGSAHYTDMYAAMKKDLSLRTFAEAFTQLKKIKFANIMFIGQLYLHGIVSSRIVMQCISDLNKAAKKKKRMDSESVECAVNLITLCGEKLEQEAALPPATENAARVVSDNSLYSLFATFDAAAEVVSESVKMQIVQLHELRANDWRSSTQKQTKQVVDKEVDEPLTPETEDRKELDRRYILRLEEVRMKEKVSSLLFDTTVLNKEEQIRLFLSYKVHEFHALDKIVSLIFDRAVEMPHKHRDFASLCAAQIAAEIRDSGDSKFLQAVYDRICDVLEKDVNTLHVLHLEAAILKETDVFKKMLKQADLCQAKTHAKRTKHANIKFLADIYLLNTDTELARLLQTCLVNLLQTAFTARYHEEDAEIDWDSYRIAVDILALVGKMMEEDANKSVADASSAAPTLAVIFETLLYTSPRYGTMTPLGEKVRRLFDLLEHDWVVPPSKSEILVNQVIAHLDSIPADKLKDPIPDEQLKKIHGVTDAEHLERFISHIFDKAAEQQEDAMFYASFCANLVARECEARDGSHKRIGSTILAYVYKILDMSHYDQIVKAKETEMLFVADEEIRMTMVIDLIDLKCNLRKKTFSQILFIGHLFLNDVFQAFVLEHAVTNLLKTIDKNPMGQPPDEESIECALQLLQLCGRRLHDEIVRAALAGYSTPFNMHDTMDTLEQARPRFGARLRLILDHLIKRRSNGWTSAPIRKHDNPCNEAWDLEYEVTYEDEEDVEEEEKQDDNEQTSNDEDPKDVEIATDALELVECAVEVKQGDNKPVDEMVRQSYSPTQTGMEESYPIPVSIPSSINSNEQGVTPLNVEMHQEDQTGLAMQPYSDDEEGTTSSSASSTCASSTSSWSKVESVSSGSDYSNIDPANAHSITKCSSVHYRFHSVYTSMFYLRL >PPA19417 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:516502:521661:-1 gene:PPA19417 transcript:PPA19417 gene_biotype:protein_coding transcript_biotype:protein_coding MREIRDGEAKHSTTDKADDYGLIFLDKPVSVCEEEEYDTLLAPIIIDSSIFPNETAVLEPASMMGCSITGWGLNEKGRYDPPLRQARPRKMYTVRGMIYVVKYDNDARACNFFFEDKILKNLGPLISRTLCEFAGRYLLEFAIRVGAGPSILFLSFFSFAWPRGIGSYRVSHIFEYQYLREAIKILELRKILAILKNLALSLSFPLIRHVYQEILGSFCTFARSQPGRLGQPSRVQNHEHSRARGVTGMNVRNSHNEIPGLATGSRTATPTLSPILNRSSSASKLAASFGLAVLNRRRRRPEQETSSASNLATTANRSSSGSGLLSTRVLLRRTDSDAADARRRRKARRRPSFMSHDSRCTVVENWVRSIEY >PPA19396 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:295556:296239:-1 gene:PPA19396 transcript:PPA19396 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHHHNQAPRAPLLSTQPTIHHHPIPLQLPYAYPDENFKQHPALNPPLVPVACQIDFVAIDHEFREMAARVRTVEGILQYKDSLTTLLATRALSSGTSGIRMDSAWTSPL >PPA19388 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:238845:243008:1 gene:PPA19388 transcript:PPA19388 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVVSDLLFANSASTMDDTARQLFALAVHETPLLKEVVALIFDKAADQPSMHEYDAGLCSTLIAMEVSQGTISKYRFTIIDFIKEAMKPTTEVERQIEKKEKELAEELDGNMQEHLQMELIDMRAQVNRSKLSKFTFFAEICLLDQHAAMTDLLEAAILHLLRTLLASEFIDEGAVECAVGMVARTGKMLAEAERDHTEMDRIYRALDSLPNDSGMRLENKVGRLLSTRANAWVPVHKESPSFDVGLRDIKQLRAENREKKEVAERQHKLSLDIEQVMNEVDSDHIDQCIDHFLHLRVDAYAQSSLKRVVAVIFDFAIDRPARADLYASICAAQVQFERSGKSYFTRYFEVFLIAHAKWLLSTEWSEENIAEREMSILTETDEDKRLNMQIELIEITCTARKRKFAAMDFVGRLFMIELIPARIIDEALKELLKTMQSRDEAVDEESVKCALQLLQQCGKQLHVETRNGKHPPCFNIYRHFDTLTMGMGRVSKEIRDQIKYVNDLRANSWSEVAVERHIVDGLMVQEAALVMNNVAEEEEEDEAFQDDADWLYDSASDGDEDEDLNQEEAQEELAAEQEQFEAEEAALLAARKAASIADEDEEQSADETKKDTKPTEPTAQPSSDDEKERSSTSSWSKVDSTDSDV >PPA19364 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:16077:23304:1 gene:PPA19364 transcript:PPA19364 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTVHFEFKHHGVRYSFAIDCDDLSMTIAMVKSKVAYLMGAEAVDVKLFWKTADETYVLNYSFELASALGYHLQAADSNTNSAPCIELVAQLVQQLSDAPAALAADVEVPGDDFDNDMYFTFEYMNDWRRFLVHMNQPNPHNQVIAEVNNHTAALRERVFWIVVTTCLHRSGRVSIPLFQKGLGLRVDPCLELLHKCVSVLELLSSTEVREGAETVVVARGQIRGVRWVREPFHLQLVHFLLGDFRMMRARVVHEHEDFALAQEFGRDPDRHLFQLGSEEVSLDGDAGREDLPVDGTEDGEEETEEFLLSVKFRLWSLLGFLIDVHPLKFPLRIIVGDPLLIHGDDVADPIEIGSTLSCREVMRNHLGELRCLPSIMQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEADDKGQHAFLTDADAKAAAEYAMKEAKKTGGVACAKLVVIIDAPGHMLSFRIKHEGIYYRFICEQWQEIYTNVTRITGIPEKEHYGKLYFRKQIEPFPESNYTLMKMDSPWALQSAMNDAQEVAGKDGIPCIFLELQMDKEQDAQSEYAFETMTREVSVEDIDKQSVYAFDIKSRAVSVLDYDKKSEYAWNAKTMEEFAEDFEKESEYAWDTKKTEVSEEDKQSEYSFDVKTTGASVEDFDKQSVYAWDATKTVASVEEFDKQSEYAFKTTEASDEDKKSENSFDVKTTGASVEDFDKQSVYAWDAEKTEDSIEDCDQESEYAFDVKNTDDCVGYDGYKYPFVASNQEEDEVAVARLDAAAAFRDGAMMMSQLLTAGQHSTGFVSAQSICRSNAFWAMAKRYNLDFAGVPTGAILPVEALATLKEHSLEEVRAFSDARDEEFRRVLHSVTVHCEMPIPFCDEDADKAFEYAFDMKNAEDPAEDLDVQSEYVWDSKTTEDPLEDFDKKSEYAFDIKNPENSVEDCDKESEYAWNFKNEDAAETDYPCDDKASEYAFDVKITETSVEDCDKESEYAFNVKTTEESMEDCDKESEYAWDDNNEDAAETDYPCDDKASEYAFDVKITETSVGDCDKESEYAFNVKTTEESLEDCDKESEYAWDDNNEDAAETDYPCDDKASEYAWDDKTTEELLAEFDKQSVYAVDVQTTEISVKDCDKDSEYAWEAEKTDDTVEDSDDESDYDFKESEYAMDSDSEYEELMSYERKARGDY >PPA19372 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:79573:83207:1 gene:PPA19372 transcript:PPA19372 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDDQFTYTELPSFLDTPGYTHWKAFVHIHERECAKDSPKCVDSFLLNTGFTSVVRFYERVPQLENWRKIASRYSEMGVVPFNENSLFTDQSSSIAFTVESSLLAALAFMAVSCLLFIPSFSLVFFAIISIASVNIGVFGVLVLCGVELDPLSMAAMLMSVGFSVDYTAHITYHFYKSEELTPEGKLRFALSAIGWPMIQCALSTILAVSPLLLKPSYIAHVFFETVVFVIFIGVFHGLVFIPALLLTLPQECTGKQMPSTCSTRSSECGDAPEKPAILPSLSATSLHDPEAPMKIEDRIRHHSVTRVLLSFAGGGWKNLPKNR >PPA19420 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:556561:557964:-1 gene:PPA19420 transcript:PPA19420 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEYYLDGPPDEVVKERAEGREATPLPSQLLETTPRAARVGGSGGGLREGGGRRTNSSQYESYELSAASILLISAGATVRGEWHVDARAKEQRDGRGTGADTGKEEF >PPA19437 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:678717:681917:-1 gene:PPA19437 transcript:PPA19437 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKFISFGKARSRGLQNSLPAFPYMPIEQLIECNGDYDEKVDVWSISALMCEIITGCSVFHAGNANDSLGLQLQYVELLQVDVLSKITNVQTGKALRTYSLKNFQNAAFTQVLCDKLRQHGAGRVIKEAHITNDSSLVDYFDKTLKFNPDRRMTTAAALKHPFMKFTHLWDAPILEKVICKKSRSKNMTINICRLNNWLVGTIRSQSQVSI >PPA19381 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:147759:152115:-1 gene:PPA19381 transcript:PPA19381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-abts-3 MDIRQRLVMAQTVEQFRATLLSAAKELAVDQNQWRERKASIHLSQAKEQIFGPNRWYPFRGLQEELKRRSKQYISDFTDGIFGHRTIPKLFSTHRRTISTPHPQVVFLYFACLLPAIAFGVLNDDNTEGKINVKKIIFAQAIGGIFFSLFGGQPMIILLTTVPLAIYIKVIFKISTELGYDFYAMYACVGLFCSMFLVLYAATEMCSLMKMATRYAFILTSGNGPAGRCIVREGIEIFALRDTGSAEEMFSLFMAIAFTVESLRAVARSFSRNYYCVPTAGANVTNIYTNLTEAATVCTNKRDTSILYVLLMLGTLWLGLFLYNFRKTPYLTRSRREWLADYALPASVMIMTFAGSYGFSSVEKDKFDMREDIPLFSIAPILTLPWTGYFVCLLLGFSLSFLFFIDQNITSAIVNNSQNKLKKGSSQNLDLFVVAILNMFLSLMGLPWMHGALPHSPLHLRALADVEERVSQGHVHEVYVVLLN >PPA19421 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:586577:588517:-1 gene:PPA19421 transcript:PPA19421 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAGVKGGGIFAMSLDQLTVGFRRRSTQEKIMKQNGASVFVRTNLNVDLVRRGYARVPPPEHPSHLKALQSVPAYSRLIQKLLMSEKVKVADRRGVGVWERDTWVETMQSYPSAMGGIIRANPVTKFVVSVKHR >PPA19490 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:891145:892877:-1 gene:PPA19490 transcript:PPA19490 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAESSTKGPSMREVGKSTSADNDNPPSGRRLSFSEMIILNSVWDHREKPTDENTPRGRKLSFTEKVLGSPEKHGGFSWGHGKQERERKMSMEEVGAERNFTGKAVGSPDSKSKGGFWGHHKQERERKMSMEEGGTDKHEDLKRHKEMPKWRSQDNDMPLLLST >PPA19425 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:606303:607172:1 gene:PPA19425 transcript:PPA19425 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSEDQLGEKVDRCFADSLLKISGGVAIGIVASVAFFKGRAFPIWLGSGVGIGAGWSNCRHDLNAPFLVHGKKVPTGTDAQGRPVYNIVVDKK >PPA19390 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:250572:255838:1 gene:PPA19390 transcript:PPA19390 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKGLVKKRYYKNWIDDRDRESFLEVLEKHKEEYDEFLKLRQSQLSQSFETLVNVPCANQSVHRNQLSRLVSSFNVNEQFTDILMPELKELKAELENMLDSEAKQLSEYRIDKREEPSNNMNGLYYKSKMKAMIGICFGIDLVDYGATKRLIASGGEGSVFRYHIPGHYFGETRGDLVTVAAKKFEKSRAFARNKTGYDAAGGTQEVVALCKLHHTNIVRFLGTGQLDSDRYLLMEYYPRDLDEEIGLCRKRNKFLKLSKFTQWTSEIATLHYVHRYVHDENFVHGDLKPKNILLDRKDSVKLADKPFSSRFEICQGTARYMSPEQHKGERLITDGLKRCDVWSYGVVLWEMLTCREPYADIEEHLIPPIICANERYSHPLLPGSCTAESLFNLLMKCWNADSAARPLFHDISTSFLPDIIEELEQEFPKDSMWAEECRTWAWAEAEREKEPLVQQTQSVQRFQQAKHDNVTEEAQLLDRIRSLLDSVEPTTFDRLTKTFMGCKVNDHWMIMNDVIELIFSRAAERSEHAQLYAKMCAAQKSCDFASRSYSNFHSAVISYIQRIDHDTRDYNRVVADKETEALAEQGEKKKMQKQEELTEMKKYFQERTFARNMFLGHLYLLDVIPSKIINSAVVALLKTITNDLPEQDDDSIVCAIHLLELCGARLQGEIARVMLEQPGLSLLFDINSTVNILIAAAPRVSNRVRDSIERLAALRSNEYLWNLSVFLLLRNTLCSFPEIAIAFCECSNGSIKIALSHVHQLADLFLCENDNRTRRIKDDIDGLDDSISAVSLILHRVAVDDIDGAVLARVLKWIKMKGLQDARISY >PPA19399 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:325112:327702:1 gene:PPA19399 transcript:PPA19399 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRGLRTATVNKFLREWKLKRDGMDAEDLDFRPKKIEDSKTGESEKDTAEELDPIISRFLKNREDELALFALLSSDRKTTEALKFGSRKAGDYTPDRKKRQEERDRARERVDLEDDYDSHDEEEQEEVEEEQESEHEISDRHIAIEVREKWVTSKETEVAAKLMSMKLKANKFMERIIVTEEIENVRPERPISSNAIKLALEGNLFDTIKKIEQDAASVLEDLLVSMVRQVEEKEFLEQVEQQNKLMESAENEIIGRQLALEKREQEWRKRQAFSEIQQLQSRTR >PPA19367 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:32496:33977:1 gene:PPA19367 transcript:PPA19367 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLFIVHTLSVSYNPANGCCSTSSHFVKGYGLSMAFSVCAIGLGYCAQAMLLLHFLETTPSILRSTCVLLVYLPGKFVIGIGDKIFTEHAAKVNYF >PPA19404 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:352965:354217:1 gene:PPA19404 transcript:PPA19404 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKYIAAGSEKCPCAPLTQSTVPGKQSYAVVPNRINPCVDPKAVLYVAYESNSGELSKMKIPVKNDAIHCKAGTWFRWEVNNKCCFPDVVFGANSRIYFAIFTVRYDPNYSTTSTGIPREHEIAHKAVRVHRHDESSKA >PPA19494 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:923562:924587:1 gene:PPA19494 transcript:PPA19494 gene_biotype:protein_coding transcript_biotype:protein_coding MHQEVLYGSAPGRLEQALHVHPEKYQNGNGHHNHRRESHSARQSTTRHNTPTDPTGSLNNNTILAPSQPNARSKSVDASMFVSI >PPA19487 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:879972:881974:1 gene:PPA19487 transcript:PPA19487 gene_biotype:protein_coding transcript_biotype:protein_coding MDETVERINHARKAACEVLADDNIAMPLIKLMDVSRLILERGLHHSVKCLEENINSLISDAVRTRRQDDSVDPVRGLLYDALEVIGRTFISIRSSTVLSQVPKPEEISESREFVERIALLESGQPANLFDSPEDFSQNLPTSSQALLTPKFEEVNGSSMEEANYSFQLEEDPQEDLTPADEEDSYRDESAWPANRNSSVDKRKARNEWICADCGEVYTSRASLRVHARAHKANISKNFVCADCGKSYASSKTLRMHKTIHKATDAERRPFACTTL >PPA19369 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:38982:40278:-1 gene:PPA19369 transcript:PPA19369 gene_biotype:protein_coding transcript_biotype:protein_coding MWALALRVSIRSSKYESPSLHFAYSLVSLIGERARAIDAATQAFVNGLLGIGGSVIDGAAGLGKAGLSGAAGVGGALGGAAVGAASAAGKGAASLGGSLGSSLDFGLSGNGNAQLEAALAKYLAAAASAGAGAAGSVGAQGGATLSPAIRAAIQAAVSGALADALRGSGSVSLGPLGSIGSGVGIKKAVNGTVGGAAEIGF >PPA19475 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:828857:829590:1 gene:PPA19475 transcript:PPA19475 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDLKHIDAWGIIQHLKTFENTTDFLPENEYLCRIAYCHNEHALSHCGKVCAEVAPRIDHDIIEYVIRTPAPPAPSKDACKMECSAMCGKNDCKKECSVLCAVHFSYDNRKQYESDFKRMFMRIFD >PPA19371 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:65753:71439:1 gene:PPA19371 transcript:PPA19371 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTFESDREVRKNRKGKRNIYLNRMWVAERFARVDKGSFYSIQIVGYAKDGGNLLRTNHSEQLQSFVDTAMELSVEKDGETFTYKSSCRPYCEVNTPLTAFLQVFPVMEEPFHYPQALVYGTPLYIGNNIFEVTTDNSTGAITGFGLAVIRFLVIVENPKIVMAWEEKVKALYKDYDLLDLILWSDGLLAEEIDRLGYSTAPLIGLSVLLLITFFLLTSMRADSVRSKPWEALIGILVPVIAIGASFGILAMCGIKMQPIIVATLFLVLSVGTDDAFIMMRAWDRTIDHRRGPPPAPRDSTSSHCSTSTSSSLEEVPSIAERTAEMLAESGSSIAITTLTNMLSFGVGIFSSTPAIRALCIYSTAAIFACFVYQGKPLGACDWPPPHYAIDLRSVWPKKRRKITLYTAVIALSGRRESKRLSSIWCCVKAGKNKSPQSPAVQAVFRFANLAHDTFFDFIIKISKSTSFKVALCFTMVAYWVGSCYSLTNLRSDLTVNKCSRQLRFIK >PPA19394 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:289203:292281:-1 gene:PPA19394 transcript:PPA19394 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-72 MTSETVASHYNAVKQEGIEERKNSKIFHLRNINNWMKNCLINEAIDLLKRDRIYQPKVLDLACGKGGDLPKWSIARVGSVVLTDIAEVSVEQARGRYEESKAERRCNFPAQFITLDSCNNELAPLIDPAFLPIDIVSCQFAFHYSFVNEPSASLFDGAPSHSRQMLRNAVASLRPGGLFIGTLPDAERIVWAARSAADNDNKWENRVCSVHYDGSTPIDDPPLFGAQFDFNLDEQVNCPEFLAYFPLLVKLAEEEGMELLWEKRFPQAAEEYLKNERWRSNLYRKLKEFNHRTTQLETEEEGQFDHVKDLLTSEEGGRLTTLSKAEWEVFSMYITFCFRKKE >PPA19407 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:368390:368964:1 gene:PPA19407 transcript:PPA19407 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQSTTSMRLNKILTRKTELNKKINERIAPSWYIIKRLHWRISSFEELLAY >PPA19478 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:836383:838149:-1 gene:PPA19478 transcript:PPA19478 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWYECTAILMSEAAAIQHCAIATYPEGQLLASKGDGRIHDDELMAFVHAFDNTCKERTGYGFILDDIHYKVIYTGRQCIAGRNNSGGFFATKTHSAVIIAVFEGSCPELGEVRNSVDKLADILIAAATQFAMNTWDDYLNDLMSEIKLCAMDSELKAFVNTYDKTCEERTGHAFTFDDNQYEVIYTGKHCIVGRRDNGGFFATKTQTAVIIAVFEGSCSELGGVRNAVDNLAENLMGIGY >PPA19386 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:227056:230797:1 gene:PPA19386 transcript:PPA19386 gene_biotype:protein_coding transcript_biotype:protein_coding MHFKFTHNGSWHRFVLPTRGGRKVFRRFKKRLLTVLGVSEQSIWWDDGETINCLIDSIDLAAAIAFAEQEGRLLNTVPCVYIQLEAIAQFEETIVQTKPVSEQITSGESADVHAEAVMEQRQTNSHPLPDNLSSEEKRLAHLGFLPCTASSATQAASPAVPSVVSVVPSSLQHLVALTEALSKTLPNAAAMEATAPAGSLLDAASSLRAAKVDLYRSKMLEQGYGDLGALSASLAKAEALADTDAAEADDEHTMTITPCCGFYRYIPSSAYNGSIVSEVEAAPRAAEEPVPRIGMDENSEEKKKQWEAEMRAIEEKEDPVLAHEEAAQPADNTPPSPVAVPLERSLNYDQLVQNWTRIGEESKGDEDKEASAAEALPASTVEIPTTESVFALCAAAAEELYGADSFAAAQIAVLRDARRPTPPGMAAAQRSIGPLTEYEQERRGARYEEAKRWMADTMATSAEGPMWMAPAADAPASSAWTSADDYLKTMDELDAAAAAHSVLKLYYFQPAPVATTNASPSSTMITLQWEDDYNEQYQDVAYEEDEEEEGEDNEKTKSNDDNEGEKKSETTKEAACDDGEEKTVERSTSVSDGRWWKSVHAKLEEITPKAPEDVEVKLQNPATVDEVEEVTPGFRLPYSPKKAEKDEEGSLPNEDKDIEIASDALELVESEVEAQPEEKKAEESIDLAPPSYSPPLAGVEESYPIPGTLNSNSDEALRVVINVQVPQEDRCRLARQPYSDDEGDTTSSSTTSSTSSPTSSWSKVESVDSGSDHSNIDSASESEIL >PPA19418 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:530364:531260:-1 gene:PPA19418 transcript:PPA19418 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATFIVLFFVSAMVLQQAAAVPISNRDRAALQSEMLEDLLEKVAEEIQVRAIEREIIERSQETEEVRFGVPGTDTWVHLGAPENLKTRPGCAETGL >PPA19378 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:123780:126671:-1 gene:PPA19378 transcript:PPA19378 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSDRCQSLEILAWPPTSKRNERSSPPTPIVPYTKFEYNPYEHEVEKHIYYSKRDLCNSLKLSSDLGAAGVLVWSTDRTMTRARCHTIRVNVAHSFGPAADLVRRRANRCALDQCSGNGRCILKRPSEVCTFRMPREDYECACDAGYYGAACERMHHTVVDAEVGFAGSGSGPGSGSGPGSSTVEPGAGFSVAAPGFIQQNGPDEEEAAEDPLASREAALHAGIVPPVRELAPSLWANRILLADGLDAASPPPTSSPAEAAPTVPVPLPAAPTHFNVNDNREEAPGIDLFTRIL >PPA19460 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:777922:778245:-1 gene:PPA19460 transcript:PPA19460 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDDRVNLASIGGRLNALEKIGRRHFKHVKFENSIEEVAKFFNRAQIKGLDIVKVFS >PPA19375 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:98464:103023:1 gene:PPA19375 transcript:PPA19375 gene_biotype:protein_coding transcript_biotype:protein_coding MCDVIVRNRPERALGFVVDSIVNFEQHFGKDCKEEVREMCLETVSKFGAGTPYERDERLLPVYRILAWYSKSMTPDEVYRGLFEKRLFHTCAQFYIDWAEVHLRRENWKLTAEIIFYAISTCGTYHTLLNYLKQVVDVRLAEQHIIAETVTVPPNSDAAHELQVRLAELPQVLPHMVGPFIGYNPYLTPEQQHWAHYLHQMQQQYVQQPSVQDIPPAWLPAQVRPQPQQQQQSYGSEQQPMQMIMATPPQPVPEQQPLDFSPRKSLSRPISSMSMDDRVFRDFPPLAPPEWQQEAAAVAAAASAEVAARAATYVLDETAEIMITEISYDDEDSNKENVPPSSSFVSSPSSGLASPSPSKDVEDDVQERESIRPVLYSYSPGKSPSENARFCMPTILKSYSEMAGRNTKNADETAQ >PPA19435 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:669187:672721:-1 gene:PPA19435 transcript:PPA19435 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPWAFQSVRSPHPDNVAKMISALRVPPKQPQHQLSQPHSPFRRFDLRAADPKEAPFSLPKKIEEEYVQVLFGVKKEFHHGKLFHAKKYKSRDGTKSIVIKKCRNPLQSTRRAKLLLRHLNLLRVHKHENIVRLLGSYSRLEDKVKSVYTVTEYAGEPMSEFIKKGTYSMADVIHRNFHPGNICIDEDNKLTLIVDIWSISALLCDLLTGVPLFSDSDNSSEISLKMQLKYCGGMTQSVIDKVRNDKDKTSLQTRAAPNRTDFITRLLENLVEDRGIVEADIRAEEKNLRDFVEQTLVFDPDRRRMCPSHALAPPVPSLSQEELCGSCSCLLVKLKH >PPA19483 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:867025:871228:1 gene:PPA19483 transcript:PPA19483 gene_biotype:protein_coding transcript_biotype:protein_coding MISESDVEANAKERTTINLKKTDSESKKKVQEEALRRSKRIEDVKSASETPKRRSTVDQARSRPTRRCALKASSTRYEISATVNTNGPRRYVGFAERRVVCAPSGREEMDSDEEIDEVDLPSVARNCFESARTVSRSPVQAPSLSGSHNDACSPNNESQLHELSAYLSPSPTITTADVESALRNANSLGSVPYPSSLENFVQSVTSLVSEFGYGPCKSLVPDLPPLDINLVQFFMQMWQMWNKAGEMAAAHVAMMNIVGAAQATLAPTPVTPPFEHSATRQLYSRTIVEQQVVSAPLPTAPAESLPFAARNSDVRGSLTAAAPAEPIAQMHTSPPQNEENDKEEDLGPENSDQDISQESAYLSTEPIVEESNDRISSEYKRTSDPNTILPFEHHPSEQQPQRQSNIDLLDTLRISSLPARRVPNAKLISRLTGHPTVSWPFERPLKRKNTQTDSTNVKRIKFAEKDALSVDRNAESDNMCVLCQQKEANTYTKPCKCAYFCRSCALLFWKCSFLKLPNELLAKGNMSKNAQHRQTIIFKTLKFRDLIGDIDGL >PPA19468 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:809381:810012:-1 gene:PPA19468 transcript:PPA19468 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLLVFACLVVTIGATSQARDLSRIGETGYIWIVFPEERPQYNPEFELLCRIDYCHQQDEKSQCGRKCTQRAPKIDFGLMRDRIYGVNNTEPVPNKDVCKKECSRASSIILATTK >PPA19423 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:592461:593306:1 gene:PPA19423 transcript:PPA19423 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFGFFNTPQPQPQPAARPVYDAFPASHSSFEVAPRRYEDPYARAPSPRRDDRGSFYGAPVSAGRAPSPRRDDRASFFGAPASSARAHSPPRYFAPASSARAPSPPRVSFFGSAVSAPRAPSPPPRRAFFEPMLPLRRLAEPTTSLRPAQ >PPA19450 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:727838:729250:-1 gene:PPA19450 transcript:PPA19450 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHCLLLLLSSLFSASLAQITFNNEDIERVLQRQSDVERNETLLRLAGKYYVQYVATEEVEQLVREQKERWNYTQTFRANHAAALACRWPQARRRFPSNDDPKDWENNLKRIDEYYAWINKNYNISIYSDLATRYFSIIFDLLEARKAIFKIARRKVFEDAAEYIPNNH >PPA19477 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig44:832080:833277:-1 gene:PPA19477 transcript:PPA19477 gene_biotype:protein_coding transcript_biotype:protein_coding MARNWNDELTKMIDAMPPIKLAAMGSFPDGDLWAMKGVGEITNNELSAFARTFTEMLERNMPALQGDEVIFNGERYGVTWAEVTYPELGQHSGRMVGKGGNGGFFAMKMRLAVIVALFEGDIDSDKRLQTQKAVEVIAEYMQSVGY >PPA19402 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:342212:345900:1 gene:PPA19402 transcript:PPA19402 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGDEVKLERKKNGSVKKKKKVVDGPPPKASDVAGSKKIRVFKNGDIHHHGVKFVVNTRTTSTMQALLDAVNQRIELPYGAKKLYSISGKAVKSIDDLENGKDYIAASNVFTPLPYGETKPGEIRWETPGSSFKATPTGSASTITRSRSTEPIAKSANFLALTDYLANMTEKKKTIRAKSQAPNKLAAPATETTKSDTDIPLVKKKKIVKKSSIAKPASGTNGINGVNGTSKKLVKKETNGEKKMEKKSEKPEKLNNGIASPEKKKVLKKKVIKKKSEANGDAPLSTIPAVEAAAAAAAAGKPAAAAHKDPPRTGTRSGKRVEIKERRESELNIFLHTLGMKNDPHHKAPLLM >PPA19411 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:422167:423835:-1 gene:PPA19411 transcript:PPA19411 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYPESYSALQGSAIDPRRNQPQSSNDNQRLIIDNRSASSRLPYPDSSGYSTPQHSTMHASPKLDLSGGSDPSVGFAGDHSLMMAANGNFSGPTNGTHSPSSDSSSRDSVDIIPVTSVPAQQQPPQSMTSPAAPPTQQQQQPPMHLGMALHQAGLDPHFPSSFNGNDIFFQNNGLLPYPMLPFAASGRGMHNASLYQPLRLNTGGCIN >PPA19447 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:714828:718862:1 gene:PPA19447 transcript:PPA19447 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAWYDDYPTSDHEKKDEHSQPAVVPQLKKEREYSIFTKCFAEFLGDVIFVFAGSMQGYINGSMDSILHAAFAHGLTIFILVTSLGHVSGGHFNPAVSLAGALTGHLPPIHLPFYVVSQLVGGICGSLLTRAILSKQEFTAILGGATLLETDTNTWYQGLISESVVTFILVHTVLNAAMGSDDKVLAPLAVGFTLTIDIIATGRITGASMNPARSLGPNLVGWAFMDTIPDGWWEYHYIYWAGPIMGACAASAVYWLAVRATTQANRAINVVFGG >PPA19383 pep:known supercontig:P_pacificus-5.0:Ppa_Contig44:158155:159467:-1 gene:PPA19383 transcript:PPA19383 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRCDLDLNLWPRVSHRGTGGADHAACENGAESAPGAVGGRYAKKPDPRTRVLNRRTSHTLLYVPEQRRTSTASGTSVASCPAVTIEDEGPGPLVSVSSAAATAAGGSPASARRRSVQAGAPAIGFRPVRAFERRASQPTMHGDVLAEAQRLARGGSGVGASASGAPSAPDSRDSRRGASEGPQAAPPRKPSRQDSAPPEDGAEGAAARAKMLSKRVSWLSMKSLQDSVEPLLGIKTKIRRSSGQGSPTTTNTTVTMRGGDSQYGSVVQLNEADLDFDSDTPPLDTLSWSFAGTIYLLEAKPTW >PPA19499 pep:known supercontig:P_pacificus-5.0:Ppa_Contig440:6998:9958:1 gene:PPA19499 transcript:PPA19499 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRNDCQSFLAALPFSSKGSLPFSDEILKRDDGQSFLAALPFSSKGNLPFSDEILKRDDGQGFLAALPFSSKGNLPFSDEMLKRDDGQSFLAALPFSSKGSLPFSVEMLKIAKCGFLAALPFSSKGNLPFSDEMLKRDDGKSFLAALPFSSKGSLPFSVEMLKIAKCGFLAALPFSSKGNLPFSDEMLKRDDSKSFLAALPFSSKGSLPFSDEILKRDDCQSFLAALPFSSKGSLPFSDEILKRDDCQLFLLFERDEDSKPFSDEMLKRDEKGATQIIDYSKSGFAQQDGLSNRKGLLKKCRENKSNYL >PPA19501 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4406:36:801:-1 gene:PPA19501 transcript:PPA19501 gene_biotype:protein_coding transcript_biotype:protein_coding SWATIHLKCDAGLMVTASHNPKEDNGYKAYWSNGAQIIGPHDDEIVKIAEGEPQPRDEYWDIDEIEKNPLFNSADPCIDPYFTAEKEYNYKRSINEKTPLKFTYSAFHGIGYHYSKRMMREYGFPEDKFFSVTEQQEPNPDFPTIPFPNPEEGLKVLTLSIANAEKNGCTVILANDPDADRLQMAERRKE >PPA19502 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4407:549:1189:1 gene:PPA19502 transcript:PPA19502 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEIEEMNDEDLEYRYMDNLMKRKTEADRSNREMAIRQREVRERGYKRKAELMKKYKKTEKSMPLPGAGLQIIPDQTSVFDPIPVTESYWSQVRRVNPDMDILLKKRLENSRELFATVVQP >PPA19503 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4408:216:743:1 gene:PPA19503 transcript:PPA19503 gene_biotype:protein_coding transcript_biotype:protein_coding MSETEKDKTPEELVDCGRWEKYNNKSSNQVEPFYYGGQRGKIFRSRATTDVQCFKSYDGFTYRIGDTVYIDAVSPEAYLIGTIVSFKS >PPA19504 pep:known supercontig:P_pacificus-5.0:Ppa_Contig441:113:9127:1 gene:PPA19504 transcript:PPA19504 gene_biotype:protein_coding transcript_biotype:protein_coding IRIALHGSETGKCRRSFPSASSPSPSTSIQLRFRPLRAVPDSLLLLTKTTAKRTVPSEYVALEVFADLTHAVQICTKTDELVNVALTTRSVQVVAQRVVAHWNIGAGQKKVTNSYPINYIPSSADRNTWYTINLERAGNAVNLTVSLREGAAGTPAPVTVTLPKGDEDGDDVIFNTVPGDTQVSIGSEPAVINGLGLATNEFRGTIGTVTIDGVPMSLWTFSYTTKECTGETAPSPAAVRGHMFRNGFASMRTLVPQERTTTSITVTFAAFSPNGLLYFRGSQSADADFVSVYFKDGKVVFTINLGRSTSATIESMRTYDDGLQHTVKAVRGPDGVYLQVDSDEDRQQSFLTGGEATALNIDHDEHFVGGVPAEFERKAFDSFDIQWRGFFGCILSVKPNQVTELDIENAHRSFRKEPGCMFSAPSTSSSSEKLVPSDRIVGFGKAGFLITQGVTIDGNTNFGFGFRSKEENGTLLFQSSKLAAFRRKQKRDDAANGQGYMAFYLFRGYLVCHFGKATVILPSSPQDASRRDGVVTIRSTSPYNDGLMHSVFFERSGKRVRVRVDDREIGAEDMGDESSIGRAGVQLFVGGFPERTKPPGDEIPTAVPLVGCVSDLSLNFRPLQMVPEDHEATLGGCPMQAAPISPIGEEIAHPDGETASFARQAQKLSLVMEKNVAVEDVEELYYEVEKDKKEKDKKPVSAQCGGGFANAHFDGEEGDALRYGIGQSTHGRINFLDKEQYPNISGFTFALSFRTESPHGMLWIWANYKEFTRYFLLHVNEGLLQLDVKGHRDPKTVVLNERRVDDGQWHDVKLVREEKTIKIELDGVLAGTMTDAPIPKVMRKRMFVGGVISRHRKTFPAVPVGWNGCIRSIIVNGAPQRFDESTSKDLVPCAPATTKALYAHDGGYASFDSSKTRHGIYPSEELSVQVDFRNMQQDGLVFAVLSSNSLDEKRLTAIMKGGQIYMTLVYKAEGIDLRDVPIEREEGELCNGKWHRIAVSVEATRLVVAIDEQSSEIPLVISSAARKSLAGLSLYVGGPSNSPSSPWSVAIRTFASATKRSTSRKHRRSTGRLWTDARSEGTLR >PPA19507 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4414:576:1200:-1 gene:PPA19507 transcript:PPA19507 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRQNVCPRILRWAAEMLPYNLEIIHVKGKDNVVADSLLRYPVDTANDESKDPVTIGEDIVINAITRLRLSEERQKENNEEVERESRESILKWRNLQSEDDWVQEMIKRKNEVGDGKGDGSDMFRMPDSNRKYTMADLEIDQGVLYVLDRDHDRRLYVPMSERKKLIKEIHESVLVGHAGGKKMSQILQKEYVWGAMEKDVSNVLRE >PPA19508 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4419:15:384:1 gene:PPA19508 transcript:PPA19508 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYKKNGRSAATGGGGGGGSPSGGAGATKKKRRKKTVRWQTIQSSSDSGEDLDHLGSDSGEDSDDKPLKPKKHAKK >PPA19509 pep:known supercontig:P_pacificus-5.0:Ppa_Contig442:3468:6373:1 gene:PPA19509 transcript:PPA19509 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRLSATGLSAAVAAAVAGRREQLKVDTPQVLEIWTDPEPETTLTYADEPVIFKPCCEWRMSRTKLLLSKLSRAEYYNIFLRHGPSPPRTTARVAPARARPAPPAPLRERPGTPPPPAPRYSTLGRSQAQPRVRAGAPSAPCRQPATVARLPPAVRARIRAIWRRRSPGMSCGEMHRKTKQIMINMPLRFRAALGRSRQRTIYSTTTPKPSMKTTTKPAAKPAPRVTAAPQLDCKIAGQFFVQMILDLTPFQLPHFLSRLEPALQEKLRRVWTGFKQGEDCAEVGLTNVLSSRVMVYWVMFGMAF >PPA19510 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4424:38:1037:1 gene:PPA19510 transcript:PPA19510 gene_biotype:protein_coding transcript_biotype:protein_coding KAFDCVEWSACWNSLWKYGAHPTLIHLLRRIYESSTTLIRVNEELVPVTVKRGVRQGDTLSPCLFNVALRSAMDTIDWEEDGIRIDGRNLSHLEYADDVALVAKTRPELERMLRKLMDACRRVGLENLTFNFVDSTTYLGGRISLPLDHTDEIEHRIRLGWLAWSKLSHLLSSRLLPMKTRRRLFESCITSTVLYGSEVWALRSSDKERLSITQRKMERKMLGVTLRDRWRNERVREITKLRDWNREALRRKARWALKVRSMQMEQWTRATTFWTPYNRKRPPGKPRARWRDDLDRAIGNWWNTPHEDFARIHH >PPA19511 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4425:302:1040:1 gene:PPA19511 transcript:PPA19511 gene_biotype:protein_coding transcript_biotype:protein_coding TERNQSVLAGNHQLVYTVPPPQPVVFVPAPLPTHSDFQVEKTPLPDTGYSSDTASSSSSRSTSLSTVCSHTASSSAGFSHKHRGYRWERDMDLDAMTRRNGGTIMHAEYVDKMNYLCKINNQNGFEFDRALLNLNAIRNRFLDEDILELHGLQRKLATDVLKKT >PPA19514 pep:known supercontig:P_pacificus-5.0:Ppa_Contig443:5107:10157:1 gene:PPA19514 transcript:PPA19514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hdac-6 MYPCTAAAEEGLRYAVTVGMNEEQSRGHRNTVNEAHPESHARIEAIWQRMEKEGLVERLQIVRKFLPIDESELRSTHSRDHVEGVISTEKKSQSEINEWASGLDSVFATPDSSDAARSAVACSRQLAEWIVAGKIPSAFAVIRPPGHHADVNSPCGFCLYNNAAQAADAARIEGAKRILIVDLDVHHGQGTQRIFYQDDDVLYFSIHRHEYGGFWPNLAESGSMAIGDEKGMGYTVNVPLQETGCGDADYLFILFSLLLPIARDFHPDFIIVSAGFDSLCGDPLGRMELTPVGYSHLIHHLKTIREGKVLAILEGGYNHSMVADGAVQCMRVMMGEQPGKMEGEIGRIKESTRESVLNTISALLPHWQCLQQYATDEFKKTYPNPSATRFEPPERDIPTANIEQEKLMKPEKKKGVVHRLFRTIVLHEGRSHEEHEHLDGNSYERKERIDVCLDRLSSLDVDVEEIKEWNEEKIIHLIEKTHSKEHVDRLRNSQEMSDSDLSLLSSQWGDSFVTRGTWRAALAAVATTIQEI >PPA19513 pep:known supercontig:P_pacificus-5.0:Ppa_Contig443:3622:4526:-1 gene:PPA19513 transcript:PPA19513 gene_biotype:protein_coding transcript_biotype:protein_coding MNVILLFIALPLIVLACDIKTTLKFNPTIKSGFARFKFFNETYGPVFEYREGENNLPQVFYMKGLFCSLKPTILETYEKDPRSGDIKPTKTSQAFLEGFGKIEYQIGDMHTPYVAAKVGVICGFGDCGNSRG >PPA19512 pep:known supercontig:P_pacificus-5.0:Ppa_Contig443:1895:3517:-1 gene:PPA19512 transcript:PPA19512 gene_biotype:protein_coding transcript_biotype:protein_coding MATADPIEVSTPVSFRTPVTYSDVIFGEWQLEKTIHFMERTHLPISIATTAAYLLMVYYGPKFMANKKAFSLRTPLTIWNMTLSVYSAISFYFLYPYVVKSYEKGGMIVIDRSRVGTLCYNDDLYTNPVSGYVTWLFIMSKGPELIDTVFLILRKRPVIFMHWYHHSVTFLLGQLFFTEFVPWARWGILINLMVHTVMYFYYGLRAWGLKTERWVSKLITCTQITQFISGFYFAGRVGYMYITDELDDCQAKVQKMGLGTVVIFTYLYLFCDYFHKTYIKNDSPTRPKKLEESEVKEEVKKDQ >PPA19515 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4434:374:561:-1 gene:PPA19515 transcript:PPA19515 gene_biotype:protein_coding transcript_biotype:protein_coding ADKPGSKVNKKEVVEAVTILETPPMVISGIVGYIDTPNGPRPFKTVFAEQLSEDFRRRMIKN >PPA19516 pep:known supercontig:P_pacificus-5.0:Ppa_Contig444:1682:3737:1 gene:PPA19516 transcript:PPA19516 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRVSRADHTCDDILQQQLRSERKEDTETHECRACKDIVRAAANCPPMGYDCDDLSLPLMSSVLFPDEFNCAQLRCADVNATLAVRVYLVNKVCCIALKWITPAGEPAASAACARRELYTLVCCIALKWITSAGEPAASAACARRELYTLNGALHPIDHCQRRHRGSPQGGTKRKPTHAEWRSQPKRQRGIFRRT >PPA19519 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig444:9258:9954:-1 gene:PPA19519 transcript:PPA19519 gene_biotype:protein_coding transcript_biotype:protein_coding ARGGCSRSSLSPRTQPIWPQTWTTSPIRRRFRTERSMEEARCSSSSFHHRFAHVTI >PPA19517 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig444:4279:4548:1 gene:PPA19517 transcript:PPA19517 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLIPTLTFIIGGAALVALQLKLNGGRRFRAVRRTMRDGEEGPLVPRRKSRIPIRAGNHERYPAIGH >PPA19518 pep:known supercontig:P_pacificus-5.0:Ppa_Contig444:5643:8168:-1 gene:PPA19518 transcript:PPA19518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-glr-5 MWDYMNKQRTVNPKFFPSNNGKGVDRALKENYAFLMESTSLEYEVQQNCNLTQIGGVLGSKGYGIALKRGSEWTDRISRQILLYAKRGIIEMKKTKWWRSKGATCSGAGSAVNDQRLSLSIHNVAGLFIILCTGLVVGVLVVICELIIRSRQLANTGSGKRMREELSQELRYALNLKKVEEHHSRKKGSNGDKVPLNGAAH >PPA19520 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4441:509:783:-1 gene:PPA19520 transcript:PPA19520 gene_biotype:protein_coding transcript_biotype:protein_coding ATKAAAHMFSMMSGEEVPDTNKSRRYGAYRLFIASSIGHLGKGIRIRLPSCFVRAVRDRWPSPNYTGFASSELTDI >PPA19521 pep:known supercontig:P_pacificus-5.0:Ppa_Contig445:10214:13803:1 gene:PPA19521 transcript:PPA19521 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAPLSIWALFAGRAANREPDGSFTYLTVWKGYGEAENSWEPRTSFGTDAWQVAELERTVIILAGKEAKPAWRIEYEKKLKESKQKKNSGDIKVGYKKNNGHMNKKVKKSSSNEKKNGGVKKKNSQSIKIYKKKAKAKMDEHTKKKDVIVNNAKTVDDNKNNQENKKNKKKDKANKDAKKKKNDLTVKNVKAPEVAVVFSTPVPSPLFYHLTMKDLADARLLIDPRRRTPVGDGFRIIGDGLSSEIGLPSSTTRKRTWSCGASGERGERGSGISPIMDEAAPQVTTSTIDHAMCLGILSSNGLEGEATGSDSKLCDPAKSDGTVLGERTNLTMDRELHGLGQWEPFPLVPQGPKSPVHQQHHSRSDEVEMKMEDDMREQLRGIDKEDMLEEEQSSPEWSEDMDDEEMEEDEDNEAIVSTSKPPDSIGHGSTSSCMSSPTENGDMYDEGIDEDEDIEFDVPSHRVPSASTVDGPSTSGIIEPPRIDPTLFNLSPLRPMESSNTMMDKKRPSPVIGNLCEWYDEDEMVEEEEEPSSPEWSEDTDDEEMDDNDFAVPFHRQPSPSFVNGPSTSCIAEPSRADSAAVASNLEESQQQDEDEYVEEVVKPMRTNGGSHQCPHCEKTVKFKSMLKSHMLTHT >PPA19522 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4450:216:772:1 gene:PPA19522 transcript:PPA19522 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFGQHSCIIKDPLFRNYILTQNAARSAAETFAMMSGEKITDKNKSYRYGAYRLFVATTMGHLGKGTRVRLPSCFVSAVRKLWPSPHYSGFSSSNITDM >PPA19524 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4463:15:1083:-1 gene:PPA19524 transcript:PPA19524 gene_biotype:protein_coding transcript_biotype:protein_coding GIVISTATNSQFGDVYKLLQAEESPKTPLQKSMDQLGTQLSIYSFGIIAFIFVVGLVQGRRILDMFTIGVSLAVAAIPEGLPIVVAVTLAIGVIRMAKRRA >PPA19525 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4466:376:648:-1 gene:PPA19525 transcript:PPA19525 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLWSPLLLLLVSTAMVVADFVDDDTREYTTTESSVDEGEEGKKVGLRLPSCLPFRKKEKFDVFISYRRDNGQHLAATIKDKLKAKGFN >PPA19526 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4467:383:1196:-1 gene:PPA19526 transcript:PPA19526 gene_biotype:protein_coding transcript_biotype:protein_coding FVALCKDIVSEATYDRRPDGSLYLHINGMLIRQSTAGDVIIDARPRQISCSPAKKSVHVRSGFIDMAVEEDERGYVKRAHKRVHVSRSGMVISDGNCITSMDHFGRIVC >PPA19527 pep:known supercontig:P_pacificus-5.0:Ppa_Contig447:1382:2725:1 gene:PPA19527 transcript:PPA19527 gene_biotype:protein_coding transcript_biotype:protein_coding MCQPRLLDSINGSWKGIVFAIGRGNRGVLRFSGRFTSILGGAGGRLRLQQREQQRRADSVGAVGEVVMSRSVIR >PPA19531 pep:known supercontig:P_pacificus-5.0:Ppa_Contig448:10093:13121:1 gene:PPA19531 transcript:PPA19531 gene_biotype:protein_coding transcript_biotype:protein_coding MANSPYPDLFYRVGELSDGRVFFTSHLLFPGGGPPSDLTRPANVPLRPWTPVVKTAGKWHRTNVNGVMGPMGFMGEMTTTEKSTTVETTPSTTTTTQATTTTEAVRRAKIDPDIFTVDSYIYLQWIIDRVIQTTTQSTTTSTTTTPLPPSTTHRPPPPSSPSTLRPMGGSSRIAETTNLFNTQTVFGKKVDFALNPRFQPKFAFIPLDIPGNEKHEKFLLPSQAFIPKIPEPPVNPKRQIGLVDNEVEETRRKAIIDFRSFFHQLYEA >PPA19530 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig448:6643:9469:1 gene:PPA19530 transcript:PPA19530 gene_biotype:protein_coding transcript_biotype:protein_coding MKVETIPPNLFDLIQLDPYLDPFKGEICRRYTVFQETLNKIEKCGGIDKFTSGYKEFGLHVQSDGSVTCMEWAPGADSIALVGDFNNWDQQANVYTKGEFGRFTLSIPPSEDGTCRIKHGSKLKTAVTKDGKTTLKLSPWATYVTRPTDSVVYHQEMYNPPTRVELTDNHPVRPKSLRIYEAHVGISSPEGKVNTYRAFADDVIPRIARQGYNCIQLMAVMEHVYYASFGYQVTSFFGASSRCGTPDDLRYLVNKAHSLGLVILLDVVHSHASKNILDGLNEWDGTTDCYFHGSARGYHSQWDSRLFDYTKIEVLRFLLSNLRWWIDEYGFDGFRFDGVTSMIYHSHGLSDDFAGGYPMYFGLNADSDSIVYLTLANHFLHKKYPFVITIAEEVSGMPALCRPVEEGGQGFDYRLAMAIPDMWIKILKHEQDENWDLSKIVHTLEDRRYLESHVAYAESHDQALVGDKTLAFWMMDKGEEGKRREGKEEMYEFMSCLSPLTPIIERGLALHKEIRLLTMALGGEAWLNFIGRKMTDHGGYKFLNEWDRSMNLLEEKHGFLHKGNAYVSWKHQGDKVIVFERGPLIFIFNFHHEKSFADYKVGVNYANEFSIALCSDDEKYGGHSRVNATSKYHTFNSGYAERSHHVCVYIPSRTVLVLEPSSTHTSPL >PPA19529 pep:known supercontig:P_pacificus-5.0:Ppa_Contig448:5256:6181:-1 gene:PPA19529 transcript:PPA19529 gene_biotype:protein_coding transcript_biotype:protein_coding MLALTDFGTKSAIMGALSKVAPVLLRPALRQLPFVRFSHAHLFRKRPGQLIVNRIKDLSHFYMIGIGFLPWLAVVTYAHIVYGPCELTDIPKEGPAPHYWQYERTPVRQWWAKWFGVSDMEHHERNLAYYEKQGIVSRWRQVEDRVKHLEGERLDYKGWLSD >PPA19533 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4481:45:967:-1 gene:PPA19533 transcript:PPA19533 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEFRKKVVAMLNKNQLLCTMFGICHGLSEKDVTPTVTPRTVYNPTPADHQFEFIPRIVNAPTTPSPFQFVFEFASTIAPTLKKLNQRNKLTLSSLLSSIVSSPLASHCPSCVQLLLNLCPTDGRSTKDCIHKLTLVTGLKHS >PPA19534 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig449:3550:5830:1 gene:PPA19534 transcript:PPA19534 gene_biotype:protein_coding transcript_biotype:protein_coding MRADFDWFVKADDDTFIIVDNLRKYLRTLDPSQPYYVGYRMKPYLENGYNGGGAGYVLSRKAVELFARHAYDNETICPDDPYEDVGIGRCLANLGIVPHKTVNEHGQQRFNTYHPIRTLEGWSRQADWITDPLITGFDGIARDLISFHHLTPTEMRIK >PPA19536 pep:known supercontig:P_pacificus-5.0:Ppa_Contig449:6715:8022:1 gene:PPA19536 transcript:PPA19536 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-haao-1 MCLKVIENGVKKDVIVNEGELFVLPSRVEHSPQRFEHTIGAVVERTRAQDEFDCVRYFVDDSTTRQYERWFHLNDVVVDLPPVIRAFHASKSHETNAITDESFLQKAPYEPREIELRAPLDLKEFIERNIEELKSGPVTVFGAPEYTSSTIVYGVGDYSMQSGIEEECIVWSVMGGKAKIEVAGELFSINEFDMARVQPEKKFTLSVRDGTVLVIRMPGKR >PPA19538 pep:known supercontig:P_pacificus-5.0:Ppa_Contig449:10156:11601:1 gene:PPA19538 transcript:PPA19538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-egl-6 MFILTRKELRGSYSYLFFFMTFDHTIVVISLVLTVIRSTFASTCDPNNNTFFLAVFSIVAQNSMDILRAHASWLAVVIACLRFFAIRRRGFIEPSFRKILLWCSFSLLVLLTASTPVFLSTSIQWIPLSKVCRWRNITEDVLVATVREAEWVYYDDCLVLRITYFIAGTLHNGIPCILLFILSILLLRQLRVIRKEFMSNSKVGNDKSSADDRVTKMMTVILVTTILSEMPQSVLNILVAFLPNGFRANIVDRLG >PPA19535 pep:known supercontig:P_pacificus-5.0:Ppa_Contig449:6442:6653:1 gene:PPA19535 transcript:PPA19535 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVINVNRWIVDNKKDFVPPVCNKCLYSDQLKMFFVGGPNQRRDYHLEEGEEAS >PPA19537 pep:known supercontig:P_pacificus-5.0:Ppa_Contig449:8175:8819:-1 gene:PPA19537 transcript:PPA19537 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALNKPRETILVQVTAYARMIHAATPGPCAHVWLKSMGHLDGHSNNIYAEKITTLINEMTGVPKNKTVIEFYDLHGDNIGFNGATVTSNERKSQK >PPA19609 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:435144:437812:1 gene:PPA19609 transcript:PPA19609 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dim-1 MEDLADSDAGAYRCAIVNPHGKGNANFNLKLTGFSSPTFVEKPQISSRDDGRVMVMEFRAKSILKPTFVWQKGEEIVAESDRVKIVLREEPNQVYYAALEIREPTKEKDAGQFVCTAKNESGKLTATFTVKFEVPQGAPTFTRKPQILQKTSDSGDPAIVFDIGFQADRNPEVIWINAKGKKMKESTRIKFNLSPDGGANTFTAQLELKNYKAKDSGTYTCNIKNDAGEANVELTLNIEESNPSLYPLGLISLAAS >PPA19700 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:948020:948696:-1 gene:PPA19700 transcript:PPA19700 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFRTRCNALSSIWYTLIVIALQSYLIYLGVERYKLYNDMKWPTGGYPSAQLAVYSFLYVACLPLNVILFAVGIFKSGNIAGDNEKLADREQRTLECRPNRKGNKDGEYS >PPA19677 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:796155:798005:1 gene:PPA19677 transcript:PPA19677 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVDQAIPGKLKDLLSGAYLKNPVDDGVTERINNKLVDKILWEEAKKGKEDGKGTGSFSIGPNQNSELHFAVNARYICPYSVMGYAVSWVYRLVETGHDWESVKAGKETACNFSLHVSDITARRMTPGTEDKNQFARRHSVPVRVVRGDGWKLFGNETENPTFNAAPIELDEHFQWPEIYKAFYNLTNMAHIARLHARCEHMKIFNSTGPGHTNEVKFRKKC >PPA19724 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:1083394:1084884:-1 gene:PPA19724 transcript:PPA19724 gene_biotype:protein_coding transcript_biotype:protein_coding MFEHIREMFNKIVAYHGEESPECIRYWAHQLGVNHDRGLMALREKNIFELIDVMNRTRTMGCSEWVRHNAELIEMYSEQRSEAFRKLTHSIGRILYLSEAQIPPSSSSSICSSRVSSTQPSIDHSELATPHTSETLTGPCESPCTLPVASPLTKSHFETALNAPMTLTITPELCQYEVPMIGTFPHYGESHCSSPIDVGDIPLPSEPTCIFCSSSMHWSMYCCRFPNNEARMERIKQLGRRVFDVCGGDYSCHPLFCKSIDLTYYC >PPA19656 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:714990:716802:1 gene:PPA19656 transcript:PPA19656 gene_biotype:protein_coding transcript_biotype:protein_coding MKCADPRAMLMVDGVLANKVVCRNLTWFTPLGDVAESAACAIEGVLASNPPIGPIVHREAGVWFACSGGQWRMMNADNSHTDVFYTRLQCIKGQCKPLPYYSSSVCPVKHRCYSPQFLDSDAPNAEKKMTCMTPSALRYTDTLDQIAAPICFLGGWSSYGVNINPDTKVTCVDCPNFLVDDRTYKLWSNNMPEQETACKHPNWLPVPIKTNSIFCDTDFTWDSTGGVLNNFASIKDVVNHNIKWRAECKD >PPA19596 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:389287:393405:1 gene:PPA19596 transcript:PPA19596 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRIIRYRGDCLNQAICKCSCEVDPAKPAKNACAKSERCDCLRIKEGCSKLCACKQICKNKEPVKKLVKVVKPSQGCQCAKSKKQCVKKECPCRSVYEFCSSNCKCCGDCTNGPSKFHVPKHVQSCFLEHKHESSGLIVTLIGEDYIYRGDFYHESKSEPHVEEQLVAAIYDLISKYKVDLYEIVIFVSKSPCFHQDCDPKCEVVDECKSNKACAKLLGLLLSKVRKELTKVDVKMTVKFLYPHLNRGDLYTKQGILCMLQAGIKVEPLLMKDWSAIMDWTPHVDHKGEYLQLWNNHNLDKARAVAQSQSFINECRRALGLSMKFWVAEIHEIVKNTILHYSEIRVKCGELNDALKQLDYLEWQKRIECVLSVGSDCHSSQDSIDSIKATFVH >PPA19651 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:692004:692799:-1 gene:PPA19651 transcript:PPA19651 gene_biotype:protein_coding transcript_biotype:protein_coding MYFELHGFQLSSDRLRFHLKNLIDKNISPCDDFYHHVCSQHVKPKEFFTNRAAELFDKTIDALKPDAIKYSPIEV >PPA19641 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:628415:631871:1 gene:PPA19641 transcript:PPA19641 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHSSPTKSPHTDSIWSHYRCAVSRIAKELHRESPTLLSEEESENTPVPEYPRQRPNKMSVTYRRSEHHTSLKQIDTNLSVDHNSNRYRLRSRPRPSELERQLSMDLEDARTEIAVLRRRLDEKSETITKLKGEITVKNKEIRKLSAGRHSERSKWSGDREGRDENEKSDRHNYSVQSVSLSELSPVNSRKANGRRQSTITYSSETRESSSVSTPKKPTNPGWLPPIPLPTRITAPQPAPPSDRENRLQPQPTINPISTSTPKRTVKPTSKNRMDTDEESTPKRLFSEDASKSDDVNQKTPSYYLKKHRPEFINRCEKRQTAIKVAATTRARMEDERRNVARAVVEGKASLSSARPFLRADPTAVKAFDEEEMRRATQKRFRSTSEFQSRIRERNHQVEKAANHLIAQYSSTQSILRQVLSTATSIVRHLHYCHSSLSIPILPL >PPA19692 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:884204:885857:-1 gene:PPA19692 transcript:PPA19692 gene_biotype:protein_coding transcript_biotype:protein_coding MTMFGVVAGVIMGVSLRDSDNKWSKRHLSYLRLPGDLFVQMLKMLILPLIMSSIISSLSSVDSKTAGKLGAISLLYYFLTTMVAVTTGIILVMLIQPGKWMVTNIEEVVGEANKAAPCISNAIDTILDLAK >PPA19559 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:180681:182772:1 gene:PPA19559 transcript:PPA19559 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dhs-27 MIDWVIDWVWYLIYAYITVRLSKAAWILIKSVHIHLFAKEVDVSDILDSWAVVTGGTDGIGKAYTFELARARGIRKFFLIGRNINKLEAIKKELVEKYDAEVKLAVFDFENDDFDKLPADLKNIDVGILLNCAGIAPAAVGNMVELPEGLASKILRVNLMSNIKMLETVLPGMVKRDRGIIVNVASMTNPAHLKPLRRAK >PPA19682 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:830030:830741:-1 gene:PPA19682 transcript:PPA19682 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVCPRHMDRRLLMAIRVVRKNIARFDRDQTDGQRAVLCVALSPSTRLPSSF >PPA19714 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:1031309:1034233:-1 gene:PPA19714 transcript:PPA19714 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEGGQIIPSSFWGVDMSDDATMKSEMLGRSGEATNYRSATATKQQATATALHSQPLEEQGLQNRQQASVINAQHSSSVQHGMIPIPVISIKPQPTALLHHLLPILPRPLQNTPTADSSPVPNQLSHQSVPSSIPHKGLAHSTLASHIIPEGDSFVFSPTTAMAVIQAGNPTADHPVPVQQSIGTVVSQQSQIVAHSSTNISSQEECKAMLATLMDGRVPEMPDSHQEMTQHTHPSTGRAPKLPIEQQEMTNHTHPATGRAPQAQLPNGPQEMPPQARHVRIGSVVIQQRIDPAWEQLSKHIPKTDKVLKHEVEQYVTTDREGRVGPRMPQRAQFTRRGLLPTTCRSSDSQLSVVRQEHWAHSLPDSSYSISTQSHSQQQIAYATPINHNAAPLPSISLPQRREQTSSYQLHPIGPQRGLGCASEQQRQGTMYSHQQMNQYVHLDATEDALASSGHSMKGQSGPYHSNARPMQQQQAFARQHSPPANATYDGPELAHMELTQQEKIHLELDELCKEVERERKLRNAMAAAAADSPSEEETVIQQGTADPRLEDALATIGEFLQREVSQGREASAVSLKRKSTSPSQVGSSRKRNRYLSTVVDEQKSSVNGDATTWAMMNEAQDWPMS >PPA19649 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:675155:680841:-1 gene:PPA19649 transcript:PPA19649 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCWYMMFSLVLWWIQDGLILGSSERRRVTTHDIELSIDGAMTTILVDCPFPALGNFLLDCHGKMCTLVTDADVLTGRCENELVRVMCKMVLREGRHSPGKFLIYHCRCKNASNVTCEAYQVTKKDENIDSAEHATTRKDKLDDARLRMGNRLKRVRQYTHARSHRDPATVLMSTSGLRQRRTGLTGFVENLDGFSKVAENVQEEKKSTAGIISLLSFVVIFVLVISEVHSHFFGRKQYDYRFSVDTDLHERPPLKMDFVVATPCNALSVASTNDAIDDQKDVEGITKSPSRFEFTDEEQLYWTILRHAHARMHADGMRGLEDLEYVEDDVEEKLSHLADEKQEEESVKIEKEVEERRRKGDGNGEIVFMVASGMGMFQMVATSAKDEGSACRIHGRIPVRKGKTEKFLVALSNSLFHKHGETQQRNISHRIEQFNFGPRVTGLVSPLAGAEQISESGHDVYRYFLKVVPTKIYYGMFDRYTMTYQYSVTFMKKSSDSTYSDGGIYIEYEFSATVIEIREKIKTFLQLVIRLCSIAGGVFATSQIINSIIQSAICLVGAVPTSLPAPTSPQYTEEDKQRFLDSTNILVH >PPA19602 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:415321:418496:-1 gene:PPA19602 transcript:PPA19602 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTDEEKEEEANAVQGAALETVEVEEIKKKYEELRKKVEEMEKREQESKKEEQMKKRENELMKEELEELRKTVEESKRPAVITIDDDAVEIKQERDAAEGGEPMDNQQKILEMMTLLYKDVQEMKERERKKAEVEAQKARVPPPPRRDEPAIKNEATDVPVDDRSMEEREEAVHAGDSQAGSPPEINASADNSTRDEREANDESELNQQDQFVHEQFWPMEQQHPQDHEDAEGTKLCHIGSSLMLENESTLDVANVEDHIIYFGSEGADCEQACLIGERKGLESLAAFQFVEILNGSGEMNKPPSNVFALSCVYQKPGQTGFLPPMAKVSEEAQNWPQFRRTDEYGNHCGESFAVLIRRIKTTDEDLDYIEVSIIRGSPDSFTVIEEFKRTQFLYHKDVKNMRDNMIELNAIQIPLNQEAVDVNCGFVIIVPIRK >PPA19573 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:249683:251046:1 gene:PPA19573 transcript:PPA19573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tsp-15 MTQLPHSSIGNACIAVYSVLKELRCGMYSFSVSSLIIFIMFFIGGVMGFVFRYQLVHQIPLHLKMLTSLRELYGTSEMEKVTNAWDELQSNFHCCGVNGTDDVRVWRTSKW >PPA19719 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:1060715:1062370:1 gene:PPA19719 transcript:PPA19719 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSADSIDYQSPTSGKTALHLACEAGEIGAVTKLINAGADPAIIDRNGYCALEVAHMSGHSELAAMLIDAIQAEESRVESLHANLITATINGDVESMAEILAGEKNRRAIMNGKKGKGVLLVACENGRLEGVELLLREPYRPLNILIEESSGDSILHAAITSQNILLVRFILENYPTLASKSNKEGSSILHWAVRAQNVDIVELLLDFPYPEYAMIQVDNHSVNYRFAFDLNSGDCECSSQCNIALQNGSLFIGSTIGCKYSNLSTCREFIFWNG >PPA19683 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:833879:834679:-1 gene:PPA19683 transcript:PPA19683 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLLLSLVFTVSSAILRRPAPEFDLEALRAVDPRHAARVEWLFTRRRRFSPCLLCKSGGRPAKHGSGGYGASFKARNELSTRVKD >PPA19616 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:490931:493681:-1 gene:PPA19616 transcript:PPA19616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gbb-1 MLRKRLNYTDVANLGGWPEAPLAYDALWALALAFNCTRANLPHHLKLENFTYNNTIMAQLLFDCVKNTSFKGVSGNVMFSDSGDRIAKTQIEQLQDGRYVTVGRFDNGEHRFNWTAEILWPDGRGPPPDSTIIREQLETVNNGLYIIVCLLAIIGIVLSLACFIFNAKYGYRGIIVQSQPQCNNILLAGSALCSASLFLMGLPTAGVQLTDGEFTLLCHSRISILMIGFTFAYGSMFAKVWIVHRIGASENQQIASRQKDEPSELTSVISRLGFDFASPIGESQLSFGFHP >PPA19639 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:612099:622264:-1 gene:PPA19639 transcript:PPA19639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gck-4 MAPEVMACETFKDQPYDTKSDVWSFGITLIEMAQMEPPHSEVSPMRVLIKVQKSDPPTLSSPNIWSVFFRDFLTNCLVKSPANRRTAAQLRSHPFIRSGTDKKSVEMLLAEVNAEDVREEEIVIDDSESVADSEDMQDESQENIPPLEEVNTEKRQKRAAPPPPVSPVHANHEVNIVATPSVGAAAITLAEAQTPRRIDTNLVVPDIRSPKSPQSSDVVDALRELDTALGAEATFITISPEANAEPAEPGYYSPSLSRRPEVIEASNKIKNVLDSVRARSIDRSPLPTSRTPSHPSRPDTALSIDSDSGFVAEVKPSVVHNCEADFSLHNGDQSESTEHTHSIPIHDAESLSGVSALRERFESPQRTSSSGQISRSTSVTRGDSTDDSDVEAIARARIRNAIQHQINLEANRVSSQSTSSTRPLPSRAAAVSTVQMIQAADRVSLASSDYAPSRQQTTPPVQPKTIEVKRSPSSKEYTYFDNLDRAEYFTDSQLDSTRPPPDPPVDYEEKRAYEEKRAAKKKMIVDPVSASSTLSKESKDSSTPSEPPKIIPDPPVKIRPQAIPKEEPPRPAFKRNPYRQTVTKKTRTYVVDGVEVTSTTMHVLGRQQNLQLRRQEMQELKRVQREESRQIQELESQASHQNELQEKKQQQEKLNMHRQYELDMDSLMRKQKREIEDAERLQEEELRTTSKRLKYEQEKDLQAFQARLKQEMKIIKQEVEMLPRAQRKDALKHRKEIAEEMNLQKEQDFHVQLRMNAEASIARMRAKHKEKIAQLERQFLEQKHMLLRAKEGSEWELEDKVMSERYVLHRRLLKDKFFLLRTQMLARQQKELQQAQKLHAREEEELIRALATDRKRLPKMLRNEAKTRSAMYKESLRISMADPSGGDINDMVRRFDEQEKARVNQALRDHEMKSEKKIQTLKEKNAAEMKELEETQNENRKLLLEKERQTLSDHENKYITMKDEWKNDLPRKKAELENLFDEELSQQEHFYVAMIT >PPA19646 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:662408:663206:-1 gene:PPA19646 transcript:PPA19646 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRRRLSRSFSSNSTNNVNSSIQSKKKEYKDEKKRVASELVTALRDPTVVVMADWLKARGTLKRWNRYYFVLKPGLLLVYKTDKTHKQSHWVGTILLNVCELIERPSKKDGFCFKLFHPLDQNIWATRGPFGESHGAVTLHVLPTTYLICRAPSNQN >PPA19567 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:227765:228305:1 gene:PPA19567 transcript:PPA19567 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAKLRFDLHRNYDEVMEESGLKQHMEFTPNWILIIRWLAFLLGMWIGYWVSTKIDSPRPSAAEIRKGAYWRRKGEEEPTVDCEGELPLYLILLYFF >PPA19595 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:385826:386832:1 gene:PPA19595 transcript:PPA19595 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEIVEPWDDNIHQTYKQENVIGKGAYGVVYTVTHLPTGRKFAMKKMEMRVSENSYVPSSPFQEGVPQSVIREISSLAVLGKKNHENINT >PPA19643 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:641928:649038:-1 gene:PPA19643 transcript:PPA19643 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQDITQLRLTERHALNRDLWKTKTSFPDPDILEDPYDELHENIRVHLKNLRELEDKIYDLQKAAKGGRRLNAAETVECSKFSDVQNEIRRNNELMEMIRSSRKEYLTRRAEAFLTKDGFHVGVQYIRRLLHSREVVTHAARYMKKREERKEDDGMPVLDDDQHAKLEELNRLLNLTSEGCVDKPHYLKILNDTAMQLQGIMEDKPKAEEDPRRTLLNTIITSEYYENMRQNDVEEESTVEDEEEEEEDKQEEKPEQERKMKAEDPPVTFLKSSDASASSSRPVPFFGYDDSLPHPNQIFFNGTQLTHMLQPSPGSLMHPPPPPMMMTGGPHQMHPMPPPGALVMGPPPHLAHLHMQPMQPMMQQGPPMPPMGPPPMGPPMGQIIPMGPTPLMQQQLPVNFRPHGSNGLNAQAPAWEPPAIPAGAGTGAGNQQAVMTSPNGSKDGSGGSGTHNGPPMRGRGGQQRNYEPRRHQQVVGNFNQYAPPLATFNPPPFGVQMFPANNRGQYQNNGYRGGYSGPQRLYESKFLRSVRNERNV >PPA19706 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:969958:974455:-1 gene:PPA19706 transcript:PPA19706 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNEEEKTDWGSIWIGSVVCFLTATQFSIYFSSLWPYLLQLDPNSTENFFGVIAAVYSLGQALSSPVFGFWSNKIKQTRYPTMAIGNIIYIMLPLNIITPKYMMMISRAVMGTGGGIIALLRTSSVTASTPKDRARAISLNTGAFSLGLTLGPALQIFFTPLSYPGIQLIGNIRLNLYTGPAISGLVANAVCLSLLYFVYTDSTVGLHKVAKMENESHERFFALPKFDWIAAAVCIFTRFAQMFVVTNLETIGSPLSMTMFAWDRETSVFYNSLMHGAFSCVGFIIYAANTIFNFGQKLNHRISCIVGLLLIVAFHLLTYPWPFLSGKIQYQEETWINGTEPVGCRRSFDWCETTPPINVYVYGTMQGILIFIGSCARLTGPILVSSLFVHSGIGIPWIIEICIAAFGVMLWIVFYGRLVPLKMEDSLSADSLATSILLSFLHPSRHKMASALSRPADRVSCLECGIEMAKENRQESKPVENLVARRDEFLTMHNECFPERWSMYKSGGNKCRVCGEIFRKKERMDHVLKEHMIKGIHQ >PPA19606 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:427197:428363:1 gene:PPA19606 transcript:PPA19606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mlp-1 MAAAQQMKNTSCGRCGKTVYDAEKVLAGGQIWHKNTCFSCFNCNKRLDSRAQNEKEGKLYCATCYARNYGPKGYGHGVGAGILQLHQ >PPA19588 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:348606:348778:1 gene:PPA19588 transcript:PPA19588 gene_biotype:protein_coding transcript_biotype:protein_coding MFWLSLFLLPSLISRVDSITCYECTSGAGVDCKWAPKTCGYGLILGWSG >PPA19678 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:799189:801832:-1 gene:PPA19678 transcript:PPA19678 gene_biotype:protein_coding transcript_biotype:protein_coding MVASKAQLGDEWAVNEAVDFAPAFELESDLPEVKLFGKWNLQEVNVADISLVDYITIKEKYAKYLPHSAGRYQNPVQVLVNAVIMSLLVLDAPAMWLLGAGAHEAAFRNIKTIAECVADELINAAKESPNNYAIKKKDEPERVAQFNV >PPA19557 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:177570:178099:1 gene:PPA19557 transcript:PPA19557 gene_biotype:protein_coding transcript_biotype:protein_coding MRERPGATAGTLFPKVPGVPSPPAKRDGTRRFGKVADKFNEWFVSAFVTASRICFVMLHTAPPSLPYPFSLRPPWAVKFNCDGFI >PPA19540 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:4397:9526:1 gene:PPA19540 transcript:PPA19540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-igcm-1 MTILPMEVPPGDPKIVSAPTETVTEGDGISFKCSTSGGSPTPSYFHNSTLAPSDLHSQQIHGDTVDSTIHWRATPEDNGAYVTCAVSNFALQDGEEKKTKSPRLNVLYRPRVTVGPVSEYAVEEGQMVQLTCAADANPSQPSFQWTHLASSQHYASASWPFMAERGMGGAFECRVRNTVGEAAATMTVQVQYAPVVRVKDIVNPAEGESVIVECEVEAVPTEESIEWTGPNGFVSRGATLSLPSVTREQSGNYTCTATNYLNLYGESGSQPRIGRATTLVDVKRRPGRGVIAPWTLSVVVGGTIELACEATDVGSPAAQFKWASPSSGGQYGTKEHTRQTLVIRNVQLADNGEYRCIPHNAIGEGEPGIARVIVIEPATIERPMATERIYGEGDKDQELSCEARGYPAPHITWLKDEMPVTGDAWKVETVEGHSSCSPTDFCSVSVTSSLRWARPVEWSDKGNYSCVASNGATESSSTWTLARVTHGAVILNDRYPTEALAAADIAGTAMISCRVSARPEPTFEWMYDSNEIKEGGRYSFQVNREVGKPDEYESVLYESDYGKYLCRSMNGKGARAEVVIRLKEKSVPTASDDLERVTAGSSWITLSWRPGFDGGSPQTFALEYRMLNPFTESVANAEVLTVDVTNSSLRSVVKSSKDSTRAKREATTTFATNLMTYNLTGLKQLSTYYIRIRSQNKMGASDFTKILIATTEDVAVRKELIVPSALNYIASEGVFTVQPPTPVDHCFMFYVQSGDVWRSIGCFKGNRTDSLDEGGDAFKARFCTMTADGQHVNECSEESIPLSEYFIRLDLRNQDLH >PPA19702 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:958101:960169:-1 gene:PPA19702 transcript:PPA19702 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKKRGGGGGRRGKDIKHNTYIASLVRSLPFAKIIPSKAMGSMRQKETVVQLSTSRPSSRPTSFFHCGEIMHSSGKNSLGSIYDNVAPPDIPKDDNDNDENAAEGANAEEKEEKKSCIPKQVTKYAKILTPHLILIGVLVGYLCLGAWILMMLETDTELLARSTKLVKVTNLMRNFTAESWQIINNAQHGGKHVKDEEWEAIFREYILRISETVDDRRPIRKELSTPDDPSKMHNKWTFPTSLLYVLTVLTTCGYGEVSVDTRFGKIFSVSFAFLGIPLMFITAADIGKFLSETLTKLKDQGKRFKRKLGNIFFYGTYGGRRLSIPSSTGNTDMLDMFGVNEVEEKLWFPISE >PPA19723 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:1080780:1082549:1 gene:PPA19723 transcript:PPA19723 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSHISSMCLLEKGSSPSLLFVGTVEGSLLVLSALTLQPLSACRPYSSNLSTLLIVDCRNDDDTQPGSTTTSSEGAIGWMRERVERLRPSSSAVFSQSNHLLLTIGNGFRSLLDRFVTQTDAKDDIVVALWRIDDWCIMNEGKRMREGLSCFVAGLVGKKILVELRGDKYVYGVLESCDCYLNVRMKNAEMDEGGIKSILDYFFIVSSTFFYKLFTIL >PPA19635 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:584741:586057:1 gene:PPA19635 transcript:PPA19635 gene_biotype:protein_coding transcript_biotype:protein_coding MRETRETVITAVAIIILLRTPSTTSFTSTSTTTSSPTEKTTLTIVRNSESAKSTSSTTSNSSTTTASSTNSLNSDMDSRTADDIKTNTGIKAKHRQEVEGDSSIMDEVAEAVRTTDTVMEKKAKTIDTTDESNEDKKKIRKIDKQRTCYIACCSVIHCLLQLLDCA >PPA19658 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:720388:722062:1 gene:PPA19658 transcript:PPA19658 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSIVVTGANRGIGLGLVKELLKNDQIGKLFATTRNPSKSPELQSISDPRLVIVEMDADSNSSIGKAVEQIGKVVGSSGVDILINNAGVLYPVDINAPINRKEASKNFDVNCVATMAVTFAFKELLKAGAKKAGHSQVVNISSVLGSISLTWGAVPPRHFTAYNMSKARTYAGLNMYTKTLAMDWKADGIRVTAINPGWVKTDMGTEAGELTVEESTSNISRTIFKLGEQSNGLFYNYDFQPLTW >PPA19665 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:739948:741466:1 gene:PPA19665 transcript:PPA19665 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVLVTGANRGIGLGLVKELLKDPSVAIVIATVRNVDTATVLSAIENPKLHIIPCEVTDEKSLVAAVEKVTGIVDGKGLDILINNAGIFNALELDGEVSKTNVMEQFEVNCFGPLLVANKFHGLLKRAADLNGSSQIANISSAFGSLEQAMTEATYPPTIYAMSKAALNMLTRRLSFEWKKDNIRATAFTPGWVEESTSPLSKLIVSLTEENNGEFYRYNGEKVPW >PPA19729 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:1130838:1131559:1 gene:PPA19729 transcript:PPA19729 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLVVSSLFLCLLLSSMVLAADEPIKLCATKLASYYAQLPCDGEDAFTAKASAIDTSTGLIKCCVATGCSKYYLLKAKC >PPA19633 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:549219:557274:-1 gene:PPA19633 transcript:PPA19633 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLVTATLVAMTLRSKSEKPKPPPRPILPPSLMKRLRAKYEEEMAEKHAYTHLFENQIGSTERKEKREVEKLIHDLDIPEEQDKCDELHAMKVECAGRCRAGEKCTNSRLYHNQCARLELFRHANPVIGKAVRTKQDIAKNQLVAEFRGKWYTENYFKGIVRRWSAAKRAMNYFISALGKKLILDPTDGGSLLRFSNHKCDPNCLLEFVRDSYSGAFLDEDSSDPIRCLCMSENCRGYIVSKRKAQELKAEEAKKAQKTRKRKAQGTDEKKNDAKKAKSERKIAVVTQQNNKKSPSPASRKTTVVQEKNDSIAQTNNATITQTARRKRSVPFAALDAPRAPKKFIIPAPADPVICPSNKNDDSRESSHDQSRQSSRSPSFTPPPTSSKVIMDTDPLQLRVSSPVPSSSRTPSSTPSCTPPPPPADDNVNNIIEDEILQPDESSSAPSSSLSVATATPSQDDLINDEPQQEQFNDVVAVASPALSPTLDSLDGSALVTALEVLEGRIHTFEGLKIKLDGMGDKKIRKKWQSIIVVMGLRRKYIVDGFKSLKATVNYLIHDSQQHQFIQGLIDRSNSIATDYESLLKLAQEYGNQVLSAHHVNPTLKVAWNKDIFGNAYDSFKISSTVQLRTVRNWEKDIANNLVKIAESFL >PPA19726 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:1101107:1103781:-1 gene:PPA19726 transcript:PPA19726 gene_biotype:protein_coding transcript_biotype:protein_coding MITSIVFSLLLSLVAGLSPEWKPTCGAAQQQSHLNLLSTDIFVRHLNSGALNSTLTECSNFHRHICPKQGMSSATSFQGLFDEIVAIERPCTKYDVLTKIERKAFTDSLAANLKNIINPNGGAKKMLKSYLPFLKDVTDYNHLTNVLFREGFETGVSRDRHHDAGTKVAQEYSRVLNNAAWSTVDSSGETRYDRFSDAVLDIYDNVLHSSLNNLGIGNASVTFSKDFYNFYAEERIQLLHYLNEDEVIHFFSAALVVLPALRNLIAVNRAHARVIATMNLDMLNRWSITKSAQSPTTISGFFVWICIQNQLIFDFVGQNSPDGALFGVMGYLYGHEMYHGVDRELYPAVMPTSPDARLGDWWDAEERSDQFGTQIAYGAFKTAIGSRIDDLAYPALNITHRQMFFYANSINGCNVHNAMSINGGAALYDSHYTVNGRLGQIPEFQTAFQCSASDNMVFAQSCPIFFPSYTA >PPA19555 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:166612:168370:1 gene:PPA19555 transcript:PPA19555 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAGDEAKRKALEREAKKAEVRKRLEEAGKAGKKAKKGFLTPERKKKLRKLLMVKAAEDLKRQQLQKEQERQKVLAERTIPLPEVDSVDDKGKLEKIYNELFERVKALESEKYDINAIVSAKENEINCLNIEVNDLRGKYAKPALKKVSKYDNKFKKMLGGEGAEGKAPKADFRSNLKVVKKTENVIDDVIAKKKKDDKPEWSKKGGEKKEEEAAPPPKKEEKVEEPVADEEEVSEAPEASEAEEEEEEE >PPA19685 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:840422:842802:1 gene:PPA19685 transcript:PPA19685 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVAIALFCLITTSICRDWTKSELWDDENAQHECRACKAVPVDNAVNCPPPESGYTCNDQEPLRAFVLNAGSCHCQSIACANRGWRLAVNGSIVDRIRCVKREWFTTDGETVPSVVCIKEADIQNLRIADIQETSTSTETTSASTETTSTTTEPTTSTSTQTTSTTTELTTSTSTETTSTSTGRTSTTTEPTTSTSTETTSTSTGRTSTTTEPTTSTSTETTSTSTEPTTSTSTETTSTSTEPTTSTSTETTSTSTEPTTSTSTETTSTTTEPTSSTSTETTSTLTSTDSTTTSEPTTTTPPACLSPDSSVKCETFPDPLATSLTQACFIGDDAWCAKDTVLVVTVTAGSIPAYVPIDEPATCNSASNTYEVTTLGREPFDVERVGCMVCPHMTGSAPTSFTGDQLPAEYRKCRVECSSGTLMGKTTIDNTFAPVNGGIGYYGQLPLTSNQGWIGADGQIFDEDFYCQP >PPA19618 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:506000:508535:-1 gene:PPA19618 transcript:PPA19618 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-aakg-3 MVYCHLFQLNSCYQAMAKNNKMIVFTDDMNIRKAFYALIYNSARTGLVLDSRTYCLSSVLSATDFIMVLMKLWKFREAITSITGLQLTEEDEKRTDITEMPIRSWKEHLHNDGKLKPFQTIDVEDSLYSAAERLSSSRIHRLPVMDPHCGDCMFIITHRRLLHFIWQHAALLPKPEFLRERVRDLGVGTWSNIKYILHETPLIDALDMLMNEKISGVPVVRDEDGLEVINVYTRFDAISVAFSGEKENLSINVGEAIRQRRRLTGRIDTVVTVPQDINMWSLLECFVEKNVYRVFAVDDGMKLRVR >PPA19539 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:1675:2757:1 gene:PPA19539 transcript:PPA19539 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPLRIREKNGMIIAGIAGQTSEVFLKQPSSSPYYVREGEEGPTLECTLAQSFRGDNHEVQWIRYTKGLPRTLTRNSKLLEKAHFELVNDATAGQHDLKIKHITRADTSGTYHCTVLNTDDGSQHTTDGSEVIVLGRLRNQTQSL >PPA19694 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:900849:901357:1 gene:PPA19694 transcript:PPA19694 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEWSEASRDHVVRCLDGPSTSLRDSTLPSRSRSRSIVQYDHEALSIERESVVGDMLRAVD >PPA19713 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:1020942:1022305:-1 gene:PPA19713 transcript:PPA19713 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWFALLVVAAFYYVPWLKRVIGGFLYQNPYVQKLPGPKGLPFIGSIMDLAGDTTVPLKFWIREADKARAEGHGIFTMTALGRTITFPINGDTLRYICESSEEVVKGKDYEYLRAWVGDGILLSIGQKWRDRRKSYTQLFHFSMLDGYLETFNKHARIMTEVLAENSGRIVSRYERSREAIGTGQHLW >PPA19699 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:939173:945095:-1 gene:PPA19699 transcript:PPA19699 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLFHRARQLGTNLPSGETRLQGFQITKDELNGSPLGPPLLPLLMNIRLFGIPLEFVNLVLALVSYSTLFSLLFSSHLLINSICLIFSYLAFSVLYRIQETNIDSVRPVGLGSHLVSLRQFSYIFHPLGILVSFVGSTILTQIAPITLYAYGYNKYLINILNCQAKNNMRNQGTSGQSEYSTYNRGRAFRPTHSQLCCDGYGPHVVAIAILAIICILKAPIIYALMIIYQNDDRTLLLVCICTEIIYLFSWILLWIGLTLKREWNFNILHRAHELYALQKGLTSGHVRVNTNPSQLKNALIVMHRDQMFVTDDQTAKQSLLRSIQQNNFEQKDEAYWLKSNGGTLQGSPAMKRMHSDGARTEEMNRLLSGGGTLRRQSNQQQTTAQIQEDIYTSRLPPHPSQLTTPGYRGGSSPPQGTDGFGTLRRQQQQYTLQRHASAGVNPTGTLQRSLMDGPSSAQQSESYASIHKSKEAAGPGGIYGWRRGSQDEGSGFSAPSAYGTVGNYATYARLPPQASTLQQSRLGNHPNGGSSTLRLNNSAYASQQQIGMPRPPQTVTIPSIRQSPLMGDRAGSVPREQSAYGRQPISSSGVKLSSFNLNGDKSAYGSVGGFGSSQRAPVGQPSQLLYNGGGGSSTCIGPKPVPPPSVNDTTPLSNSSSAQDATGGCFTPNSTMTSQGSNYSQRTPRGSPQHQTSSFGGPRSDIHRAEVEEFEDGTLQRAPPKPAIVTGSTSVWFLGVSGHFIDQCKA >PPA19660 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:725702:727665:1 gene:PPA19660 transcript:PPA19660 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLVTGANRGIGLGITRQLLSNSSVATVIATARDVNSAKELNALSSPKLHIVQLEVVNEESIANAAKKVAEIVGDNGLDVLINNAGIGSKYPLNGELNKANIVEIFDVNTIAPLLIANKFYPLLKKAASKKGSAQIAMISSELGSLANSTNIGKEMPYSVYGMSKAALNMLTRRLAVEWKDDNIRATSFCPGWVKTDLGTQAAQYTVDESTIPLTKLILSLKEEHNGLYYQHTGDPIPWFFIDKTRFLRNKKQLCVKM >PPA19546 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:132507:135163:1 gene:PPA19546 transcript:PPA19546 gene_biotype:protein_coding transcript_biotype:protein_coding MPRERTIRTRAWTRFMNFLRDIRFFFLCLIGRRRPSGVKIVVTEPTPLPTPPSESSSSSSSSSSDDTPPCRYVTLTVESARYGRRREGRKTVRVMERGRLPHRELTSKLERILGATPFHSLFSTSTMGAIMCKPNAKRSAIADANDANPLPGSVLVYDRAAIPPQFVAADSVSGQALPEKVAKVHKDSLPTTIEKMVEVRMVLTEY >PPA19636 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:597701:600120:-1 gene:PPA19636 transcript:PPA19636 gene_biotype:protein_coding transcript_biotype:protein_coding MPQCNKNAMVPLDTLGNPLSEVLLLPEYIDMIIEADNGKSLRSMERISPPWYARVRAYRARLPEIKTLSVHLITDVIYVGMSIDTKKAQERFNQILPEDCYAQISQNGTTTMYEWFLHKYDPSINRLFRRLFNNTSHINHLILTELNRKWLKRVQKSMLHVSVGKFQMVNPVYFIKQSKILAEVVRRTDVEEFTFTDPWIEHREEMRGFLLSITDVVQLVHIDLTDDSFIDSVDNRIFWSRVNFEITEKGSKLQITNRGYNIIDPFLYFLRLFDPL >PPA19707 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:982719:982997:-1 gene:PPA19707 transcript:PPA19707 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLIVTIMENFLLAVIFLDNLSFLPSSITPSGGTKTLMLRPCAVQNIWLLLTRCEI >PPA19569 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:231485:233817:-1 gene:PPA19569 transcript:PPA19569 gene_biotype:protein_coding transcript_biotype:protein_coding MRLALALLALVAVASAQTNDYDFGGYSTDDYNGVSASPSPIDNYCACTPKQIYLDIVFIVDSSADMTSRTVGDATATIQSTLLGLTFGTQLYQSQVAVLAFGDKVQTVKNFGDLRNENDIFTMNIPYLGGKATKMTDAIQQASSMISTNEREFTRGVIVLLSKSFNQLDATNLKEASDAFQYDGGIFITIDYSKGGIRGLADIATTGYYINDASSKPDSLNADIIYAFCDANCFCADGLYPYNLADRTTGREVPMGCYHVADVAAVYNAAEQNCKGQKGFVATIHDNDKSFFMMSIFPPKARFWLGLKRVGSDFVWADGVSEGQEYWAPGNPVAAQDCVYGQQQQGFNASWFSAPCTDPLKNSMGYACQLRPCDTEYDCSIPE >PPA19577 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:272785:273532:-1 gene:PPA19577 transcript:PPA19577 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTCKILTEAQDYETCKHGHIKCSLTQSRTISSQLLTSVRPTCEVSFNDNERVEI >PPA19626 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:526929:527806:1 gene:PPA19626 transcript:PPA19626 gene_biotype:protein_coding transcript_biotype:protein_coding MCDEKTVQMEQSNDDLRFGIDFLLNRALASSIKQDLQRPEYLTKKKPRTSFNKQQIAVLEDCFISHKYLASEQRKKLADFLYMTDTQVKTWFQNRRTKWRRMECEQGTSSVVHQGVETIERTE >PPA19552 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:159031:160883:1 gene:PPA19552 transcript:PPA19552 gene_biotype:protein_coding transcript_biotype:protein_coding MWGELLILPLLITGIHAACSDYYTNLVCAQSNPSLLQTTEQGTYSCAYDSMSSAGSPPIVPICDIACPSTSQLIAFIPDFGSQLYLTSAKFDSVTWKGQQPASPDMDLGSNVQFGCLGTPRCGPYIDDKGVQWPTRCNMATPTATCSEDLAMPLCTCPAGYTGPTCTLLVSFLDKLRAWLGDDVANNKLLEIIKTAQTSPAALVDILPLLFAFLPENVKRSMSWNLDELVDSIDYELKEVDYTFAFTQVYDDQLGNCYTFNYANKTNSFDGLYNTRFAGQSRADSE >PPA19721 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:1069117:1072652:1 gene:PPA19721 transcript:PPA19721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lrk-1 MEAKLLPGGTPPITSIAWNHRNMNLIRMYSMVYVPNESQSCESILYPAHLIRIQNHLVPSQKGKFVTGSGFWPRLISRLTWDESISRVVGQLMKMEGLKWTLWQNGAELMNGKDRLVVVKEFFPCADPNEMNIHSVDWRMKVEGKWRDLDISFNRNILLYIPMVESKEKEEYRLWTKIVSLIVDAMDCLLEDWYPSLGTRFVHSSQGELLVKRIVLCPSCSPFAIRQRQTRDDSHTSHELTDNGGSRMKSRSKTLNELSTLHSSSISTFSIEECMEAARIRDKKLECPHHGIVDLRSLAADILFLDIDPALRIDEFAIKRGRLLGRGAFGFVFRAHVKTMHSVQPYEIAQKMMEPCDPGTTARVSAVNEYRAVQSKWERDSLEYSTRAYLSCRQEVGLLNRLSHPNTLYLIGLISTPLSLLVEMAPLGSLRSLLTSFRKSEAKLSIWTLTESAIQVAKALEYLHSNNIVYRDLKSENVLVWRFPPPFCLGEVQLKLGDYGISRQGQPSGDLKGFGGTEGFMAPEIVKWNGEEEYSLKVDVFSFGMFLYELMTLKLPFESEDHVKEKIVNGSRPLLMPSIKFLEMIKNRTGDFEFNNIDGMQE >PPA19644 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:656377:659444:-1 gene:PPA19644 transcript:PPA19644 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-obr-3 MRVPKVVLPTFILEPRSFLEKLSDYYFHADLLHEASLEDDPHMRMVKVVRFYLSGFYKKPKGLKKPYNPILGETFRCCWEHPDGSTTFYVAEQVSHHPPISSLFVSNRKAGFDVSATILAKSKYYGNSVSAMMLGKIRIRLLNRGETYTVGLPFANCKGIMIGTMTLELGGQVTIECDRTAYTCMLDFKLKPFLGGSMNVMNGQIKLGKETITEIDGHWDGLISYKNVKDGSKATLWEATTETFGRRLPRKEIPLESQGDWESKKLWIKVSEAIANDDQYKATEEKTVLEADQRRRAKSGIAHETKFFRHNQRDTYDYVHAE >PPA19623 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:522552:523168:1 gene:PPA19623 transcript:PPA19623 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGPSEISGHCRGCRMVDRPIVDILNESREVIHVCIEVDAKFINYEDVFDPSRRILAPRDIYHVRLPCDCAVSGIRSEIMREINSPVTWNCKQ >PPA19565 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:215933:216920:-1 gene:PPA19565 transcript:PPA19565 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKADIYLEEYPTTYDVNGRNFLVDWIFPVSSRGFFKFFEIILCFLAMLFIANSQGVLEERNFGLFVSFLGLSCSFVLLVSYVLMLNSKMSQLCWFILQGDRAGNNGISSGTMCRRNLGMESEK >PPA19696 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:917215:919729:-1 gene:PPA19696 transcript:PPA19696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-kvs-1 MAYQSDPNIIWEPHPVFGHIETICILWFTFEYILRIAVAPSRIHFLCGIMNIVDLIAIVPFFLEQALALFGIDIASLSDIKGALLVVRVLRVLRVVRILKLGRYSSGMRTFALTLKSSARQLGMMGMVLSTGVVFFSTLLYFVEKDEKDTPFTSIPAAFWWAIVTMTTVGYGDCVPVTIPGKLIASGAIISGVLVLALPITIIVDNFMKVSGK >PPA19675 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:787229:788756:-1 gene:PPA19675 transcript:PPA19675 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALTKHEASIKPKKCLPTDIRYKKTRAMRRALTKHEASIKSAKQLAKKCLPTDIRYKKTRAMRRALTKHEASIKSAKQQGNDKQTSEGAVATAETVKSKFNDMRNSSLFKSFESKLGIAYISAKMTASTSIDAGDSMSGPWSLRRLQLCQYGAHPAPPPF >PPA19607 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:430143:430723:1 gene:PPA19607 transcript:PPA19607 gene_biotype:protein_coding transcript_biotype:protein_coding MELRVLTASPEDLNTSSEDDSVEAAVESFKKAPIKQASISVDITGTVEPSGPLSAGDKETRILPKHEFSVEELSDLEDDQLISGSCLLPNCNNIPIECDGDSHPF >PPA19580 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:286700:292778:-1 gene:PPA19580 transcript:PPA19580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ttyh-1 MERGTSSLALICGLVLSISLLLLLTIIITWVCQCCARTDTTVKPRRKVKRLSVILFILSVFCFFFLGFCLFGNDRINRGVQSSIVSLNDVNNQIKIGIATGGQLTEISRNASTHIKNLEHIVLEKSKKPGTNQTLISEIDTLLTSLSDSIDSVLKRLDTLKKDFGNQSVLEKTKNLAELIEFERWLLLVILLSIMLCVLFAGVISFCRQSKKGAVGFSGVGILIFIVCWALLAVVLPLAVALADFCGQGGDFLADTVSQPVLKSLAFYSECDPRPTHDNLPPHLGISNMSDELSNMQGVKTKLDSLMDTAFNQSEEVQKASSFLLDDGTRSLKMIGALENSFACYAYKDDLRVMKEGICGQAVVGSAVLTLCLILLALFMFTLLLIVSKSWNLFTRLRVGLRANGVSIISPRSMGNDDSLVSPSTIERQYTSLSKKVSFRGNDYVEVDEDDPFFPRNAADTNIPVDIYGTHMYNPRTRERTEPSTGTTTATANGGETDPLWSRAPVAGNSSGTAVRAPYDDRYNDYNDHYNV >PPA19575 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:253590:256804:-1 gene:PPA19575 transcript:PPA19575 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLDYKARLISVGKYKEEIKPLHRDLVNGNKTVDDLDVPEFPPPINHMDVDDQEHDDPMEEATNDTDVVNQEGSERAEEVVDRDEKIDEEGSKALKDREIGVRLPKKALDSPVVRLTAGLTRFWRVPSWGGMKEVEIVVDGKVKLEKEIYNTKIGTDSDYEKCLRSILSGDIDQGKDDMIVQCYSKKKDMEGRYNLAGADTANLEQLLAHSSVIKMIVKCSKCGNLKTDPRWQFECSKKEPGESWDETFEKSILGQRKCKIKVDGKSCHGDKKVIHIEPKAWFVQIDVSLQKLPTSKVQEFPEEIKIGQEKFVLGGVTLHDKSYEGGHFFALIPYEDKWIIYDVKGQEKAPLANT >PPA19688 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:859080:860413:1 gene:PPA19688 transcript:PPA19688 gene_biotype:protein_coding transcript_biotype:protein_coding MEVANRKVEMTKKLYLSLTEDRELDDMLGAEQSLDLTQTVRGADVLARFSVPLPRPKQAIALDPFDARMRRDNDEIRAAYEERVMKEREVEEKGRRGLEEEMDKEMRRNTENRENADRLERRATQKLRFAPDTVFRTSKKKVLSSESIKTGNTGEAVEEYLAGPDTLPPLYESVGNYLTQIAPSMMDAATSMEEEEAPKRGNAFSRLFKAVRGSFRRNH >PPA19708 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:984349:984798:1 gene:PPA19708 transcript:PPA19708 gene_biotype:protein_coding transcript_biotype:protein_coding MFVNWALRSVRESLIQVDPLAAALAYKEREPKRPLPAVVSRTPLLLQFTPFAGPPPEK >PPA19672 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:774334:775075:1 gene:PPA19672 transcript:PPA19672 gene_biotype:protein_coding transcript_biotype:protein_coding MGARLKFYKGKLHPMEENANDRDGWIAIRSIDDERKKKGLMRDGKKKKLLEKKGTE >PPA19561 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:193071:194369:1 gene:PPA19561 transcript:PPA19561 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPRSTRTSENEQSSGSVGSVSPIALRLRSTLFISFYHSSNVPPTTSTTPQEGSGFRAHAYYVESQTGDLRHRYAGLRRGGKCGEGRRDTGTESGSITLYCASVLSSKCQECSHRIARQAP >PPA19613 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:465016:467720:1 gene:PPA19613 transcript:PPA19613 gene_biotype:protein_coding transcript_biotype:protein_coding MMFEEKRDVVEEEVDESHTDTTGSKSSEQAIDKPYVTLAELAAELDQIRSDAMKPDGLKLIVDFENQKIIKLSPNEAIEHMQDRHTTAVHYESNDGTRFYAAADLAKTRRTSREKDTNKEKNDKSREFWDERKQRDKKKRLKKTCGGNKIGPAVGSNGIGTRRQSSAVNRNDSDMDISDEEHDRIVVPIVPPPYRYSPIVSLTIPSSYRPSNLAPAFQPSSSSGLDLGHEHRRRSRSRSADGNGNHVDL >PPA19698 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:922298:924783:-1 gene:PPA19698 transcript:PPA19698 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-kvs-1 MVIPSTRKNQHGSGAGVHNHFRSSQLGNHHHHSHDDYARQHLPENGRPAPRTDLVSGTGDAVLKLNIGGSPFRLKVSSIFLRGDEGRLVKFAQLDHEKRVAASDAYFMMKSA >PPA19605 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:423611:425872:1 gene:PPA19605 transcript:PPA19605 gene_biotype:protein_coding transcript_biotype:protein_coding MSECGRCGHPVYFAEAVQAIGQHWHRACFICSNASCSRSLDSRSCNDNNQKPYCNHCYKSLFGPKGVGYGIGSGVLSTATNGGSISDLHINTYNNNNNDDGYKISYGIDKADPVTSSISYGVNKNDPVTSSISYGVARDDFRPRIFSSYLSKLDDRFVERNGTVEG >PPA19599 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:401325:402498:-1 gene:PPA19599 transcript:PPA19599 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSWLNETALDIVAGLTSNGPANLCTLSTGQMLAIAFLLLALVLGTIAAAIAALWCVLHSEWMCCYKKKKRRKRRVAFDDGESDKKKHRFIEDVAWDGTMIARQVSPRLETCRATTRKVVFQEVVDIHRSRTPSLTSARSSFNPLHRSVSLDLRRPAGQR >PPA19570 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:234097:237041:-1 gene:PPA19570 transcript:PPA19570 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGYHIHPDGFEFYDYSTPAPAICVYPVDPSPEPSPVDVTEEYCACTPKQIYLDIVFVVDASADMTSRTVGDATATIQSTLLGLTLGTAMYQSNVAVVAFGDKVQTVRNFGTLRSENDIFSLNIPFLGGKSTKMTDAILQASSMISSNERDFTRGVIVLLSKSFNQLDATNIREASDAFQYDGGIFITIDYAKGQGIKGLKDISTTGYYINDATERETLNANIIYAFCDANCFCPDGLYPYNVADDTTGREVPKGCYHVADVAAVYNAAEQNCLAQNGFVATVHDNNKNFFMISLFPPKSRYWLGLKQPNGRDFEWADGADVDFTYWAPGNPIAGDACVYGQQSTGFNSLWYSAPCTDPLKYSMSYACQLRPCDTDTFVDFEPYQQIDTNMTLLVHSIGLAIR >PPA19568 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:229187:231353:1 gene:PPA19568 transcript:PPA19568 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHNIATMASKVLLLFFLLPQLGLCSDRLKFHLENLVDRNISACDDFYHHACSQHVDPNEFFMERVRKIFTDAVNKFTPESDKNTAITYDLENADHLQFKHEDSMKNSPERDFHQIVEIAETMKERIIKNFKESPWLNRDDMSSALNMLTEKGERTLGQAQRVPHSAKNIRINGAFSLMPEFSRAFGCKAGDNMHVEEQNSCYVFGPKSG >PPA19717 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:1049204:1049614:1 gene:PPA19717 transcript:PPA19717 gene_biotype:protein_coding transcript_biotype:protein_coding MPVCYEPFDASTVIYNCGHSVCGHCNQSLLKCPVCRADITSRRNNKYHYSLFETESRIVSDLNAHLLQ >PPA19704 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:962441:962959:1 gene:PPA19704 transcript:PPA19704 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLDKDGWTVDSCIIGVLTRAEQRGTTCQCKTENMLEFGQLSSVKNDGVSCCLRACKGDEVPISSASLEANAYQCCHGIAQLLNDRNH >PPA19630 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:535509:535786:-1 gene:PPA19630 transcript:PPA19630 gene_biotype:protein_coding transcript_biotype:protein_coding METTLEQAFFYYATSAWCAQDEPRTEVDAWTDPHSAKNIRINGVFSLMPEFTKAFSCKAGDPMFVEERTSCYVFGPNS >PPA19679 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:806243:808593:1 gene:PPA19679 transcript:PPA19679 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQVGIKYSTPAPFPQVGIKQAYVPAVCNTHLAYKVANFLALGAIFFTLSVYFQSNSLPRQEESFLVIRTGDNIYLRFSPLISPNSLANTQRPKISPHRKVSPVWQARECAKRRDGDLVFRTLAGNLTFAFLIMDSVVAALQKALDMCLKDDDDDDDATQNTDTKARQFILFLNRSKALFILLLLKNWPGARVITSPKTKQTVIVVGDGEPVMQNPAGAATSDGAIDTLQIEEGLTSEQKKKDNKPSSIQRFSRRIDMMITVYPLSKIVLSPLKLLVLRPTAYLWGRIDLSARNPLCKLIVSEYESNPDM >PPA19547 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:138491:139710:-1 gene:PPA19547 transcript:PPA19547 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPFWIPPNNHNLQVHPLLETTPIPEWITNKSIAELMEILKAHDYMALNLSVPPLAQEAQHAYHMQLAALNPSSSAFVPYGQMRVQQFAPIVSVQNTKNVDTSFVNLLSSISQGGQVAPSAQNGFSSHINKQDG >PPA19590 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:359450:360446:-1 gene:PPA19590 transcript:PPA19590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ctbp-1 MPTTCGFPNCKFRSRYRGVEDNRHFYRIPKRPFVLRKRWLTAIGRTEETVVSQLRICSAHFEGGEKKEGDIPVADPAIDPPLSIDLPPKEGKAPKRAAKSSPPGQKALLGRTSFTPPFLKQNNRPREQWVSDYD >PPA19648 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:671453:674693:-1 gene:PPA19648 transcript:PPA19648 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQQFSYLPKILNGGLAGIIGVTCVFPIDLVKTRLQNQRIIEGKAQYNGIADCARKTWAAGGGNSFAKFRSLYAGSSVNILLITPEKAIKLVANDFFRHQLSQPGEKKLSVLRGMAAGGLAGLCQIVITTPMELLKIQMQDAGRTAVAGQPRLTATQLTMNIIKQYGFTGLYKGLSSTLARDVTFSVLYFPLFAYLDSLGPRKADGSGDAVFWVSFVSGLVSGAAACFAVTPLDVIKTRMQTINLGANDVRYKNPVDAFLKITSQEGPRALFKGAACRMMVVAPLFGIAQTVYFIGVAEYFLGVKKSAHH >PPA19663 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:735171:736908:-1 gene:PPA19663 transcript:PPA19663 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTNEQNVKAENKNHIVAIDKIFHSTIFCPVAAPLNLEEANKIYATPLLLDAASKMNKEQVDRWLISMRADPLLSFVRWMASVFPHDLSLHTKDAHLYQRPITPQVSRKRAIQPAKDKEEIIDVVGDSEDPSRKVASSSTNFMLAPSIPLRQTSVIVNTPLDLSAPRVSKKNDLEPAAPSSDSKSDVWSF >PPA19584 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:313758:320397:-1 gene:PPA19584 transcript:PPA19584 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGSLGEIDDSTVINQGTSELRQPQLTFHSIHGTNVSLQKGGRLARRHESFCKGLAFSNRPIGIDENVCIKQCEVATNWSGVLRFGLTNIDPETYRNTQVPKFACPDLSSKEGYWAKALPERYSVEGNILHFYVNAEGELFYGVNGQQKGLFLMGINTTLPLWVIVDIYGNSTAVEFIDPSEHRTSRRPRASSSTVAPTLIIPPRPRTPPSVSALPAHESHVNGDLASSTSPSITFHRVVGRHITLSNGRTIASRSEDEYSHGYVFTDRPVANNEKVTIQITKVLDLYTGGLAVGLTCQDPSTLRGVELPADSSDLVNFPDMYVGIKDVANKPPVNTKLSFWVSDKAELKMQIDDRPARTLIYVDNSLQLYFYFDVYGSTQAIKLIGATPISRSRSPHAASSSRLSETIMPTHVALPPRPSRSITDLDSATGTVSIRPPLPARRDRAILDLLTGNINDEDLPPLPTPLTPSGLSYRESSPALPSRPAPTLPPASFRRPPAPPPVSSIPSLTPRFVPSIPPPTEAPPARPKPPVITPRAASPDTSTNSFMSSANSSSVTDEAEECTVCMAAAINSVIYTCGHMCMCYECALQTKVIF >PPA19638 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:606839:611328:1 gene:PPA19638 transcript:PPA19638 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGNRFPPLPPMPEKSFTQWLMDQTKIYGDRIAIADPEKGDALLSYDQFFERLEDVDRRLAVYNIGHGDRIAVLAGNTLDYIILVLGALRRGITIIPVNPAMKKMELEKYFENAHCVIAQKDCIDKVWKTTLDMDNPVLIRSDPFRIEKMIVEMDNFYAIDTSGVEVQERQETKFDIETTAFIFYSSGTTGPPKGICIPHRAVIAHCLMGNLMYAQPEDERMPLPAEESAIHGVLPLFHAGGLITLFIMLSRGMTMVLNGRFDAEIFIEILNKYKVSVVYLVPAAIGVLTQHPGNLSLPHLKVVYIGAAPLTTKDAAAFKARLPHVKLVQMYGLTEAGTLVFATHEGEDPTNAGMAMPGVEFKILAEDGSECPPDVPGDLIVKTATMATGYLKGDKFGEWFDTGDVASVDEKGALTIVGRTKEMIKVRGWQVNPYELETAIKAGVEGVEECAIVGIEMCGNTLPHAFIVGNPVVNDVLVFVRENFVSYKHLAGVSLVTELPKTATGKLKRVDLVQTTTADDSAVFPLPNSRDDEDNAEAIVMSSFHASLNPLPPMEPSPEEEEQEEKVLGASDEAKTEAEIHEETL >PPA19563 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:208476:212680:-1 gene:PPA19563 transcript:PPA19563 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGVGWKYPSKCRLTQVTVPKKEPPVHNTLILWFPNWDRVTEDSYGLIKIEKLLNKNDAHISPGVVFKHVYHEDGEEYDAFFIEKGPYIRLRRHFNLLTRHHNPVNPETIKKVGENRYLPPLPDCPPDYVKPPVRKRNMKLTQRRPRVTFALADDDEENGKGGKKPVASSRTRSKSAFRDRDVDDEEQEEMTEQMRTLRSASAFPVLARSTRSTRATSVGQESGRVTRSRSRSRARFVDANASQGDEEQDEVLPKQRRSRAVSSTPSRSATAEGNQLIRTTRARSVAKTGQKDDEKIVAHSKQSTRVASSTPSRTARASSSRREMVKETSSHRTRARSVAVIDAEENEKQDEEKEEEVLEYRRSRSTRLRRARSMTVNASGDTADEEMEPIPTLLRAPAAGSIDEAIGDHKDDENGDEEMPSLSHMNDFVVVDDGEEEEQIDIGTAFEQPSAESGNNKAGMDGSVVDGQMERPSRGRSMARRDGGEEEEEYDGEEEEEPNESRRHRTRMDKRVQLSDAVSSEVHMYGNEFNILIEELSEQENEETIKVISSETADELLQKAHKSLAKGIQIMEDIREELTAANVDVMKLDSNSGPRLALYRMAVMCNILKNFITRWEKTVMNLRDQEEWRREEIASEDEPKNDVDSAERKSKENRLVKSASMFRSTRSRTPAIRSTPRSLTPTARPIPAKEPRGPAILLPINTNDEDFSESETDEKEPVYNRRAVSKGRFVSSLDFNDIWP >PPA19600 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:404643:411604:-1 gene:PPA19600 transcript:PPA19600 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLYKRLVDTTIDPTELFGPDGKRLPALYQPPPPKKQRKTAKTVRRKVVGAKSSTKKSRVIDQKIRKVSLRTRGYTMDDIDLTVDGAPSSRNGKRKHDHEDDVDAPAMKREKNDTAEREDEEEEEEEREEEEQDLTLSNDGEETFESTAGRANEESIQEVGDTAVDDTVDITEDGNDDTEEDIIMEPLSANEAAFKNVNELLSEKEKEILECQDKDTQLHIELRRISDAISKNNDETKKKREEERALRDQRDKIQLRVQKEREEVARKRAAEATSKKNEKTTKRVEEAINAASLAVEEQPDLLSMVDAGRMLEAMEEGGAQATPTVTANATTVKMEEGRVEAQENNQPADSPPGFSSISGALEASPIMNGAPAQISVLPVQFHDVWASNVEDEFNKMRTLNDCPYVAMDTEFPGVAATPLGQFKSKVGFALVNDKGELSPSGDVWQFNFMFSLGEDMFSQESVDMLRHAGTDFDRLQTDGISIDVFGELLTTSGVIVDDRITWITFPAGYDFGYLFKTISLKKLPDSMDQSEGNELCDMCDSFPLRFWALQDDLKNAVFLGNSEQEGREVCNIGMEKGVNVASFHRINVTTEDMPGNLFALSSIYKKEGEDDEFMPWMVRVDGGESYWPQWRLVKEDRSQYGERFALILRKINQEDDQLKSVDVSWVSGPPGSFRILQKKSIKVPTGAKLFYAEELEELTDHNYNLAASQAFMHTETRRIHKLYNII >PPA19603 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:419835:420771:-1 gene:PPA19603 transcript:PPA19603 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRLFYYTAKPEYGLVPESCLNPADHNRKTAGDVYRFEQDTKNGKKEYRAMLLVKGTKKALKPLFDLLVGTDDVLGVVCPSELIGPDGKRLPKLPPKYEPPRKIAIPVKPSEKARLKDGKATTSAKKCIDPSPRTVGTSDPGGNG >PPA19560 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:187292:189200:-1 gene:PPA19560 transcript:PPA19560 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-col-72 MKEDVGRLVGALSITASVFTLVACMTILPHLGKQITDIHTYSKSLSEEFLIIERKARDDLMELRGGRATLVRTARQSSPECNCESGSPCPAGPPGMPGHPGQDGQPGQPGTQGEPGTIGITPPAEAKAGGGCRTCPPGPPGSMGYPGPMGPPGRMGEEGGPGKPGNPGMAGMMGMPGDHGMPGAMGTPGGPGPKGGEGVKGTKGMPGEKGAPGERGPPGMPGYMGMDGNMGSMGSMGGMGEPGNGGSPGFMGMPGTAGTDGGPGDDGSYCPCPKRGSGGGSNYSGGPAPAPTTAAPAPEPTQKQVSPSTYAPTEAPIAPAPQTTQSYQQQPAPAVAASPAYAKGAKSSRVSRGN >PPA19550 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:155209:155981:-1 gene:PPA19550 transcript:PPA19550 gene_biotype:protein_coding transcript_biotype:protein_coding MSDESTFTAGKPNYEWPDEAFPYGPNPHRLFRITTTEAPPPTEWQLLVENYYLDKILLIIAFCLLAMCLGCATVFGYKVIKDWRRKHLARQARVAQRARLIDPANFHLRSNAWN >PPA19654 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:705913:706376:-1 gene:PPA19654 transcript:PPA19654 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTNVSIDWKDDNIRCTVIHPGHVQTDLGTYDAPLTVEECSTCMVDTIFKLKEENNGLFYDWNFQSIPL >PPA19611 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:449549:450211:1 gene:PPA19611 transcript:PPA19611 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSDSLSLLFNEDDDLSSVLHFKTPLHHTDSIAESNSSSIGKSESRRFKKSKKSGRSSARSSAKNNGASARIARKQMEQERSKTPCRVEIEETLSIDRGLP >PPA19701 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:956288:957611:-1 gene:PPA19701 transcript:PPA19701 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSVAYVGCISAYCGLGSFMFIYWERILKLLDLRNWSFLHAFHFGFNLVVTVGLGDIVVVDYVFLWLIVAFVVVGENRIPSYYGELSTKYNVDGGLQPTTTGLSVMTMCVDLASTHLKAYFTRIHYFGRAKRFLGMSEELREIMALLGAMRRKKGGKVTWTDIVDFLTNELRDRPYEPRQLLKRLKFIDDTRYGMSTIRYNSLQDFFRESAYIRRLHALQSMKKHAPVYL >PPA19670 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:771363:772195:-1 gene:PPA19670 transcript:PPA19670 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEEFENYIETVFIALRVEAQKEKKTPVLQMDNAKYHSRVRGKMPCQNDRKEVMSKWLKDHNMACPDSWKKKEMIEALKQLDRRDYNVYIVDEMAKKYGITLVRTLPYMAEYAPIEFGWSSMKKAMADVIDTTDDGASRIDEGGISFDPRQLTVEEVVEEADALIDDDDDDEVFDLEEMSEMSVEF >PPA19680 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:811719:813284:-1 gene:PPA19680 transcript:PPA19680 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVCPRHMDRRLLMAIRVVRKNIARFDRDQTDGQRAVLCVALSPSTRLPSRYKQTSEGAAATAETVKSKFNDMRNSSLFKSFERKLGIAYTSAKMTASTSIDAGVSMSGPWGKWRRKEREKCPHRRPSSTTMMTMKSSI >PPA19621 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:518110:518943:1 gene:PPA19621 transcript:PPA19621 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVRISGSTYSPEQGQIFLLEPVKLVYGLSVTVESKKKGRVVIYTSLWDVEKLATEDMKVTQMKEQRNKRKKK >PPA19544 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:128984:129474:1 gene:PPA19544 transcript:PPA19544 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRKQIGEVLGPAWFSTYTNVACYLSTLLNPIAAMCKYRTGSGNNNARILASTK >PPA19631 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:536986:537569:-1 gene:PPA19631 transcript:PPA19631 gene_biotype:protein_coding transcript_biotype:protein_coding MILTVLLPLLLLGSVSADRLRFHLENLIDRNISACDDFYHHACSQYVDPEEFFQKRSSQFELQQAEFLEALKLEDNNYEHYARERCKTNISCYDEDITEFNQTNLPKKQYRIISSKLQP >PPA19662 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:733511:734751:1 gene:PPA19662 transcript:PPA19662 gene_biotype:protein_coding transcript_biotype:protein_coding MDNASAYGAEDCRFDPYHGRNLLPHFTHEAKELKALSSPKLHIVQLEVVNEESIANAAKKVVGDNGLDVLINNAGIGSKYPLNGELDKANIVKIFDVNTIAPLLIKIYPLLKKAASKKGSAQIAMISSELGSLANSTNIGKEMPYSVYGMSKVPDGSSLSLTDDHNGQFLNHAVERIPR >PPA19558 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:178262:179571:-1 gene:PPA19558 transcript:PPA19558 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQLLLAILLLIAIIDCSVEEEYESVPFLNPVKRSFNRDPTDAGTTRFSKVTAVPSLPAKGDETRRFGKEMYETYIKLSMNPFYTADSPVQSFDLSTRKTPFTAGST >PPA19668 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:759223:759845:1 gene:PPA19668 transcript:PPA19668 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAHEVIDISSDEEESYDGSEPGYSPASPSDSVYNNSRSDSDDFPEPEYSPVSPSDSNFNNSLSDSDDFQYCRVSSILKKLPCLPKNIQIVVQCSFVDIFSA >PPA19579 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:280944:282233:-1 gene:PPA19579 transcript:PPA19579 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQTLSALLLNGSNGSAIDRISTALHLRLVGLLSPTLESALSPSSSSTSAASSTSQMQSLKRPGSPIQSSPSSKKNNLFNTDLFSQLSASSNLLLSPAPTLNLPEMGVPPSPDVIHKPIAIRPPGAPPTLQLHISPPANSAAAAAAAAGKEETDEHFSDSESSDPGTNEDSASTECISPRMCNGVIDTSAVFCSVPGRLSLLSSTSKYKVTVGELHN >PPA19615 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:487886:489428:-1 gene:PPA19615 transcript:PPA19615 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKLIILIFGLFLAYETRTLKLRFVNDSRLVGLAIYNVVCLTVVTGPIVTLLIRSDTGANFGMVSATVILCTYISLGLVFIPKFRFVLKVPASRDEAYPSENGPAPSLSKAEAKKLEQLTRENEALGKQIEEKFPQRSNQSHPSPHRTK >PPA19594 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:382414:383457:1 gene:PPA19594 transcript:PPA19594 gene_biotype:protein_coding transcript_biotype:protein_coding MGLADTISPLHTDPRENMFCQVVGSKFVRLIAPEHSDAVGAFEEGILTNTSQLDAETLQGVECWDVEINLAIYRSTRATRSSFPPSGGTTSVP >PPA19572 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:240144:243489:-1 gene:PPA19572 transcript:PPA19572 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFAPSIHNQKQLNYWADMTVRAGVGHYWLGTNCPHESDPYTWTDKTRTDYFGPNKELLSCKKGNGFHMHGDGFVLFALVDDPTSSTEQFSAAPALCAYAMTFYTTVQPETTIAMSDDQTTSNDGVQTVETTVKTTPKATTLPPTTIPTTTAYSPPLPDLNEAYCTCNPEEPSLYLDLVFVVDNSADMTGQMLGAAVAAIQTVMFAIPFGDQMWQTQVGVVSFAGDVKTVVNFGDLKSVDDIFSWNIPLSKAKSTMMTSAIDTATEMIDNKARNYTRGVIILLIKLDDTNIAEASESFQDDGGIFMTIDYSSKGNLAGLKKIASDGCYHAAISAAVFDAADNNCRNEKGFVATAHDSAKSFFLLSLFDKSKTYWIGYQRKNGAFKWEDNSKDTYTNWAASNPVSNKDCVYSKQTNGFNSAWFSADCRDPINASHQYACQMRPCDSTYNCWV >PPA19543 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:126649:127068:-1 gene:PPA19543 transcript:PPA19543 gene_biotype:protein_coding transcript_biotype:protein_coding MSELRIFLTFLLISSLFFYTVHRGKRSPVGEELTQAQFCLKYNESDEPLRYCGDRIIKEAEFFMAGCPDRGPPKFSKVVNVTHECCEKKCTRTQIKVEV >PPA19617 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:496176:498087:-1 gene:PPA19617 transcript:PPA19617 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPTRVELFEKFKWKRISILQSVEEVFQATATHLEAACKNKGIRAERQSFYGDPTDAVRTLVRQDARIIVGLFYVTEARRVLCQAYKQNLYGRKHVWFFIGWYADTWYEPHPEEHLSCTKEEMRKAAAYHFTTESIMLSKDEAILGILYSGIDLCTLKVEK >PPA19628 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:529665:530744:-1 gene:PPA19628 transcript:PPA19628 gene_biotype:protein_coding transcript_biotype:protein_coding MTELGKIDWGFRINLYRIISDLLPLSEKSHNGRICGQYHLWPKVVESRTQLGLGEPLDSVYSVDRSWTRTYDRALEFTSEQPPFYPFRVGRSDIFMKRIRKVEVNPHYYAELDDATVAKRRKLDCELGRNKRGFIARFYFSINVSNELDV >PPA19722 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:1074732:1076874:1 gene:PPA19722 transcript:PPA19722 gene_biotype:protein_coding transcript_biotype:protein_coding MYYPRAGTLRPSLAHHHHNWYVSLLCAPEVSHLIDTVILPAHPTHLVQRSFTHSDDEGEDAHIWIISPTSVDIYSCTMFGWVECTSISINPSWGKGRLYSRESNDWMWKVSETNDLTLLPFNLSHSIPVTMPQLDSHPLISTPLPLSSSLFILPSKFSLHLLSRCEDSRGVDASFTLIESLTSPFQILSFALLNHHTSRQIWTGHEEGIITAHFISSDDRFSFSSSFSHPERYSLPVGKLIASKVVSPSQQNLSLDLPIITRHTSSILSPFVTLHRFPL >PPA19640 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:623754:626209:-1 gene:PPA19640 transcript:PPA19640 gene_biotype:protein_coding transcript_biotype:protein_coding MQNGQSHLDEWDIVGELGDGAFGKVEKAVSRADPRRVAAAKCITMEEGEELEDFLVEINILVACSHPNIVGLYACYYHDHKLSMLLEFCGGGAVDGIMIELEKPLTEPQIAYIARFTCEALAYLHEQNVIHRDLKAGNILLTSDAIVKLGEW >PPA19666 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:742212:743736:-1 gene:PPA19666 transcript:PPA19666 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSEKKVEGIFIKGVFCPVTTAPPVEKLKQLYEDGLRRGMNLGAINEVVERLVHGPHPPYDLTPFLIDVASKMNKEQADQWINALAADPRPETFACWMVSVLPYDRSTLIAIGADPNLMKKSAAAPKSSRTGPGAPPSK >PPA19712 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:1018961:1020786:-1 gene:PPA19712 transcript:PPA19712 gene_biotype:protein_coding transcript_biotype:protein_coding MGYHFGTQKNPDHPYITAVDIFTKYSQRYNTEPQMWVTWIWYLLYHRDYKHALDNLNALTEEIMNARLKKVESGEVDLNAKKRPLIDHFFALHQKGEWTLEEVHYEINAAIFGGHDTTGSTLTWIFWALATQPHFQQQCYEEIRDIFGDSDRDTTHEDMKAMVLTERFMKETMRIFPPIPVVERELQNDFQMGPYLLPKGSEVFITPHLVQHNPEVYPDPWKFDPDRFLPENIDKRSPYDFVPFSAGTRNCLGQKFAMHEMKTVLAWALRKFSFHTHHNLLDQELAIEVISKPTLGVNLKVIPRN >PPA19725 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:1096239:1098709:1 gene:PPA19725 transcript:PPA19725 gene_biotype:protein_coding transcript_biotype:protein_coding MMQDPSDNWKRAVKEENEARDMPRHDAIAHMAKPGTTVVAKKRELDGSHIRFPAVSISVAAYEEYGPSVLSPGVCIRDIVSMDQLSLFKGCSWRKLLKKDSNMIKIAMKRAVYYDDFGIMPPGWLWRSIHGNQAFRNSNTSLVPNTNITLFGNAANDSSDPDNSSDSEETLYGSNIQLWLLTVPCH >PPA19659 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:722862:724709:1 gene:PPA19659 transcript:PPA19659 gene_biotype:protein_coding transcript_biotype:protein_coding MVSILVTGANRGIGLGLVRQLVQEPSVSIVIATARNIDSATDLKAIISPKLHLVQLEVVNDESIAKAAATVAGIVGENGLDFLVNNAGIFNKGKLSDDFSRAHVMEQLEVNTVAPLMITNKFRGLLKKAAVKHGKAQVANISSTLGSLEFAPQMDTPFPTGFYSISKAALNMLTRKLSLEWKDDKIRATSFCPGWVKTDMGTDAAALTLEESTVPLAKLILSLTEEQNGLYFRYNGETIPW >PPA19601 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:411781:412109:-1 gene:PPA19601 transcript:PPA19601 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKLFYYTKYNQYGLAPPQFINEADHNGKKSGAAYSFRLYKDGHKNRKDVKRYHAQLLSQGDYKASKHYFILRNFVVVISA >PPA19684 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:835531:836566:1 gene:PPA19684 transcript:PPA19684 gene_biotype:protein_coding transcript_biotype:protein_coding MKYWGKSGFDPIYNIEIIEWIIALLTGAHAACSDHFTHVACNYSNPALLKVTEKSGYKCEYTEQKTAGHSPEVTHCNLTCENGAMLVAYLSDFGNFVILKSAHFNSKTWMGDSALFG >PPA19634 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:564314:576040:1 gene:PPA19634 transcript:PPA19634 gene_biotype:protein_coding transcript_biotype:protein_coding MKFETLSTPAKDSLIKAYPIFGRLDEVQIDPITLHLSDSRILYKPIGEELQVSLAVDEKLSSGFFTLGQHIHRIPFNGSADSSQLTVGGVLDDTPTAIAYDWLTDKIYIAISTIGPDNSARIEVCDKVRETKKDGTCSVILHDKLDYLHSLVLDPTDGNMYWINAVQNQIERAFMNGQHHDQHPFLDGSELWQCRLYERQSCTQFFETSHVLHLDVHEKQLFLSLTSGRLATCEHANCEATFHEVEQISEIEVFHVVHDERKTDLDVNPCSKNNAGCSHLCLVARIEPKRTCACPIGVRLKEDGVNCEDGFNEVLIISAITGLFYVSLDTSDYTPQAIPYQGHEESSHSLFDVDYDPVEGFVYWLDITDTAIKRCRFNGSDLSVVIKEGIQQSAKTFRLDVVGRNIFWVDGETALIWVGNLWQMYLVSLVVLPEWGRKSFLYITDWFERSSRIVRVNLDGSDAQYSLQDFSYPTGVELDLDGGRLYWAESNHSLIRSIKLDGTDLLTYMQTSYKLVQPYSVSKLGNRIFCNSMAGRSFVEIVLRDGILAGHDSSRVVESQIYGPVGLRAVRLKEPAKRSGPCVKGNGGCSHYCLNSPSGSARCLCGRGFELQKDGRRCVRATSSVILLDGSGKRPDLVRMALKGPRNLEKLYLQVSSSMYIASSNDSSGTIEHVNLTSPTPRAAVIASGVALRGISHLEIEPFAKLIVYSNAEFGRIEACSLDGKQSKTLAWIDIRPTIVKLDLSRSELYFVNESVDISSINRMPLTVTPDGGQVLYRTTQPFSRIVAMAIDPKNREIYWTEQNRGVNTLHKMSSNGTDPVVLSRSEERRIAYLHFREKSLYYVDSKKNEFGFFDGGGFHSVHAGVKNVRDFVITGAATKSEKKNPCEIDNGGCPELCLPQFETKPVCTCGDHRYFDVVTKSCHANSHGLILGSNGRFLYITAKDSKSMMTQDHSPITSLPIEKVGLPLSVAVDELSRFATLYWIDANEPKVIRSVPMRGANSVNPQSLLVSVCIHLRALAVDTFGRQLFVSCVVSSGRSHIMVYRIQSSTSLQPDKLLHIGRVVKGDEISPVTGKQPVPTELAVGARKLVYLDVSTRSGGPILVVCDLDGRNCDKASERTTPYSRLSLLPSQSKLLFTGEDSINKLDLTNLNTSPIKNGPENVKALFASVEGEKVALVPIDEKEDSFLIIGQERLLIPGMSRVTAMAAFTSSEFAQFDRSRSCIHQECTHLCAAHICMPNVTCDPWEFLCRDQRTCVHSGAKCDNRLNCPDGSDEAPDICGSIDAKTWTCGDKRTRIDRYLVCDGVPHCEDESDEKGCKCSMPSSEMDCAIFGRMSQPECVQRKLRCDHHYDCSNGADEDERLCATFTPEVAGFRVTFTHIMFGAVILLLLLAICPICVFFCYSKKRTTGVEQSVADRRYHQFVGQNDPSLLVPLSGAPGDHYEMRTYSVVESTSTYPSLPPPLPSQCGSTVRSGPSYNPNFIPQHGRDHPSRFYAPPPSTASLSTYGIVVPSANTGTISQRRIIITEHAV >PPA19608 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:432877:434296:1 gene:PPA19608 transcript:PPA19608 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGKAPHFPQQPVARQNDDGSLELECFLEASPLPDIKWFYDTTEIKQGGRFSYRLDNKGNDAFSAVLQIKGSEVL >PPA19642 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:639784:640183:-1 gene:PPA19642 transcript:PPA19642 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPLILNSLLTFIHNYRDSSRLRPLVNEFFPVNEIIEAEQLLQKRLGVKGDVFFLYDSIVASGRTPIFAAADLTVMPLVLIDNTEKDEVRV >PPA19610 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:446009:446223:1 gene:PPA19610 transcript:PPA19610 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSECSAPFLTNPFVNMGMYVAYYWTTLVAMLILYKIILALESATGRRLTYSQ >PPA19690 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:875836:876722:-1 gene:PPA19690 transcript:PPA19690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-glt-4 MDGTALYEAVAAIYISQCVGQDLSIGQVILVSLTATLASIGAAGIPQAGIVTMIMVLIAIGLPSNLFILIFPVDFFLDRIRTTVNVHGDAIGAAVVGKLCEGYLKKVPLGRPLPLLD >PPA19676 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:790707:793403:1 gene:PPA19676 transcript:PPA19676 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMNGACRSGKQTIIEDGPDLESWRTLISLFRDRYNDDELNKSVFGSETTLEQAFFYYVSSAFCANDELRTEEEAKTKKHSAFNIRILAIIIWNCPCYDYKEDEMRAEDERSAYRLVPVLKKTQPTITQFNPKSFQWHGKMRNNNWMLNPYSVYEV >PPA19650 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:688842:691764:1 gene:PPA19650 transcript:PPA19650 gene_biotype:protein_coding transcript_biotype:protein_coding MYPECVGKSKEGDKSFEKNKSINEFGTTLELAFFYNISSGFCAKDKRKQQKMCILLQISERCFVSDARVHEFSTIYFH >PPA19728 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:1123789:1125031:-1 gene:PPA19728 transcript:PPA19728 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLTAEDLAAPPFGEFYKEATVSKWSLAALASHHEPHLLLPDLSAGRYVEQPVLTDAQIEMNGIFQECEVEYKLLMALPNYDQLETSEGPQGSSIRSSCHHFNGIFL >PPA19541 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:11263:12744:1 gene:PPA19541 transcript:PPA19541 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPPYRLALLVPIAVLSFVILLVCAFLIICCRIKSQPKTTKTPLGISVKGGKTKKKSLSQERPEVSGPLSSPNSKNTPKNGSATDSGVFTLDSSHLATAQKSPGKNSGSSGRSANFSSHEAGVDVWAAGDEGYHGYHGEAFLAEAVYPVAGHAAYYDGANGHAHVVEAGYDEQEDDEAYASGGRRVLREIIV >PPA19589 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:350148:354158:-1 gene:PPA19589 transcript:PPA19589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ctbp-1 MEKVLTEAVAALMWHSIRLERADLEKFKALKVVVRIGSDADNIDIAAATDLGIAVCSTPSGCVEETADTTMSLITGMYRNTFMLSQKITMEGKPMPIDTMRTQCAGTRRIRGETLGLVGFGRIGMAVAIRAKTFGFNVIFYDPHVAEGIEKSIGVERVSTLIELVSKSNCLSLHCPLTEETRHIINDSQLRVMQRGSFIVNTSRGGLISDVDLSIYLKSGHIRAAALDVFEFEPTGNEYQPNHLATLPNVIATAHSSWYSDAACKELREMAAKEVRHALVGRLPHDLPNCVNKEQLLATGRTSRPLHIPQMAAPSPSNMNPFHHLQGFGGRPLGIPGMPPMSFPMMNNPMLAAMTGGMALNPLLMNPNSAALALASASVASGHTSPAAALASLAAATQGMSTASSTASCGSNGPLAKSPRISNSATSSPFKREEASPVVSNGTNPSNESPCSPHATETQIVFRIPSPPENGTTTSGSPKEETRSESSTPPHKTLIKMEEENNGPVLNGNGHLIQSLTQTSA >PPA19625 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:524708:526362:-1 gene:PPA19625 transcript:PPA19625 gene_biotype:protein_coding transcript_biotype:protein_coding MGVISVVSSSALILSIYASRSSEIGAYRYLILCFAVGDIVTSIMHAVALPRLDAEGNLSWHAPTLIALSVAGADIGSFGTILVMITAIFPSIEGLIVILMIPLFRRAILRFLHIAFETKMTFYMANPAKVSSEINTRGSIPRI >PPA19564 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:215333:215793:-1 gene:PPA19564 transcript:PPA19564 gene_biotype:protein_coding transcript_biotype:protein_coding MYYTVMSILLLVAGVCMWVYCADYWSSRNPVWQTWPSLAAGTLFVATAVFIADLVIIISYYKKYSWNPTFDYSAKAVPTNQSTLPTH >PPA19554 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:162566:164322:-1 gene:PPA19554 transcript:PPA19554 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSLLLLVAATAFAVEEITDNGYAIPEYDPLPSDGEPVETPENTDWAPTDRELEASDAVDINDVDGGRAEDAWFHHGHHGHHGLHGHHGRFHHGGFRNGHLLGHHFGHHFGHFHHRRPWHRHHRPTVVVTDRHHVVAHPKKPCTKVVKHVTVHTHARPHHHHQSSSSSFEEPTCGCRAHRHHHHHPGHHHSSSSSSEEQPTCGCRAHHGGHHHHHGIHGHGHHFGHHGHHGHQGHGLLPIAPFL >PPA19622 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:521203:521958:1 gene:PPA19622 transcript:PPA19622 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPFIPPSNPSYCGFSKALSNYTLIRYGGEGEDQQKMTEEITETLTKWEVESEHLTHIRSSLLSSRTEHSTVGSPDKAKFLRIARHSEYIMSVITRMFYHDYILFGFPFPKIPHPTGPPPRFMEEASLH >PPA19703 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:960881:961487:1 gene:PPA19703 transcript:PPA19703 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFFIQVGLAAIALAASINRGSLPIGESEAPSLIGQAAGTSTNLANLFYDMLRIVVPIAPNISPSHPSSPIPSVGSKSSREPLPFPYWFGLNYTRTEEDPLCDAEEEYNKMVKWPTLCLSLEEEMIFMREDAMITDDGRVWCSLRSCLSHEKPLLTDELKKQSEYCCLSR >PPA19652 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:695960:700732:1 gene:PPA19652 transcript:PPA19652 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGIIFFQGILFDRLRRESPDALQKVIVVEGDLMQENLGMSQHDIQRLCDEVSVVFHCAATVKFDEKLRDAVGMNVKGTTRLISLCHKMPKLACLVHCSTAYANCDRQKTEEMVYPAPVDPQKLNETLDWMDDKMIDVITPHLLGDRPNTYTLTKALAESQLMEDAQGLPVIIFRPSIVCAMWREQLPGWLDNVNGPAGLFIAVGKGVLSSMPGDLSAVADLIPVDVVANMMIATGAYRLNSSSTNIPIVHCTSGQLNPVTWNGVVSFLREVYKEYPIPDAFGIPSAIFYKNTTKFEFEHRLRHEFPAKALDIACQVVGKKAIYSRHYGKVRNMVHTLAYFTTRGWHFENKGLPMLWEALHPEDKKVFNFDVRQINWSNYLFDYVMGVRKYITKENLEDKKALAKAKTNIKNLQRAKFITRLVICYGLARLLSKYSKKYPFWKYFGAIFLTSQVIDNITYKPVHRLKSLDDYLKEQKAWIA >PPA19620 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:515174:517019:1 gene:PPA19620 transcript:PPA19620 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVTEEELYEQLDDIDNFIGTFHRFSMPFIATFNIAILIAMIFDHEVRVKVYRRYLLAVQILYAFLVLEGQVAVGSCLFFRHQQLASKTGRLHFASRKMHLMILAYNIFFLLGLPILYFGLKPMVISTELSFSFLTLALPFMVGCLGIFRSVATNKPVQSYFRSWYIEMVAYCITSMSSHSFFNSLVLIFAIPSLRKTLYFPIDFIINRGKMDNRTAVLELTAAR >PPA19718 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:1056724:1057128:1 gene:PPA19718 transcript:PPA19718 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMEQRWKMHKCEACGNEVARDYRKAHVYREHLKISQLFACPLCPFSSDYDKRAVKGHMRRVHKQEHGRMYPIS >PPA19657 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:717001:718575:-1 gene:PPA19657 transcript:PPA19657 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNIVVTGANRGIGLGLIKELLKNDQIGKLFATTRNPSKSPELQSISDPRLVIVEMDADSDASIGKAVEQIGKVVGSSGVDILINNAGVLYPVDINAPINRKEASKNFDVNCVATMAVTFFFKELLKAGAKKAGHSQVVNISSVLGSISLTWGAVPPRHFTAYNMSKARTYLPLFIAGLNMYTKTLAMDWKADGIRVTAINPGWVKTDMGTEAGELTVEESTSNIARTIFKLGEQSNGLFYNYDFQPLTW >PPA19593 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:380461:381655:-1 gene:PPA19593 transcript:PPA19593 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLIRSPLPHPVRLDMAAIFRTSKSKEGSKQKKKEKAKAYYHEELERRQNRARKKRNVLIDRLIDPGQTTVMAGVTDYQCNTAINERQDTEFSDAILEELRKRQKYEDSLRVIVEKKKVKTSICSEWIRRISIFGERLANRLPMTTNLDGSEAIPHNLPQLSLQPYPLTRDLPPDGFN >PPA19582 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:305112:306608:-1 gene:PPA19582 transcript:PPA19582 gene_biotype:protein_coding transcript_biotype:protein_coding MFEISFLGSLFSALQFISSPTLGSLSDVYGRKTMLLLSAAGTLVSHVMWLRADTFTLFVLARFVGGLSKANVNVATAIIADVYEPKDHPKGMALIGASYSIGFLIGPMIGAYFSVIAPK >PPA19571 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:239103:239970:-1 gene:PPA19571 transcript:PPA19571 gene_biotype:protein_coding transcript_biotype:protein_coding MRFALVLAAVAAAVAAQSSDTIDTSFSDETQPAFTDEPTTDSGYETATPSPDDPRCRSGFRYYNGWCMFTSDPSSYTYQQAVSVCSSMGALAPSIHNKYAY >PPA19597 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:395107:398280:-1 gene:PPA19597 transcript:PPA19597 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAPLVCLSNGHKMPLIGLGTWQSDKEEEHVKVLEEAVNMGYRLFDTAASYGNEEIIGRVMHNFYAKGTMSRCEFFITTKIWPTYLHPDRQMAAFKESLAKLDTPYVDLLLAHQPTCYELDGVTHDPSVTVEMIWQGLEKIYETGLVKAIGVSNWSVEQMERVMKIAKVKIHVAQVECHVYLPQLELVEYCKKNKIVVTGYGSLGSPARKVPFLGRTPNFADSPNMFEEPAVVAVAQKYGKKPQQVLIRYLLDRGICVIPKSSNLERLKTNLHGVVDFHLDESDIERLNNVPHRQRLFMQEHMAGHPEDSFASER >PPA19549 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:147480:148815:1 gene:PPA19549 transcript:PPA19549 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNEFPFDLQYCQINIGPWFHRISEVDINRAAVAASPKWFEGNSEWEIGVAEVSLSIQIDSDVKFEYRSACFKVTMKRHSEWYISTLLVPTFIVAVIAIFGIFAPTNHFGARHEKTSLTLTTLFSTAVLLRNVSSTMPHATKIPILGEYLFAEIVIISGGGLCSLLIHRLHYYTRCKKWKPPRCLDGGL >PPA19664 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:738744:739738:1 gene:PPA19664 transcript:PPA19664 gene_biotype:protein_coding transcript_biotype:protein_coding MVSILVTGANRGIGLGLVRRLVEEENVSTVIATARDIENASISSKEVLLNVFEINSVAKLLTVTKFHSLLKKAVDTMGSAQIVIISSTLGSLEKAAVYSFQTAAMNMLTRKISLEMAEDNISATTFCPGQVRTDMGTQFADLDMDESTGPLSKLILSLTEENNGLYYRYDGVPLPW >PPA19710 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:997408:1001978:1 gene:PPA19710 transcript:PPA19710 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYMFYSDVQAALIEIAKDHVAARWDGGPSQPGGGAGGGGVGYMDGEDGATVVTDTIKDDEDRTEPKSCPQQTVKYIKILTPHIILVSVLIGYLCLGAWILMLLETDTELQARSKKLVRLTNMMTNFTIESWQTLNDAQHGLYKVEEEEWTQRFKEYMLSVSEVVDDRRPIRRELIRPDDLRNMHNKWTFPTSLLYVLTVLTTCGYGEVSVDTDFGKMFSVAFALVGIPLMFITAADIGKFLSETLLKFVSQWNRMTRKIKSFFCRSRYGRRKSMQSSNGNTDTLDILGVDGAEDKLWFPIGAYVGCICLYCSMGSAMFINWERKWSFLHAFHFGFNLIVTVGLGDIVVVDYVFLSLIVAFVIVVALLIGNALSSIRVAREQEK >PPA19586 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:333511:333950:1 gene:PPA19586 transcript:PPA19586 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFFVNYVRESDPHGDHVEEAAEKIYEETLPFVPVSHIFWGVWGLLQVELSPVGFGFSEYGRERVALYYQNKHLVSGFEQK >PPA19548 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:139958:141821:-1 gene:PPA19548 transcript:PPA19548 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNGDPSPRRIHYPSKEQYEEILAVCNARRPAPPVPTPVPLDAVIALETVPHPPGTPKRQEQTAIQLAAVHQNGTAVAVPNSAMTWVQVNR >PPA19686 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:849959:850994:-1 gene:PPA19686 transcript:PPA19686 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLGLFILLASLSGLSLASSQNESTLPLDSLPPSSVEKTDSSNSSDVLSTIVHNSLHEKNVNETSHFSNSSDLPSDTIDRLGQEQKRNESMTKCISAAMEVPLCHKYLDEKGRPLRIDNDTLSCTVRKCLPGEIPLIRSSMLDAAVRRCCTDFDAQIRTCFEAIDQLWGAEDEEKESYQSMKKAFVNACCTYYKKDLVNMCTKIREDLDKLDH >PPA19715 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:1038357:1044132:-1 gene:PPA19715 transcript:PPA19715 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSRELYEREFGKGSSGSDPESHHKDQDRPSTSTASADSDSPFASSSMGASTSTDSGRESMGEEVYERRRKNSVEKMPEQSEEERKKSVLWKLMLDDEDQNSRPKSPEVIDLTEMSDARPVSSVQPMRPMQPQTIHQPHTLAQQQHPAQMNAPRMSVHTQHVPANIQFQQPSAVSQMQPPVIPRVTQTMPPPGLMAPPPYALSMNPHVNQKLNSYMAFGHRNVRFDPSVIPRVDVGKTRRKKKEKRVDTRTRGGRPYYVSSRAITDDDESHRRMTNLLPAQVHSYNSCTPIYKKLRMMGQNGLLHPSNTSAHSIPTSRPITIPSNHLALQSHASHHVHPYGQQAMQRQPMVVAAHSSHLPHQPPACLHQATQQPKSRLLQATQQSSLNHFQPVAQWPRDGDKSAHSQPPPAKRPHPSPTSQQAVPLRPTTVQNPLNASPPQLHYSPSQSAMYAPQQQLPYAPNYAPCSGPATGPSYPNGEWPVTSHSVKTASQSPVVPDQANYYYWDPYAVKPTGTAAYPTPPSCQAISVSRPAHDQQVPPTSPVIQSESAAGAEVVRQIEEELERVRKQAMESMQNSVFVGSTDTTPSSSPVPQEDPVELQEAHEEVVVREVMRMIEAQKRPGYNSRGHSPEHKAMMARKVSSGSSSSSTGGTSTSALETRKRPISTDEQPVEGDVPAQGNLPQKDAAPDMDKGEEASSGNKLDAEVDNDEGKDDVQL >PPA19542 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:124328:125301:-1 gene:PPA19542 transcript:PPA19542 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAESLGQDRQMYISNNSHVYASLPAIHRVACGNEFYPYIVPMDYAIVLTFSVIIFFLLLFYHVRNCTIAKKGKTVEPLVANIH >PPA19697 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:920610:921052:-1 gene:PPA19697 transcript:PPA19697 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLTNDGQKIEPADRSMRHRIHVFCEGDGSLASTIFSFASISFVLISVIGLVLGSIHELQVPISKNGTIHVRVAENETTSKLE >PPA19687 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:852634:853533:-1 gene:PPA19687 transcript:PPA19687 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRSVSVFLLLALVFSSSAQAEERMQMCGQTLARYLETVDCTQVNKVERAAALSPKQLLINCCASSGCSKSEISMLLAIIYSLPCHLFLS >PPA19661 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:728925:730643:1 gene:PPA19661 transcript:PPA19661 gene_biotype:protein_coding transcript_biotype:protein_coding MVSILVTGANRGIGLGLVRQLVQEPSVSIVIATARNIDTATDLKAIISPKLHLVQLEVVNDESIAKAAATVAGIVGENGLDFLVNNAGIFNKGKLSDDFSRAYVMEQLEVNTVAPLMITNKFLGLLKKAAKKHGKAQVANISSDLGSLELAPQKDFPNGFCSISKAALNMLTRKLSLEWKDDKIRATTFCPGWVKTDLGTDAADLTLEESTVPLAKLILSLTEEQNGLYFRYNGEAIPW >PPA19562 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:196046:205981:-1 gene:PPA19562 transcript:PPA19562 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNLPSGLVCFPGWDGTGIWYAVAYANELKDNLRREIGREVFEFKWEDTPYDVVLMAVGPFETMNGYLAQLKEDPKNPGKIRTADGQFLPPVPKRPKNYVAPRINPKAEVKKKSKKDDQKNKKCEEGERKQMASRRSRSRSRRPLTVKAVEGNESDHATKDMRRIHTRRTTPSGSVHRRGFHDVMSDKENDDGGEANEAEILSPNVPPMENKDEDELEKEQMENGSNMLSDDYEEVEEVEMEEEHNTRNRKNEPSDGDDQEEEVNEKEEEMKEQIEPRDHQSRSNRSAQQSDDDDEVDEKEEEELDDPMEDNRPSALVCFPGWDRTGVSYGVAFAYELGENINRKIGKEDFTFKWEGIPYDAVIMALGPFKTMYSYHMEVLKNPKKSQEVRKTDGEFLPPVPPRPRNYKISKVEAKKKSKKDDQKKKKSDEEVEKKQMVSRRSRSRSRRRQPPTEDAVVRIEEEIIVNVVEKDEHNTRSLAPSVGVHRRGTDDGMSDVDEGDHDGAEEDEDMPILSSKDAQMDDEDDDDLEKEQMENKSNQLSDEDENLGEEIKEHNELRGRARGNKHDEPSDDGNEEEEMDDQMDRQAPSAQHSDYDHDEQEEDVVDFFNLGEAKKSIDRDLKFTMQQLDNWKRDTMALYLEVNEAKQAGLLSEDIVIDFRRKYEGIKSRARRVGEKNNNISAKHETFWDQFRQAKEQFCNLLVLSGEEEEEGDNMEDEMEEMTNEIDQHSDANEDDHLEDVEMGNPIEEHYCPRARENGIDQRIDEDENVEEEIEDVGMCDPIEDLSIRRARGNRFEQSSVDDDDGAHEDDEMDEMNDQRGIRRRRMHKSDNIDENDNVEQEMEEQLEVHNKCVRVRGHRREQCSVDDDDEMGDIIDQRGIRRRRQANGRMRNRSNGEEEEDDEEEEMDEMNDQIGIRRRRQANGQVVMRIRYRKEEDKDDEEMEQNSRSMASGKKRNALAMIISLEELKENVLREQQDGMEELSNCDDEMKAVEEELRAAKIKKGLFVDEIFGSDNEDEDEGTRRRRQIKRERTRIGMTVDKVKDQMEKIKRQLRREMEQYDSQSPSLVVDVDDCDVEMQHVIMEQVVPPRTRSRPVRPLRNKQMYVNSDDNGVEVAMDTVPVEERSPRVLDVACEKIPERRSTIKENEENACDLSRGVSFKRSSRSASRVIIPLPPPAALSSSIPKKRTASKKIDEEEDETVTRRGPKEMRLNDSHTGSITHRRSSGKKRSRKPPAKPIPTKWIPGTDIPIFPPIKTGSGDEISSSDPEDSESDSPDNELIAVSSQVKTEKTINKKKKNGGRRKKKKKPVEPESDSSSDEMKAFKKKWWKFCDRCQGSLREDPIFTTGRESRFREAICTPFFSKIGDEGDIVQVLRRRIKEKYPDILPLEAFLYFTDGDAIMNRYLTPDIILSPDSFRLAIEGLPKREVMRLCSYPDWAKKEYLEKREEYRKELNKLNDRRGLCIDEYRFREWRTDEKRPRKYCTCKANKAFVRRRCGDRDRLCCCSQLLGLEEMFIQMATDIHMDE >PPA19591 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:368961:371849:-1 gene:PPA19591 transcript:PPA19591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-evl-20 MGQLLSQVFEPHTHQIVMIGLDEAGKSSILRYLKKSNEGIDEGPTFPCRNAKGKQQYCTFWDVGGQERLRALWKMYVRRTDSILFVIDSANSERMKECKEELDHLFREEIVPSRIPFLIILNKIDLPGAMREEEILERIGIYRHKHDFTIVNCCAITGVGLDDFVERLNASINESSRYHGWGSH >PPA19632 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:538677:543063:1 gene:PPA19632 transcript:PPA19632 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLILFLFPSTSADFASDHVHRFLNQSVNPCDNFYRHVCSINMDVNDTVWTKSENFYKNLASQLKTRTLNNPVMSCASVQNDITKAREALNCTFDADVYSTLLRERCSRDFNCYFNEFLYFYTLYNATTTNVDDSLKFYSTHGNKTNQNITQSMRATSRMVELLYKNSNRFAYTGLNDRLFVMEFLNNGSTDLANVNIGIEKIHNLAKKMKEVILRRFQETSWMHGINESTGCAWFDVVHSFNTTSIELDKKYTSNEDILNYIRAAYSLQYNAFNYVDASMIVFLAPIFYPLNENSTESTMNRSEEYKKETECIIQHYNQSCTLFAETECISGSKTLSEDGPDLEGARAAYELLRKEFTPEQLMELEYPDLQITREQNFFYSIGMRWCRDLAKQEFKGPLSPDNIRVNSLVSQMPDFSRVFACQTDDLLYSEPDGVCYLFGKNATDKSKIRSFRVLKLVASCWVRFCPAVFPTPSVPLPEALARGSCIATTSRHLYIGTINARTLASRDKQTELDLVLDRIKCDARIVGCASFNFTYSGTHSGGPTATHGVAFLLRPHLAGGAVFRGLSPRLATLLLPNQRLFLVCAYAPTSSYDDKDYDDFMDQVEAALRSAPRGHTPVLVGDFNCRVAREPGNERFVGESASPTPNSRGRTFTEVCVRNRLCIWNTFPKRRHGRIWTWRSPNGSTYHEMDFVAAPPIRTSSQLWCHWPIRLQLGP >PPA19695 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:909818:914871:1 gene:PPA19695 transcript:PPA19695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:H3FBV5] MFRALRSEMVTIPALPSEKIPKPTGELPFGKYYSDHMIDVDWTLEGGWGKPKLHKLQPLQLHPGSKVLHYAIELFEGMKAYRGIDNKIRMFRPDMNMARMNRTAQRSALPTFDSDELINMIADQIRLDLEWVPSSATSSLYIRPTLIGTDKTLGVGNPHDAKLFVVTCPVGSYFTSGFQPVSLLADSQFVRAFPGGVGAFKMGCNYAPTIGVAQSAQAKGCQQVVWLSGDNEELTEVGTMNIFVFWKNEEGDLELATPPLDKGIILPGVTRDSLLHLGKEYGEFKVSERSFTMEDIRLALKEGRLLQMFGAGTACVVSPVGQIVYHNRKADKYETWNIPTMEAKPNLMQRFYENITDIQYGRVDRPEWCFEVKQ >PPA19720 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:1062409:1067657:1 gene:PPA19720 transcript:PPA19720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lrk-1 MILPMEDSAPKCPFLVDVYCVKGRTPLMVAARNNNLQLISILLSHGADINLPLAILDEDETRAVGSGALLEAARTDSIEVAQLLLSKGAVDTSNRALRMAVNRKSESIVLLLLSRLAFPDNEMRVNKRNVELGQMQIGESLLPSYLCPSKGVMINWSSASLSSILPQWISGAAMHINTRMRTSTLSFAAITRVDLSHNKLDIFPPLLWQLPSLRILNLSHNKLSTVEVPSGYLYSSSIESLILRENSITSIPAALLILLPSLSVLDISNNDLSYLPDSIWMCPSLKELNASGNNLSALPSANSIASRAQADTESITSKTGLKTTTRRIDRRSLWQKEIALSAMEDPDGEESTTSSHTLTTLNLAHNKFRMFPTSLACIAPRLVTLSLAHNLLSTVCSLTALPPSLRTLDVSHNLLTQVFSPNAPIGECHAGVSGGSRHGRTDQRRERSRSKSAVRSQRALSLSRNGGEGVSSEVCAHRRHTNLSHLKSLNISANKLKTLVLCRGETILTPVLSTLDASENEIAELDGVSLSRLSALCVLSLSNNLLTTLPPQLGLLSHLWSLSLKDCPLSEPLLSIVRSENAKTVDVVAHLRCVLEESKTFPHLKLFILGQSGVGKSTLADAIKTESNGVKGVAQGKCARVFQCKLTSKRISHPVSFSVWDSCGEMSVRPLQEYSFCRRGIYVVVFRVTEGVEAIHSLTSYLLSIQSRAPNACVVLVGSHADQLSANSVHSTLLSDLETSIKHRFLSGEADNHGLPRIIDIVFVSLKKKHDIRTVLSCVMKAAEESRTGKDATLSQSIPHSYLCLYSALGEMEEERKKKGKDGIVAESSLLKTTKEFMKRKLGRYLRDEVGTVVYKSTLTELQHDIHYINIFLPSEIIDLLSLIFLLSYASSSSKRTSNH >PPA19673 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:775870:777549:-1 gene:PPA19673 transcript:PPA19673 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKKCLPTGIRYKKTRTMRRALTKHEASIKSAKQLAITATLFSTALAIRLIESSIQAKKCLPTDIRYKKTRAMRRALTKHEASIKSAKQQGNVNLIWYILVSSTFSSGNWHVVGPARIVMEQGTIIRYKQTSEGAVATAETVKSKFNDMRNSSLFKSFESKLGIAYISAKMTASTSIDAGDSMSGPCKNLKTELYHFRNGINV >PPA19598 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:400210:400955:1 gene:PPA19598 transcript:PPA19598 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAGLDFVNKKFKGKTGDLLNKTLAAAKGEVKGLVDGFVGALGLDGLQNITGSGLDSKMNWLKNKLNSIVGDHSAQFSVGSKDVTEGVFADFLATMPAEYQVQEQVSMADMAILFAEGLVDVIARKREREAREKNMTDINVSMEGPSAVAPIASGGTTSISSPESTTAHRFNSSTDAPSTSTYTDITIAEHDYLRPYDYRTLHSITFAQYDISPLQLCNNHLPVDEYGISC >PPA19693 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:893780:898393:1 gene:PPA19693 transcript:PPA19693 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-igcm-2 MRTHWARKGRPATLNCTLKAPTDNTNFSLEWRRDGQLVHSAYGTEPGHSSPDLQGRIARSDSLGLTIHSVQREDEAVYTCSITRFFSNTPTAPIVGPPVRLVVNVPPTITEPAHGEVIFAEEGKAIEIKCASSAVPPPEVSWMKNGKIVSGSNVLNIPSVTVGHQGSYSCLAANSEGRVESVIELRFPKRVHFDYPPQNKTVVAGSSSFWNCHAMGYPDRISYEWKFEGIPVKTTAVGLRAHTGNGELAIRDVKKEDRGLYSCHARNIFGESVTTAFLDVQYLPEILSSNAEVYTLAVGTNATLKCAADANPKVTMVNWTRNGHFVATKESDTLDLVNVSAEDSGLYACEVFNSLGRGDPFEMHVIVAQPPAFTIKPPPEIRIRIGERLDIRCAGFADPSPIQYWIRNQERTSSEDFVIEKVAYEDDGVYECIVSNAVATVKTETRVHVEETRPQPPRIKEVMCDSDRALRISWDEGFDGGHPQRFITYAEEISEDEPSRMERRAETDHSEVTMENLSPFGKYRITIEAVNRLGSANSTAVDRHVCTQLSSPEDPRLDRDRLVWQPVDGAHSYRVEMKNGAGQYAQVVGVVGRFR >PPA19709 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:986862:987507:1 gene:PPA19709 transcript:PPA19709 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPEGGMRRNSRARRSTLLSVDDIIFQHTPPPPSLLATLAARRRSEAARAAQPPSAPTTEEREEAPEAPVVPSNPLGWAMFNPLPTRLLPPPPVIVIADTRRKSRKSRDWSSTDADTHTLSFHVYAVFLYE >PPA19566 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:217598:222248:-1 gene:PPA19566 transcript:PPA19566 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCAPEKGDLKKELTADNSAVVEEKVCKAEEVPDGTKKEVELRGRKILIINDGGKYMAINGLCSHYNWPLVTGYYYKGRVRCPLHGACFNTETGDIEDYPGFDSLHPFQVKKVGTDLVIKTTEKRLESDRRTRKSWLKQTTNEKPIVVVGSGPAGQSVLENLRLAGCKQPIIQFTKESMPAYDRVLLSKKMDIDAVRLRPDEYYLDNHISVRLNSKVVAFDAAAHTVTLSDKSSYVYDKLILALGGAVRTLSNKGSDLKGVFTLRNHDDPKKIMEATKGKDLVCVGASFIGMETASSMKAVANSVTVICSTNEPVPALGIDVGRALRKYFDTKGVRVITDARVDHLEGGGDGHVKEVVLKDGTRLPASCVVAGVGVDPDTTWLKNHNVALDERGFIKVNDKFATSIPDVYAIGDAISAPLPFWGIDTINIQHFQVAQRHGQLAAWSILGKPQPGKLIPYFWTLFFFEKGIKFAGCSEGYDEIYTKGDVDSLEFVKYYLKNNQVIAVSSGGPAGASIQFLALCEQGKHISREDVEKNSTDDWAFMLK >PPA19556 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:170076:176390:-1 gene:PPA19556 transcript:PPA19556 gene_biotype:protein_coding transcript_biotype:protein_coding MEITCNNFKEKHGYIETVIRNASFAAIDLEFLGLEDEEVDMKCSLFDSTQERYEKSLHSVRRFPPAQLGVAFFTALDDGERYKVDVFTFYLFQSISMGRDYSFSIASLSFLSEHGFNFNKLTREGISHMNLDELQRVLERFHQPNGIEIFGAQMPPLFHHLTRIIRSEVAKLRRGSAGSEGAPQHTFPGLPIAISQKLNDLQRAALLYKLHGCTPGIRCELQDQILMVYPSSEPPRDIKKRLFERVCAEMSGVSDVVMAIIERKLPIIGHNSFRDLLYIYEYCIADLPDTLEEFKRLAMSVFPFVADTKILAEECKNRLSVHGVMNYRLESLNAFFERMAIARRVASPLYDFEKEVGEKVRNPDAKSMHDAGVDAVSTGQSFLRLAHLVSMEERDTSICLPFKTLLYSVRPHANRLPVPLLGVPFINLIGVEPPSRNPEMIILEMIAIDDEAGLNALRKELRGKFGRWRCDVRRHEDNRIHVATNTDTTYWRVFKYYSVHPHYRMLSVPSSTAISSSDSNSFSSSSPEGSIGRPDHHGSSASVDSTSEDSSDSEMSSSIEAPRDIIDSNSRPCFRTHLRSHSDSSY >PPA19592 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:375595:380019:1 gene:PPA19592 transcript:PPA19592 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTPRFSMMIGLCNDALAVCRLSIEPPYVKLERVACLKEERGRGYARRLILEVLRIVDREHPREIVVAHSQSTVLKFYERMGFVTVSREFLDEVDILHRSIAFPPRRERIRCLTLVSSTPSKHSEFAGDLYDATVVGTIRRMAEEIESLSLLPLTSLVVSSVSSSFITPSLHETLCNLALRTQRVNGYAEDYTPFCPAPATIDGATDVQFLKAVAFKMLNTGHYSEVDENWRHFYALLYLSLAVERRAEGEGKKSLRLLDKALLMGRDVNGGTIADVAEKLADGMDSKAMSFERLPLSSLDRARLSPSRPVPTMQHPVDDVLFLANYLIPDRPVLIRGAVREWKACDKWTLEWFVRNHGQRTAPVEIGSKYTDEDWSQRLMTIEQFFEQALQAKVSPAPTTLLAPPSFRLLLLL >PPA19647 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:667032:670311:-1 gene:PPA19647 transcript:PPA19647 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLQLLPILIVASQAIPAKINYKYYLDNPYDALAPGDQCNGTKLDDDIREEFLEAHNYHRSRVARGLYVAKDKILPQSSDMIQLRWSCDLERAAQKWADGCEWKHDTPLPLHSESLWRIWGPESYLRKFRIGVNATNRWASEFEDLGTAAEFHKIGHAMLMTYSDQFLMGCGFARCQGPTYTQLYVVCRYFSNGKRKQGTMYREGEPITQCPPGYYGNNATALCEADEFPLPRKSAPTVEEDPFSIFKALHYSFNWSHPWEMKGNEKQREAEILRGHMRSKDQRIGTSRMDYFGELAAAQLGTSAWNMQDEYTDTFFKFSFGWIFRMFSSDLVLFEGV >PPA19629 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:530848:534011:-1 gene:PPA19629 transcript:PPA19629 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLILLFFRGLTAAPIGESEAKDGLVFPTRPPTTTTIAPTTTVDWKETLVVDETDGKNEKSYGFWTSFLDHLSRNYEQDDLIWAEFNTSDFEEMKQRYLASSQYKASTLLFTMARIIKIGKPEGLTEDLLSSVVNEKYLHKKLLESVERMEEMATEKGSLIGEGHNSEHRYAIAAHYFCPFVQTAYSLNYVITLIEHFHIYAGNEYHDLGKEHRFMMEAHIFRPDYDNGKKWPKEDVQTEYEWTKIVLGRDDARPNETKAIKESIRALTTLRGARHMNISCEDIDSDNALDKFLCNEGHYRNFVERDLNYNN >PPA19667 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:756700:758162:1 gene:PPA19667 transcript:PPA19667 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQRGQDEYDEVEKEVREREENEAQIDEEAGHNDDVPAITPVESHEHTTPRSNESTSFRSFVKVFIETFTITFLAEWGDKSQAATVFMAAASVCILRENVMGVMSGGVLGHAICTGCAVLGVTVISGAVFLGFSILTVVIYNNSS >PPA19645 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:659883:661325:-1 gene:PPA19645 transcript:PPA19645 gene_biotype:protein_coding transcript_biotype:protein_coding MDALELALRCSGLLMRTMHKLEAPKDLEQSPSTSEMLEAAVQIDIEEVSMDGEDATPINISETEAERHFTGLDDRSQDEIALSDAEEENEPEQKESPWMKSEVETFGPLGGDSQTGDVGEENKSLLWALLKQVRPGMDLSKSKLECTRIYLATDQSLC >PPA19583 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:309530:312262:-1 gene:PPA19583 transcript:PPA19583 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPLLRLLSITGLVAVIVLAKPLKDQWELETAIQDDINVHRVMIYSKTYCPYSRRLKSLLTKYDIDDMKVVELNKEDQMSEMQDFLETLSSRRTVPQLFLNGQFVGGLLMWTILSKYACRLRPKSCGFRRPHVWSSLAISGECTTTLHIGPNISIWYENITREILGWVAKKPSVPPSQKDFLETLSSRHTVPQLFLNGQFVGGYDDIKQLDDDGEFEKRLMQAGAIPWRV >PPA19711 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:1002481:1003231:1 gene:PPA19711 transcript:PPA19711 gene_biotype:protein_coding transcript_biotype:protein_coding MCVDLASTHLKAYFTRIHYFGRAKRFLGMSEELKEIVALLGAMRRKKGGKVTWNDVRDFLDNELRDRPFEPHELLMKLRFIDETSSGMSTIRHNSFQSDFYRESEYIRRVNALRPEQPAYL >PPA19576 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:259302:265393:-1 gene:PPA19576 transcript:PPA19576 gene_biotype:protein_coding transcript_biotype:protein_coding MWNKKLRSSTMAYKIKKRVGEKKGSSATRRKEEIPCRACNPDNPNYHSYPNKWKEVNEAEFDFPGIFCDECGEFYHSGCVGLEPYQSKTIATFSCPACAPPKGQHTSRIVRCPHRVKYDSTDPREINGAPEVATKVWMRDIFPGIHESILPPPERIKGKFKVRIVNDGNEFNRLFKRNEEWTDLYLIRNQQRLGLKIPPKPFGVDQVIEKIGQAGWLVDVVDVYTQESAMMSLQTYKNFEAVDPEKRPRLYNILSLEFSETAMKDLITPPSLYKELSLPEKFLRNEKGRALLKDKEIHHVERFCLLSMGGSFTDFHVDFGGSSVWYHVHTGKKVFFVVPPTKKNLEIFEEYKINEDKTIFLGDIFLKMGQCWRIEINEGESALIAGGWIHAVYTPVDSVVFGGNFLTHGGMRIQLEIGAFEQRNDIDIRTTTMPLDHFYLFHFPYEKKKSEQFAFLDEVHFRSLQNSFELGEEKEILWPHDDPSVGKYVARLIYSGGLLEMIKMKAKLENGRKGIKSLQLNFVPPLALEFDVDIEEREEAEKTEKHLWEETMDAKTDKTVVHRLNCTLALYSQLVEWRKRDLQEKKAPVIKNAKKIIEDLEKALLRATDLMKLPKPKNLIDREKMEKMIEEKKKRDEEEKKKDELLAVVSNKEAVTKRMNDNSVNGTMPKKAKPNL >PPA19619 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:513535:514841:1 gene:PPA19619 transcript:PPA19619 gene_biotype:protein_coding transcript_biotype:protein_coding MVFILITLLILLHAIAVVYISLYVHTHQIGVDKKTILSFCVRDVVIVETVAWQLALLISGFAVAYRTIWQNTCAECVYLTLELFFVFIPILISFAHPILLIWFVFPIRDSTVRLFPWMAAILPEYALVPPPAPKKKISSRKHRRAAKKEQEKTTKFLEVTPAQV >PPA19604 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:421912:422294:1 gene:PPA19604 transcript:PPA19604 gene_biotype:protein_coding transcript_biotype:protein_coding MGCEFACGERIYAHSFLDVSRLPPPDPPSALSHLPSTVSGLATTVIECGE >PPA19614 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:478294:482970:-1 gene:PPA19614 transcript:PPA19614 gene_biotype:protein_coding transcript_biotype:protein_coding MALSIFVVFVFSLFFEIHWVERMMSPVEIVYDLEDYYKKYLKYDVTFTQSSPFKKDKRMYRVNSHFQNFERVALDRMTGTPDSHRTRFFVVLNQTLAEYLKVDEPGTVLFQSTLGRYEYLEPGYTETQLASYVFHWDRSAFRVPFMYKGIHPSNRYKIQSNRYFTKITNGTTIVLFTRHDPLYYPGNDDITAFREIALEYSDCDLRPEWQWRAPKKIYHPRRYSAAMDELHSSRQVVMNEDRIVYEKIRRSETIRGCCSDINSRRSIQSLCSCCQSETGWMGDEWKCDLHSCTRSNANSSKLFESETCDLLQDDMTTDEILVKCCELASEGARPGKLIPHEEKRTRAQNQRDAFRGYLGQSLTDRRINRTGIDAPRNMTLWKYGCKIENPLRFVIVDTRRSPSLARRLGVNPTSTPRVAIVDAEEERLSFMDWQFSRQSLRLFIASFHTAETEWTPLVNGEFPLHSRPDSDAEGKVMTEGDERLRMKELDYEGLIDLTTRTVLDRDSVLFLTGGVSHAGSMVLHFHLYETMQFFREANIPIDFYR >PPA19585 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:329626:332378:1 gene:PPA19585 transcript:PPA19585 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cka-2 MKELLATMEPHTDANSVIELKERTHLLCARFLGGAWKTAELEDISLHRIKGGMSNMLFLCRLSERHPPIKNEPDKVHQLEVPIWKEPDYLCDALERWLKQLMLTPSGQKCFELPAKYGDHVPVSITCDDLATELLYLRPY >PPA19669 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:768951:770547:1 gene:PPA19669 transcript:PPA19669 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALTKHEASIKPKKCLPTDIRYKKTRAMRRALTKHEASIKSAKQLVITGAYEHETKLLPTLIFIKSVVWKNFFNKEADKLERSNDDNKTCSVLGQPTPLATITYT >PPA19578 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:275824:278089:-1 gene:PPA19578 transcript:PPA19578 gene_biotype:protein_coding transcript_biotype:protein_coding MDYMLQRRLSSPESLNASILGGILRRAKSKNGGKSLRDSLEKIGLNLPAGRRKAAPVTLLTSLVEGEAEHLASDFSAVCDSDFPVREMAEAANIKYLHEDESAREMRREQIRATKSMIREFLQLMDVSTASKEDEASTSNDNKLAAGLELFQLCTHGFGMKAVATGLHTLMKFLDASLDFLSTLPPSGNSNTNLNMSSLLENNPSLLSLLNKEVFAAAAAAVASGATSPNLPK >PPA19627 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:527845:529411:-1 gene:PPA19627 transcript:PPA19627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ceh-28 MDESLSLISNARSGPIPHPHLLIHHSFMANPPSFPYPLPGLLYPDQSRPEAVNFRTENPSVVYPGERGNDPTFFLNSLCFPQALPGGYSGVRQSDLSDSDDNSKINLRTQHNRRKPRVLFTHEQVAELEERFTRQRYVSAAEREELASKLTLTATQIFAQTMLGFTQMPPTTQM >PPA19653 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:702393:704585:-1 gene:PPA19653 transcript:PPA19653 gene_biotype:protein_coding transcript_biotype:protein_coding MERHRMRLLPVMAQDEEYYDDETPAKRKKEKKGKKNQKETTENLFSAAKIMEGPNYQAALPPFQSEPRYSIDDRSEVMWIPNPRMRPFVFDRLVRDVQLKDRKGYDKLDLPIRHRDCDLALFTLMLFDMDVMAARTFSFKTIDFEQRFDEIREAAKERKRKMKEKEEEEKKKEERRNKSRHDRLTSRQTRMKGVQKPNEEDDEEEEVERVSRSVPSSRSATMAHSIATSPIPKEDEEKIETVEERREKEKENRRTRHPLGNRNDRKRPARRNSQNTAEVKKIKNDGSTRAEEDNSEISTAEDENTIPDSPSLFKSLSSFLTTTFWN >PPA19551 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:157065:157915:-1 gene:PPA19551 transcript:PPA19551 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPCPEYAKCKRVNMIPFCECKEDAPVGVIDCLGVVVSGSLSILSDGEWFLLIPLDSANDSTRSESPSKPDWSPCDGYDRLRCWVPQNPVSYHSLKDGFSSLEDSSTQKAPAHARLRFRPTVGLNLRATPSTVISGFKGAGLFGKKERRPIPETPQNEILKEERPLIPPS >PPA19624 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:524258:524472:1 gene:PPA19624 transcript:PPA19624 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKHAGKFPIHPGRTLIDLRERDSCGQSKISRNCHQNITDNAAYSLNSLM >PPA19727 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:1110824:1113702:-1 gene:PPA19727 transcript:PPA19727 gene_biotype:protein_coding transcript_biotype:protein_coding MRMPLQSLLNLISTDIFVRHFNSGALNSTLTECSNFHRHICPKQGMSSAASFQGKHFAFADQYDNFDYSNEAALSTLLFLMPKETLLAVYEYTTLNLKTPGNFYSNLWDLRLWSSTIDQATFPAKYDSATFTNLVKRKAFTDSLAANLKSITETNGGAKKMLKSYLPFLKDVTDYNHLTNVLFREGFETGVARDRHHDAVTKVTQEYSRALQNAAWSTVDSNGQTRYDRFSDAVLDIYHNFLQSTLNTLFIGNASVTFSRDFYNSYAEERSQLAALVVLPALRNLIAVNRAHARVIATMNLDMLNRWSITKSAQSPTTISGFFVWICIQTQLIFEFAAQNSPDGALFGVMGYLYGHEMYHGVDRELYDAVMPTSPDARLGDFWEPEERPDLFGTQIAYGAFKTAIGSRIDELAYPSLNITHRQMFFYANSINGCNVHNAMFINDRQVLLDSHYTVNGRLGQLPEFQTAFQCSANDNMVFQQSQFCPIFFPTFNA >PPA19716 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:1045399:1046424:-1 gene:PPA19716 transcript:PPA19716 gene_biotype:protein_coding transcript_biotype:protein_coding MEESISLIFNGDDPFSNISGVMVLSDEELLGMDPQSCSPNHLHNLLVDSPQPSAASAEIPFDEGENNELSRTELASSLAKGSPSAHEPSARDTQSFGAKRELFG >PPA19705 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:964943:966466:1 gene:PPA19705 transcript:PPA19705 gene_biotype:protein_coding transcript_biotype:protein_coding MNYKALLLIVVLFIIWAEYEELSNSLTHPEEAASSTAKFASAAPQSIRLKSPSKSETSKTRKRKSSSKEEDLKEMLIQIMFQVFLLRGMRRKLVAPGTPADAALIVASMMLMICLMCIVWFAFIIGVVKAIELIYGMIETYRETHAENHERELEMHEIEEAHVEHEIGNPTNVSRVIFTVYH >PPA19689 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:861946:865541:1 gene:PPA19689 transcript:PPA19689 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHEEEEYSEEEVEEEVAEEEAEEESKAEEEAAPEEEAAPEVKPSRPAPPPQKEPEPAEMTEAEAAMLAAKKRHEQEEEAKLLDYEQRRKLEKEREEEELRVLKERQERRRQERAEEEREWQEKRKQEEERRRQEEEERKAKSEEEKRRKNDEKIRRQQMMAGSFAGAAVGAPGGRNFTVDSKGEQASKFGNLAGGQEKASGQSKEQLEEAKKAFLAAVCRGVDISGLLPNDLKEKIKQLHGRIVKLEADKYDLEKRNERQDYDLKELAERQRQAARNKALKKGLDASEAASSKHPPKITTASKFDRQIDRRGYGDRRTMFENPIVKKPPSIVHGTARPPPEWGRKENEELEQLRKNLEPPKYVEQVKAEGDAARPPVEPIPLQIPDKEFEDEAPEAVSSYPPASAFPVLMTGPAYYFPVLAHQPSQLQAPEAPLAEAAAEEVEVAEA >PPA19587 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:335018:337528:-1 gene:PPA19587 transcript:PPA19587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ham-2 MLRNGQPGEVPVLARSSTEGDPGDEESSPPHESKFSSSSLFRPLEQMHPFNPLNAQSLLNSLKKSESPSTSSVLTPAQLLNVWLTNNPFMNMANPMANLQTMAIGQEKNGESSESQDSSSGSPDLNEDDYIEINIKKEDLEDEMAELDVVSEPADDSFEAEEKKEERSGSMKRKATKPVQMMEGVDEEDSSPLKLLAVDKTILATGLIIPRDASSPTVSDSHTSSGSSGHHGMDSPPHHHQHHGSKCFDCQELKSKLTEKDDELRTRTLEVVSLTGTVDRLQKQILLLAQTCKQREMQALQQNHMVSAPSCQYAPSRSRPYRICSLLPLPASHILIPSPTQSRDAQTIRRSIRAEQSSTAISQSSC >PPA19681 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:817781:818115:1 gene:PPA19681 transcript:PPA19681 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPTPKKSSSHHRNHGGCSRGPLNSYKITPPSHLSTSYHHPSYQAIPSHFSGMVSVL >PPA19545 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:130348:130852:1 gene:PPA19545 transcript:PPA19545 gene_biotype:protein_coding transcript_biotype:protein_coding MHFIGEVVVATAFALNEATNTLITALVTMKRKVVKEKKEEVLVWIPPRNIFAEVLYSEDMPEEEPVTTDENNNNGQH >PPA19581 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:299264:300110:-1 gene:PPA19581 transcript:PPA19581 gene_biotype:protein_coding transcript_biotype:protein_coding MESIGWTYFLYLFLYAGLEFTLPFLTHLRFDFGSMQQSKIYLFTGLLMLPIQGGYSKPRYSRQKRLAEIGLMCIIPAFLICAIAHSVVVLYIGLALYAVASATVVPTLTSLISVVHETDKGAVAGVFRSIGALARALGPIFASTSESQLY >PPA19574 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:252336:253300:1 gene:PPA19574 transcript:PPA19574 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEVDPDFETNGVNEEKLIECDSLHDIVCSNQLFVREAKLRLRQGNGRQMSEGRSDTSRFEHNVHRHLLRTATIRSTGRGAHRSVAKHRHKFCGSEFMNILNILRIIIEKLLPAIFASLYARLIKK >PPA19612 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:452417:453119:1 gene:PPA19612 transcript:PPA19612 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQNLFCDVRREKSSSSGEGEEVTEVPTRETIKPNSRRESANQRGSNSLAPPTIPTQPAQTLLSPSKSRKPTKAEKRAHKAFRTITFIVGFFAILWSPYYIMAKGN >PPA19671 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig45:773515:773918:-1 gene:PPA19671 transcript:PPA19671 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEEFENYIETVFIALRDEAQKEKKTPVLQMDNAKYHSRVRGKMPCQNDRKEVMSKWLKDHNMACPDSWKKKEMIEALKQLDRRDYNVYIVDEMAKLAYYTQ >PPA19674 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:782616:785964:-1 gene:PPA19674 transcript:PPA19674 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPTPCHNTHCPKYPIDRLPGSLQLVIPSGASSSGASSKISPGAASACSLSSFDGCSSLEHGQSTAGGAPVSPLSMAPPIVIRKGPFGFGFTIKSVRVYLGEHSDYYTIEHIVSSVDERGPAFEAGLRCDDMITAVNTQPVHNMTHPQLMNLMLSSGCEIVLKVTPLAATGIREGGPRKSVGKLARKQKQPKCPKRRLPQEKKSRKPSSLLRRLSGKRNANDIVPGSSSQKQTFMPRSVSSQDGVILQPPLPSSAPPTSVIPPSASVASSHASLAVCGGAVSGSGGSNSYGSMSSMGVSTHKRMSDVGLIREEHRSPLVAASSTSAFSPAHPSPTPSPRPSTLSGLKPSGGVSPSPSPRSTAAAPAATAAAAAGLPCCPTLSMGGGTGPLSTSPIPTYFSPTGRFSICSPCINFLFLINRPTDSAPNSASTRCEKSHAAIAQ >PPA19637 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:603427:604695:-1 gene:PPA19637 transcript:PPA19637 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDRQLEAEFTRIIPDECPGRITQNAWTTKYEWALHGDDRSIDFLFRRIFQNTNHIRSLILSHLDKRWLRRTREQMTHVTVDTFRVANPDYLIKQSLMLAEVVRRTDTQQFVLTDECIEDGQDMRDFLMSICAIVRVVEINLGDDGSIASQGEFWERVAQEVIEKGSSFRLENRGAKLIDQWPSFIDFIRMFNPSLFRD >PPA19691 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:879254:883415:-1 gene:PPA19691 transcript:PPA19691 gene_biotype:protein_coding transcript_biotype:protein_coding MRFECCAEIGIRKALFPENLVEATFRSQKVCMKFLNGTMPVDADIVLKMTTEQRAFLEEVPEKITSDGMNILGLVMFSVAFGITISWIGDEGIPLKLFFKSLETTSMKLISLVIWYSPIGITFLIAAQIVAMKDPGKELQRLMGYMITVLLGLAIHGLVVLPLLMITLARRNPISYVAGMAQALLTALATSSSSHDLPPSIGQGLDPSLPKGLGLRVDPCLELLHKCVSVLELLSSTEVREGAETVVVARGQIRGVRWVREPFHLQLVHFLLGDFRMMRARVVHEHEDFALAQEFGRDPDRHLFQLGSEEVSLDGDAGREDLPVDGTEDGEEETEEFLLSVKFRLWSLLGFLIDVHPLKFPLRIIVGDPLLIHGDDVADPIEIGSTVDGSLEQCS >PPA19553 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:160943:162167:1 gene:PPA19553 transcript:PPA19553 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPDFSIMVKLDPGEQVSWIESEAISAYIHAPGVPAVQGALYSLGAAASDVIALQKSITHLISDCITSRSQLKQNYYEDGDYTRDGCYSACLQDKVFEKCGCMNARYKKATTASQCLFKDNDCINNVSATYGEPSNWKDCKCPQECYREVYTLAATRASLPYKIPNCANDTDGCPDLSQRIARLTIYMDTLESQVYVEMEKMTVSNNFFNLLCHSTRIENR >PPA19655 pep:known supercontig:P_pacificus-5.0:Ppa_Contig45:710856:712481:-1 gene:PPA19655 transcript:PPA19655 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSVVITGANRGLGLGLVKEVLKNNSVGKLFATTRTAAPELSGIADPRLVIVQMDADSDESVKSAAEKVAQTVGSSGVDILVNNAGVLLGVDYSKPVKREDAAHNFNVNCIATMVVTQTFHELLKSAARTNGHAQVVNISSILGSIALTSGSTPRMFAAYSMSKAALNMFTRNVSIDWKDDKIRCTSIHPGWVQTDMGGKAATLTPYLTATEVATFRNRSRWPRRPSNGERFLTPLSHPATTTATEAWFSSYGSKCYN >PPA19730 pep:known supercontig:P_pacificus-5.0:Ppa_Contig450:2853:9371:1 gene:PPA19730 transcript:PPA19730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ubc-13 MAKVADSEKSKPDAIGKVIRDHCASAKQKDHKLCFYIGALPESATSIMNDVTKPLSWSMPPAKVCEKLKTMDAQICELKYDKPLDWKTIDLKKLRVKELKNILNDWGEICKGCTEKTEFIKKIEELMPKYDPVPGISASPDEANARYFHVSITGPQDSPFAGGNFKLELFLPEEYPMAAPKVRFMTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPEDPLATDVAELWKTDEATAIRTAKDWTKKWSKLFKSRVVATISSFGQVYSIAAALILFILFADAVREVGKYSHVDSALDGTARHAADADAVIHMRLFRAQRNFYISGFALLLFLVIKRITGLLSRAAQMEAASEAAMKQAESATKAAKTLMDAGGDGELKDLNRQTEELGKELKKTQTDRDTLKKQCENLQKEYNRVADLLANYEVSVNKTCNL >PPA19731 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4500:396:771:1 gene:PPA19731 transcript:PPA19731 gene_biotype:protein_coding transcript_biotype:protein_coding ARLIDGFVYDAGQKAVKRIAHFLKQTGKVKVPEWSDLVKLGVTKDMAPPTLTGSTSAPPLGLAACTSALPS >PPA19732 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4505:192:838:-1 gene:PPA19732 transcript:PPA19732 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMEIEARKESIHQAMSIQSQPVHDSTTTQFTPILTQNEHMSTVISTLIERLNAPPLPPITLIPFDGESTQWESFYSQYSSEIGAMSHLSDHAKLVYLRNALTGAALRSVEGIPIEGKNLKSTIDRLKSVYGRSKRSNTILINQLFSIRPKSFTLEDQLECTQQLINKIHQLEDQSMVDNFALINQIAGTIHSKHLKKITIHYERSTLSH >PPA19739 pep:known supercontig:P_pacificus-5.0:Ppa_Contig451:47272:48271:1 gene:PPA19739 transcript:PPA19739 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVFTRIRLQYSLRVYEQSDKTKLYAMKVEKKKEERKDSKLKMEIAILKLVAQERQDSHFTSIIDRGKKETYFFLVMQLVGKSLADLKNKRPDKVFSLPTGLGASSQCLEAVQDLHKHGFIHRDLKPANYACGLGDKKRVVSLTHPTPSLIYRTPKVYILDFGIARKFTNKENVLKTPREKAKFKGTVKFASIACHRNIELSPKDDCESWFYLMLDVIQPGGLPWKRIQQREAVMQCKEDCRRGEKR >PPA19736 pep:known supercontig:P_pacificus-5.0:Ppa_Contig451:11679:12678:1 gene:PPA19736 transcript:PPA19736 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAYASRLATLRELSVQLTKSVLATELATLESELDNSITSIEGLSNDCPEAGASVDSLLDAIIQDYDGSSSVSPDARMKIASVHAMLRAKISEVERVHSALREQRGVCTALEQRLTELEAAGPPPPEIL >PPA19738 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig451:44837:45219:-1 gene:PPA19738 transcript:PPA19738 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERIEALLKGDGYTSAEESEEEEVDQEEESREGTVEAENEEDTVAIDRKSPEESIEDEVEDNGVEVEEGEVESEEEEIELMPLSPERNVEKEEGEVTPDYKFSP >PPA19734 pep:known supercontig:P_pacificus-5.0:Ppa_Contig451:2154:6539:1 gene:PPA19734 transcript:PPA19734 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDTSSLDAACKQLQGRMEEAEAESARVRAEFEARIKGAEFAADSLREECDKMRTARHEAEQQNAVLQQQHVYLQHELQQTQLQLQHLQQQQPGPSSMQQVQHQQQLQRTADSDAALRLAASAQETLTRERDELAERVHVLGGENFELTRRTDDAEKEVQRLESELSRLSSRLRESSESAAAADAERAKREERRVEREEELMDLRGRVTEREATVERMETELKRIRSELDHAHLSMAETAAVKKEVQHLQQQLEIEREAAAAKWQQVMVQLKSYEELASNSGWDSRKTSIDETATAAAAAELRAARAEAEALQSGKQQPAHHLQPVQQLQQEVPRRAVSTEDEEEAVDRHELDVLRARVEELESEVELSHELNFESGKTVQTLQQRLEKAEHEKRLLESLTVEFSEDMADMKEYATAAAAAPAAPAAAAPQPVNEEEKEEMRRKIEEMEEEAALSAELHVEMGKTVQVLEERIREMEVYVGTRSLRVPVMV >PPA19737 pep:known supercontig:P_pacificus-5.0:Ppa_Contig451:35825:44680:1 gene:PPA19737 transcript:PPA19737 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKTLQQLQQCQDVEVMNALLEKRVAELEEEAEVLRPGLKSPSMDEQARKDLEEDMQGWRWNWPRHKNESRESMGEANERAAISDGVALAARQEIAILRSEMEKLLFEQSQLLQGSDEDGPGGERETNERVRELERQAVETHNEMGQMLEDLRSAEDRAAVATARAAAAAERALAMENTVAELRTQLEESLGETESLRRSINEVHHLEYALQQARTEMSELAGDVAVAREQRRAMEEERDAALVQLADARDQLTQRTEQAIRASANRNESMNRMIELEQQINAAAAAAATAAHAADPTGLPRNYAHRGPSRLAKIDSGVRFDSFSECIGSTEKLVVETCKTIESTQRKSGTHALCQIRGCTLRGIPSTCLHSLLVLLRKKTPASATQAVDVGNNQHHASMTAFANTLHDAAQTLQNRNGRSAVGTMLDGRVSDSLDSSRMGIVRTPRPVAPPPAPPVTRTPVYRVQSDIPTASVRMALIDEFTDEEEDVRPENSSEWRELSPCPVLVHLYNDGHENMPKLLAEHQKEMIIDCCPLLPSFAVHCPSKKFMHVTSNKYDPHSPVLGFGFSNAFELETLFLHLRRLKASTASSMAAAAAVAAGAGYAAHPAAAALLASPRRTMGSPRLPSTPSHHHLAASSTPFSPRFHAAAAYKDSPYHGRHHSHPAGIPPAFHPAAAAAAAAAAAHLQQSHHGMYGSPAQAATHGSNGYSFQEFVPRRREMSSLSMDRISCGQPPLNTMMMMNEIRSGVNSINGSVQVAIISVIGDETVEESLQPYFGYGEGDGGFGGLEEPLGGAYDDLDRGSIDSITSMMHNGGDQIRDYASFRHGKGRLYSEEDGDRTLGEEQFGGDAANEMEKHRQRQLHHIDDDDYGQSGALPSSLLDDIPSHHNSLSDLHSMSADPDGCSTPISKHAPMMLLPDENGRRGSLAYDDVFFSPQKHFSNFVLGCDLRPPGIPRAEGGCQESSSSPSLTTLISHFTPLSLDTPTSASALAAAAAPFPSVFGHNNNNNNNKESLPSTPSSLTRSPPGLDGDSDIGVADTSASNPAGVIGSPSD >PPA19735 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig451:6928:10455:1 gene:PPA19735 transcript:PPA19735 gene_biotype:protein_coding transcript_biotype:protein_coding MERRLHEMMSVELTSELHELRQMQERQLQQQQSAADEEEEEDAAEKEAQLQLQLEEEKRQRAREQQAARDELHRLQLALHAAEDAKREVARQLAEREQTVASSSLRSLTESLVRATAATFDAVAAAAGAAGSSSTESQQQEQPHSVVDAAVAAGEEAENEEERATLVQENVLLREAVYQNVRHSESVTSDVARLLELKEELEKAVEALRGEIWSLNGQLKASVFDRENLQDRVIELDTRVALEKRRADLLDVELAEQVELRENAQRQAAEAENESNRRLAECAEMDGKREDLEKAYALLAGYYSQLQEAYNVLYTQQQQQQHANLQHERMLHAAASAEGVPREDRSTQTDAAAAAVSTSTSAASVAAAAVFS >PPA19741 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4518:38:794:-1 gene:PPA19741 transcript:PPA19741 gene_biotype:protein_coding transcript_biotype:protein_coding MSPITLFSKINRVKLELFLDLPMTHIVAHLRACRLLRSSLSCSKCNVPCVEYQKVTPSWPGCGWRCPSCYCNYSALTGSWFARMRLDIRPLFRMIYSFCWEQASLRSLQHELRTPDGSTLSSKSFVDYHSFFREICWLDNERQPKIGGPGTVVEIDETAFSKRKYNRGKRMAAQQWVFGGVERGDKTKLFAIP >PPA19747 pep:known supercontig:P_pacificus-5.0:Ppa_Contig452:10022:11624:-1 gene:PPA19747 transcript:PPA19747 gene_biotype:protein_coding transcript_biotype:protein_coding MQFHANRSSALLNSNNSDDPQPRLRQRDYATPPPGGLMSDDSIQGNPVGEGSPKRSGRGRGKNQQRASNGEASNNTLSSDDGPAKRTKKAKTDGAVQSDTKTPSDPIDKWFNQIRSDPENPTQPFPDEELFNFPHFCPRRSDRIAARSNNSDHASGQISNTGQIPLPNRVADDGAMNAESMVASTNYPQPKYVELQPVAQQQTQVVGYGSVFGTGRFDERIRSVIDRFLRKLWLGY >PPA19742 pep:known supercontig:P_pacificus-5.0:Ppa_Contig452:290:1179:1 gene:PPA19742 transcript:PPA19742 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDLLRNPSMMKDLVPFVIGLQSKENRTRLSWNVEDMFMWIAYEEKKLDLNKDLVAWNDVVLGNCFTFNHFNNTERSYLMRSDGSMGEGNDLLGISAVHAAPSAMTTIQSIESRYQRLGGRYGRCIKSTNEVASYYYDGAYTTDKRSFNFRTMTMI >PPA19745 pep:known supercontig:P_pacificus-5.0:Ppa_Contig452:6551:7930:-1 gene:PPA19745 transcript:PPA19745 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFFKRYIPTSDPDDLHTAPLPEEELAPWRIAAVKAEVEELNSAFHPVGAAASPINAVTSRHSARLDAQPRFSSLSPSQSIGSGMSNLDIDSDSTLCPGSNITVRSAGRVVKKRGSTTPKNVSGEFSRESSMEGGPSKRTRSYKLKNDKQRSDPEYQKMRRENNEAVKRSRIKKEQKEAEAKKKVDEERELYRGAIRMLLERHEWDSIVHSAGLFIMPETTLSLNLGNMTTEQWSLVEDIRKEVEQKAMRAARRNF >PPA19744 pep:known supercontig:P_pacificus-5.0:Ppa_Contig452:3394:3775:1 gene:PPA19744 transcript:PPA19744 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLHQHPVLSFLLFSSTMRLLFVLLIITALICAVEAGDHDHLTRRSVEKRAAAKKTAKPAGKAVKKTTPRPVAAEEAEAEAEPEE >PPA19743 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig452:2339:2774:1 gene:PPA19743 transcript:PPA19743 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGKCVDSIIARGDVSTWANCACPLPCANSQFDSSFTVVPFVRNRNKCNTYTAAQRFNRTECDDLNGQADYVIVNVQVPRMSITIYQETPSWTVCYLLPSIASSVTWEVWAASCVVSI >PPA19746 pep:known supercontig:P_pacificus-5.0:Ppa_Contig452:8501:9013:1 gene:PPA19746 transcript:PPA19746 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFVLLFVLGFLCISAERAVAKNGLVKTTKKPLAKLLPAPLPERTPDYTQRDEGDVFDN >PPA19748 pep:known supercontig:P_pacificus-5.0:Ppa_Contig452:13331:14368:-1 gene:PPA19748 transcript:PPA19748 gene_biotype:protein_coding transcript_biotype:protein_coding MQMGPLKMGNFSKRVNPSSNSNCSDPELRKVLYPSDPPPDQTNDRTNGSSQVAPVSIKGSGRAESKKQPPATKGKSMKSYSKQQKILSNSTTSDNQDAAALSLDCPAKRTRRNTQYGDAQALNYDNLMAGAPSEHVPEMNGNFVNPPMQPTFQNDPYDYTIQTRSMANAVSLQQQQTQFFAPHPV >PPA19750 pep:known supercontig:P_pacificus-5.0:Ppa_Contig453:7575:8441:-1 gene:PPA19750 transcript:PPA19750 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFTQYLGDSCIGLTSLENIEPTIASLDRVTAVERVTVAPWEYGSEPWTMVEPLRDQENAAPGKKGKRRSAECEKSMYAVFFKQQQPIIKSLNPSASFGQISRLIAAAWERVGEEQKKNFKVAAAAAKREEMRKRIALKTIQLCGGQH >PPA19752 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4539:146:1728:-1 gene:PPA19752 transcript:PPA19752 gene_biotype:protein_coding transcript_biotype:protein_coding VFAASLPTARELENSRRDDLSLLSLPTDERNAKIREQFESRGARPGQCFVDITFERDTFYCGKLLSLNTLFRKKDDHQVPSFPVAFFFSKKHRKLELIEFTRTISQAVIDLGPSFTDRRVRSIVRDGESGLDGILQSPLFECCTVLRCEVHMRKNCKDALSDEGDSVASFVLGFTSRGCRESGLMDCCTVGAANRALLSVKERKVFSPGAIEWIEDRLERYYKTNGLPNRLRGGHGFLTISTNIVECANSWDGGRWESRMNLNEHIGKLRQTLIAECRQAPFGGASLIIGGSPLIYRSIWMKFTQSARDAHLSKVGIDPAGVVTLPKLPEVLCMGRTQGEFAALITSTRDLNVEKRPDNSFLIIHRETDD >PPA19753 pep:known supercontig:P_pacificus-5.0:Ppa_Contig454:777:2788:-1 gene:PPA19753 transcript:PPA19753 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ccg-1 MSVELQTAENKKKLNAEIQQKFGAFKENCFPKPSGGCKCNVDEGQGEIVREFSEDSDCKKSLESQTADNKKKLNEEIKEKFGGFKENCFPKPSGGCKCNEKDAEGNEVVATYDDAAKCEASARVKREERPSQNVRDPVREKAQANYQLVINELKDKFKGLKEGCFPRPKGCLCTIGKTPEGRDITERRMKDVDFENYILNRVVT >PPA19756 pep:known supercontig:P_pacificus-5.0:Ppa_Contig454:7949:9717:-1 gene:PPA19756 transcript:PPA19756 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVIGVYSSSEVHGFGGALERALSEYRIPSDKKVRAVVPAGTKITLPNVTVYPDVIDSITCLVSEVIEQSPMGSFLSNLDTLTQTFASLDLLPFFTPEWKDLNRIVRFYRMTSGWEKHRVSIGEAVTHTHNVTLASSMQSVDWNMVEACCHLLQPFYEGINSISMAPLPIVNIIPEWFALIHVFESEGSSILEEQRKRILQLLRERITSLFTMDYKIAVVLNPKINRKLSLLFNESEKEEIFNAIRERCGLIPQENTNMDTTEGPATELTRKRFLDSLEDASSDDELEAYVRANCPSDRNILSFWNSNSRSKYPRLATLARSVLSIPAAAPSTKIDRRVASLGPEHVQSFLILRSSYLSQSDRPSA >PPA19755 pep:known supercontig:P_pacificus-5.0:Ppa_Contig454:6365:6649:-1 gene:PPA19755 transcript:PPA19755 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNLHVSGLYVPGGHPHRTYSYITAEKRGVQPALQPQEPGEELLPDKRYRGYLTSLCDW >PPA19754 pep:known supercontig:P_pacificus-5.0:Ppa_Contig454:3905:5760:1 gene:PPA19754 transcript:PPA19754 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKEKKKGTKKKEEAKFGDIERTQCDQDNSVRNTSQYGTLSVYAAAPQQGKPAVNPNVYKGPNISMLKERIKSEGIPIISANTEKFNETELKTIEEFLIKRNGLRIGDTIESSTRRYKIGAVIGEGRYCDVYCGIEEKDNEEDDVKGKWYAIKIDKRKHEFRTRQKIEISVFDRIRVSTKMDASYRFPEYIESGISRGKPFIIMNVLGPNISKVREKVVKKGNNYERKSAYFLALETLRVLRDFHKLGFVHRDIKPMNFCIGHTRENYDKVYLIDFASAARLDDTNQLELLRMEKSYPLTNQNLVFCAPEAHRAELLTRRSDIISWFFMIVDIFDRDIIRWKKWEDSDKILEAKTGFFKDRATLLSPLPKVLQDMAGMIEDPEPKYDKMLYMLNDEKKELDQKFPMKKFEWMHLNF >PPA19757 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4540:378:1344:1 gene:PPA19757 transcript:PPA19757 gene_biotype:protein_coding transcript_biotype:protein_coding MSERSWKDKVVMWNEGGISSAEIVKRCEQIGKKVSKSKVLRLLKKTYVVRKPYTPSSIIDKEKIQPIFKYIFDAFMSESQEDEEKIVKEIQAQFGETITPTIVKRIREAQGIGTDHVRYGHSVRMVNRPPRVAFCTHHLSVGTMFTHHAFTDESMVQSGKRGRFCFVLKGDTSRRIKPKFKHPPQLMIWGGVSWEGATPLVVMRNKVRIDGGVYQSMLHSTYLKWAEEKFGGNV >PPA19758 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4543:112:751:1 gene:PPA19758 transcript:PPA19758 gene_biotype:protein_coding transcript_biotype:protein_coding MFDALNATLQLRATSVFLAELVENTTSSTMDRMRECGSLLHLHGIGDGEVIKDLKHTNANLDIKKQGGKLATAAVNIEDRKRILASFDKNPGQYEEPTFWLLPHEVAPMLDIEPNARDDDIVTPNRPDPSNPAGAAKQSLFYCRDCGSSFILYRNLLKHIEKGKHFIRPEHVKLLDRVLGLFMCAIEDTLVPEPLSPVSE >PPA19759 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4547:367:773:1 gene:PPA19759 transcript:PPA19759 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRVDSWKFDCEGLIAVYKNCNAIIKECENDTDSLDNSYGSAEGIHGRFQSSADVENDNFP >PPA19761 pep:known supercontig:P_pacificus-5.0:Ppa_Contig455:6265:6771:-1 gene:PPA19761 transcript:PPA19761 gene_biotype:protein_coding transcript_biotype:protein_coding MLLILLFSFFSLSSAVGRTQSVGIKGKLMCDDKPAGKVKLKLYDVDFGPVDDLLDQIDSRDDGTFELNGHTEEISNIDPKLNIYHDCEDILPCQRRISILIPTSYISTGPNPRKIYDAGTIQLAGKWDGETRDCLHRL >PPA19763 pep:known supercontig:P_pacificus-5.0:Ppa_Contig455:11363:12169:1 gene:PPA19763 transcript:PPA19763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ttr-33 MKRGTLQFSGDFDRVPCQRKVTFWIPKDFVSTGQHPKRYFDIGHVNMQTIFDGETRDCFHRK >PPA19760 pep:known supercontig:P_pacificus-5.0:Ppa_Contig455:5254:5994:-1 gene:PPA19760 transcript:PPA19760 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFLLLSFFPLLISSLGFTQSAGVRGILMCNDKPATNVKVKLYDEDTGPDFDDLMDSGKSDGEGRFSLAGHTSEFTPIDPKLNIYHDCDDGIKLWLQIVLIGTVQLAGKFAGETRDCINRV >PPA19762 pep:known supercontig:P_pacificus-5.0:Ppa_Contig455:7642:9297:-1 gene:PPA19762 transcript:PPA19762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pcp-5 MWDIAPEFEAAVIFAEHRFYGKSQPFGNDSYSSIDKLGFLSSEQALADFAFLIEHLKTKRIKCAQHSSVIAFGGSYGGMLSAWMRIKYPHLVQGAIAASAPVFWFFDSGIEEDIYDRIVTRTATSSGCELKAVTSGFEAISNLGKTDNGRDFLNKLFVLEEKSSLIKPEDTNFLKAFIKEVFESIVMVDYPYPTSFLSPLPGWPVKLACSFLKNVSKNDEDAARSLFNVVNLYYNYTGSTPSFCANPDVCSGAFAALGDPLGWPWQRRF >PPA19765 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4555:132:976:-1 gene:PPA19765 transcript:PPA19765 gene_biotype:protein_coding transcript_biotype:protein_coding MYDGANKKLVELDTSGELAVEIFDNIGTIQQLAVEDHFQKKYDEIQKKREIPLAKKVKWQSLIHGTNESIFMLFDCIATMVGWLTGLMSFSFKEIITASSAVKLLFGIIDPSMEKRKMEKELDHIAEGSLRGQSISFAYPSQPNRRALIDVSFNVGKGRSLALVGPSGGGKSTIVNLLERFYDPDYGML >PPA19766 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4557:769:1053:1 gene:PPA19766 transcript:PPA19766 gene_biotype:protein_coding transcript_biotype:protein_coding APKYPRPKGWALRSTKKTGRYDPVARKLVDDLFEQYFSNGKKLRPDEAEKRMRERNDILPAQRMTFDQIRNRITTLLSQKKEHQRKEHGNRQRRY >PPA19767 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4558:82:1092:-1 gene:PPA19767 transcript:PPA19767 gene_biotype:protein_coding transcript_biotype:protein_coding DAPNQWRQQQPPVLNGAAAAPQQGSSIFPHPSLLRHYHMGYMQFGLHQLNSAFFAAQQTASLWNTANSSIAKAPAQVLPPLQPARVVTFPHPGPKYVLNPQTSRVPSFVNATGFTEHQITRTPNPLHNPDIQTTPTTSSPSLVQPHSVYPDPAPPSNIIHAPPITRVSSAASNELAPNSRKPPESLTHPSIDAEPASNLLSYIEHLPPTPFIQPPPEAEATTHPHSIPTPINAQPQPALLDPRSSPENIIAPIVPTPPVLIPDPAAPPLRLPLLIDTSDPGSSTRPPLPSPTSAFAMLDEAQKARAKSHRSLRSFWKAQLRWRRDSRKACSWSKKDE >PPA19769 pep:known supercontig:P_pacificus-5.0:Ppa_Contig456:4852:11881:1 gene:PPA19769 transcript:PPA19769 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dyn-1 MNKIVINTFHLKYAEFLHKKGYRFTDFDAVRKEIEDETDRVTGQNKGISPHPINLRVFSPHVLNLTLIDLPGLTKVPVGDQPIDIEHQIKEMIMTYISRETCLILAVTPANSDLATSDALKLAKEVDPQGLRTIGVLTKLDLMDEGTDAREILENRLFTLRRGYVGVVNRGQKDINSRKDIRAQLDAERKFFLSHPSYRHMADKMGTQHLQQTLNLQLTNHIRDTLPGLRDSLQKKMFSMEKDVAEFKNFQPNDPSRKAKALMQMVQQFTADIERSIEGSGGKNVNTNELSGGARINRLFHERFPFEIVKMDIDEKELRKKIQVVIRNIHGIRVGLFTPDMAFEMIAKEQISRLKEPSLKCVDLVVNELAAVVRQCAETMVRFPRLRDEVERVVVTNMREREQNAKQQISLLVDYELAYMNTNHEDFIGFSNAEAKASQGQSSKKNLGNQVIRKGWLAVHNISFVRGSKDCWFVLTSDSLSWYKDDEEKEKKYMLPLDGIKLRDLEAGFMSRQHKFALFYPDGKNIYKDYKQLELGCPNLDDVDAWKASFLRAGVYPEKVKAPEDETSDAIDESSMDPQLERQVETIRNLVDSYMRIIVKTIKDLVPKAVMHLIVNQVSSFVKEELLMNLYQVDQDSLMEESQAEAQKREEMLRMYHACKEALKIISEVNMSNLDALPPPMPQPDYRNNGPSPSMGGPPMGGMGGPRPAPAPPGGMVPRAAPLPPRGGPVPPPGMRPPPGAPGFGAGPPLIPTRQGPPPPGMPGAPPNGPPGPPGPPGPPRERLLQMVILRFPLDRMYLLDRIRSSANVNSNW >PPA19770 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig456:12059:13493:-1 gene:PPA19770 transcript:PPA19770 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEKDKDLSSLSSPIYLSRRGIEVSKYKPSIKFNPDKIQLIPKNPVIPGCVKIKAEGVEILRPVKNLVAEIEMRIGGSPDPNNPTLPCSKKIDERVNQCPCARVDNACVFCDFCKQLKAQSAHITSVRKSFTDHKMIDDDCKCDEMQPGLYDIETEMCTPEIDDAREYIPAELQSSILERRPISMFITVYLMDMEQRGGRESYLSAFGRAILQRRMAQSTVACFLLGIDVKLALPNFP >PPA19768 pep:known supercontig:P_pacificus-5.0:Ppa_Contig456:69:865:1 gene:PPA19768 transcript:PPA19768 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSHEKGDLFKIAERAGVEDWAIAHCISEDCAMGKGIAVEFKKRYGRVPELKNQVSNGKKKGTAAFLEVDGRIIFYLITKERYFHKPTYDTIERSIKDMVSIMTKKEIKGCVMPRIGCGLDGLNWEKVESMLETHFSAANISALVCSL >PPA19771 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig456:15957:16235:-1 gene:PPA19771 transcript:PPA19771 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIPKLSTVFNEIRTEDNQIGLDNFALGNCPNPGLFFVRGNYFTKVNNMPSNTKLSKPLHGSFPSLLFFIHDGSFY >PPA19772 pep:known supercontig:P_pacificus-5.0:Ppa_Contig457:262:1687:-1 gene:PPA19772 transcript:PPA19772 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pdfr-1 MLFEYCGVNPTKDNIMVFAIWTYCASFTYMYQGLMITIIYCFTNKEVNTVLKGFYSRYRLTHTSTNELRRGSRSMATHYHAKNGTLSSQPELIGREMEVSTERTPLTSTPLHTYDAENGEEQIILT >PPA19774 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig457:14102:14865:1 gene:PPA19774 transcript:PPA19774 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVKNAPIAWAQREDLIFMTIELDSSVTIEELKITGTSFKFRGTTKDATYESSFDFFAEVNEEDIKKGASTRYIELAIGKSKSEWWPRLLKEKIKVHWLKVDFGKWKEEDDSDVEAGLGGMDFNNFDLSQYTNQMGGGMGGMAPDLDGFGDDDEDDGDMPELEDADEENGDAEKRHA >PPA19773 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig457:11659:13039:-1 gene:PPA19773 transcript:PPA19773 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSSKCPSSKEVSITGGKIIGRRFFHDEDKPVDAYQGIPYAKPPVEELRFRKAEVVDEWEGVKECISFGNRGMQTTNMYEKWKVRKDVIVVTTQYRLGFLGFWSTGDSSCVDNLGLWDQTCALQWVQDNIESFGGDKNNVTIMGQSAGGASFIEKLRLESAQKFATSMEVKVKDNPKDGIQV >PPA19776 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4570:202:601:-1 gene:PPA19776 transcript:PPA19776 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNRTDIESWYKLKVQEIATSSNRQTMEHVYQKEEIRRLRIHLSDLRSKLADLEGR >PPA19778 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4573:612:1090:-1 gene:PPA19778 transcript:PPA19778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] [Source:UniProtKB/TrEMBL;Acc:H3FC31] ARHRNKETRDQDNKREERKERMRKRRRLEEKRIEDDIRHVGDLGNVTADADGIAKFELTDNMVKIHGVNTVIGRSMVVHAGRDDLGRGEGDKKEESLKTGNAGARSACGVIAQAAPIDN >PPA19780 pep:known supercontig:P_pacificus-5.0:Ppa_Contig458:7024:11994:-1 gene:PPA19780 transcript:PPA19780 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHGRVVLAVDSVLWTMKMLDYMSVHPRLGPYITMAGKMASFSYVVNMTYIVVMLVVSLLAFGLARQSISYPNEEFHWLLIRNIFYKPYFMLYGEVYADEIDQCGDEAWDKHSEMNISLYDDQFFEPSNSCVPGYWIPPILMTIFLLIANILLISMLIAIFNHIFDATDEISQQIWLFQRYRQVMEYEALPFMPPPFTVVCHVWLGAPKLPALSFSITSHSGNVPLSWCDMAREKARAKETSNDERMKRTAEA >PPA19779 pep:known supercontig:P_pacificus-5.0:Ppa_Contig458:4098:6383:-1 gene:PPA19779 transcript:PPA19779 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDEVITEEEEEEDETDHEERKDKRKDSVGLAGRTPSSHLRTPFVAHPRKRTATVCGTGSISESCGATSPTGGMPLVEGHNRFFGSLAALDTTGSLLQTVAGGGVRKETVVRHQRNEEYTSITDSISFMATPIRRRRGSSDNDDEESGERMGGDGTSVGREDKDEGKERGRSRLDSMRVVKRATGTLALVVGSITSGGSRDSGGGLKRESSILSQKQRFRREAEVDNDDEEDIEEDKAMRVEGDEDEEEDDRMADCELTEVDEEEEGQGDEGEDENDEDDTNKTPDEETEDFAHEPRPSPEPESRTSRSEL >PPA19781 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig458:12866:13760:-1 gene:PPA19781 transcript:PPA19781 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVISACGLQTKLEEAIDFGDKRRNKKSWPFLRIKGSLDEATYKVISMRKIFAKEKKIKIRRRLYEFYTAPVTTFW >PPA19785 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig459:6827:7388:1 gene:PPA19785 transcript:PPA19785 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTINYKSGLWQNFTLSPSRNALCKLCKVPTEVPRHKSNEGACEEIPSGPVRRSSEVETSVFSLAQEARKRLASPPTRLLYRSHLKSRLSAEHGEDQLMLRMTQIKSYRAVCAFKDSVCVANDVVPVGNEYHHNESEESGEESELED >PPA19784 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig459:4204:6357:1 gene:PPA19784 transcript:PPA19784 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTINYKSGLWQNFTLSPSRNALCKLCKVPTEVPRHKSNEGACEEIPSGPVRRSSEVETSVFSLAQEARKRLASPPTSQCRKAFLLCSEAVDYYEHNPCYWISHLPNRCVSQGGLVQFYVSRRGKLVYDVNGRNKGVFIRGIDTTFPQWMVIGVYGKARSVEFVTTVHAHNTEIRRPQIGIAAAEHPPHAIQQQSTPLEFLFRQSSMISLNQAQQPSDVLAADSARTCSFCWVAPADFAVYPCGHQCMCDECAVIKA >PPA19783 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig459:2587:3030:1 gene:PPA19783 transcript:PPA19783 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNGFDRQANSQSLKFHAVHGRNITLTHGGSRAVRDCPFSDGLAFSHRISFCVL >PPA19786 pep:known supercontig:P_pacificus-5.0:Ppa_Contig459:8090:10421:-1 gene:PPA19786 transcript:PPA19786 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFLKGPTDMFATADGKVRLYDAEGSARRPGGIGDILSGVIAVFLLWSTRSDVPLHTVAEAASFFVREAARRAHEQIGRGMTASDVVAQVAPLMKTIDKPYEGVPALSVYLYVK >PPA19788 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4590:212:945:1 gene:PPA19788 transcript:PPA19788 gene_biotype:protein_coding transcript_biotype:protein_coding SDPPPRNNNNDNNQAGARNSAEMLDDDHGYVIIDPEEHEKECKRQEAAKAAKAAKAAAAAAAAAAAAAPGGAKTEKVSGRSPAAGRDPCSNPGRCAPCINGRAAAADSARCAH >PPA19789 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4591:410:680:1 gene:PPA19789 transcript:PPA19789 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLKNEYGRKLRTALKHMGVTSIEALPKVPGPVSPMELKLAKEVSQLKRKLRQKRAPLSSPVAPKLFLAKTSDGYRSEVKYASLRLTTL >PPA19921 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:756799:758503:-1 gene:PPA19921 transcript:PPA19921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3FCH1] MEEIARCIPSRALNEEIIYENGMDKDDKMGAFPNKRSVKFESEDEETTRRTPLIVGTPTVRKPSKGPSSRRSMLPSSLRPLADKRVHAPSTDWAVDRFLSVCPRDELTLSRLDDSSNDLVDIVAVRNTSDRHVLFKIKTTSPEKFRVRPSGGRVAPGATEIVRVYLQNEYKSTGSREKFLLMALQHDSTNIEEFGELWNNAPQEWKAEHKLRCKIVDDDSSSNGSTPKERIRSMGGSTEIESIRQDLSTLSSNQKIIGIIVVFLLLLQFMCILNERSNNASLRLAIETLASANKNTHEDI >PPA19839 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:286666:287663:-1 gene:PPA19839 transcript:PPA19839 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-prk-2 MLAHPWLRRERPSWVELVSRLDSLSTPSSTSDNKENVDQNGDHSEELEEIHEEEWRDAQVKERVQPETDESGVGSSHDNHTETTRPIRESRTAKTSLLVPPSSQEMKEVVSGVKTKSSLQSASSSQPMAIALRPRRIRNAPIDDRSPPVLTALRSALTRERASKMATKV >PPA19798 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:35263:38499:1 gene:PPA19798 transcript:PPA19798 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIEEAAQRIMERARQRKQLMSAQEGNEENQLPNAGTSKSGLKPRDASPSPPPPPLPSAPSPKIIDEDEERRIYRKSRFSALAQAEEEFEFETPTRRTKDDYKKGISPRLSMGETRPSILFTPAGGRSPLKEVKSPSKVLDTVIERTETRRFQFAASHESSNDSPLSSGYHETTSTVGAFSSKEITSFADGEKKEEEEFATQSSLKTPTSSSPIRAEARMNIACTIPLDISSISPANHRAPIYGASGRNVLDTIERDSIYTSSPSQITPSTAKHFFAQLPSKSPIHGHREEEESSPVRSAALSSAKATIYRRELEKKLKSGEASMPRPAPSSVPSMRTVSRIAVPQGAITPSIPAGVRTQWRGSSNTPVVTGADPSEKTVPDVRATNLASLKSRWEFSAATGTPLHPDKSERDLLNEAKKESEKATYNKSGNTSVTTPRKKGRDNEDTSADARKVSSELSCS >PPA19932 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:823631:824315:1 gene:PPA19932 transcript:PPA19932 gene_biotype:protein_coding transcript_biotype:protein_coding MKYILLSFLFGAAFAKDECYTVKGKVECVHSELVAEQFTKIRVDLLDEDSLPLETDDLMGRTWVDENGHFTVSGCGSDFGPLNTPDPYLKFFHFCPRIKDGSITDERKFQVGFIPLPLPHIIKVGTIYLD >PPA19870 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:475716:477940:1 gene:PPA19870 transcript:PPA19870 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPSFYPQRDRKIVVSDKEALERLSVLLNGRLNTFNNNISAAKIRSELPIDVEKSFMPSKPLSWVITQIQCRDPNHHFEFDTRDEYVKFVPNGQTVQSMPAKTDSLLSMSRPSADRPKINIPVQLICDMINSKLREAKSGKYSVERINNGLVEMEILMDREDLLEFLKVHIETGDLFATLVPPKNAILKYNINADEEMRGVEGVKKSVKSMQLDEDNRRKDEEWNEEKRKREEKMKKGNDKWKDDERRRVEEDNWRNEERERESGRREILSDEEKARRLKEDLHRSFPHIRHLPYLIYLLQKKLVGGTFDYELFGVSIFSELIYHRFIVVVDKRIDNVKDARDSAYLHEEFPKWRVWGEKERMEDVRAQYKAHYEVYIEEGDYLSLNPEFIGVVASPFLHHDD >PPA19935 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:831902:832919:-1 gene:PPA19935 transcript:PPA19935 gene_biotype:protein_coding transcript_biotype:protein_coding MDVELTVPPPLNPRLSHLWNNDTFNRDELGFLLLYVTARPDMQQRGVAAWLAQHNFPHAMLYFAPSFLMDPLKQKTCYLRQLQSEGMRIHAAYGSNKDVAVYASAGVDTDRIATVGGRRKHTNCVIVDSYSAHLAELASSTKFFFHSS >PPA19897 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:607110:611649:-1 gene:PPA19897 transcript:PPA19897 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVSSGNRVVVAQLSSLHFEKMHLYNNMQWTQDKMHTIGRIGLVILVDDVTESAIVRFYASEMAERNFQKIWDVSVEHNFPLRSLKRLDDVFFQVNDKVALVSLNIPRKDLTLETSFTVKTVDYSNGNYGLQTSTRQSSRINYSKVDSPNEILLPIYLGGALTHLQVESESTPSPNQRPNQRARGGASMDDPRRLLYEPKGENPNRVEGGELMEAIRRWTSTGCRMDFLFAIKEKEIVNRPIGGVLPIQEAVIQGNLDAMVMLVALGADRMALDPSKNTLLHLAAVQSVVFIYVIDPLIYRLPFYLPYSFSGRSKVVESLLTFISGEINSINLDGETPLHVAARHSKTSAVVFDRLLSAQSIKLNITTLEGETVMHTVVKLPESETKQAMVSRLLMYSRGHVNLNQMNHNGFNPLHLACLLGMTKTVEVILSNRPQLNQVVCKSGLLPIHLSAYYGKSKTIAVFIENHPEMVHSLVPSSGQSCLHLSVSQWDSVVDKDLDRIATIQALVTGGLNVNSRDRRGETALHILLKGMVRHKEVYASLDLQAICASIGKDLKMSELATKVRPHWELAALFILASNGADPRIINEDGFRALDIIPDLVAMVFSVHLARGAYSRPRGLLPMTSSSAEKFDMKEVTMCTFDCNDSPADVEFLPCGHRVICMSCVKGTSLRRCPLCYKAISSAKCTTDGSSVDICKKAAEEKKAAEIEEQKKREEEAARKAEEKKEEEVRKLKARLEELEHSIEQCVICMDAVPSIAFLVR >PPA19851 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:320615:322828:1 gene:PPA19851 transcript:PPA19851 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVKEGKESLACPYFASRRSIPLAELVLLPYQVLLHEKTRSSWGIDIKDNVVIIDEAHNVIQTIGSLYTSELTLPTLTAALSLLRQYCDQFRLRLSARNLANIRLFITFVASLEAFLRNVKSASTLKIEEIILKCNLVDISLFSISDYMEKVDLCRKMHGFYLRSMRGILKERQEKSTGVAALLKKKKEEVAPPPSPTVSPPQRVGSPLFTIKSFLDSLLNPCEDGRILVEPGKSLKFILLNPGARLQSLVSLTHSSLLVGGTMEPAGVLIAALTYKSPSSEISRFSCSHVVPNENVMAMVIGCGSNGQRLELKMENRGNEQVLSSLCSSLLSLTRLSPSGSVIFFPSYSFLNTFIAYCEKTKVMKEFESIKSLFIEKQGAQDASKLLVDYSRAARSTKGALLLAVMGGRLSEGINFNDELGRSVIIVGLPILMDREVDEGMNYTNHYAGMQ >PPA19979 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:1008102:1009038:-1 gene:PPA19979 transcript:PPA19979 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNQTGDRRILTADGDTFIAASQRPDGTWRKPRKVKDGYIPQEEQPKYQSRGMAQSSERVPVGVHPSKMATRPKAPITAILAPKAPITPQDHFMRKIGNVQKKLDDIKTLEEKKDRGEKLELNQLTKIEKKDELQEQIDKLTAEMNAL >PPA19926 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:789564:791047:1 gene:PPA19926 transcript:PPA19926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cco-2 MASLAVRAARSIVSKGARQLSTTSQLNHAKDDIMEKWAPEKFDKHFIDYLSRPEIDGWEVRKALTELHDFDVIPDPKVVEAALRACRRVNDYSLTIRFLEAIKIKCGGQKNRDVVYGYIIQQVKPVLDELGINTPEELGMDKPEFFIPQPEYWWEKKWYADYNMDKKVFQY >PPA20023 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:1263544:1265187:1 gene:PPA20023 transcript:PPA20023 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHSIPSTTDEVSIVDWSVDGAVFYMHDLEVGAYEEIDEDPVVCDYEVYPADSEMAQLCMDRTINDKRIAEEKRRVEELMNIVEMAKENNSINLSVDKDGSEKKKVSREVCSNARSPKLDYD >PPA20016 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1221846:1227396:-1 gene:PPA20016 transcript:PPA20016 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lig-4 MATLPELIKFSDFCGLLRRLKERPQQSDRKREFEKFFKQHKSDVSFQNEELLPFLRIILSSMDSDRRFDIKHKKLSQRVGKLLGVPASKLVCFDSMGAHKATEKLAAEVVGRLTKGKGDLTVAIVNERLDRMADKEMRDPDDSDFEYLFQHCGEEELIWIFNVIIRNVESYIGASSSNLLSMLDDDAKQRWTLCRSLSAVVEDISPTDSILGRNFRPMLLARLPRLTDWWQPIAAHSGKEFFVEMKYDGEHVLLHKINKDNYKYFTRNGKDFSSDYGASSQDGSISNRIHSSFLDSCVDCVLDCELVLYDKIKKKICRHNTAASDGQTYSFRFVHPDMNPNVVVAVVLFDILHYNGRSLLNVPLEERIKVLEKGVLKKQSEDAIYIAKRQIMSSRSEVEEFFAEAMKNDEEGIVVKSMTSLYIPGSRAKNNGWFKLKPNLASSLSIDLAVVAILKNQGKDNRDAYLIAAKDEKSSKMVIVGSVSVGLTDLDRRRIYEDATRAGPLTLEPHEIIRGWPIKGKGGFIHPHHMNVVEVTCTGVREGKLVDPVVRCIREKPIDEIDTIEQFEELEEVLRQCRLPDKEEAAKMEEMEERKRKKGGEGVIPSKREKKEVSEETEGSPLAGRTVCVLQGVDSKLRERAFKILDRFGAKNVANPVTHGFHLYSQGRISWWQLLECILVLEWS >PPA19912 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:659470:661292:1 gene:PPA19912 transcript:PPA19912 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTKVLLALSLFIIHGCVAWDQQELGMYDLIEEVNQNFYELFGIEPTATTQEVKKAYRKMSLEWHPDRNSADNAAEKFRQVVGVYEVLKDFSMREKYDSVLENGMPDWRSGIYYYRRYRKMGGAEASLLLFIALSGGHYLMLWGSFFEKRLVENQRRVKVKKGENRIQAEEEEAARKEMVLSEYRPTIWKSLPVILVQFGCSLFLHGLSTAKERMTAVPPREETEEEDMIRRPRRPITNEPREERVVEVAEVKAVVADAPEQLMMREEEKEIKQEGVWSSEDMAELVKLATIKFPPGMGARWQHISKAMGRSVEDVTSAAKRIKHFQASVRRIVCSSEYQSGSEEWRME >PPA19968 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:959457:962186:-1 gene:PPA19968 transcript:PPA19968 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-79 MQLAHFLIFLTILTEADPYKVLVYNSKFGFSHSNFLGNIADILVEQGHNVTSLIPIIDPEVRDGTSKSTKIFIPQALETRKILSVMRERKAEYFSMSNYDPFNTLLVGKISDTLLVAQCKAVLEDTKVLKQLQADQFDVMIVENFDMCGVASYMSFLDVHSVFERVKNIYGEILMHALLYPGRWMIEELYRDKFGNSFPSLQARWSQQNRNIIEISSHAAFTFTNSEPLIDFAAPTLNRVIAIGGIGAKTPIALDQYWSDVVSRRSQTILLSFGSVAKSITLPKAIKQSILKTISAFPNVTFIWKYEQPEDDFGKCASHTHPNLVLTSWTPQNDLLNDRRITAFITHGGMGSTQETALRGIPGIFIPIFGDQPRNAGMMQHNGFGKVLDKFDLKNPETFIAAIKDVLNNDSTVEFAAEFGPSRALRPLSYDMNFVEYHNLDIIALSLLLFLFLIYLLTMSIHISFSKNNVKEKKQ >PPA19859 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:357421:358143:-1 gene:PPA19859 transcript:PPA19859 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVLIFFVMGRSSSIYEEFISNHDILQVDVDDTYRNMVYKIEAAFRWVKEHVVSEFVFKVDSDTVVHIDRLNEQLRLLDQRGSPHWMACFHVKNSHPIRDSCNNWYISTDDFPSDNLPEYCGGPGYVLKRSSFDRIVNRMGSFRVMEVEDAFFTGIVAKDHVDLFCVAEMVVPRYVRDN >PPA19893 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:587987:589005:1 gene:PPA19893 transcript:PPA19893 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-frg-1 MKTGYGKYIGVDSSGMLIAITEAIGVRERFQPVFQDGKSALQSVANPLFLSMKPDKEGYVYVASRIATEDEMINIRTNAERSGPVDLRPDEDKKKARECETSYVSHSKVDLKGRHVSVDLANKAEVRSAQNDGSLHEVLLYRRQKTKSDRYC >PPA19971 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:968360:969211:1 gene:PPA19971 transcript:PPA19971 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVTDKFIVRTAFQEDSPILLESALYGFLKACPHARALQLTPVQAREFIRWIIERSLNTPYSIMIFEKSTGELAGFRLYSVSHRDESQDFEPFELDFDSFTDNVLRQELTFIDPHYQRQGIGSHLLRFLNVEQLKRDGFAGIQSEATSFANQKMLSELGYKMLSESEE >PPA19819 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:189318:190420:-1 gene:PPA19819 transcript:PPA19819 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLVARRHTSYNNNESIAHNATLSLLKLYYYKTFALAYGLAGIAADVVMVNGSWTASHISKLWKQTCSIVFPPCDIEGLLALPGTSEEILTNEKRVNILSIGQIRPEKDHRLQLEVLKEVLEGIKKKKLDVKITAEAMPVLKLEMLRLS >PPA19791 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:15:2163:-1 gene:PPA19791 transcript:PPA19791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-atf-7 MPTAETIRRANPFDLSFGKANRIIGANRSILEQNGLVPATSTSGSIATSSVDTANACAAVEAAVSAASAASSTAAAAAATSNFLSKLQLPPALNHSPSIFSNIGLLGSATDGNENALKTADFARLVQQMKENGGLSAQNSFMDSGSSNAPKTADVLNAVLDIHMQSLQNKANEAAKGNSDAAAVSAASLQYLNSSIFNMHTPAGSAPNSAGVLASLTAPSAASIANVLASVAGGAGSQSLFVPPGRQAGELKLSPKVLFTDTASSLNGMHPSTSGVRPPSAPPTTTTLMTALQQAAGPQHKQSTTIDPAAWDPHDVKPIVSGSSVIYEHDPMLGGMHGGYHMDDMGGPRSNESGSSSTRNSSSGLMHHGHAGRGRGRSTAEMPPDERRQTILERNKAAAVRYRKRKKEEHDEMITRCQQMEQERSAIIAFQTQNAALRREVDRLTTILKERQMKCACLRGVTLPSELRERPPGDAEILEQCASGGFGGLHGPSMSAAGAVHLMNGLQHFNTGGGAAKRPHTMHKM >PPA19792 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:5544:8013:-1 gene:PPA19792 transcript:PPA19792 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKKGVKEGVVTSCEIGISVNRCIRQFAEIAVDSVLSVADLKTRDVNFELIKMEGKIGGRLEDTMLVKGIIIDKTMSHPQMPKELKNVKVAILTCPFEPPKPKTKHKLDVTSTEDFKKLREYEKETFETMIRQVKECGATLALCQWGFDDEANHLLHHHNLPAVRWVGGPEIELIAIATNGRIVPRFAELTTEKLGSAGLVREITFGTSNDRMLVIEECPNNRAVTVFIRGGNKMMIDEAKRSLHDAMCVVRNLVRDSRIVYGGGAAEMACAVEVAKQADKIEGIEQYAFRAFVDALESIPMALAENSGLPPIDTVAALKAMQIAQSNPRLGVDALHKGTNDMQAQKVIETLVSKKEQLSLATQLFHCYD >PPA19965 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:940461:942104:1 gene:PPA19965 transcript:PPA19965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sex-1 description:PNHR-2 [Source:UniProtKB/TrEMBL;Acc:D6MPE2] MTSDAAPVMQQTVPSTIAFHLLDELCLVCGDKASGYHYNALSCEGCKGFFRRSINRKLHYNCKASGQCQIDMYMRRKCQQCRYDKCLRVGMRSELVIPEEHNRMKREAKHIPRPSPSSSLLHPSPLLPSPSIPPPSNTSSSGDSVMMGDVSLSEESSDFVSRIVALYQQSEPRGERLHPSSSSLSPRLQLAEFTILEAQSVHNFVSALPGFERLPSEDRALIQKQSKRDLLTLRSAVHYDSSEDVVVMGKGETNEWRVTESTLLSLDPMASSVFSFARSLSSLHLDSVEIALLSAIVSFSDRPGISRPHIVDELQEVHNI >PPA20020 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1243297:1243678:-1 gene:PPA20020 transcript:PPA20020 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKIILLCLIAALFCSQATAQYIGYAGAYSPYYGYPAYGYAGYGWGYPGYAAWWGSNKGGKGPEGPAPIGPSGLTGNQ >PPA19835 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:268829:273349:-1 gene:PPA19835 transcript:PPA19835 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rnf-121 MHPESNHGAILIHDDHGGLQIEVPVGRELTEEEQWRIKHAELHAKHKGHESMHAEMLLILIVSLVVAQVILVQWKQRHFKSYQTATLFGMWMIPFGISIHRGWYRFLSTWALYTSISAWVWYKTTEPHISGRTPRLVYSWFLFLHKASYVLGVVGYLIMMLTLMGITLIFGFKPNETMDFGILLLFYGLYYGVLGRDLAHICTDVMACRIGYYTSEGLPKKILEAHVCGVCGGGLDGDEGESESEEETYKLSCGHTFHEFCIRGWIVVGKLQTCPYCKEKVDLKRMFKNPWDKPHVFYGQLLDWIRYLVAWQPLIVFFVQNLTTWLGLEQFGLILQGKNKKEVTAPVVRPAIFGDEEEEEKIDVTGSSRSVTALRTLKQAERQHELAKAEDPSIFDYDANYEEDERLKSQKMADQKAKDEVRASKYAEAIMLSHQRRELERVSREERQQQKEREAENGEFADKEVFVTGAYRKQMEEVAKLREEEAYEARFNAMTAVEKQKVWQAGLSRTLLNDIARDGGEQMKKEDKTEKKERNTRKRLDSDDEKEKEEESKSEKKEVKKKSIYDSDPEEDGPPHKNFSGELKAGLNKVNKKTKSQVVKDRMFTPTPPSSDDEGKGRRRRSPSPRRDDRGRTRRDSSGDRRDDRRSYRRDDRRADRRDDRDRRDKKDDRKERSPKREEKKVEEKKRVKDTAELKAERFEKLKEIVKKRNGPEQIDEFRARYFERKASGFVTIPV >PPA19869 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:473321:475451:1 gene:PPA19869 transcript:PPA19869 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLDEFRSGGSNEEIVEWDADKFIHIQKELMAGGVDVAVKVLNQLGEIDLNKVEDGDFTLDMVADAIRMALSKVDIQSLFMTAQPTLLRILSHPIPPYIKMKLINRLNEDKSLSQNALGGIGLATGVALARNLPIEELTKEISGLLAPVFTKSEIMTEYEHQMENGQNRMAVYEALGEALAQGFFSESMRTFFIQLERDITTDDYLVQMTSLTTLSDMIIKCKPPTSQKLIDLFGSVVYSLFESSRSSPDGGFVFEGAQKFLCEMVSLHPSILSSFPSLYPNLVNQVLNFSSLDALTRVRSFELFSLLARSDEGKMKTRLVQTLAFLFKEGSNENKKTFFMAIGPSFASTTVDLVKRPFNELKGSVYELWMNLLDCDFGCALLLGTPDFITWLLPYSGQNWTDSQAWGDICTALLKYPEIDPVMADRINSGVKEHTNPTRAIPQVAMEI >PPA19987 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1057962:1060531:-1 gene:PPA19987 transcript:PPA19987 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSVARRSNWMVHKWSRFKRWQEAVKANEEHQKERYRLQNHIKLPTGMYITPERPEDIAPKKDRADQTRQDQGALPMDLLTNHTQMRYLDHSVDNLRRFKRYDHFQHLQYDQRSIPERLLFLGADLAAAHFFVHRGAAVKFVGDETWYRRDKNKNYSLPGRKVDGLYVEAIDASDSEIMFEGLDNLSGLSHLRLLRLSNCEHIDDWSMSRLSALVPQLELLDISGCHSLSHKGLMALKTMKNLKYLRLEGLDHIKDLGKSALLLEESIPDVNVLGVEYELHLEDAIAERKLLEHPSVVQDARGNAFAEDENGRLFYIAGSVNERPTVTDDDNPIVTSTIPAMSDEEFEELNVLSKGKLRHLLVGSPSGYEWTKETETILAHETMLKLKDGIPIDTKMLPSKERKIYLTPLEDRIRDEKEKLLGYERPKVLEEPVRPLKRLAE >PPA19822 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:211338:213053:1 gene:PPA19822 transcript:PPA19822 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-chin-1 MRNDIPLIILIPLIFHHPVFASFILFLIRFDSMELLVADGLISMYMDKHAADYIQRMADEAIYEQSPYLQYQSSVDKPQKARSDPRTHSFQSHTFRMIQFCDFCRNFLWGYVQQGVRCEDCGFAAHKKCAERCVPDCRPDSKYVKRMFGVDLTTFYLAHGTPVPPVVNSAIREVESRGLDVEGIYRVSGSHDQMEKLSKQFDTNHNVDLAMVEDIHTVCGLLKLYLRRLPQQLVPLSVYKALLSAFTSNHATANEKIRACRKALDGLSEANTTTLHMLLMHLSRVAERADENKMTVENLATIFSPTVFYTGVLPCLPQQQHMLLHFLISNPRIVTPS >PPA19948 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:876371:880021:1 gene:PPA19948 transcript:PPA19948 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-wht-1 MQKAKPPKVKEILHNVSGMAESGKLLAVMGSTGAGKTTLLNVLTQRNLSTLHVSGSIFVDGQRANKYRIREMSAFVQQHDMFVGTLTVREHLRFMAMLRMGSSYTSWEREARIEEVIKKMGLSACAETMIGIPNTLKGLSCGEQKRLAFASEILTCPSILFCDEPTSGLDAFMAGHVVSALRSLAEGGMTIIITIHQPSTQVYSMFNNVCLMACGRIIYLGAADQATALFESVGFKCPDYFNPADHLIRTLAVIDSDKKNSIKNIAAIREAFLKTEAGRTMVEISKADRLGLESEATKSVGFAATFNSQRYAASFWIQLVALFKRSFINILRNPLIFKIRVIQTIVTALITGMVYFNTPVSTTTVITINGILFNHIRNLNFMLQFPAVPEITEELPLLYRENGNGIYRTSAYFLAKNLAELPQYIFLPFLYIAIVYWMSGLVPLIPQFLMACFVCVLLTQVAISISYSVATIFGDTAVAMTFLPIFVVPIMAFGGFFITFDAIPGYFKWLSALSYFKYAYEALAVNEWTAIQDIPGTCGNNTWVSCPHTGSEVLESIDFNESMMWPDVFIMVSMVVILRVIAYGALLLRTNRQH >PPA19857 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:346076:354335:1 gene:PPA19857 transcript:PPA19857 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLVFSAVLLVLGADPVPAVCANGGVLNKDDVTKCDCQPYFSGIECETKECVNGGYSDEGFCHCPKGYYGIHCDAVKQARPVDGVFDTKKSFNLYIHNELTDWYGKSGLERIQRDIPKILPDYSYASYNLFESKRDEASLDVKAGRNQTQFLKDLKGVSPKRTGKYTCADTDISYDELLEALKSKNIEDSVLLMYTQYPPKHDEEKLADLKKYLRAFRIKLNVIIGSDDILLDCNSKKFAGAFDELTSLVHFTDGEIASFLVEDDNDPNELLPIFIRSSASPQSHAREVSETCEDTDLIFQTDPYPNTYYIAVRSTEYNPASISGSCSSGGVVSDPIPSFYGEFTMFSILSGAQQKCTLSVRTGTECTATVYSVGGEKDVDNFDIFHSFSTSADMDTDSISSYQEEEFFLTMHIDVPVDSKYKIVRFEGSVTVKNEEVQDVSFTDLDRRSPSTYEYQSHEAFRCPKNGSSWIQLDLQGFNNISDNPPVAVLNRMIHLNCEERKPAVAPPVKSFRMMDDVKSDKPPTTLFSRFILEGVNSDVIEEKFTEYGYLRFDTTTQMSLDLTTDFTSFKTKIYDNAPDDQIDPLDISLKLELQQLTFNPSKSITSDSLVSIAISHSISDLSVNPNPFPSNMAELANKGARLVFWGDINTLTVSEKDYESLEMHNKLAAISAGHLVLIDFDDFPDDDANSGDFYVSKALRDLYNNGPSQRLLSYSNLEWTPTPSQTSQSSSIGTLQIPDATTEVFVSITLNINNPADVIPPFMLSIVLEGYKDRIVLNLDDFTQYGTTDYVRSNLYTTKLPVVEGESYDGTFTLLAMTSFTGAHIRFWTARTKEDVVPDLTYVNFDQTTVQPDDYVGAALRVPGKTGEKMSLRFFDEMGVDATLSDHNQTDVDVVKDIAHFIPYFCNSNQSKTFSKEMYTIEITYESGFKYFRPMFCRPSEKLIDCSGVGQVVYSEESKKTSSYKCICDEGFAGESCEQSSCAEGLEHLPEESDVEFRTLSYSKNELKNAFASDELYQSIQSYQQHIYIVTPTMIPEFIDMTTASGGFTVRMVDDYNDFEASMSNYAMIFDKIFKSRIGWAGVSNEAKTNSWTINQKAEMFVTSRRKDKGAPPTATEKAVHISFNKDAKEFYFDPELDNWRQASRINCSYAQEFVAFTQPFKDVGPYVVNFAVSPKDKATGETLDKMFSLSIPLAVSERIDCVHGDFNNEGSFCECDGKNKWSGPTCSQPTCLNGGTLNSHGDHCDCSNTEYGGEFCEAKAISDEE >PPA19980 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1009583:1011573:-1 gene:PPA19980 transcript:PPA19980 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKEDKDEDIIFEGVKKIKTGSLSIAAKRKEKRPKVNGIALVNRPIVHDSSEDEEDDEDEDEEDEDEELDFNRPIRMPKYKKKETQKTQREIEKEEFFTKFQLQRRDDPRALLTHETLCNLSEAVGTLNEERDPDHPTTSVNGVVMPDPFHALQAMDHNLWVLASAASLRLNEVTGRCLLLPNGDEERERGDDSDEVDEKKEKRAGEDVNRKEKPSALMEMLPKALAALSGQQIGFKEKEPEKPKGKGRAPAAAATKPVTRERPVREKPEPKKKPPPQPKVAAKTKTAQTRSNTALNEKVEAAVVESKNGKGPKTRATKEEEAPPPATANTRKRKAAKDKEEEEEDKVDTKTPAKKGGNTVKRTSQRTVKKAKEDEPTYCHCNRISFGEMVGCDNETCAIEWFHFECINIKSKPKGKWYCPDCRAEPNNSKQPKKPSK >PPA20014 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1212511:1213639:1 gene:PPA20014 transcript:PPA20014 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LIN-39 description:Homeotic protein [Source:UniProtKB/TrEMBL;Acc:O61575] MTSSSSSDPFPPSSSSSAFFYDPAAAAAAASFYPSGAAPPFAAQSTDQVLQYQNGGGDWKDDKDDKSVDSGEEKTPSGTPVYPWMTRVHNNGDNNRHIN >PPA19876 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:508798:509695:-1 gene:PPA19876 transcript:PPA19876 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEILSSVRLEHSQLEEMGVGEVVEMLRETSDLEEQTTLIHYLWMKFGADYDTRLEEGRAVTVRDLMEEVYEKACETREWSLIRLTSGLLRKRLDELPKAVTHLLVRQKQLTVGMPSKKEEAITSPKTNEELKDIITTIH >PPA19810 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:163868:165607:-1 gene:PPA19810 transcript:PPA19810 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYQKLKRAQILAQKDEERMSDVEALSEESSSDSEDEVDKKEERKESKWINRERVLVFCSRGADFRARHLMNDMKRQAIQAMMPHAKGESKMDKSAPMNQIAEIALMKNCTKTIYFETRKHKDLYMWMGNISDGPTVKFLVNDVHTMKELKMTGNVLKGSRPVLSFDATFDKEPHYALIKQVLTQTFSTPNHHPRSQPFIDHVFTFSITPNHRIYFRNFQIVDETLQLQEVGPRFVLHVDKIFSGAFEGEVLYENPLYVSPTASRRIMKQKKYTIIIMADAFAQKKQQQEDYKKKKIKIEELKDEVIEDPVGEMYDTNLEIEDREALGIMKVLDGRVTKRISKKNTKLAKKNKKAKAAKANRVAN >PPA19796 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:29215:32851:-1 gene:PPA19796 transcript:PPA19796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-usp-46 MMTSSFGNTCYCNSVIQALYFCRPFREKVLQYKQHLKKTGSQKENLLTCLADLFHNIATQKRRVGTIAPKRFITKLKKENELFDNYMQQDAHEFLNYLLNTINETLLEEKKAEREKAATKASNGPNKRSSTTSPSGQTPSPQMNTSGPNCEKNPSSSKCEQTWVHDIFQGTLTNETRCLNCETVSSKDEDFIDLSVDVEQNASITHCLRVFSWTETLCADQKYYCEACCSKQEAQKRMRIKKLPKMLALHLKRFKYVDQLSRYTKLSYRVLFPLELRLFNVSDDAVNGDRLYDLVAVVVHCGATPNRGHYITVVKSNNFWLLFDDDIVDKIECATIEDFFGLSDGGLQKNSESAYILFYQRSGRFIMDEYKNTKKKRDTSYRKGVFNCPLCSRQFAHSASLSRHRLKVHNGCHYCIQCKETIPASTTLRSHFLLIHTISGVVTCACCNWAFIDKKTLHAHIKSTAKGWELSLDGVLAITGADIARMAELDTVVSSPTVSPSDTVSSSPPSPLLPSVEVIQSEDWQIPIGITESSPDFSLLVEELMKDNNLMIELLTSTEQEHYRLNELVNSIFPQDTMTTTLNTISLASPSPISSNNKRKRKSIDEIAKYLLEKKTAEMKE >PPA19900 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:616612:617873:1 gene:PPA19900 transcript:PPA19900 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVKDLTNEYSRTSTIHGFAHFGVYEVSAIARLFWTIAFISCCVMLFFNTSSLLQRFLKMELETRSQLASAQAASGHIDIERLKKIAEEKFNLTVINHYDSFDPLNLQSVMGNCYTYNNDFRSVKKVYRGGPNYGLKLFVYSNLSDYIGLESSNDTFGYFIPPSTSASLTIRAVDLIVTEGTLLFYL >PPA19860 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:358677:360114:-1 gene:PPA19860 transcript:PPA19860 gene_biotype:protein_coding transcript_biotype:protein_coding MINTIPINKFAADAITMILQSPSLNEETNYAMIVLVITAHYEIEDRMKIRSLWANDNESNMIKKGKTRVIFVVGRSSWNELEANAHGDLLEIDIEETYRNMVYKIQTAFRWVKENTKSDFVAKIDSDTIYQNDHRYISEQDYELDSFPNYCNGPGYVMERNAFEKIVVAMKSHKVIEVEDAFFTGIVARDLIDLVCLQDVIVPQYTEYSICDSSLGPTLSIVPTHYQFGTTKLRKNLTAAWERLKHPLCHTFITRLYIWLSKMFLNGEKYLKIPREIQTENRRHFVR >PPA19931 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:820305:821672:-1 gene:PPA19931 transcript:PPA19931 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVMLTNPAYFDPQAPFYPIPGGFPPGFPGGPMPFFPPTPTSSNMQFPDTTVKSGSEFGDTPPSSGSSSNTRKRNFKSPEDEKRYRMKRARNNEAAKKSRKSRKEKETGLMEENNLLKQELTNLRAHYEQQLMLKDHEINRLQGQLASYSIPFQSNQENVDPLGMGDAKSTGVQ >PPA19941 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:853765:854145:1 gene:PPA19941 transcript:PPA19941 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSDCAATCGFCNNGSAIGGPVTNPTNLTILLPAGYGNVTGNDRAAANETTFPIYQGNQNETRSVLNESMPSYDESSNKYAKGTVLVRGQRPKEMEKTNDELFGNASDL >PPA20008 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:1179069:1181146:-1 gene:PPA20008 transcript:PPA20008 gene_biotype:protein_coding transcript_biotype:protein_coding MTYRTEMGLYYSYYKTLITSPSFFDGITKITRDNVTEYGHTINTLKRFNLYPEVVLSYFYRNFKAITDKMGWRVQTCWQVNRGGDLPPVESCEGVGNPHYFYVGGAFVVAGTVAGWLFLLGVVLRILLLKCFLFSDSILGGLLSVAAFAFNHGESTRVQWTPPLRESFSYPLILAQITLVTIALRNHVSGSRWLVGISIPIVLSMLSWQFAQFVYSTQLAALSVTFALDYLPRSTFSTLIFTHIFSLLVSFVLLFGNEMLLTSFYFPSILAALVEKCTIRRHP >PPA19920 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:754391:756459:1 gene:PPA19920 transcript:PPA19920 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKQRLAVGAVQMSSHKRFESDGEEEEVVKKEKKKEKKEENEEEEVVKKEKKDKKKKRDREKETEEDIEDGKKEKKKNKGDSDESEERHKEKKKKDKKRDEEVKEHREEKHHDRPKEERSDRDNWRKNDWNRNDNRNKNDNWNKNDDRGGQWKRDRDARQDRGNRGDWNRNDNRNNFRNNDRKRQDPRDNDPSKPAWATGAVMDRAKELKQRQLLWKKPAEGEEASSSTASQEKPVEKNAGMWSAAFTAAVGGNSAQSSKFMKLMGIKNAPAEADNMDDKKVKEEQAKQKALMAGLERQYEVSREFSHQGRGKGLGFGGY >PPA19884 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:545789:546347:-1 gene:PPA19884 transcript:PPA19884 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVPLFADGVTVKEEEMDGVLLRTQMEEETSEPSMLDWELEMEMDLMDPDAPKEESNKVPAQKPTDVRSARADFVFDLVNLYLDKIKEKETMR >PPA19957 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:915481:917237:1 gene:PPA19957 transcript:PPA19957 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSLWNSRRLLLLTSILLIVGIIIVDYQLDKRVSHPVNGPDVLRDLNEALDAMDYLHDSKNGRAFIRPVFEEESEVREYYWRCSIEKPKRIILPSTNHSWTTNGDFHLFSAYVDTRANSLYPRNQAVQVLAMRFRMPNATVYCNLHSEERSTVVEATIWQRGWDPRGDFFVPLLISCPIPRWMEGEKVSVQISSGMCASRETAISVSVPPSPRKDKKVAVCVKGLDFQEDLSASLIEWIEWQFLFGADTITIYVYTVSPATERVLRWYEGQGRIELIWIDLPGDDSPHIPISQSVYMKRNRQQKRRHELIPYNDCLYRHLNSHDFVLIVDIDEIVVPLEHDDWIGLLNSAESSANGRVISSISMRNVFKKGIKERRLWEKFLEV >PPA19919 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:752879:754294:-1 gene:PPA19919 transcript:PPA19919 gene_biotype:protein_coding transcript_biotype:protein_coding MHVIAKSGMGNFVSSMRSSLRSLLRSSSTMSEKPGPDDIGIKKLGVTNAKEVKDEDWKKVLDPTTYAVTRKADTEAPFTGAYDKFFEKGRYRCVCCGVELFNSDSKYWAGCGWPAFSNSVDNDLNVKRIVDKSHGMTRTEVRCKNCDAHLGHVFDDGPEDKGGERYCINSVSMQVP >PPA19845 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:301763:303156:-1 gene:PPA19845 transcript:PPA19845 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMSKERCIRQNMADNVLKELSIVTTLDHPFIVNLQSSFQNGHSKVSCQNRLGSILTRGDCPILDVRGEVRTHVLRGAGGKSYALRNICAGSIPHFLLT >PPA19904 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:628414:631519:1 gene:PPA19904 transcript:PPA19904 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rme-2 MDGLVKTSMNVSMKDKDAPNTALMSMMGTIGIRYECSCASGYELDKDRHTCHLREKDMDGGIYVSLAGEIRSAPMFSPLHSTRGYETYVSTNHHGTTKNMALDVEGGRMFAAMEPQSHDGVLSVIENGEIKKLRENVTGINYVAVDWIGGNVLYTVRHPSPLPGIYLCKMNGLYCRRIIEGRVGPNKRQNYRGLAVNPMKGLVAWIDVTERDHRIMVANMDGSGVITVVDHKLSYPSGIAFDVIKNEIYFSDVDTKLIEKVNLETGRRTTVLYPVNHPYDLAYFDNLIYWTEWGTETLKVASVGVQHSTPHLVHSFLQFPYGIALNHSLVQPPIRSNPCDGVSCPWMCVVVRSKDDVYDTSADLEARCVCPDEYEENGEYNSTVKGSLPCKLKKDQTMTSMMSHVSVEAMEEYCKNGEVCMNEGSCLEHANHHGRIERITCKCADGYGGLWCEVPPLPDADSLDGDNNSDNDSQNGESIVNVGTIIALVLLLALIGGLIYWGSRNSDEITSVTRSTIHRVQEARLPERASEVIKNTTRNLGMGPSTAPSSSFSARNGGGTAEVTTSIPPPPNMYPPLPTVTGSSSTSLVSSSSTANFSNPAYEVHNSTASPYSGFEEMNTTEQSYFTIERSDGQETFGNNPFGVLP >PPA19984 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1042341:1043553:1 gene:PPA19984 transcript:PPA19984 gene_biotype:protein_coding transcript_biotype:protein_coding MWFRAIIRWSPLVNAVVVVFVPILLVCISNALLILTIKKRQVPLLKETSEQASQQKTEQRVARTVILIVTSFTITQGPSAVLNVAAVFQPVAVPMLTFSTFFVILGKALTFVLFCTSSSSFRQRLLHKTKLIRRQTARKLTVLTQGDTQETHLMLSSRTSSTRFHHKTSKTSSRSIPL >PPA19898 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:612829:614729:-1 gene:PPA19898 transcript:PPA19898 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mtm-1 MKMIVDCNTNGHRMIIYDARPSVNATVNRAKGGGYEVEYDRCTLKFLNIQNIHVVRDSLKKLQEALFPRVNNKNYLRQLTSLAMLCLDPYYRTIEGFAVLIEKEWCSFGHKFSQRCSQGVDGSSDQERSPIFIQFLDCVFQIMTQFPVYFEFTESLLLDVAHHLYSGRFGTFLFNSEKERLVDNSCATTTVSLWTHLLGRKKELTNPLYLKGTVLLLNPSHRVIQVWISYYGRHNDEIISPLSNKMSRYGLSRALGARTLLNNIVAKYEQSSSRDRAPVSKFSFP >PPA19908 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:642036:644505:1 gene:PPA19908 transcript:PPA19908 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVGFIGLGNMGAHMARNLIRAGHALVVYDANPKTVQQFKSEGATAASSPAEVGAAAKEVITMLPSSPHVREVYGGKKGLLETLKSGSLCLDSSTIDQSASIEVAKAVAEKKSIYLDAPVSGGVTGAQNATLTFMVGGAGGNPELLTRAKVLLDKMGKNVVCCGSIGSGQAAKICNNMLLAIEMIGTAETMNLGIKMGLDPKKLASIINTSSGRCWSSDTYNPCPGVIEGIPPSKGYAGGFGSALMAKDLSLAQNAATTLGAPTPLGSLSHQIYRLLAQHPDFQSKDFGVVFKFLSEGKQ >PPA19963 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:935240:935633:-1 gene:PPA19963 transcript:PPA19963 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDWVERRFAALFADYSGIVVSKPLPFILFPLIVTSLLATGLLRHGQAFMKDELELYTPTDAMARQELSQLDQLFHINDSDPFYATRRYGGHAINR >PPA19841 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:289577:290734:-1 gene:PPA19841 transcript:PPA19841 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPVLAKKVKKMASSRFLNLKALINGENSSRGFAKFRKNYKLKGEIGRGGFGIVYRAVRLSDEMPVAVKFIDRRGIKEWGKISDEQVPMEIVMLARCSKIEGTIPEGYLIVMERPFPSMDMFDLIKAHSRLDEDISAFLMKQIVETIQSCAERRVLHRDLKV >PPA19878 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:515955:517705:1 gene:PPA19878 transcript:PPA19878 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSPPGVPSGSPQSESPKQGNSEPPSGGAPDAGPPPPQPNVPPPIMVHIRTMMAGPNNEFTLAISPECTIGNLKHIIADRLDVAPERQLLSLEGKQLDDDTAVLPSYGVTSACHILMNIKLSTGTSTKASSDVILFVPNSFPSNNDALRNVIRGMTSRRAPRARRKEKPVDSSAQQRTPQKDMENAMTRNRMKSLIKTRRTRRQSLIDSGAINVESGSGAATPDHGSVIGSAENSVPATPPEWASSLSASSISSVSTTSLASSSRESVLEVTEKELKMFFDAPETRVELDATRCDLSVPPSSYEEYQQMKKDKELKEKTRCKVVYSVVCRSKLKLAEQSVVCACARAFCKKHRAPKEHHCPIDYKQTGRDKISKDNPKIYDGGKAKGKLG >PPA20006 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1175144:1176819:-1 gene:PPA20006 transcript:PPA20006 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dpy-19 MTFRPLFVFLLLSIYGAVTVGAKMGLAMVFNVEDDAHIGEILRSKFTDFASFHTRLYTCSAEFGFIQQETLEKLTNTGLLPTALTSFLLIAIFLLRSRELLWRDTSTRLRPLSHLPFHFAQTAAFVFLAFIIMRLKLFMTPHLCASVALIMSANLISSSLNVRLAWIVRVGLSGLVLAGMAYQGMGNIKHQLSISGEYSNVEQELLFEWINDKSPKDAVFAGTMPVMANVKLSTERPIVNHPHYEDKGIRERTLKVYSMFSKKPITEVYDGLKKMGVNYVVIQPFNCDHHPRKECSYRSMWDLHDPQNEKRTSLCDIWQTAYKLSPKEANKKVAPFKFAYNHASYIVFKID >PPA19801 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:132372:133404:-1 gene:PPA19801 transcript:PPA19801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:UniProtKB/TrEMBL;Acc:H3FC51] MAEREERTFIMVKPDGVQRSLVGEIMERFEQRGYKLVGLKMMTAPKELLEMHYHELRERPFFPKLMAYMGSGPVVAMGMDIVAQGRAMLGATDPLKSAPGTIRGDYCQATGRNVCHGSDSKASAAREISLWFKDSELSHYTNEQHTKWVYE >PPA20001 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1155481:1157024:1 gene:PPA20001 transcript:PPA20001 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFTVMFPTRSRDEIEEVLWRNKGAIQASIDDLLEKEEREKKVAAPTRVDLSSIQQRRQSSQSRSSTEESKQSTSLPSVEEIKKESWRVKKKIMENECKLTFEFNGIKRNALLSEQKALLAQEREYSRFIAREERRKKEKRSKSVPRKKEEKKDIVDTVLHLSAASRKRWDSFVRGISAESSGGERKKTTNSID >PPA19809 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:161463:163595:1 gene:PPA19809 transcript:PPA19809 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGVSPLNHRRLKELLLEHELIIDGIILYGVMAEETVCTENLTPWRKLLPCKKSGLVTLLNPLRMYNSLYHSIGFNFFLHCDNKKCLNPTWKLSLDATVVFDFKATDQSLGFSFERFFGRSMEGKCLVADKSTFIYNDDYYTPSFERVANTTTKEGDRTFHAFDMKTSSNNEIVRYSSSRDIDTRLPPPSIEVDAAMLGSEASLSGTLEYGIYRGSGQSPLSASFFLVVPWYAQLQYASLNMKCRSKGKEYSGVKSKYFIPSISRKRPASIYYEFILRPDSKCTVSFKFTRAFMKMSEYPSDANHGKYIPPAVVHVIDPDHSSNFGIQKESSPLLSIFSSPLLLTLPVPDFSMPFNVEAFVCVVISLCFSPILELSAYIMLPVGEVKPLTSKGKKIFRGFLYIIMGLSVYAEMNQVSPAQIMRYGRAAVKIVFNATVEAVAPLSHQITGQ >PPA19861 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:362631:363940:1 gene:PPA19861 transcript:PPA19861 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPIVIRILENPKQHSISLLVISSLALQSLFGCLRKYEFDSLPCGKQNEAKQGVCQGVSEGSRRGARGEGSSQSMTKAQFNKVMQLFRTPISARARTSR >PPA19817 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:179378:180299:-1 gene:PPA19817 transcript:PPA19817 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFDSQMAIMGPLVHEILDRFTQKKEGQKEMKKMKMYAYSAHDTTLAAILATFGIFPTRFPLYATAIAIEMHQKGDEYFVEMYHKNVTDSEDAFKHEMEGCPSPCRLDTLKKAMSPYAPSNWSEECGLTRDNTIRYIVAIGVLGMTTLIFGSILAVDIFLKYRNPRPRSSSSRLLDEEETIDT >PPA19975 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:998248:1000520:1 gene:PPA19975 transcript:PPA19975 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIKNLRQIVQVVDDSTTEYLIGDAMKNLKILSSPDCTLCIAIDTKTGKISYVGEENGVGILPPDVEVIDGKGGCALPSFVDGHTHPVFAGDRVHEFAMKLAGATYKQQEEASTSLRIKREKQRSGESEIYLHSETKDQLTQIITRMVQSGTTTIEAKSGYGLDEDTEMKMLRVLDRASSSLPIEISSTFCGAHAVPRGSTEEKQTQLIVDRVIPRIAEAKKKGELKSVENIDVFCETGNFGVQSTEKILRAGQSIGLAPNFHAEELTYIGGVEMGARIGARGMSHLEEISQEGIISMASAKSAAVLLPSTAFILRLTPPPARKIIDSGVIVALGSDFNPNAHCLSMPMIMSLACITMKLSMEEALVAATINSAYSIGRGSSHGALSSGRQADLLLLSSPRWDNLIYQMGDHSHLINIVIKKGKIVHRKQ >PPA19914 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:725347:728941:1 gene:PPA19914 transcript:PPA19914 gene_biotype:protein_coding transcript_biotype:protein_coding MCDEEVAALVVDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQSKRGILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDTGDGVTHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDIKEKLSYVALDFEQEMATAASSSSLEKSYELPDGQVITIGNERFRCPEALFQPSFLGMESSGIHETTYNSIMKCDVDIRKDLYANTVLSGGTSMFPGLADRMQKEISALAPSTMKIKIIAPPERKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKTFSAMPFDKNASIDAGRSMIKDLIKEDQGH >PPA20013 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1209385:1209774:-1 gene:PPA20013 transcript:PPA20013 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGKEKKGEEKTTVDLWDGNKLKGMLRSPNTNTVNVREEETFLSEVRANLTGVRIDEEREHEWIR >PPA19820 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:192235:199536:-1 gene:PPA19820 transcript:PPA19820 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKKPVAAGASGSKRRMNRESSSSESGESMDDSDYQLAMDIAQRRTTRQSARTCMLKIRGDSLVDEEMEAQEDQKPKDVSYDYQELLNDPAYNKPELYKSMEPEEFNMDYYHRTGLTEVLKFSCPPEKLGMKMVDDDFTVDDVEKLVGGDRMITVVVVATQQSEDMPLKKFIEFYKNVDGRQSNSSKDDTKRTLYNVLSLEFSNTALTDLVQSPSLVREIDWASSWPDERKMRSISFEDDGTFNIHNRYPRVENYCLMSPNECYTDFHIDFHGTSVWYHVKKGKKVFWIIEPTEQNLKMYEEYMKNTESSAFFGTIVETCARVEVLPGNTLIIPSGWIHAVYTPVDSLVFGGNFLHSRSALMQLNVLQGENRIGINKKYRYPYSEEAVFFYLNKVVKEVTGRQHIRPMSRNQQNHYYEYVGEQFREKNMHHRVPLKADYDDDGVTWKQRWEETAEYIESKAKLAKWDGNGMEEMEKDEENDVKKVDGDYDGMPILSPKKEVNDGMPVLSPMKEVNEDEKTIDVKVEEKDMPEFGGHDDMFIDDLTFYHPVSFNLDAGRGATAVHTLPLSTVEPRIKISKVTLKKAIHQLEVVQWEGLIVNLLKKSKVDVPDGLTRPNSLIQTFCQGENFIGRTRDEIDVVDGGITHSMITRGGEMMEGINVHTGGAPSLILYASSPIARRISRISTDGWGGMNGERRDRQSSGRSPTRKPSSQFSSSDIPPLSLTPSHTPHTPVTPTTSSTPIHSTTLSTSKQTRLSQAEQFTMAAPKVIDAPRLATPLVPTQSSNSDLSVLSQSNSISGIDPLPSDIWCGQMERFTAEIERANAIMDSSCIFLYEDDLLVVCFDPYSSIPFV >PPA19880 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:523105:524512:-1 gene:PPA19880 transcript:PPA19880 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTIYRHFIYTGIRFGLYEEIRNATTASSPFTVYKAMLAGLVSGAIAQFFASPMDLVKVQVQLRHISNTAEYHGNTISIVSAIVRKHGFTELWRGWIPNVQRAALLNMADIACYDFSKRYLLSSDLGLTDSTLTHLLASALSGLSAALVSTPSDVVKTRIMQQLRDPSGETTAYRGSIDCLVRIVKEEGFWTLYRGFTPIYIRMAPWSLIFWISYEKIRVFTGSKSF >PPA19805 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:149233:153443:-1 gene:PPA19805 transcript:PPA19805 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-glr-1 MRCLILLLYLFQPSLPYPNKIPIKSFTISIENGHLVEKAVKYSRDEMNRRESPPFRLSLDHIEIKKEPTEAYDMITTVCQELKEGAMGIISLKDGRGYDSLKGLSENLEIPLISLNPPSFPPEYPSRILIDTTSTSRLQKLLSTIRAAQFNQGNYHYVIVNFEFLPYDVAMFENGNINISGFQIIDREHKQYWDLKKHLEEKKDESEISLDHYTDITARVALAHDTVIAAFHGFLRALSQNDSIFSKSFRHGKLYNRGYRGIYCDPSTDRENPARPYSSFEHGDMIGAALHGALSWVQGKGFILNQTISDHTRKNHEDLNNRIVKVGTNFVSDLPFFNQVNLYYLDRYCIDLLNLLQDKIDGFNYEIVMRDKAGSKRPDGSWDGLVGDLLNGDIDVALASLTINQDRERVVDFSKPFMTTGISIMIKKPDKQEFSVFSFMQPLSTEIWMYIIFAYVGVSVVIFLVSRFSPYEWRVEETIRGGFTISNDFSVYNCLWFTLAAFMQQGTDILPRSISGRIASSAWWFFTMIIVSSYTANLAAFLTLEKMQAPIESVEDLAKQTKIKYGIQQGGSTAQFFRHSSVQIYQRMWRYMESQVPSVFVSSYAEGIDRVRNQKGRYAFLLEATANEYENTRRPCDTMKVGANLNSIGYGVATPFGSDLKDPINLAILALQERGELKKLENKWWYDRGQCDQGMNIAALGEFLYRSRIEARKADPQLCGNFARNLKTALSSQLQLSMKGGATAHPGTNSHEALKRQKMVNQ >PPA19988 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1060987:1064801:-1 gene:PPA19988 transcript:PPA19988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pqn-83 MDKRVLLLVVLISTPVIPRRSSSGGGGGGSSNFNRGSSGARGNPSSGQNFGGQSNFNQGGGQPFRPQTGPSGNFGGQPNNFNRPNQSPVGRPNTIGGNGGIRQNAQPHATYVNPIASQPNTKPTGGAIRQNQQLTYVYIPVNAHGRGDRQPLSTTVTYYGIVYGGMKQPAGGFAGGNNNFRPTNNFGGPSSFQQSGVGSRASSGSWKTALAAGALGAVGGVVAWEAGKAIIRSAEQPFNHGGRNYYFDNNGNKQLNGQQLCSMPLSELQKIESPATTTTTTTTAAPSATGDLTTTTVAPTPENVLQTIQYPSGDRPKMVTWGCKAGLEVCCGTDCCPAPQMTNQVNQGSRPSATGAGSTIGTVAVLIVIFCLLLCCCGCFIVYKCFGGMCDREDKRNDYDQGQGEYPMQQYGQQPNYSQPQYDQHQGGGYPPQNQYPNQGYPPQPQQYPNQYNPNQAYPAYPHNPQY >PPA19800 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:131133:132170:-1 gene:PPA19800 transcript:PPA19800 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNRVVVSGYEALRKEINKWNEAGKKVISVAMLLLKGRLVLLFLSDHVDGKGWCPDCVTVEPLVAEALADPTVTAAAEVTFVQAFVGQKEAWKDKTNSFRTSDDLLVNSIPTLLEYGKMDLRLGDKECTTAEIAFYLINN >PPA19855 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:336919:341479:1 gene:PPA19855 transcript:PPA19855 gene_biotype:protein_coding transcript_biotype:protein_coding MTNYLQGDVQAILLYKKICNAFDLVEKWDENASRQFLSQILDIGDLLDHTCKTLVENIIKLKWTKVPMDLRMRWRNLLCEIGVKHVVHLEKIYQCAIRAFLPEESVNEKGEASPALSEDQQAELFGMAHSIVNQLLIVIPVSAKMASKMLNRYFPYQSSPVFKTTAYIRNLINASEYASVIREEIWGIIIDNLSLISRDTCGDDSGAEIFMLDEDEENSRASAVMEECNPDQMAKLDQAMRDIIDYVHSSHSIEIDDEAAAWMHCSGKVESESVFNAIHSSLMRHLLNAPTVRYVSFLWLFLASLKEEYTERVLSSLWECLIRPSSLDGEAKKSQGAASYLAAFISRAKYIDIRMAQTYLARMAAYLCSYVDRVGLSSRTIVPGLSHHGVFYAMANAFFLAFSFRYREIVKSGGLDEVRHWGIARFIHSSLDPLKYSIVSVGRCFAAVSRSLQLVYCSHVLPLAMTEFNRPFDPCNPFDAYFLQKTVSLITPLTRKFSPMKEDADNLTREISMSKGEKKKEEESAIDFLDEDEDMDYGSPKSLGPLSNGLFTVYSTSPGLRPFQSGSPMDMR >PPA19882 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:539619:543884:1 gene:PPA19882 transcript:PPA19882 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gei-13 MVSNGSISPSSDSSKRGSKIDNLAGNLAERLGQVSTSVSSPSLPSFPSAVSSILGHSAFQPQMGALSALAMQLAQNQSGGAMGMNSQSTPHQSVIQPNPSSLPSYLLPKQEQVQQLQQSQPSSGTTPQGFTQTPKRKRQRRNPVWPYFIVEDGVAKCKQCAYSTKSVFSTNLKVHLRSHHRPDYEKVIEAEDQLNLNALLLSGNAAAGLAGKDNRKRSLPPMTSSILMTISKLAAANQTSALQQALANQQAAAVAQMGGEGGGTENETLNALRQQIAANQINALQKQMQQQRNNEVSEFSPLGMARPNSAASIHQQTPPIVHSTPPTPSPAPTPDMILQQFGGLAGLQQGVLGGLEMPQPKRRRLRRHPVWMFFKDLEDRMVGCINCNFRTGSAFSTNLKMHLKAHHKEDYAKVLKLEDEMRIEEGIFGAPNKIKSELIDFIRGGGNANTPNAPCMSLNNSSASPLVQQLMAGGFNGRSSPHTPGSPSLRIPTITINNNSTIKSEGEEGFSALPMNDKLAALVGLHSLSGMENENGSDVEKAEKNDLIARLFNNPVQTQSLSMSALKAFNGSSVVTSDSKSCTSSSVDGSSIVYDDRKCERDRAVARFASAVGENVVSSHPFKEEMEEDRENRPPEGREVKKEKKEEDIKEEDEEEIEMNLGQQSLLSLLGLMMDQSSPSTSFDFFNENEEEGRDEEKKRKIEEVRRKMNEIRLIEDQVERTKKMACERMKLKRLTENKEDRKIRLEKMRMRYMKRVGEEKEDKNDMKEEDKQEREKRNEEKRLRRNEKQKEYQKKKRMEMKMMRTNQDLLRVLIPDYDMPDPSTLISLISSSEECHSEGGATSSDPSHSERAEPTESPSGDELSGEEHTPSQFEQLLQSLSNHEC >PPA19836 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:273716:276120:1 gene:PPA19836 transcript:PPA19836 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-byn-1 MGKKNKIKGGDVITSSGPLDKQIETATLARQRADAKKMKRKREANEEEYMPEDLSKKILLNARKQMEESDDEEQETDMNGANKSLGEVSKAAESEEELEETAEDYDEGEVVIDPKDEADLERFMSKKDTTVKRTLFEIIQAKLDEKKVEATETLSQYDPTEMNIRELDPEVVEMYREVGSMLSKYRGGKIPKAFKLIPKLVNWEQILYLTNPDKWSAAAMYQATRLFASNLNPRMCQRFFALVLLPRCRDDIDEFKKLNFHLYQSLHKALYKPAAFFKGILLPLCDSGTCTLREAIIFGSVLQKASIPMFHCAAAMLKIAEMEYTGASSYFLRMLIDKKYALPYKALDALVSHFLRFRNDDRELPVMWHQTLLALCQRYKNDLNDKQKHAIRELVKVKFHGQITQAIRFELNDQGRSKQKEGEQMEF >PPA19990 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1081668:1085136:1 gene:PPA19990 transcript:PPA19990 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nit-1 MPKIAVVQAGSRVYDTPATISLLEKYTQEAAKNGADLVLFPEAFIGGYPKGLDFGVVLGLRSDEGRNEYARYFNGSITAEGEESNRVAKIAQDNNIWIVAGVVEREGSTLYCSVFFYSSQGKLETVHRKLLPTALERVVWGQGDGSTMTVVQSPIGKIGAAICWENYMPLYRVTLYSKGVEVYLAPTVDSRDEWLPTMQTIALEGRCFVVSACQFLRAADFPEGHVVRSMHKDEEVLIRGGSCAVNPLGKVLLTPDFTKEGVKYVDLDLSIIPKARFDLDPVGHYSRPDVFQLRVNEDKKSTVVFEK >PPA19910 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:653998:658120:-1 gene:PPA19910 transcript:PPA19910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rars-1 MAPQNKPNKNPKKEDKKEAKGVTPSPPAAPAGISPNQELQQLYTTQFNAEVDVARLTRLLTAMETGEITEELLEVCPELVEVQQKNQKLKFRKTILERSVKTQEDENAKKGIKTAADGPSKGAPDAKKSKEESKGGKEEQKGGKGEKKEGDNNKNNKTPSAPPKAKKHDYVVVKDFAGSIMGRLAHVFKKAIKEAFPTVSVPLVLAEATNPKFGDYQCNSAMGISAALKAQGTAKRPAEVAADIVAKLPVCPLISKTEIPPAGFINVFLNEEFIAKQCGEISRHGVRLPTIESRRVIVDFSSPNIAKEMHVGHLRSTIIGDAISRLFEAIGFDVLRLNHIGDWGTQFGMLIAHLFDRFPNFQKETPAIGDLQSFYKESKKRFDEDAEFKKRAYECVVKLQSSQPEFIKAWNDICDVSRKSNNEVYDKLDIKLNERGESYYHNRMEAIVEELKTRSFLREEEGRHLMFPTGCDIPLTVVKSDGGFTYDTSDLAALKNRLFEENGDWLIYVVDAGQSLHFETIFSAGRDLGWYDEAVKRVEHVGFGLVLGEDKKKFKTRSGETVRLKDLLDEGVRRSEEKLKEKCRDRDMSEAELTAARDAVAYGGIKYADLSHTRTQDYIFSYDRMLDDKGNTAVYLLYAYARIRSIVRQSGVSQEEMDKYAASNELLPLGHPKEVKLAKQVLKLSDVILQVVDTLMLHTLCDYLYNLSTTFTEFYSECYVVEKLEGTSKVNMNRLLLAHVTANTMETALNILGIKPVPKM >PPA19852 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:326646:330330:-1 gene:PPA19852 transcript:PPA19852 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpt-6 MAPMPPTASGVLAPPMMPLESISDEQSLKRYFKTKIEEAQHKLQEKQQNVRRLQAQRNELNSKVRMLKEELQQLHEQGSYVGEVSKVMDKKKVLVKVHPEGKYVVDVDKGIDVAKINTGCRVALRADSYALHKLLPNKVDPLVSLMMVEKVPDSTYEMIGGLDKQIKEIKEVIELPVKHPELFEALGIAQPKGVLLYGPPGTGKTLLARAVAHHTECTFIRVSGSELVQKFIGEGARMVRELFVMAREHAPSIIFMDEIDSIGSSRVEGSSGGDSEVQRTMLELLNQLDGFEATKNIKVIMATNRMDILDPALLRPGRIDRKIEFPAPGYYDVDVFTNDRPSIEDDRAREDILKIHSRKMNLMRGINMRKIAEAIPGASGAEVKAVCTEAGMFALRERRVHVTQEDFEMAVGKVMQKDSEKNMSIKKLWK >PPA19959 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:921085:924045:-1 gene:PPA19959 transcript:PPA19959 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPLLLFLLLLIYSPFLTSTPSNLKTRLNKEGFYFLSKNARKVIDKEIPEIEIPDIKIPFDGGWVGVADINIKKFLTPDCKNSEDDKFSFDLTLSPAGLHWDSTGGGLKIGADIAVKYGIFYQTGWFVATAGDLRLSLSASVSTLDGRPQFAIANCAMNIRHFDLQIGGGVVMWLMNLFRDILSYEVQILINDQACSYARDLLLNEGNTFLHSLPIDVDIGKGFFLHYHIDDDPQFTVDHADLSTTVTVTYSNQTSCTHPITPLDAEEDPSSMGSIWVSDQIPNCLLETAFNNINLSLTVTHMNLPAIEGYLKTSCWLKCIGFFFPKLKKDFPNQHVSLTFAPASAPVVSFLNGSTCVNASINLDFHIYPMESNPDVLLRLNLLSIGEVEPYLEDRKLKGKIDSISINATEVFSSIGDVSQTFLTGFDAIFKTVSTSLLSVLLSKGIPLPIFDNLSISDTSVITERPGQVLLSLNFTDIH >PPA19802 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:137331:137907:1 gene:PPA19802 transcript:PPA19802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dgk-3 MKQAKIRRNSHKSRLSIVLEKLIHISTKRRLSSFEEAITNVRNKLNGLNPISERLKPSAEEKSYEGVRIPLKPLVCYLSLLEAASPENKLEVVFHVYDSDANGFLDKAEIEGIIEQMMTVARYQHWDTIELEPVN >PPA19891 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:586148:587047:-1 gene:PPA19891 transcript:PPA19891 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKSARTIALEVEENSTVEQVKALFAQTEGIPAEDQRLVFAGKQLCDDSTLAQCGIEKEATLHLSLRLLGGIIEPSLRMLAQKYNCDKQICRRCYARLPPRATNCRKKKCGHSNDLRIKKKLK >PPA19872 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:500629:502472:-1 gene:PPA19872 transcript:PPA19872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:H3FCC2] MALPASAKCVSSLISTGVRTAARQSSSQPKVALLGASGGIGQPLGLLLKQDSNIANLALYDLVGTPGVAADLSHIDSNVRVKAYTGAKELTACVEGADVVVIPAGVPRKPGMTRDDLFNTNAGIVRDLVETIAKAAPKAFIAIITNPVNSTVPIAIEVMKNNGIDAKKRIFGVTTLDVVRAQAFVSELKGTDAAKTVVPVIGGHAGITIIPVLSQVTPSVKFSEDEIKKLTPRIQDAGTEVVNAKAGAGSATLSMALAGARFANYLVRAVKGEKIEACSYVASDAVKGVEYFSTKVQIGQSGVEKILGVGNLSAYEKACVEAAVPQLQKEIAKGIKFIKG >PPA19937 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:835661:839255:-1 gene:PPA19937 transcript:PPA19937 gene_biotype:protein_coding transcript_biotype:protein_coding MIERRCFEQATEEDDDKKKEEDEKEGTFRLHPKLPSCNDDQKEEGDWREKVIEDYEACLFLEKGKEVKDKRQEERLIEERERSPLEISKIEKDLRRMKSTIDQLILKHYPQLVDRVHVSLVSCAHELAPVVAKLAALNNTFGSTHPALGMLLSANRIAYSEAVENAIKKANEVYDNFLHTQPSFAGEVFLVGDTLGGLILYEVLSYRPSLVPHLQLTRHSSSVSSNSPSAHNSCRQSIPEGMEEVISPSPQQQWQPLYGKGSGHFFDSGPPGTPGSLNGHSQTPPATHHHRNSSAPPSASFDRKKRHSISNMSQTGTIAGENGPLNFQPTCCFLLGCPLGIVLMHQRLGGCDIEPVENCQMFNLYYPLDLCGARIEPVLNPQLCILPPSSVPRYQRSPLGDGKSIAFDPSLDTNSLWGSKRIDHTLYCPSTMVSLPSAALPNILHASYWESWDVAAFLLRQFVRGEEGLLSSSSSALSPSSPHAPPLTLPLPQLHWKKRRTRFKITNLSANHRANDSLVVQGNEQIIHARFCYGPMDLVALTREAVNVYLCPASLLLSNGLLLN >PPA19793 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:9826:11158:1 gene:PPA19793 transcript:PPA19793 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRQCESCIRQFAEIAVDSVLSVADLKTRDVNFELIKMEGKIGGRLEDTMLVKGIIIDKTPPSFFSHIISLNGNCFLLQTICNLKLTNKGQGIRFTAESPEVPNRNRIHPSSFYRQWNDIREDISLLSFLLYLSQISEIDRKKSTLVCGLQGYREKGIGKLIRALETTVEILGVNGEKGMR >PPA19982 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:1018718:1019279:-1 gene:PPA19982 transcript:PPA19982 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQRLLDAARSAENTVVSIKAIEEVMQGDDDDKGKKGSGRRRSSSKKKKSTESKEIKVDKPKKTTTSKSRERKKKASEAKD >PPA19915 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:737832:740742:1 gene:PPA19915 transcript:PPA19915 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-prx-19 MADEDKKVEGGQDGEAEKLANLLDSALGGFAPATRTTDDELDSLMEAADAKAAQTAAKDFQSMLQQMVAVQEEALKKAEEEGPVPEAEAEESKAMMEAMRELMACSGAIADAGSQEELFAQLEKLGGPEGNMGPMMSMMMQAFVSKDVMYPPLKEMHNEFPAFLEKKGAELDEETRGRYEKQYEIIGEICAEFEKQPDVPSEGVVVTPPEGDSPSDELPPLPESIDIIGRKLVELQSLGYPPAELTGGLPPGFGLDSTTGIPRVDDPSLAAQAYRYYDRFELEWNPGVSYLHHPSGVTVLVSSEKFECEVVEVSFGGTKKGGADRTEQTRSEGGKKGALRLQADTRLCTIKLADGSERVIRSGVKGFLAEVNNTLRESPDLVRTAPENQGYLAILTYPPGQRKPQELAKLQVTL >PPA19966 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:943164:946056:-1 gene:PPA19966 transcript:PPA19966 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSAGTSTPLAARPSDPSPSSSSSISPSQSMTSQSTVPPPPPTTVPPRMDNYRRLIDDGGYQSILPDFNTSFSPPVPAPRMHVPFSSSMSHLDRIGSPSIRLESSFSSSSSSSHSPSPSIDSRASPSIDRPTVSMPPTVILPVIPATKPTVEVHPMPAERKKEKPAVPAKPKGLKLMDPLTPTSLSPSPVMNRWNSTSSLYTPPLSASPMSTSSLFINQLFSTPSFANLTQSYEKSHEMDEQEKEDLENRRMESLCSLTTRIVRLQEELTTVQKEMTVNDEVGQSILDYVESRDVAVYEKVRRQLESEKELLSLETKLRLQLEKCTKHIREDLTMKKSEAALEESRLRGKLSETRLLRSIYSNRERQVERALELLLRDEDLRRWNTYKEGFCKMIEESREIEMALNDAKMQLRYLHSTKSIDTNSSV >PPA19847 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:306877:307536:-1 gene:PPA19847 transcript:PPA19847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ymel-1 MLAQLDVMMGGRVAEELIFGEEMVTTGAADDLRKATQLAVQMVKNFGMSEKVGLRDYSVSDSSDSLIKMSDLAPATAELIDQEISRVLIESYRRAKEILVKHKKEHALLAEALLEHETLSADDIKAVVSGKSLKRSLPQKESPLNTPHPQLDIRKAAALLLEEAKSKTTQH >PPA19813 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:170238:170819:1 gene:PPA19813 transcript:PPA19813 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAIENRINNLIKNELEKEGIDQKSSKGFVVSTEKIVGRSIYGFHPSDEDFVKIRFASPFYSSRAASSLSSISSPLLQPFDAHVPFHLQFAIDHSIFGMDFVSVRNARFRLKRSRCDDDDLFGTYSVEAVMRE >PPA19826 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:223385:224425:-1 gene:PPA19826 transcript:PPA19826 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTPSPSGFFFGSDSFYSCNMEESSVGVKCLASSHLADFPIAVIQISDRELLLAYQNHGRFVSPDGILTRKEQIEWEQMPLEFSYEAPYLYMVSMNALEILRVQPYSSPSTPPFHSEKEYVRMNGTGAHIVGKRANGDVYLSLTTPSLTELHSINAAQVQKKKSSRKRPTPHPVVPSSCTHQITVECIGKPTFTSTPRDSKIDITVTVEKEKKGKRTVVKRKGSTTMGDKRSKMGQ >PPA19909 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:645410:647035:1 gene:PPA19909 transcript:PPA19909 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-glod-4 MASLVGRPLHYVIKIGDRKKSYEFYVGTLGMKILRHEEFGEGCEAECNGPYNGKWSKTMVGYGEEDNHFVVEMTYNYEIGAYTKGDDLHGLYVDNKEVFDQLASNGASCWDEDNRLRLRDPDGHSIFVGGETPIGKPSISRVALNVNDIEKSIAFWSGLLNMKVESKEERRAVLSYQKKGRPWVLQLNSMGKTVNRATAYGRTAFACTTDKLAPLEAAVKSGGGTILKPLVSLGTPGKATVHVVILADPDGHEICFVGEEAFKELSKIDPKSDGEIQKAIKDDWSGEWFKNGKKTM >PPA19928 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:801528:805217:-1 gene:PPA19928 transcript:PPA19928 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGVAAPTADDNILAKKAMIDANIKTIRDKKEKILATLKHLGKDEEPNKELMGQLVAAYDSISAQENDYLGILTNIAEIQNRATEDVANDRKELIEKMGKTGANGENEDDTEEDPEEIKKEIQSTLREIAEMHAATLAASMVNEQLVAKLNMNKSKHNAIKQARDDATAVQSETARIVLEEREKAMRKVASERQSMEKKKKELEKLRKTVVKKECEGGEDGEEIEVDESARIPPLRSKEEEEEEERKKKQAEEEREKTLEEKRAEIRARVDAERKRREGAAQQIREKLAAMEKRKERMGEIRRILSGISQAKSEDDAKNDEEKEPENEEKDEKKAVETTRKEIELNLAEASMSLKHLTQLREKLEAMQASGEAPTDEDEELLKRLDETEKIGEEKSVIFVL >PPA19905 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:631995:633019:-1 gene:PPA19905 transcript:PPA19905 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVSGVVGDHVSYLNQLTPTCKQHWFYVFVKRYEPALDNFYNYMGSILKSKKACGMCSYKQSCGFGGPKKCHASPFTVKGGRSVMPFFVSERVCAADDLDGHSQVAACEVDYERTLKNGAECKLWPAPDVDLSSIEPPFREQVNRLQWYSCLPKTKRVKHRDGRITREKVCRCCCFPFKPNPKTWKCEHIAGQPPAPGQEFIPELAEAEQ >PPA19816 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:177905:178706:-1 gene:PPA19816 transcript:PPA19816 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDKYNTHEVVPDVLSASPKHELYVEWENGSETTLGNELTPTQVQKKPRLSWAADEDSLYTVIMADPDAPSRENPSKREFLHWLVVNVKGSRIRTGNTVTDYIGAGPPEGTGPHRYVFSVWKQDRKLTADDYGKIVSRTSADGRPHFRTMDFARRATGEKDPKAVAANFFEAAYDDYVPILHKQIGK >PPA19992 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1089424:1093296:-1 gene:PPA19992 transcript:PPA19992 gene_biotype:protein_coding transcript_biotype:protein_coding MIPEVKTEDAVPAKPEPTLEPEYIVEKILDEKWLPDGKKNYLIKWKGYARASATWEPESIITWPELIEEFHKRVARAERRKSGTKPTSGSRSGSNSRKSRTAAKRTSVRLARKESGSPPKTRGPPNKKTSDTHSSTNNEPVPKKPRRSGRLRTSESEQSSITLPPLLTDSLPKESSTEPKSRSRPRKSDRIVQSKITPSLPSVHRKMPSAACSRRRPMTQQQQLQTSSTRSPSREPSPITNPRDRSRKSDRIVQPISTPTVHSVQQQMHTPASRPLAREPSTESNVTTTNATAIGDIREEDGDGTPFEPVDFVYPTDNYRVLELNCNTNLLKLNEPVMLVRKSFGCLPVEVYMNVLSDSGEEKLAVIVKCNNGVRSNLWKADAKIDITLLSGPAIDTMCHLFRWGKSSCDIGLPVSLAEVLQNNSPYIIDRRGIMAIQVKILLEKVDGYMQPFSSTFFSQPHPHLTNATIIVKGKIFHVDSFLLCKSSPSSVIVSDCTPAEFQMFLTKVYFPTDRIKANSVVNLLQLAYRFEVGYLIENCLEFMKGKAMPLDAKCKALELSDKLGDAELQDYCVETIFKEDLLDAIVKSTMYEDLSPEYKLI >PPA19999 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1140283:1141159:1 gene:PPA19999 transcript:PPA19999 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPSSLRLNAAFEAAKKKSMEVNVIGDEKDNMRRMEEEKRIKEMERIQLEEEERRLREIALTKKRERDEEEAKFAEKQRLIEEKRKEAEMEAARKKAEIDEEERRREQEDLELEMQRKAFEEEMNKKREEIRRRSEESKRQREQEEVQYKGLAKEGLRTGVDGRISRYSDSSELASMEGEIHGEGTIKTH >PPA19867 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:468052:470254:1 gene:PPA19867 transcript:PPA19867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mev-1 MVLLLGASRIASRQIVSRSLCTSVRRTEELKTPIQKLGWEYLMKQKSLGRPISPHLQIYAPQWTWYVSGLHRITGCVMGGALLVGGVGFAVLPLDFTTFIDFIRGLNLPTAVTALFKWIIAFPIIFHSVNGVRFLGFDLAKGTDLATVYKGAYFVIGFSVLLATLVVIRAQCNKGCDKKKKIASDSNQRAETKDPRLAVEGFVTNYIVARLAREDLEWREAPDVPVGADNEHNAMRTMCEIFEERRQEELLELSKDLEDTNNLHFARYSEVVKEFSVNDTDIPNEMTYGRMVGLIAFAGLMCVERAKEGNRRDVGQIALYTSKIIDSGIRLTWIESMRSWVSELLLMDKRGKSTVM >PPA19879 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:518292:520098:-1 gene:PPA19879 transcript:PPA19879 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLAFAVLLPIVLGQQVNVTIFTESQCPYCTKLMREQLWPFYITQPGIMNLQIIPFGKGDCQYDYNRNFACTCMHGPTECDLNRLQNCAISYFPRRHLGLVTCIQGLGTLREAFSRCLSRLSPRTQQKLIECSTTQTGELLNYYSMVNTHRTGVKARS >PPA19929 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:806648:814278:-1 gene:PPA19929 transcript:PPA19929 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-top-3 description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:H3FCH9] MQRREGRSKFNKIYQLQGQFQGHPALISVTSVSGHLMSNDFGPDMKRWESNPIESCFDAPVFSTVPESMEPIARTLREESNKVDTLVIWTDCDREGENIGAEIVKVCKEGNRHIDVWRAKFSEVTSAAIHRALHNLGRLDQRIVDAVDCRSELDLRIGAAFTRLQTLHLQRRSRLFASGDKQVVSYGSCQFPTLGFVVERYKEIESFIAEPFWKLIVEVTREGMKVDFAWDRVRMFDHEMVDVLYDECRDEGVAIIEEVTKKPKSKWRPAALDTVELEKLGVRKLRMSAKEVMTIAEKLYSNGWISYPRTETNKFPADFGLNALVALHNGDQQWGTFATEIGQRGANPRNGNKSDEAHPPIHPLKHATQANLQPQEWKVYELVARHFLACCSWDAKGQETKVTMRIGEEKFVATGLQIEDRGYMEVYPYDKWSDKQLPRFNQGEELADFVLRIADGETSPPSLLNEADLIALMDKHGIGTDATHAEHIEKIKERKYVGVQPDGRFLPGFLGLALVDAYDEMGYAMSKPQLRSDLERQLEAICRGMRSKQDVLTEQLSKYRAIFVQSESNQHLLSAYLNSGLAGHRTQEEEDDFVEDLAAMMGLWDPTDPTESTDTTESTDPTESTDPTESTDPTESTDTTESGAQNEQMRMPIVITTIKPPQENIGYMDANALAGIAPDPPGGGPRGGGTGRGRGMKGSVVTQQRVSLHASLRSHGESIGSDKNTSEVIMSGGAAAAAGGGGGAYGGPRAPAVTNDQKPCACGIASTLRTVNKVNLYNGYWKGCVHDYSEDG >PPA19829 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:236268:240634:1 gene:PPA19829 transcript:PPA19829 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSVLSPSTPSPSSPPPTPPPLLSLFSHDRIHTSFLLLLLYLPIAIPLFIFRICLGFHSFVVACLLRKANLLSDPSCRVIVSNHISHLDHLAVDLIHPCVLASVWNIPNLLRWCFGYVDLGAREGRQELVNGAKKFIQTEEPCLLAFPESALTSGKVGLLRFATWPFEVCPNIQPVSIRISRPLFSIESTVLGSAWWQDLIFFLALPFTVINVKWLPAMKKEEDETVEEFALRVSKEVARDLSITVTDYTSHDAVEAAKKHLAAREAAATKKPSSRVRMSPSKLDAAAMAIKQAHPTVAVVDIRRDLEMTHDLTLTIDRIKTGVLKSSVVTNKKTSPSSSMSDPSEWRRLFNERKWAMIECNRARYLDKAVKIGHLDRWKAVYDDQREKRMMKESEMDMEEKRHREIVLGANTENMARWKVEADGNAQVWDAMKKRLEDESAERAALRIKFLVADTERRLKMMKKEEKENKMMSRCPFLTPSTSLTFFSQSFKWDSRLRKTGRICGNAVMPSKVWRYDRSQGRVFNREEGKRFGYDVGLEDRLILQSLAIKDRRLDQKKIMQLSHIYQARLADLIETNETLAAYKLEFSGVKVNTAFSEITVSWIARGEGDEEIKSVLEVERHSIRRQLAEMLSTTVPEVKFKADKTQLKLEEMGSLFKKADYGMDYRAISSTGRVMGNVEEKKEEKVKEKPDWKKRLDEKNTTVFIDC >PPA19972 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:969897:983170:-1 gene:PPA19972 transcript:PPA19972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-79 MIYAEKLIRTLSDFQARILNAAQEANKTPSSAEIIATLRYFQCTLVSFLKELPPGTQLDFVRRYSSDSSRASLCPNLNYSGLFYAINNLLDVFHLIPTAQKDIAEAIVETLKALIPFLDRDSLDQLPVLLASQLGVFPPELHKTIVHIIADCVLPFSLTPDSITRISIPAVLMLIFQHTPDPTLHTWIIESAMAHTEGIYRDVIAVLSKGTSPSRVTAANLLFHYWPFSNPHVLHRKLIQYKVHAWTPIACQYGTCAERGPSVKKCYEPSICSGSVDTAPPIFVCRKCSEAVQSEGKVQMETLLQPMSSGCNATTCQNKSCSSSSRIAVATCFSTDCVKHHNFVPIRVCAECLDRDHHSSETEYHLTHSGSGVVWGSDMEWEMIEAIVKLLRETSHNLGGGESEGKRPKWLRQLEGGQQAGKEVDKMSDERRMLSRFGIWLMAALCPPVPEANERALHYVMEAVFEWFATTALLPNDNMGSSLEQLKTEFVSDWLNLAIRNHYDVFVDVLSPAAVREVEDRPRVEEMKEALGKLLSLTPYDIVSLETWSRVMPKWLQCIYDNSEEEHLRDLKIVLSKIFEPDLCPLPFDTPKVFEFITRELVSGDFERMNCALKWIHQLSRMEIRVPLGMLLTDLSECFKLLPTMDIPKIEEGIDLDDDDVCTHVVVIDILVMQFRLNEISTHEMASTAEKLFSAMALLLRTPMQVGAHVCHSSDMDEFADCNPCQQAAFFYQMVLNLVSSVSPKQEMLIESREEDVADLAEITSPSASLHSQVLSPYAPSMQQQPSPSLLAPPGSSSMVLHRANVVDEGDEYVGILPTEEIESAMAETTTLTEKDVGRETCHVLMSTLVQGKTGSTPMLATSPSSRSGVENECFWETSVGRFKFSFDSIPSQLRFIFALYKNLDGERDPDVELFILQTLKILCLHCEVMTNSRREHRGFLIWMHEHIIVGEVASLLLIHACTFPSGEEMLWRIVPKHFTSSDWKIRFDAVGRSTVFFHLARPSAVKSNKVVQYALAHLFFHLTTSTVDPNPAVAQRALTSLRALPSGTLRLLTTCVESQFDACIIDRPLLIHSMRTLATQVPEEPTLSFDFFIQLFETLVLEAQLAAQNEDSLFVQDLSRSDPMSEIYQRKVTKAKRAIENAATARSISKTLAGKGMKHQLFFVSHDLPTCLIDQAELTRRAGPTRRGRLSEAALSCRMKILKVVTLVRVLNRWRDMATSLTFNAASLVQLIAARPSSVSSQEAKADSGVHSPSVVSPQGYSSSGGFARLREFTDQESNMCLMMNRVVDMANPERHTVYLTVSLFVTFLSNNKMNPSSDEKQIAKKQSVLFRHFNTLLGYSNTEKCFTIPPARLRKSAVCNAFLSGIPEILDQNLTVGNQILPIILQLLVHLPSPQKLASDQQSATYSLSLLSQHQRHLWLNSMVLVLYKYRFDQATVSEYIIRLIGILLKTLQNHSHECVDESEESKEITAWSVPMENSDNEEEKLTRPESLTVTSIAEESDECIRMVPSSRKSRHKESSSRAPSTTSSSQAQIDLTIILRCERCNDKLEVFDEESISLSLIALSTFLQRETAMAAPMLLKIIQAVTRVVHRPLYPWHDSEVFVIANCRSVAKQIMRLSTSGIALQLFDSPLKRDDPLWSVVVYSLNDFTDLSPVSFLQLLFEDLSEEWPSSLSLILRNLSLYIVHVSPDSFLNQWNNLITAIDTFFRTAHSKMTVEGGRKPTAADLHNAITVVTTIMRVQNYSSFKSSVTLAESFGKWMGEALHDSPVLLEDLLGEREKQTLSRAMIAELMQAIRFKCSLHAQNYLTIARMILQDSGEDVDSSFPDDEYNTAASEAVRPHLFEIIDFIADLHVLAKLKKEVSEVGDAGDIKVWLAEIVAVEMSRSSARDCRTVIRFIPWLMSPPSVTQAPPGAFADSVTNVRVLSWLLLGALSANTACLPVPIECSQHMADYIHFVLAGFADQSKQSVVHMSALFHAFHLCQLWTVYCERRLADMVNLHFLNTMQALQSVNSALLCRLYAMWSPILTAYHSAVPNQLRMKLDATENQPTLTPPPLTEWLKKVRFKIAQVELQTSAASPFYNV >PPA19858 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:354370:354665:-1 gene:PPA19858 transcript:PPA19858 gene_biotype:protein_coding transcript_biotype:protein_coding MADLQQFAFTPTQMIRLLLFTLRLTDNRLVLTAGSICVVNRQNLLIISLLLSVLFVSIQSIKEGCIRVV >PPA20019 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1231604:1235654:1 gene:PPA20019 transcript:PPA20019 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSMEKKRAAMVARLEGRGKKAAETKQANKASRERDDRSVEELIEDSRVEIDNGIVNDMTEKRLVEAISLGPSAYLEVKLREVLADVRKAILERETQAVPPPLSDDSSDDVDEEEEEGGDEEMEEKGEERKKEADIQCVAHHRHEETIEGEDGSSVRLAHLDNCTISLNFCPSTVHMEALTDTTVIMLPVQTSILIYGSKRLSLFGEAQQIRVHDVEESELNVGVRGEGGLILESCRAVTVAKCIYWQHTSNLRSSPLVESIQYQSLQFDLRGRPSAHPRWRRMDETKASMG >PPA19951 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:891522:893585:1 gene:PPA19951 transcript:PPA19951 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKYKYDLSKAQLKASSRTSALLAGFAMVALVELQYDAKTPHWLLIILGVVTALLVSVHLLALMMSTCILPYMEASGPSQDSPHVRLKFYIDLSWFFSTCVGLILFLIEIGIIFYVKFQAVGFEEAGYVTTAILVPVLFIFILISCFIHRSRASHSIDRIDKKVNGLKQLLNSSEQNLAMMKIV >PPA19956 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:913825:915045:-1 gene:PPA19956 transcript:PPA19956 gene_biotype:protein_coding transcript_biotype:protein_coding MYALARAEDVRSQQISLVEYVEYLYFKVGESLSSLEGRSSTGSHRLTRFSHRVKDKQGLPQTMLALPLTEGADTITLPGLSSPSLPASSPQPTEEGDEEEIYEEYEKISDEGFYNSTSRQSTVIDDPSTSSEEEKKVCRVIYDFTPQNEEEIEIRAGESVLIESRLGPDWCFGREDLFLLGRLEDSQPHTCAYKKQAYFFRCINDHLPHDNV >PPA19945 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:868057:869070:-1 gene:PPA19945 transcript:PPA19945 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFLHDEPEHEPLADQSQKIKSILKPSPSSKKELGSSPDSEFRTITFNVGNRYHGFESTEFRDYPHFSFTNRHDLKEEVHRLREIVKEWKDDAQMGIMEKEHLQIHTVIRKRFRKAIKDQKAQIEKQMEKLRQVEEKKKRKKRKRKAKLHAKEYLEEVEQEQIAPPSESTTSTIGSSRLEQLLKSVDAVVNI >PPA19797 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:34161:34945:1 gene:PPA19797 transcript:PPA19797 gene_biotype:protein_coding transcript_biotype:protein_coding MGAACGSMAGAVAAALTTPLDVVKTRIMLSTGNEKKRVIETLKQVYREGGASRLFSGVLPRSLWMSLGGFIFFGAYELVDSIIYI >PPA20007 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1177427:1178832:1 gene:PPA20007 transcript:PPA20007 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTISPSTDNTYLHNLSSTPPLSHHPHYLQSLPQQPISFNNPLISRSPTNIVYPHHSKHSPPHSFTQSFHHIRSVSQSPERTLVYPSQSHSPPSHHSSTIGLNAVRNNNKENFNAFLNAPSTKTASNYKVIDLDDMCAKNGGTMSHDEFDDQMHALLSYRDDQFVNKNALDKAMIDLSDEYNRFLDRNVLDLHFLTREQSKAVLKKRLDEIKACKRPKIVYIVTGRGNHSIDNDPVIKKYVQEVAAKKKFKCEEMEGNGGVLVMNCRLIRK >PPA19939 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:846652:851510:1 gene:PPA19939 transcript:PPA19939 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pph-4.1 description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:H3FCI9] MASDNGSEGTSNDMSTAATVRTDSPTLGGSVVNITTEDLDRQIETLRKCELITEQEVKSLCAKAREILVQEGNVQVIDSPVTICGDIHGQFYDLVELFRVGGSVPDTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRMMLIRGNHESRQITQVYGFYDECQRKYGSAAVWRCCTEVFDFLSLSAVICGKVFCVHGGLSPSIQTLDQIRTIDRKQEGFCETNGVEMITRAHQLVMEGYKYHFGETVLTVWSAPNYCYRCGNVAAILELDEQLNKEFTIFEAAPQENRGAPAKKPQPDYFFMSSGQFLDRHTSEKKTEMYRNYLEENAVLEAVTKALSKMYVMTERPANPMEFIVKAIHHQLTGAQPGVVTNMAYRTEYENAMKEIEKLKKEVEALKKENNELKEVGQKTESNPSTDVDIVD >PPA19954 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:902957:906108:-1 gene:PPA19954 transcript:PPA19954 gene_biotype:protein_coding transcript_biotype:protein_coding MALNSPARLRRFFGLKKKKSSRDRSSPEGNTVVSVIQVGGEIEEEMIEGWFSLIPSEDARIRSRLLRSLSVETNPSIVVVDSVSKGVITTDGRRLLAEDPKGNDFPWPTPDVTTVLLNEDCLVRWEGNGVKKASGERAKVRALLFGAVWCPPCREWLKQLVPIYSSLRSSSLSFEIIFCSSDRTEEAFNSFIAQMPWVSLPYDPSKSIALTRIFNVHGIPSLILIDESNRIISRHGRSVLLEDPKGVYFPWGARPLQELNEHSVSRLREEPSLILFTEGSPDDVRFSLSILDEVGPSLHAEIVEREERRAGRRESEQRRPSADENNSTDSSLDAVVPSYADPLQVFFTGEDPICDHILDHILCMGDAELPLLVIVDSVSGVFAVCEEEDVSREVIDAFVSSYKGGKLKWRALPSSESTRTVGGIPTAAIREATRQSALIKMSPSQGSIGDENKQLTA >PPA19848 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:309067:311350:-1 gene:PPA19848 transcript:PPA19848 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ymel-1 MRRRQRSTAAMAHSQQAVETSYRIVAESISSRVPLSTVLRENGVGLSRGRESITLSVGNISLSLQPKKGVINVQERGFRTKRQGVTGIGMTSASDKEDGESKWKLFSNFIGNKEVEAGGAKWKEFQTEMKKHPDGEQRTFAEGYVKGILTKKSPDVAAARRSAFIRLYILLVAVCLMLYLSSGDRQLGSLLFSSSQEVRPEDVQVTLDDVRGMEEAKKEVEELVEYLADPDRFSRLGGRLPKGVLLVGPPGTGKTLLARAIAGEAGVPFFHTSGSEFDEVLVGQGARRVRDLFDKAKGRAPCIIFIDEIDSVGAKRVSNGIHPYANQTINQLLSEMDGFHRNEGIIVIAATNRVDDLDKALLRPGRFDVRVNVPKPDIAGRKDIFNYYLSKVVHSKSIDAHALAKGTSGFTGADIESMINQAALKAAREDSEMVEMEHLDEARDRVLMGPARTGKDLQLIRRGGEGVCNEDVVVYRMS >PPA19923 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:771111:771997:1 gene:PPA19923 transcript:PPA19923 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMVQPYKDSPKSNAVDSPTIKLKFALCSFKTHLKGNTDKKEKIEIVENMLKNMEERDKEMWTDEEKAMERLRGYVTTEREAQAEQMKAMEDACLNMDQARQAVKHAKTNEELEKKGCMYQMAIQTFDENAQNLHQSYTDLPYVKRLHQYDFINFLRIYENRFTANYSTVSQAADELRKSKLIP >PPA20011 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:1191651:1192356:-1 gene:PPA20011 transcript:PPA20011 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTGVAVNFATEHFHPPTPVSIPINGFGHGHRIRIVGVPAPGARRFWVNLNTPTDTAFHFNPRFDERCVVRNNTRHKAWQHEERFADHFPFNHGHIFTLEFIAIGGHVDVFYNGRLFTRFQERDDPHHIRAVEVAGDVHVHSIHVSSN >PPA19943 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:862068:864636:-1 gene:PPA19943 transcript:PPA19943 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSLPANTRTAELLAGSPDAQSVETLLNTLIKMSSPAPSHTAPSLTRQGFQKQAEFNCGILNLLEQAKRDPTQIDSAIDATKERNSLLIMADKNPRLFEAVETAKTFEGTASGSSPLVQAMFIAQSLNQGGDSRKRKASSPPPSTSQPFRQRASAFGSAGAQSYFRPPSILAYQPRFSPPGGNQVNAQAIGPCFGCDNRQSAKREAEFVSEEVTRLLESRAIELCANPKVVSPLAVVKGDPACLLTRALLQCISSAQKSGTPLNSRIPLSFDEKVQTDASASGLGVVVKREDVIVNRTSKNLTPSEREESSTMRELRAVELAVNTFDRDWVGLNVLFQGDNQAAVAILKKGSMKPELNLIARRVEEARVRANAIFKFTWVPREMNAEADLASRDIDKDDWSLQDWVVNQAIEKWGRPGIDLFADESNAKSQRLPSRGSAAVDSHPSLWRLRSLLWCVPPPSLLCQTLAWLRGARAKGVLGVPYWTSLPVFPSLFPPTHTPPFVIDYLMFPEGTHILNPGSYDQGPFSRPFMDSPFCLILVDFTNST >PPA19934 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:828848:831147:-1 gene:PPA19934 transcript:PPA19934 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSTVLFDEDSESSVDIIANTPQNSINGTIPLSYVNRNYPFMYLNPHTGAITGIYADMWRELARWEKKELIFMKGTVYGGYTPDPVTGKFDGILGWLQDGTVDGISEDFTYRYRLRFGTQREGWIAKKYRVFNKLYEFVRKRRRLLKVFNFLEDFFSPNISWDFYAKDSRRNHMLLYIIGSLFFALFYSAVFAGNAVVTVTEADIHLKELIVLFQSDAKTLLMRDLAYIKRNTLAEMFGRDVYDNRKRVQIEPDMQQIDPFGNFPPSSLVGQGMYGKERPVTFYVGKHMGRSAMEKFNQIILRFYDNQKMVELWWKRYTNRPRSDTTPKSIMSFDPIPIYIFKTFLIICGCFYGLSIAVFVIEIIIGKVKQRRNNWIV >PPA19815 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:176289:177372:-1 gene:PPA19815 transcript:PPA19815 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSSHGVVPDVISVAPKALCTIKYDSGVEVSEGNVLTPTQVKNAPSVKWNGDNNKLYTLIMTDPDAPSRAEPKYREWHHWLVSNIPGQDLAKGDVLSAYVGSGPPPKTGLHRYIFLIYEQKGRIEDKEHGILTNTSADNRGGWKAAKFVEKHGLGVPVAGNFYQAEYDDYVPLLYKQLGAA >PPA19986 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:1054802:1057659:-1 gene:PPA19986 transcript:PPA19986 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKEKVRNRARKKNKLSNECIEKNIEEVISVAQKEGVIVNLRSKLIENTKENGHLIFKFLVRTNALEWGCLICADHSGLTFKNPISLRLNALFHLEKHANEEHFEYLSELLKKEWSELKKEIPSLPVHKMRTCGDLPVGRSFLSYSLLRDDDCVGETMDVIDRCYLDGPSKKMRNALCLVCYSPFTVSLFQPLETPKHFLVDSHQQLNAKGWSEFSEVHEIAEVPRREGDVQSALLCSSFLHVSDEQGSTPKKLFKWRCTLCSLDVAVFPSSISFRFFALRHLETEHPKAFNDHFYDHEWSLLQMETSLVNMRRYSQIIHIQQQGRPIDITLSIF >PPA19832 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:259547:264793:-1 gene:PPA19832 transcript:PPA19832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ekl-6 MGEISVLSVMSVLKKAMEIDGSFDIANVRPSKTNDVKRELIKRGLGLALTEMEKHPLLMDQYDRQILKTASSSSGESIWESDARIKFGNLIIFLFANLTKAIEREKARSIIVLHVDTWWKTKEWEGIQEDKPTQAKKLHSYLKTILDLSDVSFQVKGTIVSRELFTVVMAAELLHHLGHTALHARYRSFLSSIDAAVVLNVASAFIRPKANQTNTQWLNKSMIGLVNDMVGKGHLRDLLRAFDSRGQLFKGNMNTMSLPFLLDLVKALCSGTAFSMSKQEYFESLVNQFFVWLDLVFLFCGVSNALAEYRTHHKDDSNEIVDFQDIMNDLVRTVFEFLPKKELFILWGARQPELLPRFEVKPNTKFVNSKIKVIGEDNPIRCPVFVTAHKSSVEDHHLFLENTVTTMIDLVKVEEKADFIFTVLLEVFKAYIKDDAYFNRLSATHDESEFFYLGQLNVLALILNEKLDENTTTLAKLLNLTIITMTSTVNNIEKEVVKQKKEADLDIRGGMNEFEKEVREDMISITMSTATYGIKFTKFIPLLRMIEGDKMKELDTEANEVLRLTAVLKKLLREMKEVPAEYKEIVKAFENDGDLNSTIADMEGSIKTKEDNDASFFNVEELLSLLNGLVYEKGQGLLTLSQLLLKRNFRLIQIYDDTFHDIVLGLISDSDSYVYLSAINCAAEAALAMTDKIIPFVKLFSQINSVREKKNGQGEVVKRETIQEKVIVRLRIASVICKVFDNIGAAAPKYTRETIDCAMSCVDDMDEDIRASAYAIIEYLFRTMAARYTIDNTFQPILDSINHTLTCEKSCQVRRNAIKILTNMLSGAGRDMLTTLSEQLLPINRMLTSVLQSEKDEVVILFAQLAKEEIGESLKEKMIMETTQRIRQIKM >PPA19902 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:623191:625742:-1 gene:PPA19902 transcript:PPA19902 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNFWRHTARKYAYRNKVQTTEVGYPGIHAGLLSPRMIPGSWHFESGPSMDRIIGIVSEIEAHITGLSKAHNFSIESIRRHYVMSTLFVGCPLSNELMVEPSTLHATSPITPVTVSPRETSLIKIPNDLEEVAEEADSELSFEHVSLLPTLTPVSVAVGSPVLVQGALRNGNCILEVCIEERQRWRRGVVETNYGASVDVRLADYGVLIKGAKAAKLRPLPIEYANAPSVYRMHFDTTNETLLHEIRRFNLRSIVIGEGERAEDEYEVLSAKPILDASGKSL >PPA20009 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1182372:1184121:1 gene:PPA20009 transcript:PPA20009 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNVRGQLFLFFFLLLFNFALSSSSDSITIFNATSTMLTNSQYNSTSVDAIIENIFETYLPLVTVLSTLTANETAELAHQSLRHLKEDIDSLRISSTNNDSLLLQIEEGQMTEIVSILKDYHKSRVYSVISALWEGSLDSQIPRLDQFAILEYYEEKRRRQQREASIFSRIWSAIKKLFNQESSNRESCPADDPPAVRFIVDTFPIEKRTELDSAVKSNDSSLLVDLIEETVPDAGVLEELRQWRENITIPEPLKRIIDSSTEPQRVYEY >PPA19995 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:1119024:1124372:1 gene:PPA19995 transcript:PPA19995 gene_biotype:protein_coding transcript_biotype:protein_coding MANFDNPGLYYQDRFYANDGVPDEGQELVQEYKQLTTTLRKFIREFSTGGFGMIYREKLKNNYNLGNYSLEVNLAHLKLFNEDAELKLRKYPLRFVPALEEAAKTVADELTQPRPLGEEKVHDIQVLLNLDDYPASVRTVKSAQVSHVVKVSGIIVAASQVRAKATQVSLQCRTCRHTVNDMKLRPGLDGFQLPRVCGASQAGQLQRCPIDPYHIVPDKCICVDYQTLKLQENPEDVPHGEMPRHLQLYCDRYLVDNVAPGNRVTIVGVYSIKRLYNQKQKDKTLSGIRTPYLRVLSIHVETSGVGRSDAAQQLTPEMERAFKDIAKRPDAFELIAKSIAPSIYGSVDIKKSIACLLFGGARKRLPDGITRRGDINVLLLGDPGTAKSQLLKFVEQVSPIGVYTSGKGSSAAGLTASVIKDPQSKSFIMEGGAMVLADGGVAGITTTLNSRCSVLAAANSVYGRWDDSKGNDNLDFMPTILSRFDMIYIVKDTHDIKRDTTLARHVIEVHVAASNAAKTDANAEKEKDETAVFDDDGLLTLSFLKKFVSYARTHCAPRLTAEACEKLVNNYVRMRNPDGKEDAVANKGKNAKSSIPITIRQLEAVVRMSESLAKMELLPFAVERHVEEAIRLFKVSTLEAAATGNLSGIEGFTSAEDAESIQRIEKQLKKRFSVGTHVSESVVIQDFVSRQHYSEQLVRKVIANLIRRGDLQQRMQRRMLFRVR >PPA19940 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:851918:853029:1 gene:PPA19940 transcript:PPA19940 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFLPRITSLAGRSTLRHHSAAPAPLSDELRKKINGMVNEAPVVVFMKGTQKEPACGFSNNVKRVSFRDHNVLEDAELREGIKAFSEWPTIPQVYVKGEFVGGCDIMIQMHKDGELSDLFDKHEVPNKFGDCKKKDHNGSDGTKGKKCPPEGMK >PPA19974 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:992508:997501:1 gene:PPA19974 transcript:PPA19974 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSGLLRGNSLGLTSLLTFVILALAWFVGFASRLFAIVRFESIIHEFDPWFNYRATAHMVEHGFYNFLNWFDERAWYPLGRIVGGTVYPGLMVTSGLIHHVLDVLNFPVHIRDICVFLAPTFSGLTAIATYFLTKELWSAGAGLFATAFIAISPGYTSRSVAGSYDNEGIAIFALQATYFLWVKSVKTGSVMWAALCGLMYFYMVSAWGGYVFIINLIPLHVLVLICIGRFSSRVYTAYSSFYVLGTILSMQIPFVGFQPVRTSEHMPALGVFGLVQIVAAMQYTRTRVTRAQFLTLFIGGLSVALGVGLVGYLSLVWGGYVAPFSGRFYSLWDTGYAKIHIPIIASVSEHQPTTWVSFFFDLHITAAVFPAGLWYCVKNVNDERVFIMVRLMLTLTPVVCVLAGIAFSLTFEKFLKNDDHFRSNSSGSDNKQYDKLKKQKGYEGSEEPGTSTDGASPSEDPIGPNVRSIVSFVMSILLLMFVVHATYVTSNAYSHPSVVLQSSTSNGGRVMMDDFREAYHWLRENTADDARIMSWWDYGYQIAGMANRTTLVDNNTWNNSHIALVGKAMSSNESAAYEIMRELDVDYVLVIFGGVIGYSGDDINKFLWMVRIAEGEHPKEIREANYFTESGEYSVGAQASQTMLNCLMYKMSYYRFGETRVGYNQAAGFDRTRNYVIGKKDITLEHVEEAYTTENWLVRIYRVKKPANRNGVKYTERKIRPAGGHKTSSVVGRNGRKGSLKNKAVVVKGKRPVTA >PPA19834 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:268026:268348:1 gene:PPA19834 transcript:PPA19834 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPPIDEIIESDDSLNKGLQFLETRLTLILRGYTKSKVEGESNTNILLRQEKEILEHVVTYIQGWKARL >PPA19843 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:297849:298072:1 gene:PPA19843 transcript:PPA19843 gene_biotype:protein_coding transcript_biotype:protein_coding METPFPFSLHFLHLTSSQSVDMLYKPLEYSSEEEDDEKKVHNDKKSLPKTIDR >PPA19840 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:288648:289160:1 gene:PPA19840 transcript:PPA19840 gene_biotype:protein_coding transcript_biotype:protein_coding MIELFMLVAVQVAFLVFLLLTMLMTIQKIGKLNKMSMAQTHEIMDNVLQRLIPLEIGKEMNRIALELLFNEDVEEPETDGGVGP >PPA20015 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1215579:1216184:1 gene:PPA20015 transcript:PPA20015 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lin-39 MFIGGYTRDTNKFCEPGRSSKGGEKRQRTAYTRNQVLELEKEFHFNKYLTRKRRIEISHSLMLSERQVKIWFQNRRMKHKKEHKKILTNLKCLK >PPA19887 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:552581:557305:1 gene:PPA19887 transcript:PPA19887 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRRFGEEIPIVERGNEREREDIDIMEWMRKNESGREQEVETAFGRRKVIYSDYAASAKALVPIEEYLLREVHPLYGNTHSSVTVTAEQTTLFVHEAREEIRSLTGAGDSDAVIFVGTGSTAAIDLLVHLGGFGKEGVPPLVVIHSISEHHTNILPWRETAVECIMVDEDEEGRVDKKKLEEALQMVKGKYPDHTILGAFTGASNVTGILNDFAGINEILKRNGALSVWDLAASSPYVSVNVNGVHPVDAIFFSGHKWPGAVATPGVLVVKKSLIRAIEPKKKGGGTVFYVDEKSTTYLRDAEYREEARNTGGTGDAIGIIRLALAVKLRRSIDDEKVMRREESIVNRFIDGFSSIPNLFLLGPPTRENRLATFAFLLKDKISNLFFHHNFISVLLNDLFGIQSRAGCVCAGPYAQHLLGIEGELSSRFLSLLRENSSLDRLHLRRVGEYSQQEMIRPGFTRISIPYFENDQKVDFILSSIRFVATHATDFLHHYQLNAESGEWHHEKTRVFHDRKWLGYVSFGEGGLKIDKKSTKKSDLPMDLLRRAEEEREKARETMMERSTVPDGRVALSEEAQTLRWFILPIEIWEREGKKVHVDYPECVIRPKKYEEKERKEEEKKEEIPPFCPLESTRNEVVCPLMDIYEAEQQGMDGGEDAVESIDVEEEGEERKEKETSIEDWNKRVIVTRRDMTRTDESSLAWVVPPLDLYKQTTETIHSLGLIKNGDKVLVCLSGGKDSLSLLHILRFYQIRNRDRRGIQFELGAITVDPGSTAYNPRPLIEYCRSLNIDYFYEEQDIIGQARKLKNLRSICAFCSRMKRGRLAAAAVTHGWNVLAMGQHLDDLAESFFIAAFQNGNLSTMKAQYTTRDTSLRVIRPLVLVREKSLREFASSSKLPVVAENCPACFNQATERHRIKQMLAHQELLFPDLFCSLRSALRPLLLVDSASTQEMRRKAIENIVKAKKNNQ >PPA19885 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:547509:548736:-1 gene:PPA19885 transcript:PPA19885 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lgc-47 MEQKKREGLNISFDSTMLQNIWTPNTCFINSKKAQIHSSSIWANWRIKGTGPCKLDLSKFPMDNVNCTLTLESYNYNRMEVLMVWNDVALIQFKDIELPDFTMYNYSTTSNQQYYAAGYWDELTVTFYFRRRYGWYLLQGFIPTYMTTFISWIPFYLGPRAIPARTMIGVNALLAMTFQFGNIMRNLPRVSYVKAIDVWVLG >PPA19930 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:814810:819175:-1 gene:PPA19930 transcript:PPA19930 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSVLLLVLPLVSAIALPGSNVNVASFDSVDANKDGAVDATEFEKWAEKRLHLKPDQRKNLFISYDADRDGSLRINEFVPLASAIGQTPQLSKHDIFDRLDRNRDGFIDANERSTSGVDAVVINGLVAVADMNGDGLLSRDEFDRATDQDRVRTQAWKRKIDHELSYRIIQEEKNRELAVQLVTDMDSNRDSLLTLAELTTFAAKFGKITPAESAAAFTELDLNRDGFVDINELARVPTKISTVLTFQADLYQHVEMCLMEAFENEALSIFSDTSVKSSSHSSSTTPFGIVAKPSGLPPMEGRLEVRLGVPSTEKEHQGMYVTSATTATRKEYIEEQEEDDDEEGPSSSRMIEGPGGLRLVVTVEKEDLRGSGGGAEEEQIEKEAVVVEGMSIMDMNGEYIDDDLTMQEEEDEMNAPLIPFPRHQRVIGALANSDDEPYKPKMECPTCGLVLYRHNFATHYRIHTGELPFPCSYCEKRFRSTSALKVHTRAHTGEKPYKCPSCDYAALTKRNLDRHIMNNHVREGERRGPRSRKSKYRENDDPVSMWTIEAMEKAGNPTTAYVERDHEYVRVDDLIQMEEMDEEGIQYEMDEQELEQDQ >PPA19892 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:587435:587936:1 gene:PPA19892 transcript:PPA19892 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYNKVKGGGLKLKKKQNLFKSDKPKSKVKKEKTVEVDQDAVTRGGWWAIKEEFDLKGGMDISLEVGDGSRCYLAAMDNGKFTIGGPHREGEGPNPEEIFAVVKTPDDMKISTM >PPA19818 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:188228:189015:-1 gene:PPA19818 transcript:PPA19818 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGKWMELNLILHSGNAFIIQSSLVLQEYAKKLGVEKNLEWKLNVPYGELYQLLNSSLISIHTMRNEHFGISVVEALAAGTIMVAHKSGGPLMDIVDPCEGVASVGYLADTKEVYSFCITTS >PPA20005 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1169674:1174210:-1 gene:PPA20005 transcript:PPA20005 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPSSTRSSDSSESNDFNKSHDTLDLPVKKKVFKRVIINDGNPRKDQPIILPPSPTERIQPKTSIDIPPDIDNYRKDTKGGGRMMQTRPSIYDLMEGGAIERKRSDSIYTKTLKMEFEKNQAAKKEVGVKFGWIEGVFIRCIQNIIGVILFIRISWVAAHCGILLGSLLICIASAVTLITAMSLSAICTNGEVKGGGLYYLISRTLGPEFGGSIGIMFAFGNACSAALYLVGMAETIVNMLKSNEIEIVDGDINDMRIIGLVLGLIVMGIILVGISFESMMQKVMLIPLAISFLGFFIGSFIPTSAHQRGLGMTGWSMPTISENLWPRFVKGETFFTVFAVYFPAATGIMAGANISGDLKNPQSAIPKGTVLAILASTAVYLVTLLVMGSTFVRNANGTDPSHAFSTLLCAADSSCKFGSYNFYQVMATISVWSPLVLIGIIAATSSSTLASMISAPKILQAVCNDKLFPYIDKLGKGYGKDKAPRRCYVITFGITLLGVVIGRYGDLNMIAPIISNFFLAAYALVNYSCFDASFAGNADASDVNWGSSMHANHYKRTLRLMHTMHREDDHVKNYRPQILVLSSTRRRDLTMFAHSITRGSSLLMHATIQSEDPSSKSYASNREATEIESNWMRYEGIKGFPLTIASKEGMAKTALGLFQSVGIGKVTPNIVLLGYLIEWNNVEDERKMMEGINDYFATIQTKLIEDKKKKKLALPDAEEFKNAMKKRFSRAQIHVEPQELGVEAFDNLPTYFPRVKGVYAQMRIGRNSS >PPA20010 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1185394:1188382:-1 gene:PPA20010 transcript:PPA20010 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIKLSSSDGTTFDVSPEAAKASQIIYPFLDACGESGAVIPLEKVTAATLKKVIEFCEYHKDDPNNNTEEKETKEKRTDDLSEWDLKFVNAVEYSTLYDLAQAANYLDIKKLLDVTCKSVANLMKGKSSEDLRKLLRIESSPEEEEQIKRESPRVTINQLVSEPFFENYLRIRDAQMDGHTIKLVSNDDVSFDVPVEALHASTVLRVLIEVCVDNGEPIPIMRVDGDTLKKVIEYCVHHKDDPIVDEEEEKRRKYERERNRKCGEIMLMIDGVELVEEDMNPEEKRTDNLSEWDQQFVNVDQSMLISLIMASMYLDIHSLYDLICKAIAHLIKDKDPVELRKLFKITRKKFTPEDEEHFRFRKKGKKMETGKSSD >PPA19842 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:296966:297730:-1 gene:PPA19842 transcript:PPA19842 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTCISSLIFFLEEIYDFISINMEYSEKIDQNCTCVRSPSLLIISTPDRSSIGIILPLPQDIKPDNIILDEKGHAHLTDFNLATRLEPDKLATSFSGLSK >PPA20022 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1251071:1251911:-1 gene:PPA20022 transcript:PPA20022 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mab-5 description:MAB-5 [Source:UniProtKB/TrEMBL;Acc:Q9XZN3] MYSTAWNVSTSSDDISSTASTASAAAASYWPAQVAAAASLQSTGGDGKGSTGLGPYENPLLYPAYVNNMKMLHQWAENQQAFSPYGLPTSTSSSGLTPPSNPLANQPVFPWMKMSDMSK >PPA19925 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:781427:784562:-1 gene:PPA19925 transcript:PPA19925 gene_biotype:protein_coding transcript_biotype:protein_coding MRYQEELEEGRGKYEINLDTNGEHVISTKVENCFYQGSVAGDETSFAAFSSCNGLRGVIAFSNGSSYGIYPLDGGNRDKRHPHVLYKAVWPTEAKCGAAPAAGAMAAAEALVSHHKHRRDSSKQTKHVEMAVIGDYQFMLERLSDEADAMMYMLEAINSADLVS >PPA19850 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:317304:320379:1 gene:PPA19850 transcript:PPA19850 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDGIPSSSNDEYVADGLECVSLKFVRNVDEIEDAEAYAPEYVYQHFGENENIVGYKNFSLTIVYSEATMKCYPIIKYDKKMDEAKEGVQADDIMIKLKDQLPVEQMEMQVESLDEFRAVLAKEDNFKPYGELIAKFSSGGKDFQIYKNEDSNPEFERYLTRTQTLALWYIHAAEYTDTSDDKWMHFFIYEARRRTDGVDGNTYALAGYCSLYRYYGYPERIRPRIAQILLLPQYRECGIGASFLNRIYKDLATMKEVMDVTAEDPADGFLYLRDYVEALNLSTLSEFSPEKLKEGFTEEMYTAANKAFKTNKRQARRIYEILRLRSIGKKDEAALKAFRLDVKKRLDIPMRRTDKDWKKINAALDEEEINQVAASTLSNEEKMKQLQQLYEAEVELYKKTIERMDNWLEKYNKTEEERLKNILNEKDEAEGEKDWMLAYKKKKEQNILKDDARNEMEKRDKVKEKIRKAEERREENKKRGRREKKEEDEDDDCVVEDEIEVDQEKEEEEPITCKKIFYASRTHSQLDQILQELGKTRIDSVPELSRYSLAPFSV >PPA19901 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:620002:622159:1 gene:PPA19901 transcript:PPA19901 gene_biotype:protein_coding transcript_biotype:protein_coding MQIHKRGSRHHVPLLYRTSKRKNICYMIVTLLGDNLKRLKVVHDSGFVHRDIKACNFMLGHTSDAKKARMVHILDFGLARQWAFEDKRIKNGPNCDFRGTFAFAAPSMHDGVEQGRKDDIWSFLYLLIDIYAGLPWARIDNDTALATMKQNIRDEDLLIRMPSELLPIPKHLRTLEYYSRPNYSLVFECLDKIMKKCNVTFLDSYEWETKSQAATYKAAILNEYPGYLEAGPFMEEDPIGINEGPSGSRNTQGSIEEDDDLIKKKKKNDPSMDMSISFKASSNNNTNKKKNNRPGSKITKQKGKKSTLSKKPNGTSRDTSREDLSKEDTKEDNDSPWKRSPSTPKNPSSVTGKTPTQKKKTRSTVEDNQDTQKKKKDRDRSVEDNQETPKKKNRDRSVEDNQETQKKKKKDRDRSVEEKPRSVYLSPYGGK >PPA19981 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:1015344:1017182:-1 gene:PPA19981 transcript:PPA19981 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKCDYNNEQTVSTCLQPMLDYANRLQEDTGMQFPLHGGSIFNKLCEIYHQFQECVEEVQCTSISIEAVAASYGYMCGPGMPLTALQCPNDFARRSRRLVNLARPRREVRASGMPPTDNTHHDNISLRSRIWVILHMISNMRPHYPPTAPFIQVERDITYMSCKDAATKAMTDAQKKYKNAFGEPYLKAMCSAMDGYLRCSHPTITTTCGNEAWGLVSTVTRDSLRVTMPNCDMGNALF >PPA19944 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:866246:867748:1 gene:PPA19944 transcript:PPA19944 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLYIQIDIISLLVEATENSIENREAGLPACGPFESVLLTMGEDGRRIEEERDRLKDKIIHYEERINDVCHHISSTIDDRLEVTAGALVHQNTKRNIKSKTDGLEMLSMPPGRNLPMDEEEKSNWIDNYHKKSSDHLSNLRMLSRNLTGEWPRDRDVSWKTFVDHSMGSQ >PPA19821 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:200331:206040:1 gene:PPA19821 transcript:PPA19821 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPVPPVVDFGTAVVENWGDGVIVAKTGHDRGQKEMYLYSPTVKRHDGRIVCSNDFLNFEVGDWILFHVIVQSDKQEVYIGGKKMATPLYKTAIMFDYPRVKVRACIYPESAFNALVSRQQTHRCWSPDLGDLFIDTGKSSRPAKQTHEQIQLINTFMRDTTKLYYVTADYHFNASIFQDLGGPEEEKKVYWRIETVEGVVENPKHVHGWNHVPWGQEVAKYLEKKREEEHNFSNGVPPWYIRSTRVLTHNMLETWEEEVKTCYGKHDEKDHPVPDAYDEFGVRKPLHPSEVFKELKAKQKKKEEATQLVDPSSQLISKNSWKKVMSFDGQMLEPGSQLPPVSVKKPKAPRPVELVQDSAGLFMNEAAAQSYVEIKQKGTNKVWTTGGWKKPGEAWDAESAKGRFDKNIHKVDEIPSLRKDLIRCGSGVVQSKNLLGVIKPPPKRPVNEKSSPSTQTMSTPPSRDRPQRVSTGQTPPSSPHKLSFASASKVDTDRGSVDDLTDESDRDIDPTILNEKGRKLYDQYVKLKKYVRSAEIEAKKEPEIRGFTAREYIQSEKGFMELHEYLIKLGIGEESDARKEIAIHRKEISVYERKLEKGEVMESELVVINRSKQEDAEAKVHLIAHVKNQKDRMEKMKKELIEMKKENYY >PPA19862 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:364293:365697:-1 gene:PPA19862 transcript:PPA19862 gene_biotype:protein_coding transcript_biotype:protein_coding MQLWEKASVDSRKAAELDGKSVKAYYFWGRAALQLGQYGEAFSVLRRLTSSLTDKRLTIAGEEIPDYLCRTISFKLLEDPVITPSGITYDRADMREHLQRVSHFDSVTRAPLKEDQLIPNLAMREVVDNFLSENPWALHDLDIE >PPA19795 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:22899:28785:1 gene:PPA19795 transcript:PPA19795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-trp-1 MGRSAMEIAVDNENLEIVKLLLEQPKIRVGNALLCAIREGVYTLVEILINHESITKEMLGDGWTQYLDPAEAASAEYSRKDANIDAPHRYSCICEVCDRERNDPIFTAFVLSWDLEQLSRVELEFKDTYAELSEQCKQYACDLLSQCRSSEEIILNKDESNLDENIDVWASKLSLSRLKMAIKNGQKSGTWYFAADINGKPNIFMQSVVIQLSVNEQGFVSHPHCQQLLTSIWYEGFPGRQQRGSNLNILICVLLIALWPILSLCYIVGERYSTPMPRSADRGPAPTLIETLIVLFVIGMMWSEMKQLWEEGLSKYRKQWWNWLDFAMICLYLCTISLRASAYFIFSTKVPDATRFHVRTHWNSEEPMLVAEALFAIGNVFSFARIIYLFQTHPGLGPLQISLGNMIFDVAKFTLIFILIISSFSIGLAQLYWYYDPGTPVCMVPGEPCQMESNVFSSIADSYETLLWSLFSITKVEDTHVLEPHYITQTVGKTLFITYHMVSIIVLLNMLIAMMSHSFQTINDHADLEWKFHRTKLWMTHFDEGSSLSPPFNIIITPKAFIYTINCVWNTIRWMCGKYEYTKGRNRATIRRPGYSRKQHMMQKDGVCQSDEESQTKPLTYADIIQRLVARYIHQTKKGQKMDGVNEDDLLEIKQDISSLRYELRDDRRKEIVRSASHIDAVKRDIMRTMSSTTRAFSAGSSLRRIRRHRPSVAEESDDDGDSRMVPNTPSISVKDDDDYIVLPATTRPPSPPPSSIDLPPIPPSSTITLPAPLSPAIHTNSSALRSSAPSSASPRHSALRKTETQFSFNLKNSNLILLRVLSKPFRNFEKI >PPA19864 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:372697:374155:1 gene:PPA19864 transcript:PPA19864 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLRSVNQPHRKHISELLDKNERILIEELLGENTEVLASGIAQLLWSIDERWEEKSRGVVCYAKDFDAKTKSIVIVDPSSNNPRIVDAYKWHSISHLSMDSHKYLITFEVEGETVMGLNFHSPLEASHFYRVLLEAQQKSDVRRSMRGSQRPKAQPSKVSPLSQQSNSSRDSGIIVDDPPKMTGQPKKKKESKSIISLFFGEKSDKKKKKKDKGDSTLHVPSSSSTSVQWNGKERKIDWKKRSRIH >PPA19964 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:937507:939809:1 gene:PPA19964 transcript:PPA19964 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSAVEEVLQWMHLAMGTEAEAGDERKSITAVVSKLQNLKREVDQSKEQTQSYKKDLQRFLSDHEAHIRTKNEKIEQLEDTVNLSHKENAKFSLVQSELAEAKSSLKAKDAKIAEMENMLNRLINNNQSLAKSVESFKHVKTEHTDVERGALHARFHCVSKMRSDENHKSKPFRIAGTNWSIVVGAHRNKDGEKYLSVYLDVVSKISDTWMCAVRTKTHLVSQNGGVTESEEDKELNIFCKERKDHGYERFINFKELFKSKNGFVKNDSIVVIIEMQCIMKSE >PPA19991 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1085457:1087458:-1 gene:PPA19991 transcript:PPA19991 gene_biotype:protein_coding transcript_biotype:protein_coding MKNANGERYLSRWSRENTPNDCTERFERVCRRSYTPVREVAGFKVIPDERQPRTSFRCRTPLATITVPYHTNLDYRSEIEPLRKYDVFQLRSWSYPIYKYIYRDSHSTRPYSYNRTFGTSPVYTPPKMTAEIQSITQRRSYSGYAYLAGESNFDVTSRPRSTRNYYLNKPYLNVSPYFSSHYESTGLRHFNSYRPRPHVTRIPTYFAPYY >PPA19961 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:927838:933068:-1 gene:PPA19961 transcript:PPA19961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ptr-19 MNDYRENEICVSNPLVEMLKLLLVTDQSFLNRSIDEMTLSQISDAVQLDSVGMVHMLGGVSLDEDRHISGAKAIMLPYALRHSSRMEDSLAEQWELQLVDFLLNYDSPLIRTSWWTYETLAAESARDREQLINMLGPCFVAVSIYTVALCCVMSWRRSRPWLAIGGVISAAMAICSGVGLLLHLDFGMTSVAYSMPFIVFSVGVDNVFILLSAWRSTPTHHGLRERMRETFADAAVSITVTSLTDLISFGVGCVTPFPSVQMFCAYAVVAVIFTYIYQLTFFAGIMVLECRQELEHKNSFTFMKLPKKNSNLAVHPYGYDPKWSAEKDRSFEKNHCLSKFFRTTYSDFLLNPVTRLCIISGFLLYLGIAGWGCTKVKLGLEPNDLLPDNSYGKRTLHMAEKYFSDYGSNLHVWMYNLSRVETRAASHIWIVLEKEIELYEHTEFTGGADSWLRTFTTYIKEAGLLVTGDNFVYLLRNVFLSQPQYAKYNRDVVFDYGGSVLEASRVPVQLRHVGAANQSRAMHLFRRLAETSSIPTGVYADFFQFAEQYNAVLPGTLSSIAYAGVAVVFVSLILIPEPTAALWVSFSIVSINVGILGFMTFWGVRLDFISMVTIVMSIGFCVDFAAHLAYNFSKGEGISGSTRMRNALYAVGTPILQSASSTILGVSFLSSAESYVFRSFLKTIVLVITLGALHGLVILPVLLTIFNCEGDGGDEEREKHPPSEKSTERIYASTSSLPMKYGGGESR >PPA19856 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:342200:344899:-1 gene:PPA19856 transcript:PPA19856 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mec-14 METTDGSMWFIVTRIEDRVLFNRHTARSCMLFAPGLDARAKEAAGLPKTFTPRFHNEGAVRRMRYNRLGRTDMVVSQCGLGCGALGGLFGDVTDSIQTIVDSSLREGINIIDTAYWYGKERSESILGKALEMAKQSGKVRYIGATGYSLDKLGRLFEAAPVPIDVVMSYTHGTLNDNSLGRYIPFFQSRGVGVINSSPLSMGLLTHCGPPPWHPSSQIIKETIATAEKHIEVERLALDYSFRFPGCSSCFVSIDSLARMRSILDIAIGDAPLTQTEHRVRDRIMRRYLDSLDNAGWEGIDTAAYWKRLKKLGLSSLATNRHSSVESLASTLNGMSMRSTTSSSDLASLRPHRRTTPSRGGTSLLSGQNGSRFGLSLTPSLSSPARSSRAYSVTSSLRAPIPIPTTHNNHTK >PPA19970 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:965591:967792:-1 gene:PPA19970 transcript:PPA19970 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMQSLTDIWTILISVHPIHIGAMQMDSASLLSKVLVASRVVPLLQKHYSINDAATATIKTSASVVQTTTLAVVWIFGDSFKRRRLFLASVFIWITFSIFSIVLGTSSFMIFVGFRAIAASSSAVFGVLAPVVMADIFQDRALGIALMSLSVSEIVASLLADMVATFFVGFGGAADSCLGQQIMLMVVPSNSRAAAVALSKLISGIVTIPSAQLVGMVQVSDAFREDSLLDYDRFRAYQLGLLYTSSFLVAGSICFVAVVFFFSNDYKRVHEIATNGAEPVDENTHLIRNA >PPA19875 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:508437:508723:1 gene:PPA19875 transcript:PPA19875 gene_biotype:protein_coding transcript_biotype:protein_coding MYFFRPLLLTILLITIIFSYAKEEETINENEGEEIRVTEASPYQTDEIELETPQPFQTFDIEVDDATNSIDDNRRDEDTTE >PPA19894 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:589969:590352:-1 gene:PPA19894 transcript:PPA19894 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKGDSGTMLSRLKEDVKNTKEGVDMRISMLEEVFTSLQSCQWRDALNVSYPHPKSSTVSCTFDKSRFIGDQACVDILIDYTDDINWLAHRSD >PPA20021 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1244632:1245021:1 gene:PPA20021 transcript:PPA20021 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKIILLCLIAALFCSQATAQYVGYAGAYSPYYGYPAYGNAGYGWGYPGYAAWWGSNKGGKGPEGPAPIGPNGPSGLTGNQ >PPA19812 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:168792:169642:1 gene:PPA19812 transcript:PPA19812 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTERRRPYRIAFASSDEGGLDSSAALQQRDADTGWMSTGKAEQSELILDLGQIINITRISIRAHPICAARKIQVFGSKSKNHKESRYTYLGEMHFQLDPFGDLKLLFLDTRQRYIMLILSKAIDDHQNENDHVALEFIEICGRPRADMEDVRSGSAQGDISPNIPPSPVPRSFYYCLYTNRICFYQFLWSMWKKSE >PPA19969 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:962637:963412:-1 gene:PPA19969 transcript:PPA19969 gene_biotype:protein_coding transcript_biotype:protein_coding MLGASEVHEMGKSMITLTRTKKVLDIGTFTGASALAWAIELPIDGKVGLPIINKASELRAKIEFRIGSAVDTLQSLIANGESGSFGFAFIDADKALWNGWVLEPTKADSMAIDKLNRLVSADSRVHNTLLNIDDGVHLIVKKY >PPA19830 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:241727:245169:-1 gene:PPA19830 transcript:PPA19830 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPFTWFGPLGGNRTKQFLSLSYTVGSTCSESFFDRPQYPIYLEAQVENAQAHTLLLNKNIDISVLSRANLTFGLHSLNSLFVHFQMNETKETKELETVEMKLFMDSRLDILNVSPLSPSDWSVKVVTPSLPQSHTAFICTRLKKNLTWDGYLFAVLVKMRGNVIENDKLERKEEGAEAALHWTIKMGNEKEIEKSAVENRAVMKFRVTPDSIYAILPISKSRQLINTAVLSGHQISQAMRVFSVSLGGATQDVTSLSHCMSSESKVLKTSPACASVYVDGSEMRGSPSVKIHVHFENWVTDIAFTVWYPRLPVNVWLRDSTLNSVGEWPVTTWKQLESGRLSRSSARQFSCKERFQSSEVKVLVSFIVVDDRTGERMFLSGSRDTLFDVTSLAGDRIHTADRSIAYVRRVDSKFLVSAIRKGVTSVIIKSNLPQMTLGTAPVTHGSLAVSVVYSDDQSESIDDIPNAEFSLSVTSSDEKLLVANLWMILILHQSSLNFVLQQNASIPIPFQFPFIPFLSHSISAVLT >PPA19958 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:917818:920510:-1 gene:PPA19958 transcript:PPA19958 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLHAIHALSRSHNHLAADAYESRQAPPNEDLIHKFASPSTFNQFKEIVQRNSENLREIINILDEKSTLDANYSKSLHKLAARLHKITQKATCEIDNGWTSAAEQFDMQATIHSNLSSALSDDVVQPLRAIQMNEEKTVRASSLFVEKQLKKMNEKKADVARAKRLAYTAAKDLEKLEQTADTDKTNQNKYTPRRKKLQEASRKAEDAYVFESIDLEKMREMNEKVLQRAVAGLEGIEMERLAHSQTALGRTSL >PPA19942 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:857989:858700:-1 gene:PPA19942 transcript:PPA19942 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHSSLLTLPTKFDSNKKEAVRSLKKLGEALSELNSNTNELFTQCIDSLKKLQKECQSQSILRNLETLAERNPDEAVKRADEASALIGETKINIVQSISFDLVGAKQDKEEKDREDADYMLALNVIGDYYDRMHHTN >PPA19997 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1129289:1130791:-1 gene:PPA19997 transcript:PPA19997 gene_biotype:protein_coding transcript_biotype:protein_coding MDELHNQSMLSEQELDELRNESMVNRQELDESRAKISELEEEVRTIRFDLPILNLRTKELEAQSDKWEEERKQLEEEKEEIVRQLSEMREELYEEKKKGGEWQAKFDKIVSERDQILERTTSAMKRNDALWKKEEEMKEDARRKEEMMDEEMKKMEKKVDEMTNGQTDTLIN >PPA19799 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:125322:129934:-1 gene:PPA19799 transcript:PPA19799 gene_biotype:protein_coding transcript_biotype:protein_coding MDRESFFKGQLESVQKALTKQEVPLKPKHARRLILGTHHEKSCSIFWNNVARIQLEKNPVLTWKFCHLLHKLIRDGHKSVPSESHRHMRRITMLGDFWKHLQTSGYGAGNEQYCKMLCERLEFHRKYPHIPGNLVVAEKVLRSIQNDLDGTFEASIDMLDQMESLLLLQRKVFDSLEMLSWSSLVPQGQTLLAPLILVIMDTSKLYDLLVKLVFHLHSVCPPDALAGHRDRFQVIFRQTKTFYEEASKLQYFKYLVSVPTLPAVAPNFLQASDLECYQAPQAREIGSDSDDGRSVSGDGALLDLDDTVNTRTQLQQLQHSPASLPDQRDEQITSLSRQLEDEKFAKERLIAEARSRIEQYENRLVQMQNEIDHVRREADDNRDEADRVKAALSTRDLTQSEQADARIIEAEGRRKASEDKFVKLKTVYEKFRAEHLAALQKLGDLQRRMEGVDKDRMDREEESRGIHRRLEEAERQRSEYMEKLGASAAGEDELRSQLARADAAADEMRRELESVRLLVAKGAEERREAKNATLAMIERAGEELLNASSVQYPTHLAIAGVTWAQEVMGQESSSGSPKKESLHPTSALSSSIPLSGHALSVVVVACAAAAYTASIQHYDEVNEECKCLLVRAKEVIEKGEEGRFPSLQRLHDLMIALPEVVGSELEEEMRRMDAAIRQAVEKIEEIQRKARENNDGLRLEVNERILGTCQALMAAIAILVQRSRELQAEIVAAGRGQGPPQEFYKRNHQWTEGLLSAAKAVGVAARVLVAAADGVVTGSGKFEQLIVAAQEIAASTAQLFVSSRVKADRDSEKMAALSVASKSVNQATAQVVASMLLTSLILHYTKRRRKKWRVR >PPA19853 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:330697:331899:-1 gene:PPA19853 transcript:PPA19853 gene_biotype:protein_coding transcript_biotype:protein_coding MALWDFFDFLSWNPVTRKLAVVLVTAAASAALYLALNRFNKTEGKNGGGRETATPIAPEAKTPAKRRRRRRSSAANSPNKIVASSSASASSSLESNTAPGISAALTVADPSSSQSGSTETHSERAVSPSLTAEPPKVAEEVDQSICPRLPYPSQEQLPRTSLCGCRVLKKGEPIPDTESVIDSFGYRNPSFRALFDASKRPVIGETSPVVDYKQFDPLRLCDPIREDYVDRKTEVTPASKRPPALQIEQSYLTPFQSILQSLEFADKHAYLIESLVDNYEWLQ >PPA19888 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:560083:561608:-1 gene:PPA19888 transcript:PPA19888 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGADDAEANVDLEEKREDDDDVQGEEMRRRKALSDAQRGPLPRLSFPPGTTAGTKIQEKEKYVKKLRRDYRHSLLKGEEEKVGRENAFQQLRDVERDKEEVEAQLTGLRAQVAVIEETKRLSAEAALREKDSKHPNKFDKEREQFVSKIRPSLHHPLNSDLSFLTEEKTIVEFVVTPFITIRHGVRHETAERIENPSLHSTCTLMGQSDERALEMKRRILQLYIHRLFLVSKDPLDHGKAEEAILILQRNHTVSSLFCMSLSTTGY >PPA19881 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:524862:527623:1 gene:PPA19881 transcript:PPA19881 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLQGEQMQGIDAFLTFAVLILAAGVIGLFTEKKLAIEISNCYLFILYLMCSFIAGYFFLYMFMHFFDPVFVAWRTHQTFSWLLPYLRPIYLSTKDAIRVYILTTVSFLVFASCLRGAVDEGLDIAEDIKMRLPHVVRVNNGKCKISPDLLASYQKGMPTSRAAPTDPPPTYQSVPINNYVMSSEYGIIGRTGGSGPETTFCCSTIACKRMADTVFLIHILISIIFVAFNMISASTNWVDFARMIYIVILIFGMVATRSRMSFPVLVSAAIMTVWSVFFLIQSSGVFVLTLYSFFYPDEALDIVETVTEWVGLGTTGKLASEALLAIAMDRASRVPMIPVTRGELIRMMNGETANTAADPKRGNPSTRTPASSAKNGDDAFVSVPLYPRLN >PPA19947 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:871810:875605:1 gene:PPA19947 transcript:PPA19947 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRLLKEPCKERSWIMEPVPMQPSNQLYDATYLRGRCPQAGVSPGPVSEDCLYLNIFTTQVGNTTSLNAVMIFIGGEGGFTRGGANEAEIPGTVRNLASRGVVVVTVQYRLGALGFFNLANSGVPANLGMQDQVMALQWIQENIRSFGGDPNRMTLCGHADGACAVAAHALSPMSNDLFQQAILQSGSIYTCYQDTPQPATTPMPTLQRDPGLAYQPLNQQQQGQQQQQQQQPYQQQQQQYVPPYTTTPTPRQQTVADEDPSLQLAMAMCNVSSDQLRAGYSNARLRTCLQSLTVDYRTSKWVIVRDNSFMPGSPQSLSQNARRIPMIIGTVQDEDADYVFRMIADGSARGQSEQQLFDGWFVDFAKKNKINGTDASQVKNIIENNYGITQQPQQNQQFYNPPQNGNNGQNQNYQIVSQSNANTYNPNNGNNQMQPTNNGYQQQTYSNTQFSQSTGGNNQQTMQYLQISSDANGVSQSVSQVNLVQQQGADTRLFQFTHVSELGRSNVPNTGDWKPVFRGQDQYFLFMSQTVWTTGQPTAADMRVANEMGQKWTDFAKTGQVQNWQSTPPGQYNYCNLNAQPTMQQNYAPTARAVFNDQVYPIVQQATNTAPFIPSPVPSPPLAANQNANVMVHNSNGSSSWSVSFSIPASSLLPFR >PPA19962 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:933799:934309:-1 gene:PPA19962 transcript:PPA19962 gene_biotype:protein_coding transcript_biotype:protein_coding MLWDSSIYDIKRAGYIIVTHKEDEADVLNIATMKEALALWTNVQSLTINDHLQRSVSYPSICVKFPMPPEFGRALNSLISPNVSS >PPA19811 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:165457:168519:1 gene:PPA19811 transcript:PPA19811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rfl-1 MTLNKRFHVAHPLLIFLGENLGALELLMISCDNIAGDRWRDLRRFTDRPSHFASPYFEAGPTNMDCVHDCLVLVVGAGGLGCELLKNLALSGFKKMHVIDMDTIDLSNLNRQFLFRESDIGSSKAEVAARFVQNRVEGCTVIAHNKKIQDMPISFYAQFSLVICGLDSIDARRWLNDTLCNLVECDEKGVPTGVGVIPLIDGGTEGFKGNCRVIYPRLTPCIECTLDLYPPQVSSAISPPPNQSVLEVNFPLCTIAHTPRLPEHCVEYVKVIEWDKLKPFDGATLDADDPEHVEWVLGKALARANQYNIRGVDSRLTQGVLKRIIPAVASTNAVIAAACTIEALKCASNISKPIDNYAMFTDVEGVFMTVLKLERNPECIACSGAKLSISCKPEATFGSLFATLTAKYQLKNPTIRTPNGPIYMISELMPELKAQSEANLEKPLKDVMSDGDELFVVDSALTRSISLVIRFDKQEDAA >PPA19889 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:567221:570784:-1 gene:PPA19889 transcript:PPA19889 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIKDKFFSVGRFFRYWLCAEWWPIVREALGRWGMRVKERCKRIFNYICFWTVYVVCGHWVKPCARYLRDRLMELGAYLHRVLWTPFKIWLLAQLHTVADLLKRAAKLLALAIRDSVLWPIGILLADLLYQLGKMFYDAAIHPLIMMLYDKYKIVENYALIYVLGPACEKIVNNIPEKNPLCDDSDVELEGLLPDEISEEAERDSDHERDTGMEDDDFLPSDVPLDYDESEFTSGLSFPTVDASESSDEEFDLHRRNEEKKREFQRRREKKEERMDEGPERENTNQPPRIRKKENPDETFEKPSW >PPA19903 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:626858:628149:1 gene:PPA19903 transcript:PPA19903 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLRSVITVCILPFLSLAAPSPFTQYCNESLHFDCHDSSKPCIPLEWLCDRVPDCPNGLDESHCSYLHDCDSHQLMCRNGECVSASFRCNGNPDCFDGSDEKGCDEMDEIMEKTRPSRPSQSSSPFQTTIAPRRMVIPSHCGANEYKCRSGACIDNDARCDGTWDCPNGDDEIECPKPLDTQAGVMSPTNCKSNNHMCADRSACVPNDWKCDGEPDCDDGSDEAHCEITDEEEVSLLIEGMKQQLKEGASTSDLPSAHEFLSSADDILCDEGHFRCKGGTIH >PPA19871 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:498004:500358:-1 gene:PPA19871 transcript:PPA19871 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRTIGGLVRSGLSNGGRRGVRALSVQTGGDGKEDHGKKDVNPKEVLDEEILKAVDDVANELGGDKEKKKGLRTSLLSRLISHEKETFQSATVDHTSEMFSDPGVLAVLKEAAAPTKPLPNAIHDRKAKRGLVLLRKEVFYQAVQSGYSAEDAQRISMEAVEEAEKRVEEKRDGEIEKRKSGDIEKKVIMEKREEKEQKLFDMAYDLAQKMLYGDDAPEQKLAALASKVLEADPSFPSFFDTKTRLNIFKGDISQLETRRIGFWEEWEERAAKTWNQSIGPENAFEEQILWTKKGAQWPYPINNEYLLGQEEKVPFFEHIFVDRRLASSNLPKDGPIAHFMHLVAVGLSKNPHMTVEKKQRHIDWFISFFNVEKQKLVHKLHEQEQAAAAAS >PPA20017 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:1227564:1229051:1 gene:PPA20017 transcript:PPA20017 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPANIEVPATTHERLQNHKEAGGEALRHPESAEWFNKEHNEKLKKELLWAAPYDARFPQVRKQRQCFAYYVDFHRCKELMGEDYKPCKFFQNVYQDFCPKFWTEKWDDLVGEGRFPAKFDPIMAENTRVSDAEILRREQYIRAGLREREIVDPFTWSYPWKAAGVMTGVGLVAMYLTNKWNKKPYYFGESV >PPA19825 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:217703:217896:1 gene:PPA19825 transcript:PPA19825 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYSSETHIDSIGYQDDNRHFATLAKKTRGWKSARDSESIPSTSSTSSVG >PPA19877 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:511448:515640:-1 gene:PPA19877 transcript:PPA19877 gene_biotype:protein_coding transcript_biotype:protein_coding MRARSGSGVRLDRILFLAEQTILKHQDPVTALFANQNDNFPDHAWVRDNVYAAHALWALYRAFQKSADFDEDMVKANELGMTCVKLMQSLLECMMRQADKVEEFKKHQRALDSLHAKYSARKKLSVVGDSEWGHLQLDATSLFLLTLAQMTASGLQVVRNFDEVAFIQNLVWYIETGYRTPDFGVWERGDKTNQGIRELNASSIGMVKAALQALNSVGDLFGDGSKGSVIHVMPDEIEQCTAVLESMLPRESFSKETDAALLTIISYPGFAVEDSELIEATRETIINSLYGKYGCKRFLRDGYKTVLEDPSRLYYNKSELQQFEDIECEWPVFVCFLLLDAMYRKDESDVERYWRQLDEVLVVCPQGFRYVPELYKVERDHVSGEKSHRGSQPRSYDGPTPYLWAQSLYVICSLLYEGFLLPSELDPLSRRMAAHERKAPCEVQVSVLADSLEVQEELRLNGIYVQRVDELDDVFCVRPASALAATLAKLGECSKLSMTGRPLERDVGILSTSRLYQVGKKFVIFTPQFMDRSRSHLMYDIRILMDEWGSELTYLYDAWRPRVQIAAGRALVVLVVNKGMLSSEGLSSIANLSMTRFMKSTVIGTIKKINNGYIGGARVVMKNVSDFFRTTAVNKLEFHDDWDERREERIQLLARGDKTMEKASMDTPKGPKGKGGIKRGESIKDRSAYNIMHKASMRHRSIVLDSNDSGKRLSDEDLVQLRLAYSRGGKNSLDTPTTHSPASTVENRSPSRTVGFSSPSPLARSSPISIPTPPPSLPVLHESPISRGGVLHTNMKNTGSSNTE >PPA19973 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:984850:991981:-1 gene:PPA19973 transcript:PPA19973 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSLRGLCRTAGQVVSSRGMKKYPFPVTYEKVTWPPDGQLKLPLMPMEPVYSNEGGENKYKTSKRMIETRGVEEIHTELVHEQFGLAAVSGGFVSSHDFKFLQDRINKNLVDKQFAVWRVDAPWLPRTKKAQGTRLGGGKGSISHYVTPVKANRIILEVGGHITEIEAQAFLLYLCERFSFPVEFVSQRILDQRRQEQQLVAKCNQNPFNWDTVISLPFRYVICGLACLPTSALFLCVLCALLLHYDKATETHCGVDNWLPSVSAAVSTFAPEKYIWRVLLGLHGGPRFIFAVANRNYLVSSPLQPLQNTAIFKTMCNVACFIHIFENIFLLLLTAISSVENHELHKICFGIFGASALVYMCLSTYLFSYSGRRRALSSNIGEKSFEYKCLFAGISFLSMILAGYLYYRHNMYCETGVYTLFALAGRHNITEVFILLSSYIPMVFFSCDRCNESLKKNQVEKHTFRCRDATYSCIDCQQHFDSYSYAQHVKCITEDQKYGGKNFVAKEAKGETKQNVWVDQVDRAIEAVKDKQLKELLQKIQGFANIPRKEAKFINFLVNSIKIRNRDLCSRAWGAIAEEAAKIKKEEEEIKKAAEAAKKAEEAEKKVEEKEKEDENGAAVGNGETTISFKWKATIKRKLKENGGEMKVKKLRTAVLDEYRSVEGEEEGDELIALFDEKIQKAGIVVDGKKASLK >PPA19936 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:834243:835316:1 gene:PPA19936 transcript:PPA19936 gene_biotype:protein_coding transcript_biotype:protein_coding MENEIKLRAYRAVGYIAVGFSTVAILSMCITMPLVSRYVDSVSRQMYHDLASCQAEAKGVWASAHSAAPLRSNRTARQAGGYYGEEAAPSVGVQAGPVGGFFEDAKCEGCCTPGPAGPDGTPGRPGAPGKDGAPGAPGNPGRPPGKPCDPITPPPCQPCPAGEPGPAGPAGPAGNQGRPGGAGPKGPDGEKGEPGTKGYPGRPGSQGRPGSPGPQGKSAEYGRPIPGAPGPAGKPGPQGAAGRPGQPGHQGKPGPNGDRGEKGADGPNGDDGQPGQPGPDGQSGPAGEKGICPKYCALDGGVFFEDGSRR >PPA19824 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:215794:217328:-1 gene:PPA19824 transcript:PPA19824 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTQDLRSLGQHQSIRGATEFFAYLSTDAGLQALSNVENDYDTDIRVENDEILVHPSQLLPDVERELKNHWHEYEFFRTMRMKLLSERSQSWVLHFPITKAAMVHFNRPMIIRDARLIDLVVERTGEIIAFGCVDALSMAREIIDGLSYSNTYASNSYTGHIDTVTVEGSDSNMNVLPDAPYPVPAPSFPNAERFEGGAEASNSNQSLTPSEGRGGKKEKLIFMVKSTDAPRLIGSRGINKRRIEEMTQCNITLHTETKKDGEFPVEVGGWTMEKCVAARDHIKAFLTEENKEEEEKKVKERPKVRVVMNEESSSCDFSS >PPA20002 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1157136:1160555:-1 gene:PPA20002 transcript:PPA20002 gene_biotype:protein_coding transcript_biotype:protein_coding MSETIVGILNATGIVIVDGGINDIRIVAVVMAFILKSIILAGISFESLLQKLMLFPMAVSILGFLAGSILPISPDQALKGATGWNLSTISSNIFPRFEHGQGFFSVFAVYFPAATGIMAGANISGDLKNPQTAIPKGTMLAIIASTLIYLTVLIVSGSIFVRDADGHGNNFHYAFTHHNWNALINVLVCIGQYGLSTEDTAVSTDKLFPRISGLAKEYGRDRAPRRAYALTFIITVLMAGIGDLNSIAPIISNFFLAAYTLVNYSCFDASFSGSPGFRPAFKYYSMYLSLFGALFCIVIMFTMNWVTALITTTVFMMIFGFLKYRKPDVNWGSSMHANNYKQTLQGMHRLAKADEHVKNYRPQILVLSSPSSPHLPIFAHSITRGASLIISATVDELAPSHRTHSHLRVWMDEERRRLRAAGVRAFPLAMSSEGGWSSGVKAMMQTVGLGRLVPNIVLIGLKTDWIQQNEKTPTQEKMKEINEYFAVIQAAFDRSLGVAILRYSQKAECTEIISKKSTSSKTKKLLNPLNKVQPVELEVDNIQKGVSTVSSLFPPIKGGTIDVWWLADDGGLTLLIPHLLTLPKSYLAGANLRVFSLTSDSSREKKQEAAMAALLSKFRISFKDLLIINDVSTQPSKETEAEFNSLIAPFRGNGEGMISDLLLKASTAKTRRNLRLAELLREKSSEAHLIVMTLPVPRKGLVCSTLYLSWLEMLTRGLPPTHLIRGNQTDVLTFYS >PPA19976 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1000783:1002909:-1 gene:PPA19976 transcript:PPA19976 gene_biotype:protein_coding transcript_biotype:protein_coding MQIHKRGSRHHVPLLYRTSKRKNICYMIVTLLGDNLKRLKLPSMYRTPYKVVHDSGFVHRDIKACNFMLGHTSDAKKARMVHILDFGLARQWAFEDKRVKNGFGYRARPARPNCDFRGTFAFAAPSMHDGVEQGRKDDIWSFLYLLIDIYAGLPWARIDNDTALATMKQNIRDEDLLIRMPSELLPIPKHLRTLEYYSRPNYSLVFECLDKIMKKCNVTFLDSYEWETKSQAAIYKAAILDDYPGYLEAGPFMEEDPIGINEGPSGSRNTQGSIEEDDDFIKKKKKNDPSMDMSISFKASSNNNTNKKKNNKPGSKIKKGKKSTLSKKPNGTSRDTSREDLSKEDKKEDNDSPWKRAPSTPKNPSSVTGKTPIQKKKTRSSVEDSQDTQKKKTRSVEDSLDAQKKRNRERSSEENQETPKKNRDRSVDDNQDAQKKKKDRDRSVEEKPRSVYLSPYGGK >PPA19918 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:749322:751346:-1 gene:PPA19918 transcript:PPA19918 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKGIKGVVPSSLLIPSFLLSPLALTLPLLSICPRQEQGYGILPYNLPSPIQSQLQSKETVNRKREKPSAPKEGRRKKSVEDVEEKPKKGGDEKTVDEKTAIANKKAWAKKLHNLNCKEMSMEFNAKMKRFMASGVTINTCKTDANQLKNRYADVVCIDQTRVTLKNRGKDDDFIHANWVGGPAPGATKYICTQAPLKETQEDFWHMCFTEKVSLILMLCNFTEGCKWSDTVELGLAVCVREYRSARARSDRNESEMRMIFEQWDSEWSEMREEEKISDHIGNSLNEV >PPA19823 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:213649:215039:-1 gene:PPA19823 transcript:PPA19823 gene_biotype:protein_coding transcript_biotype:protein_coding MHEEEEDYTDKSLKLVTFAPFHPTFRIPVTTPSPFLDSRNPEGYGPSRYRPGQVQAQHYTPAPRTFNYFQHYPFYRLPKAWRLRPDIIYTYPSDRQDSYWQYPHRLLYKDIYGFLRHPNPYGCGPGVSYATSGGGCGGGGRGSSDGFGERPLATLNSNGKGEWTENDDLNLANKEDPLEDISLETLEKIDKAGKSQDKKDSEKHPTLIDDADLINGHQFMPIGKDEEKFTRVGEESLVGIGSKDEAKEAEEIGEEVAKEKIGKEKEKEKISPEALEELKAFEQEFSNLPPRFSENKESN >PPA20004 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1165918:1167510:-1 gene:PPA20004 transcript:PPA20004 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFLTQTSVKVRPREFPRDSTVEIPDQNWRVASSGAPQCSLNLVHEVVVLLVIVGRRGSVCADQEESLVGEEEGCQTRGEATKHSSACQVWPEQEGHAMGRSGPARGELQFGLLVPGGERPGVDGADVEMATHRGGDATPAGQRSLERDAGRGTIDVWWLADDGGLTLLIPHLLTLPKSYLYGAHLRIFTVTSTESKGRDKEVEMAALLAKFRHRDTFRIDFHDLHIISDISTEPQSATTKEFDKLIAPFRRNQEGGWITEAMAHASAAKTKRNLRITELLREKSCDCDLIVLTLPVPRKGLVCSTLYLSWLEMLTRDLPPTLLIRGNQTDVLTFYS >PPA19808 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:157244:160945:1 gene:PPA19808 transcript:PPA19808 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-clp-2 MIRDNIRAEALVDQVRAEEEMEMLNKLPSVPDNDEVANLRLDDRIPPACGKPSFSAPPSPAVSKKGEGLTKQEIAVLGVTSKINGRSYVPFLNVDLKEKFSFPFPFTDKDGYLSLTEKQKKRLKGWMRPSEFMEDPAIIRQIDSGTIKQTVVSDCSFVSSLTIAARYERRFGKQLVTSIIYPQNSSGQPIFNSDGKYMVKLHINGVWRKTNELWVTLLEKAYLKVMGGYDFPGSNSNIDLHALTGWIPERIAIKGEKFEADKIFDKLLTRFHSGDCIITLATGKIPDEEAKRAGLVDCHAYALLDLRKLDGKRLLMVKNPWTHLRWKGRFSENDKENWTPERRKALDYDPDAAQEKDDGVFWIEYESVIHFFDVFYVNWNPALFPFTYGIHNFWNNTSGPVKDLYTVAANPQYRLEVNNKGPAAVWILLTRHITDLADFAENKEYITVLVYKGGKKVYLPFDPKPLYDGTRINSPHYLCQMVVTEPGPNEFTLLVAQYEKTKTIYYTLRVYSSAQFHLLPIVDHYTVKKKICPVSFVREGKEFYQKETGLYRSGYTVMTIDKMPAGTYSIRPSTFITGQEGPFILGVESIRGFTLKRFVHLIL >PPA19907 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:641111:641794:1 gene:PPA19907 transcript:PPA19907 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-har-1 MVRRQSSPKPSAPVRSRVASPPPRPAVATPVARSVPAPPPAAAAPAAAPMGAAPSQGPGLMAQMAATAGGVAIGSAVGHAVGGMFSGGSSSHEAAPAAAAPQQTSQQYSTPCEFEWKQFLECTQAQSDVSLCQAFNEAFKQCQTGRH >PPA19844 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:298527:300195:1 gene:PPA19844 transcript:PPA19844 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRTSDTFSHDVLCLKHLMRRKKEAVVAFENELSVYRHSDAHPSLFPHILPLIDFHRSVDVSFIVTELGGPNLNILRSNIIQREFSLDSALRLSIQALEGIRALHRAGILHLSIQPTNMIIGLGANSCNLYLVGFTGMKKVDTKQKRSLIHAPHPLFAPGKMKKMQKKWSHKYREKEFNELSEAKKNLFTDEKFDKLAHVPEFMKEMGRLIQSSEAADFESHHDKIVDTLKKYLTEHELDMYAVYEWESTSFQKTIHQLMLGKHEKDFVGPYYTKLQERPPKKTKEEKRGNFENSDEELDHEDKKEADKIAKMQATMKKLVEEILKGPMETPEDEEESVKE >PPA19938 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:839601:843329:-1 gene:PPA19938 transcript:PPA19938 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVFRSSSLFLILSIHKKSRIDSHGAGAGVEIITNKPYNEGPGGSGQYTFKIYHIAEKIPGWIRAVIPTANLEAHEEAWNAYPVTKTRYSTPLMDRVSIEVDTLYFNDDGNQDNVFNLSPNELRQRQVDIMDFVKDPVSSSDYCAEEDPKLFRSVVTATFLTGLFSGRGPLNDDWVEECIAAKRPIMCAYKLCKVEFRYWGLQTRVERWIHELALRNTMTRAHRQAWAWQDEWCGLTIGDIRKLEDEVALHLSTVMANNIQADGPSEESDDASSDDLYFDCMDGSPEQSSKPSIIRWSSELLLDNDSPPSTPFSKRQAALLVLVFHGDFNPEGPADTKTTDTNTFRSTLEDPTFDFALQWTL >PPA19927 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:794505:796563:-1 gene:PPA19927 transcript:PPA19927 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYHPHLVEVAWASTVIFVVVAGLLSKQPVLINGAFVTVVGGAFIVYSSLIDINPFHLLNHFYEVLLEPRYIDHSLRSSLLLFWVVDLAASILFVLLVAHSGRSSSIHRKFFHLTLSLVFLSGLLIDGPLLALSAALLLSIFIILELFRYHSVPPWGETLNKYLQVFRDHQDGALLLTPLYLLAGVCLPIVFHSPPPPGTPSPIQLFAGVISVGVGDSVAALVGSTMGRHRWPRRKKTIEGSIGMFLSMIFALLFLRPYSASSSLSLFSITIVSIVLTALEAFLANVDNIVLPLVGYALLSWFV >PPA19846 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:304356:306135:-1 gene:PPA19846 transcript:PPA19846 gene_biotype:protein_coding transcript_biotype:protein_coding MSEENHTGPHDSNKKEEPPHDENSKEREKRLNSYITFRPGKRFGEWSVMKKIDEGGFGKVYLMEKKGNKEARAALKAEPNEVEGGSAIKLELQVLRAINPKSNKPHIPAVFHAAKRKKFCYMTMTLLGENFKALRNKMDKCDGSWPTLNVSTWVRLGIQSLYAIKVVHDNGYLHRDIKPNNFVMGHPTDEERSRLVFILDFGLSRSYAFNKNLKWISRLARATAEFRGTARYCSPNVHDKLEQGRRDDIWSLFFVLIELHCGLPWQEVRDKQKIEHIKCHYKDEHLMQNMPRELRGVIPSLRRLDCYMRPDYSAIYNALLAVMKRYKVNYDDLYDWEKDSDLKVLKPTSRPPWYSPDEFFKSDPIGIACGPSSNEKNASQDTGEGGKTFDKTNGE >PPA20012 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1197547:1199367:-1 gene:PPA20012 transcript:PPA20012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ceh-13 MSCTEYGYYPSTTTDWSPSPYYPMPYQHPPPHYPQPGTMHPSDWPSEAATATVQPAAAAPMGYQQQQPQTGDMPKWMQTKRTVKPPVKKVSKIIGDDSNRTAFTTHQLTELEKEFHTNKYVNRQRRTDIAAQLKLNEAQVKIWFQNRRMKEKKRQKEREFKAKTTLPSSSPDWGFIFCLSHFRLPMNTQKMTSL >PPA19866 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:465795:467648:-1 gene:PPA19866 transcript:PPA19866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpn-6.1 MSGGPEKKRLKLQQDRSDPDENEDESGELEDALVTIKEEFAKDLSKASEEVLKEMEETVLECAADLAKDKRTEDLLWTLTACRAVLPFLGKAKASRMLRTLLDLCLQTEEMKDRKIQLCNECIEWATAQKRTFLRRSLQARLIRLHNDNFSHTIALEMGMVVNGYAGKLIAELKKMEDRELLVEVVLEESKAAFALNNPNKARTSLVMAKTVSNTAFMTPVLQASIDLQSGILAAEENDFRTAFSYFYEAFENFEPIPGNTNECIKTLKYMCLGKIVLDEPEHIKILLTGKRKYESIHLEAMKSLGKAFEKRSLAMYHEANAKFEKELRNDVVIAHHSARLYDKLFEKEVIRVVEPYDVVDLDHLVTRIGLPMAKIERSVSGLILDGRIKGVLDQSTHTLTIYRPASSDTAYKKAVNLIHVLERIVDNQYSHGRVH >PPA19985 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1044147:1045654:-1 gene:PPA19985 transcript:PPA19985 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPFTHWQPNIFPHLSMSPYLDNLGRRPNAPLPMLNPGPYGATRPTVSNCPTTNGYGTYSNSTHRITVPPHRCPMLKGGPHNAYGTYRTPRPSVPPPGVPISHDFRSIDAIVRAFIDQPRAIMRKTNEKERNAYVEAYAERFRDGFIYQNIEDFPPSANQLEKVANWIVCSDFDVRNFNSEEMTVHNFN >PPA19949 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:879941:886184:-1 gene:PPA19949 transcript:PPA19949 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDCSASQHSDNPEHHEMDHLDDQTMGIKREDEARSSESATSSRDEEEGKEGLNERLRDLHNSVTFLSHQMAIIMKALHVNSCQCELCGSRGQNNGHHNGVSPNLGIDYAQRPGGGKFPMVDRRAVSDYALQHGALISRMIVHAPITAPELFPPNWFGGVVEWLQCVDSVCPLPSLATTRGKNHMESQSSSSGTPSGLPPSVDAMLKMTSSTMMTGTPGFLRGRGRGRPKLIGDELDADLVEYMVQLQKTDHSLHRMSATQALEIARAYILDKAPGLLEEQGGHVKLKLTWAMKLVSRIAERQKEIELGLPPGTLQNMGRSQQLAEMVAISKFGGFGGRMNGGGEGGMGAALEALQHQVASSTPEIMNVRELDLSRISSGIPLDASDLDGSAIHSSGELPLIGANGEILFPNEDHDQQSTVGNYPQNYDHGNLK >PPA19890 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:583639:585143:1 gene:PPA19890 transcript:PPA19890 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSIFILPLILSLTRADSFLRLCHQYSLDNLINIRERAVAPRQKWENILDPPGVYQLIQRLDTRLSSRHLSLPYWDTSIELRLAHPGDSILFHPSFLLRSRRRRDRRLNPLVRPKTRFERRIRNPRDDKITRRLQSQRTRKLLKAIRRDKQICPSSSPHISCSSNSSLPLPKLSPSSRCSDSLPRDICYQSHCISDLCTVVIDSPIPTVPRTTVLPTTIELETTLIAYSSNETESDNSTHVDLYSVNGVSTLSDEVTLLLSSHEVTTPTSATIPIKRHKQNGWYRFKPNKETSTTAAPRKREKASCTNLELKYSSRSFDKHQTIAMKISHPLHLYSTPKEN >PPA19865 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:462786:464315:1 gene:PPA19865 transcript:PPA19865 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGLTSMKLDLIRLPDVNPEEIRRLSSLPIPPTSHFSPHFTSFHFIPRSIGGGDAYVEASIAIFNELGFINRYRIERKKLAGFVLRVAQGYRNVPYHNWSHAFAVAHFAFLLLRTPAVRGQLDELERLSLVIACLCHDIDHRGTTNSFQKQARTPLAQLYSSEGSVLERHHYQQTTNILSEVECDILCNLTGNQHKTVYDHIKEIILATDIAQHMTKVGEMRKMITQGINPSREQHHYLMVCLLMTASDLSDQTKHFKNSKGIAVSQLFIIKPNTN >PPA19873 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:503275:505914:-1 gene:PPA19873 transcript:PPA19873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pcp-1 MKALLLLLLVIVCIEGKRRRFPRSTSEYVSTSNSDITYTWDEYWFETKMDNFDFTNLNTFKIRYLVNMNSYKAGGPIFFYTGNEGVIEEFANATGLMWDLAPTFNAAVILAEHRYYGKSQPYGTYDDSYETVDKLAFLSSEQALADYAALLQWLRNNEPDKNRPITFDANTKIIAFGGSYGGMLSAWFRMKYPHIIDGAYASSAPVNYFNNAPGVDWGGFDKITTDTFRKSGCDNAVISKSWDSVKNLAKTGDGQKYLNDVFGIDKKSLVTSAADADGLNDYIREGIEYMAMTDYAYSSDFLKPMPASPVKYVCDNYLKQGTISLTDDKAIAKAMADTAAVYFNYNNTDPSYTVCFKDGCGDAGTDALGSPDGWPWQECTELVMTMCARGGQNDFFWDECTQNPPLDMYNGYCPSAFKSQGWKKGMLGVDAVGTKYGFSWSGVTNVILTNGELDPWRAGGVQTSDKARRLFATTITNSAHHFDLRQPNTCDPSNVPWIRFQAVKAMRCFIDPTQCTDDLDILDNMPSNMPTDQDLMNCKDIVGGYPWGQTDKSAHALFTFTTFLVVLANVFRM >PPA19837 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:276387:280119:1 gene:PPA19837 transcript:PPA19837 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPWAVGSEWVTPLASSLSNIQLTNATQKRGRRRTTVAPLGTPPVWEKAKGERIDDENKALLSRVYEVERKAGESRVKASEEKQIEAKKDALGHDQRRVGLIVKKIGMMPQWTNDGTRMLCTLLEVPSNHVVSVTSPDEWYRRSMVGKRKAFNRDGPMWKVTVGAGESDPWKFTSSYRRQFARAGTGVKERLGSFLVTQDALPHVGIPLDARHFSVGQFVTATGKSIDWGFQGGMHRWGMRGQPQRRTTKSHRRIGSVGSVSDARIWPGKRMPGHMGWEWSTLSGIQIIRMNTDKQVIYVKGNVPGDMGEWILLKDCLQEGKRPKEVAIPTWTPSLETIVEEEEGEETKIKTDKQVYIDNLFRFTSPSILFSNDDLKKQAGRDKTKAKIAKMAEEEMKDEPGPSQVHVHKDSAVWRSKALLALPQCAISDSTLLTETKSFKAKLRALAHAWNPKDSSKHNYVRPNLFTMHRHPVAQSTDGIRGKRGVSSGVHAYEITWEGPLGTVAVVGVATKHAALHCPGYVALLGSDDQSWGWNLVDNSLLHNAEQLGVYPRVNNPPKYQVEERIRMIIDCDAKCVYFERSATSEFLGVAFSSLPPVKLFPAVCAVYGNTEVSMVYLGPATMG >PPA19996 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1124976:1129177:1 gene:PPA19996 transcript:PPA19996 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mcm-5 MDLSYHEDPDTGHPTPRMDFNPSEMMTSTPIPATRQSRLRSHSSSFRTQSPVGIDYNTVNNVIQMVKENKQMKEKMDELHNQSMLSEQELDELRNESMVNRQELDESRAKISELEEEVRTIRFDMPILNLRTKELEAQSDKWTLERKQLKEEKEEIGRQLSEMREELNEEKKKGGEWQAKFDDLRAVREKEMVEILAEKAKVHEQRMTIDNLRRVNGELEEKKKEGIKMKKELNEKIEEGKKMREEMDQLNAEVERSRETQKKLEDELDIARKMANDANDKMIGMNEEREKEKEEGRMKWMNNELRDSEEVIKRIEKEKEETEKRLIDTLSTVTTLQHEYEALTHQFYSFKADAQHQYDTEMATKDEILHQYEMDRAAKDEEVTAMKHRLADFENYPGRQVSVDGRYTEGKSVYDLFPSQLKDFVDKATDTSVRGAVDCCKSMVIRGSIDNREAPPTLTYLPILIKRLDEIEMHSMSSKKHLAFIIRMFKKMEGEKGTEELIDSLASNINEEMINLEKTLYEMEKRNKKNEEMMRKNIEENRRRVEDEKTKGDEEIGKLKAEMERMDDHRRRIEEEAIRADEGRKKALHEMTNMRNTLATLKAEKDVLEEAAVGFKEQWESRGAELEEADEALDQWKEKCRELTFQLDEKERKIQMNDREYIEVEKKLEDEITRNSNLSRKLVDETSMGQKHEKESRKLRRELDRVTRSKMRNLNLMREYTDCLRKHYDVYKRVKGRNELRQSLLDRCMDEIAAAIKSTRRNEKLANLEETIRTEMSQYMSEDAVDYKESRTIQRHIESLREEEKENE >PPA19803 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:140536:142291:-1 gene:PPA19803 transcript:PPA19803 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSIGRLSTRPSVCATLHRSISSSPITLKGHSKWQNIKATKGKNDLIKSQAMNHLLKKVTAATRKGGFDLKLNRDLAGLEQEFRAQGLPLDTFKTYLQRLKAKQICKTIRMDKPEQPFRFEIIGPSGSFFILETEAPNRSGIASTIQKYMNKIGGFRLAADSSAVLTWFDSKGVVRVDSVNKEGKTIPFEKAEEMGIELDCEQVDSIEGEDGKTKFEMLCEPKQVNIVEEGLSSQGLVIESAETEMRPKHPISLSEADQKVI >PPA19886 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:550833:552411:1 gene:PPA19886 transcript:PPA19886 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEFGNASPNPLSQPSELLGSMDLLSVYDLSNSYNKFCSPQGMKRIAKEDLSSFLPHLYGEFNWQRGQEISWLKMLIEKPPITGKEIVPLNSSAMASFKLQPGIVDEPYRSLFDTGYEVKDGGQKDTKKEKRKLKMSIDPLEEDCIDNWAMDGNAPDMGSDEEGGTKKKKKKSDKDREERKERKKEKKEKKEKKKKEKEHN >PPA19989 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1067105:1071244:1 gene:PPA19989 transcript:PPA19989 gene_biotype:protein_coding transcript_biotype:protein_coding MWGYPAYCVVGIDIVLFLLVVSNLVMAMCLDPGIYPIATVSEEQNGGDEFRSPLYKNVVINGISVRMKWCVTCKFYRPPRASHCSVCNRCIDNFDHHCPWVHNCVGWRNYRYFFFFLVFLSLHMIYVFTTCAVYLAHTFHQKPMDPPFLTSIVLLILTTVLAIPVVGLTIFHVVLVVRGRSTNEQVTGKFQSGFNPFTRGWMDNCFFALCHSQTPSFVGYPSTRTKKKMQQRNKRTLKVLVTDETSVLYVPDGDGKEGGHIRLKQMRQGDSDSVGTALSLGRREEKSTTANGSTCNLYEDKNSTAAAYEASVAEAMQGSSLSAGSPSSERLALLNVVIPLIWCSEVTDAVSR >PPA19831 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:252236:258744:-1 gene:PPA19831 transcript:PPA19831 gene_biotype:protein_coding transcript_biotype:protein_coding METLKSFLINALTEGASVQSQSLTNKLSSSLALLALYCIPDVWNSPVQDLTALWAATPELLLRVLAELAAEFPNVHMPLTQRSILKTELHRVSEDMIKILTTVLQSAADSAPSLLNAAVECVEQWLRLPGSNLKQWTPLLSHVFGAVQDDSTALTNLLTILAANDELVNEEQLVHHVLQYVCGTLAQKVLAELSRDPLSEEITALSAATCALAERVVPILVRSSLRGSTQLLEELTAFFAKLSSFPGRYPMDEGVSEMPHIFLSSLREEICMGTKEAQREKLTSALRSSFEEVLVGAVNKLMYAPLAVREEMNEEEKEAFENYRSNRIEDGVNSFLMLGQCALVYLNRRCCGAAEETGGDKEATLNLMESCLYNWEQISDYLGEENQTEIANLVEETGKATSLELQCIQMVLHQLEVKEVTGEALNTLIKLIEDRPEWLKERGYSDDLIVRCSSLFQNESLPQKHRLAALKAIGISLSLREPTEIMETLRTPLELYLAGVNGETTNSNEESRRKFQIGIFSCLFTSLHLKSREDAEAVVLLLRLAGPILVGILERNPTPIIADRTCEAFRSACINIPSSRISEFFLLLKKAIELSLNIHPNAASNLAKTLVFSAGAVLRNEMAETVEGWVATFEMNYPSDGDEEWIGLILQILKKDWKLLDSSSERAVNIISSAINLSTQALSSSEDPNVVKCSSQLLATIVSSVFSKGHELGRRVLEQKIVHIVQIVFRRIQIELMRQTIESLAEVLFFFIQSFTTETRNILNGEENGSTPMVAALFREMGNLRNFKQMTLRLNQAARKDLSCRVLLDVVLIRCAADFLPTVMMGTGMLSLPLAFKHSGLWLGMALLPVLCAICIYCTRQLVFAQHYIGYVKSQQKMDYANVMRAAVELGPVWIREKGYIFKQVVNFNMFVAQLGFCSVYFVFMADNLKQFFDQTSTIHISQAGWIGMLLIPILALCTIRELKALAPLAAMANVVYIVAVCIVLHELFSDLQPSSSLPAAGRWEDLPLFFGTVMFAFEGVAVVLPIENEMDEPIHFITANGVLNTSCFLVLILYSTVGFFGYLRFGDGVLDTITLNLPQTT >PPA19917 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:747441:748476:-1 gene:PPA19917 transcript:PPA19917 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVRKYHNDRPVVVHCSAGVGRTSTFVGIELASHRIASNPDIHMLDIVKELRKQRYQSVQAHVQFLYLHYLVLDYFVQEKIADAYDKQDSKFVKEYRKHAAKRTTRAKKQSANQKQQPEEDDSKPEKKRGNESNMD >PPA19807 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:156454:157085:1 gene:PPA19807 transcript:PPA19807 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGIDEVACRDATKIAQKAIAYDNGQRYEEAVYFYTEAANRMIDLLSQRKMVAIMKKTAMEYIERAEFLKRELPRLVASSQASRSPVQISLEKAEFCMIKLNRFMKKRFICVSKR >PPA19994 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1112599:1118379:-1 gene:PPA19994 transcript:PPA19994 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRGRIDLDDGRRKDRDRDSRDKKRRSRSRSRSRSPIMKAEVKPPVQMERNPLNGQIYSKRYWDIYEKRIQLPVHEYKAKFMEYLDKNQCMTLVGETGSGKTTQIPQWAVEYVRRESLRTGMKKLVACTQPRRVAAMSVATRVAEEMDVQLGQEVGYSIRFEDCVSQQTLLKYCTDGMLLREAMNCPLLDNYGVIILDEAHERTLATDILMGLIKEIVRQRKDIKIVVMSATLDSGKFQNYFEHCPLMTVPGRTFPVEIFFTPEPEKDYLEAAIRTVIQIHCCEETEGDILLFLTGQEEIEEACKKIKRQIDDLGPDVGELKCIPLYSTLPPAQQQRIFEAAPPNKPNGAIGRKCVISTNIAETSLTIDGVVFVVDPGFSKQKVYNPRIRVESLLVCPISKASAMQRAGRAGRTKPGKTFRLYTEKAYSNEMQDNTYPEILRSNLGTVVLQLKKLGIDDLVHFDFMDPPAPETLMRALELLNYLAAINDEGELTELGSLMAEFPLDPQLAKMLIASTELNCSNEMLSITAMLSVPQVFMRPNEAKKEADEAKARFAHIDGDHLTLLNVYHAFKQNQEDPQWCYTNFVNYRTLKNADNVRQQLARIMDKYNLRRVSTDFKSKDYYINIRKALVTGFFMQVAHLERSGHYLTVKDNQIVNLHPSTVLDHKPEWALYNEFVLTTKNFIRTVTDVRPEWG >PPA19950 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:889437:889944:1 gene:PPA19950 transcript:PPA19950 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVHSGDRRNGWKGRGAQSRVKCDLIFNRRRKTHTDAPSSTSHPHTETAA >PPA19827 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:225885:232392:-1 gene:PPA19827 transcript:PPA19827 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKCQKWKTKNITLQSKLQVSRNVAEEEEKVINVGMGDDEKDVEIAKLNTIIKQQADMISDMKSQHDKMNESKLIDTSNKISDQVADIHEHEITLMASALEETRAQLSKAKENLTATEAAKRKSDQSNERLMSRLREKDEEIESLCQDKSRLKKQVDSLREQEEVYESRLNELKRKSEGDLAELESKLSKAEKEMKQEKRKSMELEEKVTELNEKLRKMEARVIEQAEMPSTSTEVEEAHQRIGALSVQCNYQQRENQQLKKELEESVCRAESARMDLAALQLKMTQTEWNADQAHKYLYEEHEKMKQQKAELRGELLKIRRECAVLKQELEMKSSMSEEEGESLKKEVRRVNDESERLKDEIDRLKKEKEREESAVQQMTMKAVEAEKRINELEDKISELQDSKERLADVLSSKNELENRIEKMTRTNRHLNEAMQLLDEQAEELMEKKQKADERMKKAETELSSIRAQLQSAQDATAAKTSDYTEVVAERDRLKTKVHFLNQELKETHTDYKEELASLARQICEKKKEESKESEVNAQLAFAKTEKNRLENDVKNERRRVEALQSDVKALEKQIASLRETEKRMQDENGKLRGGLADSVAKIEMYKRQCETAGEEIESVKDQLKKEKERAQKMEDEITVLEDTIKERERLAAYLQSQTNAKQMPKVSRKSTLLRQPSMESCMTGEITIVDEKELLELENKKQELYRQLELKKHELSGRKEEASTSSSSSSMHTPTPSKGRVPLSPIVNRQTASVGTMRHDIPHRWKPQLGLGLKQLKCAACFDGIPRVRYAQRCLDCGVVVHTECSTRCGSTCGMPEQCASYYVDAHFIPSSTMNGWLRVYTDDTSLSTGKWESAWARLDGGRIALFDNDCLAENDGRAIMDIDLANTTWTMRAVADAPNAEREGLNCIHIQVNGRNLYLHAQTANSRARWIEALKSVQRARHDAVRRPSQSMASSTMLFALEAPANLNINAVYCMDDWILIGCQEGFFVTSLTAPRAPFAVAGITAIHVVSR >PPA19849 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:312420:316875:-1 gene:PPA19849 transcript:PPA19849 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSDWRKSVVYRYVALLFPTNGIALRRRGEEQWDCSSRVSGERGRNGSRPPPVPIETPLSVVIAKNDNFENGHYSTPKSGYNHLLDEDFTKKALDVIHGHSSATTPASSLVNSGPSTSSSVQNTSFNSPQRFTGRTEEVLKRRNIGEKIEKMKGENKFETFISQMDLSTPNQVSMSVRRYARQDNPHLPEKMRNFILEGTADTPTAPVRKNTVQSNKMKKDEDGDATDYDDLDDTNQERMGDSTPSSSNTEWLMDRGQFIVMDTRIGCSLDITEHEILRLTKQLESLESLDEKALKGVIERRTEEEGCARLIPWDNTRRRKLMRLEDKVFNTVASTSKEINAIFPPPFTNRGEQYIHRTSIMSSYHIRKNKKKSIWYNTRELEEEKTRNGVKKKEEREKREKRKLERAEKEEEESDKSDEYEATDDDDDEMPTPSHSKKWNGGPKEKRRRKDADRKSSRREHNKNRRTSDCGGISARQVSVTSRPSFDGDMDYLTPSFNHSTWLEHFKNQRGSNFTYNKIPVPECRKVEIDNGETDDTENVEECTTERMMEKLAAQHHILEHEERLRFTCVIRSIPVVNEHLVHKEERVEKREKQVKKVEGVNLLHLIEMHLKKEK >PPA19806 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:154321:156387:-1 gene:PPA19806 transcript:PPA19806 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEFLKSKEPLGRNKREKPSAPKEGRRKKSVEDVEEKPKKGGDEKTVDEKTAIANKKAWAKKLHNLNCKEMSMEFNAKMKRFMASGVTVNTCKTDANQLKNRYADVVCIDQTRVTLKNRGKDDDYIHANWVGSPAPGATKYICTQAPLKETQEDFWHMCFTEKVSLILMLCNFTEGADMEKCSHYFPEKPKEKIKFGPYTVTMKEKLDELEIEDTDFSLMEIKWSVCNHLPYSILSYYSKDETVKVKHCFMRYWVDNCAPVETEPILKLWRWVRKYHNDRPVVVHCSAGVGRTSTFVGIELASHRIASNPDIHMLDIVKELRKQRYQSVQAHVQFLYLHYLVLDYFVQEKIADAYDKQDSKFVKEYRKHAAKRTTRAKKQSANQKQEVEEDSKPDRNKMDSNVE >PPA19967 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:957913:959062:1 gene:PPA19967 transcript:PPA19967 gene_biotype:protein_coding transcript_biotype:protein_coding MCGCFGRIANIWNRKRNGISSIVAGALFFSAWWIVLDTAIVADKKDWTNDYFILTVASTVSMVMLNAVSLTSQIRARNLEEPQSVLGVKGTALWLLIASILSVLCVFASYWLLIAGYIFIVGEHVLWPGVTLISHNLMIALSSLFCKFGRIEDLTVIENSDLMEIQD >PPA19913 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:662054:667371:-1 gene:PPA19913 transcript:PPA19913 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pat-2 MCAPQNKYFFTKFEVIKPVGACFYLETGAAKAYELSSCRQDNQKHGRHRLGYGQCGFSASVPNEGGRSFVGAPGMFYWQGGIFSQANLNYTDRLNTTYSPKEYDHNMMGYSVATGDFDGDGKEDAVTGAPRGDGLTGKLVLYTSALKHIINLTDTTSAPQTGQYCGHSIAVTDLNNDGRADIVTGCPFFTDYVTKVDAKTQERKPQYEVGKILVYIQTAPGVFAKPIAIIGEEEWGRFGFSIAHAGDLNQDGYNDIVVGSPHGGRDKRGAVYILHGSKDGIREKHTQKIEAASVNSNVRGFGFSVAGGVDVDANGMPDIAVGAVRSGSALVLLSKPVVTVTGRTELDKNNVVVDDKNCDVNEKLGKQACRTIKTCFKYDGKGDTPNDLEFKLTYNLDLLASQPRAHFIDREIDADSTIKRSKQSKSDRTPNVIERKVRLEKRREKCFTQRFFVSSTMRDRLSPIHYSVNYTYEGEPSGKLRGGKLEPALDTTVPLVYEGKLSIANNCGNDDQCIPNLAVTATADKEKFILGTKDNSLLLNVDIKNRGEDAFESKLYVDIPQGFEFGGVVVPEGKTPPSCSPTSDKPDEDGQWTWECELGNPLPATKDNKIGIRLTANEENPPTKEITVSARVNSTNAETDGEERDNFFSMTIPVDYDNSLGLIGQTTPEQVDFIANNQTTKERFEDRDIGPLVSHITNNGPSRMDVSLDIFWPSFSVEGNNLFYLITEPSLSNPDRGVCRVKQINNVNPLNLRLTNEHIPTAPPVPVVDPHFASREEEEFEEEGEEEERTAGTRGGVEYIPHEGQEGQGGYEWTDKERDTTNDELEYHEYDEDESKVRVKRQQQQQRKRPMKKGGDGRRRDGVPSVNGVEKARFNDLKEAVNMSKVSSGTVEYKGVLSRASVDCNSLRCTHIECDLHDIEKGEYVLVTIHARVDTETLVNERNPGGDVSSLAVARVINQKNRPDKQTVINAVTTHLNAINTDGSGGGIPWWLYLLAILIGLLILALLILLLWRVMWILQT >PPA19895 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:590512:601698:-1 gene:PPA19895 transcript:PPA19895 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cutl-23 MITPRWSLPLLSLFMAINAVKVIQNGLAPPEVVHTPISLKPRCIVHAAPLDLIFVLDSSGSLRNQFQDEVDIIRRIVRHVTIGKDATRVMLVQFSGIQHLEFGMLKFTSRDELLGALDVLRHVSGITRIGGAFEFTRLHMTTANGLRDSSVPKVLYLLSDGRTHDFPKDNEQIAILRRDIPNLDIFAYGTGEYVAMPALLNYTLSTKKIVTNKNLNDLEPMFDTWKGTEICEKVPVCIKGSDKPVDLTLVIDASESLDRLFESQIQFAIERVVQNINVHYQAAKIALISYSGMVFTHFSFNNRYTNNSMVIRQINSLRSIKGVTSTDMALKEALALYTTKAHESGARPDASKLIVVLTDGHSARTPGKEAEAVRAAGINVIAVSVAGRPYVDERELLSIAGDKERVFTPSNLQEFENEFFKYVGFGCLGAELAKDSKPTIRGATDVSCDASSLTFTVRTQKPMKGMMYAQRYQDSEECVLHTDGSEREVTIKFRAGSCGLDRIPTSNGKGYAYNISVILQFHPLIMTRADTGLDVSCFYSAPVAPSEIGRSSIKKLADTQCTYRLHKYAASHCVALDARVGESLFHKWTCDNAPNYQYLVHDCSVRSEKHHVQFLDSNGCQMDDVILETPNYNNLITRKKGEIFVAQEMSAFKFPGDNNLVFECSVSLCDMEGDDECTQMIPPKCSNSTSRQLVDTRNGLRRIKRSLPAVKRGFALTLPVETRTLNVVESESMSPRDACFFFRLTEISAFPIRTPSHSLPSPFSLFPFTYDSPTLGKEKKSTTSILSLLEVEAAKEIAKTLTEDTIPSSTIISSTTAKQHYPQRQNNGMNPFSRERASVIRIYGNLAKSDAALRGGRSNNGIMMHLRARRNMAFVRPPIGFGTPQGYGGGGGGYQGGGGSGGGYVDTVVSQEDTVMDSLEVEEDTVDMKEEEGEIDMKDQEESKTTEVKVVTVDQEEEEVVKEDTVEGEVDTVTKEDTMEVVEATVEEGTVTSMEEENEEEEVEGLLEVTVIREDTKEEDTVVEEEDIKVEEEGDTDRGVKEEVDMDREVKEEEDMLVKRADMRMDLLVDMEELIMDMEMELLKEDMEEDKEIVDTVMEEDMVDREEEEDTVMEEVREDTVESREDTIDKVHLEDMEEGIEEMEDMMEVDIMIKEEVMEAMEVIKVVKEEDMEAINNQEHSKDQQGEGMEDTVIKEEADTKEVYLTTKERKKIRRQNRKETLKEKQEKIRLGLEKPPEPKMKLSNLMRVLGTDAIQDPTKMEAHVRKQMMERQKKHEKENDERKLTKEQKAAKKTKKLSEDVSLGVHVAVYRMKSLVHPAKKFKVEMNAKQLQMTGVILMHKDLNVVVVEGGPKQQKFYKNLLLQRVKWEEEIIGEKKAAVDKDQPGERNKCELIWEGIVKKRAFRDLRVHTATIEKQAREILEKHGVANYWDLAYSTSILLEGQNSLDFIVCIAKISLSLSSFI >PPA19924 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:773385:779979:-1 gene:PPA19924 transcript:PPA19924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-71 MLSRDLNIRLCAVYIELWLDVQRVDLLADSAATLSGLVDYSAGHLYNIPKDATVLFTGGRFANDEVTTSSFRSICTARATMVVKAVDSFSPMWSGQLLAQSMGHTLGLDHDSSSCACANCLMNAKIGHVDSPFGWQFSKCSIARVHGIWQSGHVHCLLNKPFQESTLRSCGNGIVEGAEECDCGSRDSCSDACCDPLTCTLKAHAQCAAHQPCCQRCQLLPSGTECRAARGSCDVVETCDGRSGDCPTDGHLVDGSACGEEGTCWRGNCSDPIAQCQALWGKTATVAEAACFDQNTQGYEYANCGDKPCTKSDAKCGLLHCQGGVETSLATPRSFSFSFLSSEGKTVQCKSVADAPLGLVRDGTGCGPGRVCVGTSCVEMMQVSAPVSCPTNNVALSCSGHGDCTTVGKCVCIDGWDGEACDSRSSRKKTEVKSGVPTLVVPSLAVGKSLDTGTLLLILLGVGLFLLLLLVCLLFCYRRRSHVEIPLPSDEKSIDTIPDNQRTIKFGSMPSYREDKRKRKSNKRIYGALNRITEADERDSASLRSRESALMAEASKPFPSSRSDFGGYGERDHEHVYAASVIGLGRGEREEYPIDFPSFPFRPNIMDNVGSPSLSRTLLDRDGHSHLLSGRGVHSSASSSSFPDGAPLRLTQLLQQFRTPESECEMEMEGGLPDPPRSSSNIGK >PPA19899 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:615093:616157:-1 gene:PPA19899 transcript:PPA19899 gene_biotype:protein_coding transcript_biotype:protein_coding MDWMQRDWLLRGESIEYAEKSMGLLVAGGRIDGQLIITNYRLLYEATSYDENEEYNPIFDMPVGLILKIEKIGNQSGMGRKGEIYGVMIITKDNNCIRLSCSDASNRRKNLVEALTNVCFPINRAKKMFAFSHEYDEEAVNGWTISDPEREFKRLGLPSSSWNYTTINSNYQLATTYPRMLVVPAAAVESGKEFIKKVANFRSKQRFAV >PPA19993 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1093700:1095032:-1 gene:PPA19993 transcript:PPA19993 gene_biotype:protein_coding transcript_biotype:protein_coding MEFISNKVEEWLRHPKVDGHFTKVEEITKMKRETLLSLLLVGAIATFVVSSWISILCAIGGLILPAIKSIKALTTGNSLDHWVKYWMIYATVSLVDSLDFIPYYHLLKAFFLIYLSVSSSAVDRIFSAYVGPAVSELERYLTPYLPQSILDEVEKKKE >PPA19977 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1004915:1006988:1 gene:PPA19977 transcript:PPA19977 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSDGTRFRAQFEGNMSGLLDVMVQQHGDQDIEVQMLVERIRDLVLASETKDPTALREPLLALLRVMGTRAPVFDTNPYARQVLLFGRTFATVAMTVVNVDEELEALNAVDHDFENVMGKVTSPNGPESSPILPQPIVEAASEEQKPIRMSAKRKLVFTVEETPTTPKRNLKIPKEEDGTPIIIAPKRRSSRRSGVVEESVADSSRFHPIEVKVEVVDDNSEKKKCDHCNLEVRVSEMEQLKHKWKKHKNLVYKHIRPELVCTIDYCDYKTHGIKPLVQRRVVSELKASKCPYCASEIDTIVSLKQHVLQCTERDERPMLGCSACPGLSFHFIYDFTAHLKKFGGVAHGKPTLLSNE >PPA19896 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:603703:605521:-1 gene:PPA19896 transcript:PPA19896 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSHNSTPSSLQSQVTTLTLESGTAISYIAAKGANPRSETKAHYEYHEPTFNSKRRGSGYTLHDDYDDWEGVNAICQLIDTIMHVEREYDFFENKNVLEIGFSTGLPALLALGKGANEVSVHSQSPTTLECFIRPTLQRAFPTFSSKIKLSSGEFMDARVNKKFDVILAPEILNCPRSEYDEIHSMLERGLTDDGVWNTT >PPA19998 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1134469:1139454:1 gene:PPA19998 transcript:PPA19998 gene_biotype:protein_coding transcript_biotype:protein_coding MANGDITAEGILKTFEAGGESHEDKEAAEKEAKEKEDKEKEMSGSDEKKKKKKSKKEKKEKKRDRKKKEKKQKEKDLYADSTEETQSDSSGDDVDSTMDIDNSEDKENDSDGITMSERKEPHESHHENPHVLPSTCNDALKSVVAKFNASQLITPRQQVPLCRPSTCSPHPSRMNRLVSLALVGLLVVAPVLSDNFESALEAHPHMLVEFYAPWCGHCKLLAPSTTRLKDEGSEVKLAKVDATVHGNLARKFEVRGYPTLTFFRAGKTTEYTCECLFATRGRDADAIVNWLKKKTGPAAVTIESSDDLKAYAEGKAVYTVAYFEFFNLTTLSPSTSDSSSASSSSILCLLMYGSRGWDTKPVKVLVGKNFNEVYKNSGKGLLVKFYVPWYVEIALKTDTCEHCKSLVPVWEELGEKYGTSDKVLIAKVGSSHIEIGETTED >PPA19911 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:658416:659192:-1 gene:PPA19911 transcript:PPA19911 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIIFDDMFIVKNVDPDGAKFDRVSRLFCDSESFAMELILDVNTQLYPIEMNEKFRLQIATTLRDDGLPDEGEYDSQAHHSRMDQFEYVMYGRVYRIEGEDGGEGSRLGVYVSYGGLLMRLKGDAFNLQGFDQDANVYLLVKKVEF >PPA19960 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:924497:925307:-1 gene:PPA19960 transcript:PPA19960 gene_biotype:protein_coding transcript_biotype:protein_coding MRVANQYGPSGRVPSARPTSNMADPEYSDGKGAIDLPDDYILEEIRRYRVDHSVPPSREPSVAASTALPDSRAGSRLSSAGRPSSEDDRLRWQYNRRIAAIRDPAPHSLPASRSPSATRVPSARKTSARPTRASSAERFNYNRYVTNGQRY >PPA19916 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:743612:744134:1 gene:PPA19916 transcript:PPA19916 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-69 MSAGNESAKTPVSVGDEFPLADEGEDTIKVVGRTPRPAQPLPREEPPEDAEEKARLIAHVLELQDTLDDLSQRVECVKEESVKLRSENQVLGQYIQNLMASSSVFQSSTPAPK >PPA19952 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:895689:897129:1 gene:PPA19952 transcript:PPA19952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-189 MDELTIPLFTKDHNEIHLRFTSIPLFFGPTGSHTNLYPRKGRLTFSSHFLTILVCSLPTTALFLSVTISLYTDFEEATKTHCFVDNVLPSISTAISSPWTQSIWKILIYAHAPPRFLALLVYSRWFTSSFRSRLTLLAYLIELLSLLSLTYFDSTSAHLYHVISFTTFGISSVLHMLLHTSIVSNLTTRRSIRIKRRSLISSLVCISFCFLLFLRHNSNCEPYVFSAFAAFEYAFVVSNIAFHSTFRLDFPRYTLVLF >PPA19906 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:636121:638640:1 gene:PPA19906 transcript:PPA19906 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPANEKEAKRIVAAGGWVEFNRVNGNLALSRALGDFAFKRNPKKSPEEQMVTAYPDVIRMPLVPETHEFILLACDGIWDVMTNQEVVDFVRERVAIGKDAKTICEELLSRCLAPDCQMGGLGCDNMTAVLVLLAHGNPIEELIAKCRQAREEGGEEESHHDEDYGTPSASPSDVRTKADGDAHDNDDDEEDGPPTEFTAN >PPA19883 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:545097:545586:-1 gene:PPA19883 transcript:PPA19883 gene_biotype:protein_coding transcript_biotype:protein_coding MWNKVTERCNVRFGETLGLNNVEQIKKIYGNRLSRPKKHHIPSHPKELLTNGVWNPDGRYMNMSHIDHRREEASPTVESPAKKIKLADSSTPSTSNGIQSAASAKRESGRMRHKLSIGDIPFRRQNGFYIASF >PPA19794 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:17495:19407:-1 gene:PPA19794 transcript:PPA19794 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cct-5 MKLDSERELSDSLKHISKMAGQAQLIFDEYGQPFIVMREQDKQKRLTGVEAIKSHILAARAVANTMRTSLGPRGLDKMLVSPDGDVTITNDGATIMEKMDVQHHIAKLMVELSKSQDQEIGDGTTGVAEVID >PPA19868 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:471213:473169:1 gene:PPA19868 transcript:PPA19868 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-arx-4 MIILEQNNRILYDLLEMKFNNAKEGNKPDLVDVRFADFDGVLYHVSNPDGDKTKLMVSISLKFFRELQEHGADDLLRREYGSAVLPSPESGYSVSLIYDLTALSDDFTDTIMKVSSLKRHCFASVFEKYFEFQEAGQEGMKRAVIHYREDETLYVEAKADRVTVIFSTIFKDPDDVVIGKVFLQEFREGRKASQTAPQVLYSLGEPPMELKDQKDAKVGDNVGYITFVLFPRHTNKKARANTIDLIHTFRDYLHYHIKCSKVYMHTRMRSKTSDFLKVLNRARPEVKVEKKTITWYRKEGIRQVDQGFMALSYK >PPA19828 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:232524:235459:1 gene:PPA19828 transcript:PPA19828 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lin-36 MNHPDEQEDYRISPRKSSRTPKKKRRSESPLPSPVKRQKQQIKQQIIVVDSEEDSLQGDIDDEAHIQAATCATDIVLPGDEPTLTDCEEIYSSLPPDITIEQIVRVTRSAVQGEQLKVTRDDNRNLKIISATALMAESDADTNFHTRTTCGCVVCERTIEAGEMFLNFPDDLDRRRIWGNLLGFRYQEMLRVKSRMVTIGAICTDHFAEECFRMYNFNKAAIEALGVPKHVSPNKSPMKRKPWNCTICTFASYSPYNTYQHIMNEHINNNTGSNSVEGTGYTCPFCRRCTYGYKTLSGFKRHMICPPVEHCHLKRVFEMAREMCRSQQLEPANQWSSWTENNVSLAYYGFIPPKKVVVVKRRSETVPNSPLKPTIKLAVRPDSTSPQKDGSNIHRISLSQASRMIGSALSDHASLVLPSPSSSQVVDERGNKKYPSYPNSPSSSNPTLADVLAGVGRGGASNDDSSSHSMNEVVNEPLDPVYLKKWMTTSDIRVSKRQIEAAQARARLFGDALGPGDIPLPAPFKNDNYSMQVIPHY >PPA19983 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1020935:1027715:-1 gene:PPA19983 transcript:PPA19983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-klp-6 MGGDNVVVAVRVRPFNDREKAKKCQLVVEMPDGKRTGIRDPNKKDDDPKWFTFDYSYWSHDGFKEESNGYSAPADSKYADQRKVFNDLGKGVLDNAWAGYNCSLFAYGQTGSGKSYSMVGTKTNKGIIPIVCEELFNRIGEKKGDDVDCEVFISMFEIYCEKVRDLLTTKQPPKGGLKIREHPKTGFYVEGLSSAPVSSYKEIEGKINEGTKNRTVAATAMNATSSRAHTIVKIKFNQKTKKGGGGTTTKTSEINLVDLAGSERQDKADTEGDRLKEGIVINQSLTTLGRVIKALADQQSSKGKKGGNQVPYRDSALTCLLKNALGGNSKTIMIAALSPADDNYEETLSTLRFADRAKSIKTKAVVNESATERMIRELKEENLRLQQQIGGGGHSSGSEELEALKRQLAENQKEMENLQKTWEQKLAEEAGKHSAIEGHDAALAKKRQCVPHLWNLNEDPALTDVVVHFIPEGEVTVGNKSASPPASIVLNGMAIQPQHAVLHNSGNKKISIEALSGASILINGKEIKGTTELQQNDRILFGGNHLYVFANPTKKGIDKEITYEKAQQEMTQNSGLGVARGGGAKSKEQMILEDELVALMPLVHRANAMAKELGRAVTFEIVLVSPEARGLDKGLTEVVWIKVLNQTDDTHFLWEKNRFMSRYYGMQEMYENKMDGDADWNLPKERDPFYEPPDSEVFIGSAVAFPIVDFSGNEMGQLNVSLSPCSVAGKEIRGAFVEQPKELVGKNFAFKVKILSAIGLPKRINKTRCKYRFFGEKEVTTKTISGSNPVYSHENTYTYRPVTDKLVDHLHNSNMYVTVWGSQKGRSDRSSRTSTPASTKSTTTNGSHKAIVKRTRARRQSE >PPA19804 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:142569:148760:1 gene:PPA19804 transcript:PPA19804 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fipp-1 MANRLGFYQPGESMEEILCQAGNTQFEQGTVSMTLHRVIWADSSNADCRLTLHHSLVKHIDRHHKTIFSRAGKISVSLEQPPSNLMGPVSASIHNHFYKRYEEALKRKIWLRNSSGSSSSGAFEDMSRLMESAKEMVTLSKSIAEKMKARQGDITQDETISFKSYLLSLGVSDPVTKSTYGGGSVYFEKLAEELSNVLAPALKECGGVMTLPEAFCRINRARGMELLSPEDVLNACSALNKVNGPVEMARFPSGVIVVQLREASVQSSIGDTVSTVEGSGSLTAAQLSTAKGITVVLAKESMAEIWTGGDETEEETRLRIIKEETTDNGDEMGGRETVTEETEEENGGNGETHDNEESAHNGDEEEDNPFADDDDDEEDGVQVTIGEIRPNVQFATKPDARSAPIGGKGAIDLDTVPVIDGVVLYDIDLAQSEDKPWRKPGADPSDYFNYGFTEESWNMYCERQKKLRLEFSGNQLMVNRHIMAGIALANPLGSAPVAGIAGNNRILVDNTRRPFLHHRNNEDHGVSHTITRLGASTSNIATLGGAVPDFSRPPPMLSIPTMGGAKADHSITSVGSGEPAPPGSEDLDVSTPTSLIPPVNTSVPPPGAPIQSITSIAGLDMSMPPPGFNPSMPPPMMGGPPVGGMPNTAMPPPGFNPMMPPPHFGGHGGPPGGPGGPGGPPMNFGRAGYAGGMHGGMGRGMGRPQPLMRGDHYTDMHRRESSEFERERRVEKMRNVDTEEVRGRSRDHHRKVEVVAVVDEKIEQREEKEEKIEKDRVEDIEVEVEIEEIVERRMNRREVEEELMMKEVRRRRGEEGGREGNGRGMYSLSRRHDKEDDHSSSTRSKRSKREEGDPIQSSFAHAMENVEKKAKKQYNKVVGKGKKKREDGDESEWDESRDQSRMSPVFVPTSIDESARTNELQRRNEELERRNEAMRKEMVEMRRKMERMEYEKMEGERRYRRILIMVCDL >PPA20000 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:1141581:1142907:-1 gene:PPA20000 transcript:PPA20000 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNRPLFPGKHYLDQLNLILGVIGSPSQEDLQCIINEKARQYLISLPHKPRQPWNRLYPNADNRALDLLDKMLTFNPNRRITIEEALAHPYLEQYYDPNDEPVAETPFTYEMELDDLPKEKLKELIFEETELHYRRMMEERAASGQ >PPA19838 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:280669:283932:-1 gene:PPA19838 transcript:PPA19838 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRLFLVSLLIPLTASLVLSKQGVGSRDRKVVHAEHDRPPQKRSSSDGMKTVDPPEEVYQQEATPLGQQDDSVPLYLMNNPPLPPSSFPVVAKVINEEGDKTRDAMGAVKDVAGALLTMRSYKKGPQMLSQSEPAGYGAKKNKAVEQDEEECPYCPSGNCPYCRGKGGNGKNVEEYQQEQCPNCPSGKCPWCHGKGRQPQQQNEAEEGEDEGQCPNCPSGKCPWCHGKNQQAQQNNGRCSNCPSGKCPWCHGNNKQVQPQQEGEEEYEDDDQSQQQQCPNCPSGKCPWCHGKNNNNNAAVAKPATNSYSDYSKMQKGGGQVAQNEEEGLGQCANCPSGRCPWCGGALAANGKCAGCPDGRCPWCHRNIETGEGPDGSPPPQRCPNCPSGKCPWCHGKNQQAAQTAPTYSAYNNQPARQNNQVEQQEEGEEQGEQQCPNCPSGRCPWCGGALTANGKCAGCPDGKCPWCHRNLQTGEVPDGSPPPSHNQQCPNCPSGKCPSCHANNQQQNADAPPVQHQGYNNGQSGGCPYCPSGKCPWCHGWLLSTTSKDRAITGKGQPPQHQLQTEVEYEYDDEPEETTTPPSKKGSQQPQQQQWQQKQTPPAPQQAQNQPPPSHYGPPPGYQPQSPQTYANVQMPGSKVAPTGYQSPVQQPPQTPQTQPQQEEYEYEEEEPQQQSKQSKQQSEQPQFGVNYGSIPSAGSVANYQAPGPAPGAPVKFRTGMTTAIAPAGSMSSPIGETESEMPHGVTQGNGAGGCCVISLPPGRVK >PPA20018 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:1229382:1231404:1 gene:PPA20018 transcript:PPA20018 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRTDLRSAAYLPTLRTPGTGEIRHDRDQAGKWYRPGSPIHWPRTTPADSCSRDYATELSRSVLSIPHWTLPTAKAPKVGDFSDRDAVIEHYISLHPEDFDHFKDRSGRSFSQIILPWYPRRAQYTKFD >PPA19854 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:332169:336314:-1 gene:PPA19854 transcript:PPA19854 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mtx-2 MGDKKRKRKVEEAAAEEEEVHENGHVEAEEAPEEEIATEVQPEVKEKKKKKKKKVVEENEEGEEENGEGTKQEEDYQKEFKVLGHHDFTEVKKIRVVSGWIKNAREFPAGIDSDNLGPLAEITPHLPKSLVKRIEAELKHWFPVQRAVIPELLDSIVNPPVLRRRDLAISAPTGSGKTLCYVLPILATISNPGEFISTLIVVPVQALVKQIINEIGRFNGIGAKVVGLSGSDPYDKERRRLINAEGLIDADIIVATPSRFMEHFLDPSASFDLSRLRYIIADEADRMLNVRQEWLELVEGESGGLGGATSIMDLATKRNSPQKILLSATLSRDIEQLEKWNLHRPRLFRASAEKAEQVDTSAECVLPSGISHLILETPLKFHPLVLFNDIIESECKRILVFTNHKDSSVRLCKLLSILSDGSFQVEQLTADLFGKRRHKVLDRFRKGTTRVLICSDYLSRGVDVEGIDCVVNYDLPQATRLFIHRAGRTARAGTKGRLKRLYVKLLKKGNLWNDASEKKIEEEELEESKEKYTKALEKMKKEMEEETKKDGGKEEKKEKENGNGGRGGGAMMRGGSRGRGNGNRGGGGRGGGGGMGQRNGKRNGTHKDQAQLYEFADCLAVQTFLRMAQLPVQTKQRPNTEFISPTGEMPVLQIGSILIPGFSGIVDLVRKKEAGLSSHLTEVHKADMAAQIAVIDNILRRVEMYVVWIHDETYSRVTSTRFGSVYKWPLSRVLPALKRREMREKLGSIDWANKSLVEVMEAADKAFRCLSSHLGTQDYVMGSRVTEVDALLFGHLFAILTTRLPTMELSNALRKYPNLVEYCERVENEYFKK >PPA19946 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:869835:871219:1 gene:PPA19946 transcript:PPA19946 gene_biotype:protein_coding transcript_biotype:protein_coding MHENNECRREYAFFFQVVPFLVKKFKGEKPLELQEKEYRKDVVYLYQTQLNCFRHQRRNTLTARGQNDLLPFIELNGEQYSDSQIILRRLTQIFKLNTYPNEETAAIGHAIDRLLDNHTANLMVAAKLPLYGQIVSSMAAANGMPSFFLPIVAAIGGFLLEKKKRVKVETAVGKFKETEYNELFRNDLRQLQAILGNKQFLLGEEPTSVDCTAFGQFGSSYFAVPSARFSLHDHLESSEFAPLKAYLERVRSRIFGDQFCDKK >PPA19953 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:897160:900770:-1 gene:PPA19953 transcript:PPA19953 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTDEMDVVVCDAVPNSELFRVQLPSRKRDVFEEGRANPRLRYKKKAKVMELRLDADIHSTSYDKNAMERLPPRDHLTNMPPEIFEGRCFDSPNPLQYAVAFVKDSKMYMYPLDGSIELRRTLAHINFFDRKTKEENDGNTTGESESESAPSTQAIRVKFSRQETDRQKKRREASALHRERTIAGENWIPLELVNEVDNVSSRLRAVSLTPPGEGDKNGGDEEEVIETKKLIVTTLGGAKEVERETHELADCPNLSLLNASALPLNLQVRSLLLKSLSISTSTLCSLVTPPSTRDELIPILRQFAHLVKGVWVVDSSLLFRPPITPGVRPSRMTDARGHLWRNARDFALALIDADLKVTRGTLRSVFRLSRDDAAEVLETIGVSDPAERTWKLNAVGDTEEIVSEDDVMYPIQVEEAEHWMQRWEEIMRDIGDKASPRRNS >PPA19863 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:368215:369000:1 gene:PPA19863 transcript:PPA19863 gene_biotype:protein_coding transcript_biotype:protein_coding MCQSHWQWSNTSCIPKIRSKAAPLGDAKKDCAKAEHHNVNGHCIVMGPVIYQPLCVSGWTNTNNECIDEQALGPHTRECQMDLMLVRNLCYGYEGPGDVWPSGNCDSGFVLRNRTCLAKGILPSSKVCTPTQTMLGGFCFGLDGLMSSPKFVNHSLKRSIGRQRCISKWSYPTLVCLEPNFLPIQARPPKD >PPA19978 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:1007403:1007938:1 gene:PPA19978 transcript:PPA19978 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQMLKEHNQRQAVRKEIQERLKNEAIVAAHNLSAAVVDHLNSKVGVAYHNEKKLDVEAKRFDQNSAHLTKHTEQWMVLADSLNHALKEVGDVEHWTRMMECDLEQIVETLKLVAEFE >PPA19955 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:908993:912985:1 gene:PPA19955 transcript:PPA19955 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLAPSSLQSSLSLAKSASLNLFDTYHRPASPLKTNDDLIAYVEKELGRTSYVAQVGIVSGFENGAHGRTMMVIETHPDSYPLCMIRIITALSQRNITIEMEPRVYYECALDPDVRFYKMRIGADAILRHIETFCVGAFNLCCLIHCKPQKVWRVLVSERGQAASYRRPSLNGGYDESESSKLTVDSAVQLKPTTETDISFSIFSTVSVLQTEICEVDELQKAERLLRATMAELLTSFLAGALRRYFRESDPCVKHPPDVYAAFAVCSHKLSQCNVDYSCNHLLLPIELPIGVEGMIPRLWSLQSQFAEHNKRCIPEALNTFNSIVRFLLPRDSAEKRANIFFNHTMINISYLKINGDVMLDDNILKRFIPVPPLFLPSRASFTFVQHRDKILLVSTIDKGLFDRHMDIFNNMKIEQDELQKHLAFRLRTLAQTCVLPDRLPSEAPPEAPQNSPSAERAELLPGPSNHRNHRNRRQSVADLNELLREVQEELDEMGRNPNVEDRDGTIKRLHDLEAKIQAFHNGMKNELWGNIVVVKGQEKDDAMKRVAELLAPYKRRVSVSTRKTSLRASKEYDYLRRSSAAAAVVSDRRDEEDRRKSEEDRRKSEENKTEEEVMLINEERREEVKIVVEAAVEREDERDEERMEEERDDEEKEKKEQEREKEEEMKEDKKEEDVKTAEKKDEEGKW >PPA19814 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:172007:176186:1 gene:PPA19814 transcript:PPA19814 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase [Source:UniProtKB/TrEMBL;Acc:H3FC64] MMHTFAQIEKDAEVEDLMEKEDDTHVVAGLVKRLERGSKESQESDKTVVPTQFNIKKAKKRIWRGISKKLPKVCGLDGKKREEEKEEKKIEIEKKKRQEKDIKGDAKSYHNRFDATSTQPATTLMLCTPMMSEDDSELRGALRYLSVVSLELSTLTTSLMPNHMEDPIIAVSVCLYSDVCVQSSPDTHIVFIVGKDLPSLDDHSHVFAVPSENDLIETVIKFTKRCDPDLLIGYDCDRLSWGYFFRRCNALRIRAYIDLCRISPRGEPTISPAGRLTLSVWRIVRSDLKLRSYDRATAYFDVAKKKIPTFDQSTLARFYTEETKRSRSILADILLRYSKCNVDILLGMNFFAITAEMARVYGIQFEEVMTRGTQLRVESMLLRFCRRLGLFAPSITPEQRNQMRAPEQLQLVMEPQSGVYFDPVIVLDFQSLYPSMAIAYNYCYSTCVGGVSQSASLSQGVHDVELGALTYRVPIRSLIRLTSEEKVHISPLGTVYLKWNEREGIVPKMLREILAARIMVKQAAKVCTSKRLGRILDARQLALKLVANVTYGYTAANWSGRMPCVEVADAILGKGRETLERAINLVNEGGVKYMGAQVIYGDTDSLFVLVQGATMEEAFKVGKAIADDVTADNPAPVVLKLEKVYNGCVLQTKKKYAGMSFESENEKEGKFDAKGIETVRRDTCPVVARMLERSLGFLFHRDFRELPYTDFVFCKEYRGDYSPLAHVPQAKIAEQDTAMCQYATPLVGDRVPYVVVDGKASATVYSCVRSIEEFLQNPFEDIHYAYYVQAHITAALHRCLSLIPITIHWEPYPKPMCTTFNVITPFHCVIFRY >PPA19833 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:265839:267831:1 gene:PPA19833 transcript:PPA19833 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-set-27 MISQDKEPIEKEISSIVAELLQTVFKQTNPNPAEMWNEHKFIREKGPGALEEFMAWCDRKGIYRSVEVTEDPKMGYQLIAMEDHNAGDIVVTVPRNAIFCLDQVKKLPGLRKLFLHDPMLAKMDNVGLALGVCALQLKDDSSWAPYINILPSSFDTPLFFSLQQFEDLRPSPLFEEALNHYRSIARQFTYYLSIIDDSVNFDKRKKEDKQGKQPPLLYDGPFNIGNFTFGLYRWSVSVVCTRINQLPSEYEKIDNKSKVPKQIPCLMPLLDMANHSFVEESPIRPGYSDMKDVAVLPLNSSVRKGLPVTIVYGRRTTSDFFLMNAFSPVVNPYNMYKLRIGLTKSDRFINDRISQMSKLGYNNLNTVYSFNLFISKEGEMPFDESLVR >PPA19874 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:506434:507578:-1 gene:PPA19874 transcript:PPA19874 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSMRAEKKEESKESDSSKSVSMEESGEESEESGESEEEVFGIWLRHRRIDGALNRVPPNFYATLWDTLHRLPQGVRVNGITLHWGLTQEMTRREIKFALEVEEVLNQIPEPEYRELVIETIYLVGRLEKLTTEYSTIPSDRPIDIDNIVQRANAIFVDQNRQMETEVLDCCGTGKKCDGAREWCHSKVAIQIVIGISC >PPA19922 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:764900:767311:-1 gene:PPA19922 transcript:PPA19922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gei-4 MPGVATSQPQTMRNLNAGAESDDRRIAALLDSYFIDTPSANWNQQQSTPSSATIGQQAQQRINTGASSSSASYSTPGGGSGSLSVTTKGAAKMMTPEKRDKERVKRLKQAEAARLRYHRLTSDQKKDLNMKRTIAQKRKRQREKELEELESILRQTNDIQEDPEVTDQLRERRMRAKWAEAARARYQRMSSEERRAHNNKRRMRQMQNAISATKYNANERCATTITKERLEGLSDGEDGERGTEEIRRVRGGGRSTSTSVSTMGVRGGGGGSGGGGASTTGDDHHHHLHRGGVTSDGHYAYEIPAMRVFAISIIFL >PPA19933 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig46:825656:828756:1 gene:PPA19933 transcript:PPA19933 gene_biotype:protein_coding transcript_biotype:protein_coding MLHILGRSSLEWISSVIHMKIVVVPIGITRKLHAIAPSLIPFSHLSSFDGRCYQREHTLKIVTEPTSLGMTPEIDFVSCQFGKWVVTLAENSKAKKNDNDDSLFCDEGFDLVLRAKYDNEEENRKVEEMRKEFDGNHRSKLSWKFYEIDGTEPIEAAAMDTFFCQEEKPKKSRVRVNQMDDTSPQCNPSRLDTICEFEQEFCTPVEKNEVKRGEESVFIWYCVENRNLFYYETGKEEKVELKNLSCSSNEIFWKAYRKVPNDPRRPEPFTPPPHSNIVCLSNGNNTKKEGGNRKEESKRRNKKGKGDRIKKNRTPSIIE >PPA20003 pep:known supercontig:P_pacificus-5.0:Ppa_Contig46:1162139:1164170:1 gene:PPA20003 transcript:PPA20003 gene_biotype:protein_coding transcript_biotype:protein_coding MCNVAGDIEVPLGGRYRILGMRAVRDEQTSHDYDVLRVWGKDEGRHPQWGNLGILKIAHSDIDPEDFMQECRLLIAMSDEYPNWRQRRHFIEVLGVGTLKGLFVHGKRCDDEYKEHKLPNPRTFYITERLPITLEDVRFSSRNKFLDPQLSIYLAIGMLKVAPSRFSLRLSPSALLFRYVSEISDRVVITNLSWASRYRGDKKTRFSENNCYNLRYGSPDVIDGISQGPKDDVYSIFFILLEFLLGFMPWENSGKTESTQSKRVAMVTKTITDKGGPIYTDWCDLFSTVAEADTDLGLIPFDKLYEQLTRQTNSTKPDISIVGFLYNLRRQYYEASNEHKYCFDVGALVPPWHVFYWPSDPLNQ >PPA20024 pep:known supercontig:P_pacificus-5.0:Ppa_Contig460:8861:14041:1 gene:PPA20024 transcript:PPA20024 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDFVKKRPFYSSPSKKVLAKLARYLKEVDWSEMKKECPQNSTLAEYWSQTKRKKVSKSDSWESFYAEIGSCDVYRNTETIDNLFKDLSTLPIKEVHIMDGGTQVKLIFTFENDKQAVFKPMRFGRNYESDPNHFYFSDFERHNAEIATFHLDKILGFRRAVPTVGRIVNITSELLEKAEKKLKKTFFVSPAKNQCFVSRCDYYCDTTHAICGSPDLKEGSVQVFLPDENAVPRKHNRSPYRRTYSKKNQLADWQTNMDYCNAEVKKDKKYAHGRRLLDLVDLHIMDFLIGNQDRHHYESFDVFSGHPAYAVHLDNGRAFGRTDFDDLDILLPLKQCCVIRPSTLKTLSEFYKNPKSLTKTLHESMSKDPVFPILAYKHYPALERRLGIIMEALAKCLDDNGAKAVVMSVFHNPKVPETDPNDYVEEEE >PPA20026 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig461:1958:3058:-1 gene:PPA20026 transcript:PPA20026 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIEGRRGERISCQETPRYKVRQLLVIVKAKFFSHSAEQKIKAAGGTCVLAAKGTITCVLLLI >PPA20028 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4616:24:659:-1 gene:PPA20028 transcript:PPA20028 gene_biotype:protein_coding transcript_biotype:protein_coding GTTFSRAYGPPLSGRSVFMATGNGGVIFSEGENWREQRRTAIHIMRGKNVMEAQACTVENQVLQVKASMDEFMKHLDSIKDKSSVDFRWPIQILVANVINE >PPA20029 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4618:354:848:1 gene:PPA20029 transcript:PPA20029 gene_biotype:protein_coding transcript_biotype:protein_coding METKFRLEIGRLSTKVTIRVFFPDDGQCVFLSNPADPSRHRCDWTTDDKCRPAVLLCSKIAKDFSKQNSESAADGHLKNPSRAYRECKDVDEVLDLYSGGKNKNKRKRQLSLDVK >PPA20031 pep:known supercontig:P_pacificus-5.0:Ppa_Contig462:4607:6881:-1 gene:PPA20031 transcript:PPA20031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vhp-1 MNLSVTCPRAISVKDDEEHFLRIPVNDSYMDKLYPYFNDAFQFLEKVRENKKIVLVHCLAGISRSPTMCISYIMKYLKMDSEDAYKFVKARRSTISPNFNFMGQLLEYERRLRDEGILPMKETKEKLDCSPLEKSSRPVSYRFAHPIYRTLPSSELLSCAPDENAENAKILFVTRNIDIIFEKSHSQGTEEGVREEDEETEEEFPSTERNENGKREMQSTPPRSESGSGFVSAPSPPPEEVQRPKMLFSRPRRLDEKPSSSSLAPSPKNEELPSPSTELSKLSFDPSTSRPPISFIYRRSPLPHRRPLDLSFSMTSSFSANPCFVSPQEVHMIRREECGVQGKAPSTSSTSTANPIFVSPSSEEPSSSKSKESYFSRLSNIFKRNSHSVSRPDCLEKSGEPHHYSHSSSRLSSDSLGSSLLSSSTGTGSHLSSLPEHSDESGYAHSSTDSFRMARDDPERASLGSTSSHEITVN >PPA20030 pep:known supercontig:P_pacificus-5.0:Ppa_Contig462:807:3824:1 gene:PPA20030 transcript:PPA20030 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFPGFGTNAVHVGQEPEQWEMNQVVPLISLSTTYKQDAPGQPKAHDYSRAGNPTRDVLQANLAALEDAKYCFTFGSGLASSSAIVNKLKTGDHVICSDDVYGGTQRFLRKVSIPHHGLEASFVDLTKQGEIEKAFKPNTKMVWFESPSNPLLKVVDIQAVVAAARKANPDIIVVVDNTFMSPYFQRPLSLGADVVVHSITKYINGHSDVVMGCVMTDREDIKDHLFFQQLAVGAVPSPFDCFLVNRGIKTLHLRMKAHYENALAVAQYLEKNERVEKVLYPALPSHPQHEVHRKQTKGMSGMMSFYLKGGLEESQRFFKAVKVFTLAESLGGYESLAELPSVMTHASVPEEDRAKLGITDNLIRYDYSPLELKIRTI >PPA20032 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4623:73:505:-1 gene:PPA20032 transcript:PPA20032 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGVHEEGADGRSVVPHGTRTKKELTADRWNHTGDTASISEDGAVNIVGRTKGH >PPA20033 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4628:84:683:1 gene:PPA20033 transcript:PPA20033 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEFEPIEQAMAAVAKMRIREEVEQQVFSLKVDQEEAADCKCQCCPPRSTQEAADYCCNALFTLDLLKKGKLLRDGLMRKLKEPGHHSCIVKDKLFTTYIMNEAAALSSAETFSMLSGEPITDDNK >PPA20035 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4632:23:838:-1 gene:PPA20035 transcript:PPA20035 gene_biotype:protein_coding transcript_biotype:protein_coding MANVENTQRSDREDATPPSSPRSTQSNSSLCSEISEGRFEIDKQIGIEANSTGMYTLVLWSDGSTQWLPISNMNYAKDKTNKAGLILFIAGMLFIDPEHQFPRQFEVYFGYLKIDIAGMRQEARDVKAYKPGKCSVRKVSGRRKKLTPDELKEQARKEKAIKDAKMFAEFWA >PPA20036 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4634:126:765:1 gene:PPA20036 transcript:PPA20036 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIRTAHVVGKTHRSKAEKLKKEVSCPTQDCDNCRQTCSGGRWGIDSSINKAKSLMVPSCPKTSSFLREGRWTPNHHLNLRRLGIEQDRNKMRLCVSGKVSSKDFLKDSSMISQGHE >PPA20037 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4638:15:757:-1 gene:PPA20037 transcript:PPA20037 gene_biotype:protein_coding transcript_biotype:protein_coding THGENAPSLHTCFNWFTRFKRGDYNLEHQPHPGRPSSRVRGRVLRELKANPKSSVRDIEKTIHIPKTTVARILHDAGKTPKLPQVIPHDLTTAQLKKRVDVCQGLLHRRSNFNWVSHIVAMDEKWITYDNPERKLQWVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVRVPPKLLSEGKILMLMDNARPHHAKITQKKMDDLEMEWLLHPLTPLICPLATT >PPA20039 pep:known supercontig:P_pacificus-5.0:Ppa_Contig464:3806:5425:-1 gene:PPA20039 transcript:PPA20039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-daz-1 MGSQYAYGEGAQQRNGQVTPQMSYYTAPRLFNTVAEHIKSGQAVEHIPYRLFVGGFGAQVTETALREHFEQFFTIRDVKVIRSPEGISKGYGFVTFDTEDEAKAVQAMNPEELKLNGKQINLGPALRKMVHSRYSNEYPMAMANNGQQQQYPMQSPSMQYAYTYPTPPQAGTQYMMSYAPQGMVPVSHSMPLSDESSQTIDTSGGEEPQPQQLQQPPPALSLHPGVQNCQQPYYYVPPPTPMTPTFRAFPCAPLYGAPQTPQMYVDGTTLYPQLMLIIV >PPA20040 pep:known supercontig:P_pacificus-5.0:Ppa_Contig464:5856:8117:-1 gene:PPA20040 transcript:PPA20040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vnut-1 MLYACRASLPIAIAKISIELEWSKATSNVKDRSDRYGGERILYVSSLVWIVLTVATPLVLDLAVLTGRPVPFAILLRIVTGVAQGFYMPSMASICSRNLNSTEKGRIFGFCLAGTHLGVVIAGFIGSSLLTNYGWRSLFHFMGATALVWVAIYHMILSSTSEKRKSSNLPLLSVSETALDVPWKTLFTHPGFWAASVAHYTGSNAYFMIFNWLPTFFHDNYPDANGALYNVLPGLAIVCTSITAPHIAANIHSRGHSLTRTRKIVEGVSLLGMAFLLYLISPSAPFGVTLALFTLAMAIRGIHHGGVSVNPTDFAPNHTGAVFGIFNSFGAITGFVGTFVAGHMLEATNNDWTAVFWLTAVQNVIGAAVYAVFGTAQPII >PPA20038 pep:known supercontig:P_pacificus-5.0:Ppa_Contig464:683:2552:-1 gene:PPA20038 transcript:PPA20038 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRMMYPYYPPSPSMDTSYSTAGGCTSPTAPAAGGYSTPQPLLPQLLQHHYPPLERREKAKEETQEKEDEQSSMDAVQSKPAETAMGDIRLSYATIAAKLKSPETTSTTVVKEVDQVSTQLGSMKMNGAGGDYGMSC >PPA20041 pep:known supercontig:P_pacificus-5.0:Ppa_Contig464:9035:11282:-1 gene:PPA20041 transcript:PPA20041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-arrd-15 VYWSYKTMLSSNTPVVPGRHNYPLLVRYPFFITLPSTHPCNRATKKTEEKEFGQKLVLQIPIPEECPSSYESQYGSIRYEVKVRVDSNNDQASSSETFPFLVISRSFLNKLPTNLLSPIDYSEEIDFTCCTLPFGTVSFKVHLKRTAFVIGETVEPIVHVRNRSRKQLKDMTMFLLAKSQYEARSRYEHVDEKKLAEVVMDQCHLGCVVPRSNQDFDCVSLRIPFDAPPTQKYSPEVPLSFIPIIMIHYVLKLTALPGIELEIPLLVTAAAEEKRDL >PPA20042 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4644:335:683:1 gene:PPA20042 transcript:PPA20042 gene_biotype:protein_coding transcript_biotype:protein_coding MHILVVSSVSFITIAVLILSVFQLIDIKGFDRQSKEIESRLAEIKWEIANAQIIDAPIEQKASVAEEKPLLHEETTPDLPLDIDRR >PPA20043 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4649:268:799:-1 gene:PPA20043 transcript:PPA20043 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSADKDEVIQQYFRELKDADFARAGDPLEQFAFSVLPQLRKLGMHTSLVK >PPA20045 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig465:9100:9866:1 gene:PPA20045 transcript:PPA20045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EZK9] MAQSVPPGDIATQPGTKIVFNAPYDDKHTYHIKVTNSSARRIGWAFKTTNMKRLGVDPAAGVLDPKENALIAVSCDAFAYGQEDTNNDRITIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA20044 pep:known supercontig:P_pacificus-5.0:Ppa_Contig465:250:7440:1 gene:PPA20044 transcript:PPA20044 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSAHLRPTSLPPRTRKTHTASGTMAKDLKFVRILATLKPIASECVEPPQLPHTRQQGQCLCTRIREEGVIETVRETARSLFNLARHVVQTFREADNVTGAIVVISGGALTATAGAVVLVHNGGVAQSLKAAVNSVITLGWHTGTALMEYPAQIAVDVVVNFVVDSVRNLVMGITTLIPAALAWLRRIGEQIMLACFICLIAFLVGGVTFIILAGLFIRLVDPRRLFEGNALLRRLVRIGVLAEDRMKLDNVLKLGLAKSVHHTRVLIRQKHIRHVLSSLAAQHLLAVHRHSTGRIRTQRPDRQEGMNLMIEVPVFTGSQTTRLAPYNILCVHAGGTLAQLTTMSDVIDVTVLLQLIAIAGIMADSFM >PPA20046 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4651:68:562:1 gene:PPA20046 transcript:PPA20046 gene_biotype:protein_coding transcript_biotype:protein_coding SPVDAHGEPASQEAQTNGADGDSQREGTEGTTPREGVQECDQEEFYELK >PPA20047 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4654:116:478:-1 gene:PPA20047 transcript:PPA20047 gene_biotype:protein_coding transcript_biotype:protein_coding QTCASYGYPAAKKRVYQWSVKAIRRRTTGTGRMRHLKKVQRRFKGEPIIMGFIGFFVKLIHIPINNIIVGA >PPA20050 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig466:12574:15092:-1 gene:PPA20050 transcript:PPA20050 gene_biotype:protein_coding transcript_biotype:protein_coding MTSENANLERAKSYLTAIAERDLARRVAYVECAPSQSDKLRNIGKDRIKVAQTLQKQLVELGKEYQVEDDDVYVICRRIYSGLDDYRHPMSEALVYDNKEKAPVARRLDKTWLELRASTHATMISFALYLSRRLTENTVDFLRTEFEAALEDKGIEVRSNEGPSPKRPRTDKGDAEENNN >PPA20049 pep:known supercontig:P_pacificus-5.0:Ppa_Contig466:11049:11912:-1 gene:PPA20049 transcript:PPA20049 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSLTSLMESNCKVSGGKEDYFDLLGLPDELIDCAFSFLDVEDRMRMRLNKRLSKIESTSKYYVEGVEIDQPIFIKDRQYALDFIIRIAQNSSIGRLHFRLCSSAEVNSKFLDLSKEFMKIGELTVFGRIGELVC >PPA20048 pep:known supercontig:P_pacificus-5.0:Ppa_Contig466:705:9237:1 gene:PPA20048 transcript:PPA20048 gene_biotype:protein_coding transcript_biotype:protein_coding MFEKEKVERALRECLGGNDEERYKRNLEFIVELISPPEKMVTADELLSLADSRHVLHARVYQHKTVGLIEAMIVKAFIAADPHISFKNKNGEQFKLSEIHADYDVFCSVDDSVLQMIMTSENANLERAKSYLTAIAERDLPSRVAYVECAPSQSDKLRNIGKDRIKVAQTLQKQLVELGKEYQVEDDDVYVISRRIYSGLDGYRHPMSEALVYDNKENAPVARRLDKTWVR >PPA20051 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4660:86:942:-1 gene:PPA20051 transcript:PPA20051 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGNCSIPSLHSIAAGDEQFLEKLLSVSPSETSLEAVVLVMESSLRYFEHLFEDFIPGGKYSGVVDDVVVDRTRCASATNRFIESAFGFVDRLFNHSPHMRIYRREARLLIAKNHTMAWLSSKSSEERLAIVSAARASISTVRAEETHAKSLLAEAILQKSLEKEKGYNAKVALQVKKRNQAVDAISTFGFIISVNSISALLGSSSETARANALVAQIRFRERTLLQPPPEKGIYKLSNKDGSCRRTSSEETHRSHRGRSEW >PPA20052 pep:known supercontig:P_pacificus-5.0:Ppa_Contig467:1369:2624:-1 gene:PPA20052 transcript:PPA20052 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-glt-6 MQVLKMMILPLIFSSLISALAQMDAREAGQMGLATVLYYLTTTILATMLGIALVITIHPGDPSVKEGILAMESSDTDVSPLDTFLDLVRNMFPENIIQATFERVQTEYVTKKPPSMAKNGTNNFKKRISPAKGTNILGIIVFCTAFGIVISHLGEKARIVVDFFVILDAVIMRWVEALMWFAPLGIFCLISGNLLELDDLGDTAQMLFLYVVTITLGFLIHAIIVTPLLYFLCTRKNPLVIVKGMLQALVTAFGTASGGAALPTSMQCLEENCGIDRRIARFVLPLGSTINMV >PPA20053 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4671:77:880:1 gene:PPA20053 transcript:PPA20053 gene_biotype:protein_coding transcript_biotype:protein_coding THGENAPSLHTCFNWFTRFKRGDYNLEHQPHPGRPSSRVRGRVLRELKANPKSSVRDIEKTIHIPKTTVARILHDAGKTPKLPQVIPHDLTTAQLKKRVDVCQGLLHRRSNFNWVSHIVAMDEKWITYDNPERKLQWVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVRVPPKLLSEGKILMLMDNARPHHAKITQKKMDELEMEWLPHPPYSPDLSPCDYTVSLPLYFCRGKKFKNRERHF >PPA20054 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4679:26:399:-1 gene:PPA20054 transcript:PPA20054 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTMEKAPNCLAYADDLCLIDTDVGSLERKVQEVQRRLQAGGLTLNTGKTEFMMIGGGQGMMRDMKGEEIKKVEVFRYLGSLIHEDGGPERDLNNRIKCGWAKFSACSGVLHDKSIRMETARK >PPA20057 pep:known supercontig:P_pacificus-5.0:Ppa_Contig468:7935:11711:-1 gene:PPA20057 transcript:PPA20057 gene_biotype:protein_coding transcript_biotype:protein_coding IDSAEFQSLFTPDLQVLRGLFEKEGFQLRIAGGAVRDLLMGKTPADVDFASTATPTMMMARYRLQMVSLDRYANVPLIEDLFTRSSIRMLHMNGEVHGTVTCRIGETNFEVTTLRIDKVCDGRRAEVEYTTDWELDANRRDLTINSLFLDLDGTVVDYTGGIKDCKDRRVAFVGKAAQRIQEDYLRILRREYFRFFGRISCEGAAHEKETIEAIKENKDGLKWAERRSELPG >PPA20056 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig468:4058:7540:-1 gene:PPA20056 transcript:PPA20056 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIVIGREAPAVIRVMIDDCGLTSLLGLPANSLSHMDRYERVYGRGMEAERKHSGAKLESMTMVAALCTEDKDIEEFHAKTKLSNVERELGEFIVKRREEAESAVKDGASSSLIYWRRLLAREFGPTVAGKVRNDGERVTARVVQLAITVAAPDEVIADLLASPHPLAFPVDGVALMKANVPAGPRMQYVKMHLFELWVQSDFTLSKEELLTHTEDASIPTPPPKQVKGGKRKREKSSGDN >PPA20062 pep:known supercontig:P_pacificus-5.0:Ppa_Contig469:12058:14042:-1 gene:PPA20062 transcript:PPA20062 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDRTIEELSGTLAQLGQLVGHINGQINGITSRINVTLDNFDRAITNIATDAGSVTGQVGDTVSQVPNAWVFYVLFITLIIVFILLSIVLLLNLITKIHAIYSIIRSGKDGSRTSLIGEDQLADRSDRSVYDSKYNEPIIPLPSYNTSAQQQYSLPPRESPRDHVAISMEAEPRRPGRRVVVSQNLLNRTSLRRGHINEELKIQGNITSKQERRLNSLEQFKPKFDLN >PPA20059 pep:known supercontig:P_pacificus-5.0:Ppa_Contig469:2688:3868:1 gene:PPA20059 transcript:PPA20059 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lbp-9 MADQFIGKWNNTTSDNFDAYLKEVGVGMVTRTVASKLKPTLTFEVNGDDWTMTSISTFKTHVTKFKLGQEFEDKTIDGREVTSKFELDGTKLIQTEKGKSEGKDSRIERSISGNTLTINMECNGVKSNNLYA >PPA20061 pep:known supercontig:P_pacificus-5.0:Ppa_Contig469:10953:11747:-1 gene:PPA20061 transcript:PPA20061 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDQEIDNFYYTIQTINNTLYTLDTELEPLLEQLDGALANLDGALEDVTYTVKAISDKVVDVAQKVPNQWVFLLIIFLINIGLLAIAVYLARYSFIFVREKRYRFPHKKGYTPPPSQIISDEENVEEHRVPPSYGQVLASYKYHDYTPVPMEP >PPA20060 pep:known supercontig:P_pacificus-5.0:Ppa_Contig469:9486:10754:1 gene:PPA20060 transcript:PPA20060 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLITLAILFALSIGFVSAQYGYGYNPYGGYGGNYGGYGGNYGGYGNYGVSTMIYSFLIFILYLIHPFHCQLGAYPQFGYGYSPYNSPYNSAYISPYAMRIGSYGATNGYGYGYSQPYGYGMAPYSNPYDMNGGYGSYDSFRGGGGRISSFLGGAMEGVMLGATLGMAG >PPA20063 pep:known supercontig:P_pacificus-5.0:Ppa_Contig469:15875:16385:-1 gene:PPA20063 transcript:PPA20063 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLPTTTTTTMNPFEIPSTMNPSIDRIVFQIEQLGGVINELSYSLNEAVGELSGRAQETVQNLQDEFTSNKTGVPDMLSTVSDKFNDWPVTPFLVVCVTGLILIVVGLIFLCSKGVAYWTENRYKRYIKSDPSDIENL >PPA20065 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4695:93:544:-1 gene:PPA20065 transcript:PPA20065 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKWITYDNPERKLQWVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVRVPPKLLSEGKILMLMDNARPHHAKITQKKMDELEMEWLPHPPYSPDLSPCDYHCFRSLSNFCRGKKFKNRDALVK >PPA20121 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:383304:390872:1 gene:PPA20121 transcript:PPA20121 gene_biotype:protein_coding transcript_biotype:protein_coding MHVDIVKPMISQAFDRVLKPRFTASRAERLLPMAVDANIRYIWDDSTPIAEVDREEKVELLELCMMLGIETISMDTLSRFNCRVHMNNYDHPALCYPRYRGPVSRGRVPHGLKLIRRIKDKLEKENFPIDEPRFTGMFGLHMATLADRKIVVTTNERDALAVYDASGMLTVSLPKGELIDEQVIPYLDDFEVIYLWYPHRHLDYARDFASLLNANRCYLIKNNDRPIELLRQNRSREIPLIIQEEAIQVRNRGFRSMIDVREDIKQEIINSKPRLHGMAQWKRFDALNRYLMGFRPGELTVLTGQTGVGKTTFLCEYALDLFNQGVRTLFCSFEMSDEHILRWMLVQFAGVPLHRVENHPLVEQWLDRFERTKGSLTMMKKSEFRDRSIKEIFEAIKNHVIANGTQHVVIDNLQFLVGMSLVNDDGANSMDRFNAQDRLVGMLRRLATDYGVHVTLVVHPRKTDSDADLDVQHFGGSARVTQEADNVLAIQKRRDDVSRKPRKFLYILKNRYTGRIVEHDALEMIYQPATYSHTIVDLAKAKV >PPA20174 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:680285:680690:-1 gene:PPA20174 transcript:PPA20174 gene_biotype:protein_coding transcript_biotype:protein_coding MIALLCGKKKGVVAKGKVFGQSQAPSTLSCSSGASSAAGGKKKGAFAKGDILRQLVSTFHNPKITER >PPA20183 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:747337:748194:-1 gene:PPA20183 transcript:PPA20183 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTFLLLLALPTALALEIIEIGMRAVTKGCGFGYCEQPGCNGNGLCCCLVDFCNSAPEGSLLISWTTDHFYVCERYVTENE >PPA20156 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:573508:574499:-1 gene:PPA20156 transcript:PPA20156 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLIATRIRARLADPQPTRKAKGESRRAHYREDRDSDGFELEYNHNQKCQLLHVGYTITLYSDRASLHNKASLKSPFGFPRKLSKKYQNCIGVEDEREWDSEDVEDDEEVNVDHDRFPPVDLSSLIVDGGKRKRKISKSPAIPEARIIPNEEVSDDDFVVVN >PPA20168 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:637404:637794:1 gene:PPA20168 transcript:PPA20168 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVCTEGERIASTDPRRIRKLQALLNLVPNPGFDYGDTMNSLVDYTNPSEIKYFDRGQFVGLVKATSAESSRGHRFPKSRCHQLP >PPA20186 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:759042:759801:-1 gene:PPA20186 transcript:PPA20186 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVNNYNYDKSSEKDDDDHISPFLSFTDGNTTIENIRTDVKGYTFSSKSATVTFNALDENK >PPA20113 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:342975:348097:-1 gene:PPA20113 transcript:PPA20113 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKAILTMKRNLRKFQFNPDTEAKRGHVLTVAEVTGQKHLSFVVSEFTLSDNGTIMRTLIRPGEIIGGHTWFAPTTGILIQFTREGRSMEEGHEYTFKGTITNTPTNHHCPYPFIDLHSDEIFFMPDTLIEYYCDAIVTAPPGYDVRIDHISEEVGDSDLVIRVWDEAKNELEFLESFPVKDLLPGANPIVLDIANRGKHSHHVEIQISCQTTFFLPVELREKYKMKLDRFDILAHGVIEIDQGTSGITTQNDRMTRTFTFESPNITLKYLPEGKRYGDVYSIAITLIERQKGCDCPAQAAINEELSFGIAAKCKQLDCLYELPQFDDNTVRYFNTHDATNDYIGSRRTGERSDRYSYDPGEGTYEFDSWANRDEKMIIRFHRENPTPEATKFIFRYTTSGRHVSHAERCKCDNETIVLESNQTTDFTSPDWPGHYCNNLACVTTFVAPMHHHLEVKLSTISLEPNVDRIVFFEGTNTTATHIELRSNSINLMDL >PPA20105 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:285213:296059:-1 gene:PPA20105 transcript:PPA20105 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLGDPLLDQEYEEEELDMGFEFGSRLPGTSDQSIVSQSHQYSSAPSSFTTDPNMYYTPSTHYGIPTTSFYGQQQPATCTVQTPQQPQPLQHHQGGGYIRSIHLPIAPSQYMYDHTQQQVQQPHQQVYQQVYLQHSNGEQQMILQPVQPSVIVSQQQQPQYHYDPNPVPAIRVSHEEFQQLQQQQHQQQQQPPARTVSDHSYASYNDAMVLYDDGSNDSAPPPRNFSPPVERRAAPLLRDPAPKPVARMVQRKRPPIEMRVGETDSASAAAVRRISTEEGWKSMNGWLRAAEQDVNKLLQLLGQCREAKVTVTLLRSNDTPKFIRLLSKNHKDEEVRALSLSIVTKWKKVVNTTDVVEEKKEKERKDRKKEEAAVKEEEEENQEMKVRAQPMEGRRASDEGVVGGEKKLKEAKKDKEHRAKAKVYQSKGRSTGVCHDRPLQLHSVDRIRIRIVVGRGRPRARDGVRPTGGQGLEGDDGANPAKKRSVSETSPTGAPPTKKSSTEKTPAAGAAPVSAAPAAAATTPPPKKAAPAPVKKVVTSSSFMASLMSPVVPAQPKKKVLPKRPLPRPEAKPARPTAAGGEEQSAEASRSVVDMLLSPGADGKVAMEGLFADTSRAMKEERVPTPPKPIAAAAIFVAEEPDIDVGRRKIRFADEHGGELVETRYFEIEEGERINVNRYTPEEMKHHEAAAEKEWMKDNSGMRDDDEDDEEEGGPPNPWLAQGQMTVPTDTRSPMWRLIVVDTEDRAEVSHGKDSKMRVIQEERQKVAMAAFCLPNERCSHFDEPEHPYDDEPAAAGAGLRREPIMIPIDSIEEAADEEVAAAPAAAPAPYESPVETDTTTAAQPVITDRLKALINGLKTQGLLHDGSTAPIVDPYSIPPPGLQTTPVAIPTTSTTYYQQTQDNGFGAHNRHLIQQEFPGQPPMHQPPMGAAASSSGITVVNMDVHGPSAPMQHGGPGGPAGDVPFPPYHPGPGRPFYVSNKPCTFFNTPRGCRFGDKCGFAHIPMDGAMNNGPAGPFRGGGMRGSGRGDFRGGPPRGGGPPFRGRGGTDSVAHHRREMWICEEAEDGIGMREGTIDEETGGEADLVRPHREETGTIDQIDEMKEERRKMSI >PPA20155 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:570105:572194:1 gene:PPA20155 transcript:PPA20155 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASMEIGSHSMAEVEWHTLAHASKPEIGKIHFDADEEWMRMTERNHPIYSHSDFFSVAIHEIGHAIGLGHLKDRKSIMYPTYVKPQDENGNYASPRLNPAIIQKIQEIYGKLPGNRILVFPDSKHVLTVNSLNKHIIGTVYGYKLNVEQNILALAFGYPKILPTMQAGEFFWETKAAFIIPDGRRIVVNTNKKVAIYNEHDNSIRVLSGTIRDVFPNMQEDVVGFVDFSHGTHVGFTSSDVFKYDFETHTIENLGKIHDHMGC >PPA20127 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:429430:433738:1 gene:PPA20127 transcript:PPA20127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-147 MSETRFRQVGKQMVPGALVLTLVPKRSRCAVSVRDDDTDKWGADELDEKDEYELALRDPSLRIEVLEKLATFRRNRELCDVVLFVKEREIFAHKVVLAAVSPALFDMFLDETVENTTAKEGGGAPVGGVARSQTSTPPGSTETATEGGEGQQQTPTVLAGKSTRNPMAFFEFESTDFECFEALVNFAYTSTLEISSKKVAELYKTAYSLRMLSVVKASEDSRNLCF >PPA20192 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:804881:818916:1 gene:PPA20192 transcript:PPA20192 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-241 MADVTVCTGFEPQNYLRMGEDLTKSWLGKRSESSWTFSRDRCKKCFRLKNKHEEEKPPPQIPAVSSASSPVVSSPPGSSRGSYRSIEKRRSFKDKDRNEDHDADADDLVSVSSYTSATSKGLSSAKSMESIADTRSMVTAVSGSDMDRAQTPTENDFRFRLITTKSLMSLIVSFQPSLVISIADELSYLREENRQLKEDRERLTLRRRPMANGDDEGERGDGNLVKMLEERLNEAESCIQDYRDENTVLKCELRELQENTFTGEENKLQEKLKTTEGLCEELMEENESLKAEVKDLQTEIEEMQDQYREEEIDEFRELQRELEQHAKNSRVLQFKLRKSERAREQGEAEMNLMRQKIEDAAKPSQMNANGHVMRGDENVRIKELESELKIAKEVSVRLHGELEQTEEKRYRLEDEVFYLKEKIREMQTQNKWREARNKTEIAAKRLSAELNSSPQILPTDDMSKELRDALEREIDVREQLRFSEEDLKRVQIRLQDVENENEVLLKKLAKSKAGKLSRPPMIRSASEGNAQVQLELAEHEVEHLSTKVDRLEKTNECLTHQIAALASDSTRKVGETSVQSSGLEKKRFQLTPEMERDMSNLITTIADLEKKNRELTMQLKKGDEMRRKEEPEDPVATNSISSDLRSEQERRRGVEAELTELKGTLLKTDNQKLIALATKVEVLQNQLSLANERCTSLHRKHVRDGEVTKYQDELKERCERLERQLSEQKAGETVAELQGKVPTADEIESCCEMLASVEAQTSRICKQIERIDLTQKEERRRSLSKDSGAAIIAELANVMGEMRNVHGLLDHFKSSSGLSTILPRRSPFRELNATTPTGECANCKLKDDDAEKQKNEIIFYKKKNKDLTEQVLQTEDRWTIEIEKQRQIFENEIKTLGVKLADARRQFEETNTLLDGRTAALAEKTKSLDEQFDRNMKMQREMEEKEKKATDQETERKSAKEFEIKYKKLEGIFDAERAKMNTERARNKSELTAMKKSADEAEERMNATREELAKKEAQWRSEKANIEREVTSLKRQMQAMRRDGEGSIEESSSRRSSADLSANAANEKSGSSEVENALTIELRKQIGQVEKKNSELLRETEDLKIANVDLKSDLDKVKSQLMKDKEAFTHKSRQTDKIRTVEMDALQQKFSSRMRIMEDTNKSLHSQLVLARRARDSHKEESMQMETKLSDERRRLENAEKNLCESTSRVVSLQKKLVDLEAEFERTNTELRLTKEAKKADQILFSLEKGTKSRSSATSSADKADIAQAEAVRVQYAEYEKFYAKEVERLNQRVKDMSAEAMARQTETQKTIRELREQIRLLEIDKRNLSDTKESGMVQREMLEAEQTRLQQAVHMAELQKLTRKYRLSSIIDQLQYVSDTRRGHRLEVDHPDSIRYIINQLAALRDEDSNNGGNTDRDDRSIATNASVIRAPSECNDTYDNISQSSMSIRSTASAAPLHHSMSVENDDVAPPSSSSSSHLTSLPSSDKGSIVGYDEQGRLVVSRGGGVVNPLSRSASFDRRAAPLAQPEEPVHFRTSSAGSNILYQVRREELARGGQPSVRLMAQAFESFDGQKPKPKRGLFGVKKSQSVDTQAQDRQSTTGSLSTNRSVVTMDEMSTATLPRGGRNPFKTMGTKIVERVRRSLSRSSRRESRERSETAPPSEMGELPRDTLKPAKSSEKGSSSPKKTKKKAAESKARKLNGDK >PPA20179 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:727739:729253:1 gene:PPA20179 transcript:PPA20179 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVEKSYETSNKLIGYMSKISTASFSSLQQQLQECTLATTGEDSGMIGAPEVLEMGKAMIHLTRATKVLDIGTFTGASALAWAIELPENGKVISMDVSHEWLNKVGLPLIESAPALRDKIDFRLGSAVEILQSLIDSGATGSFGFAFIDADKGNYSRYYELCMQLMAPGGVIMVDNALWDGAVVDPKEPSAVAIDAVNRLAAADSRVYNTLLNVGDGVHLIVKKH >PPA20090 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:160100:160722:1 gene:PPA20090 transcript:PPA20090 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDFKAELFSPENGGKRMLKSWNGKYLSEATGLEEQWTFEYIPERGEVALKGNDGRFLQHGPHDNDRMAAPWAAADEWEYLTPVRNADGSWSFRSRWGKWVSAHRGDNVEFMPSNMDCEQWWIE >PPA20085 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:128381:129673:-1 gene:PPA20085 transcript:PPA20085 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSQRDTKVLGATSRTPMQDAVPICNGDSKLCAFIACQAENLKNDQSIANLNLAAQVLADKKLRHSVGNNPEAINAVCVDSGMDESRCGTFTRGFQMISKLINSIEEPMENMKQRMPAGRRSQAPPTLRGQRIARKLRMGATLRILN >PPA20083 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:115710:118532:-1 gene:PPA20083 transcript:PPA20083 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRISKDKARLADADVTAADGRKTPYHVQGAQVNFINRNTAQASAPLLVWIVRDDAPNQDSQVYDAKGTSRLSAPAGVITVLNTEPFTLNVVSTGPPFPFEAITAGFDALSDNDKCTRGRIVPGCCGRCSISANHPSIQLRSDANRANNLCKQLFEGIAVEDHDLGHAQFVTSPGYIGCAVKTDQLGPQGVKTFRSSLYNALTEYKLASDDAVTVDLSSDVNVDDAHAVSIVADDKTPISWSGTNTAPSVVLSAKKLTVSWTRNDVDLNKYFMVRVQPSIDTKKTTTSEQERTTTMEPEVRTTTIEPVVRTTAMEPEPAVRTTTMEPQLDKTTIVEDEPAQTTTETEKTITEPIQTTTEEPEKTSTESIVTSTYEPTTTPGPEPIVTTTEDPEKTPPMKTTTAYKRHSTPPPIDDIFSSQIYYRCNDTDFNCCNNCCQRPNDIFRKYW >PPA20123 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:397727:399070:-1 gene:PPA20123 transcript:PPA20123 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-teg-1 MDAYEWPREKVSFLLGRLQKTAVDEVDMFGDPIVPAAGAAASSSAAAAAAAMDDDEVMWEYKAEPDDDDVKGPFNSMQMAEKQGNDELGAKCVARKVGSGSSFNPAARIDFDLYC >PPA20190 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:778566:781658:-1 gene:PPA20190 transcript:PPA20190 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVAHTNHLWHGSSSMELEETTEKKKKGGGSRERQPAQILVWRRTKRIPRAMDTPRKQRDYSDRTFSTTVDRIRMKGYESRKARTHDINDTIHRAVAAAVSSSPPFFLPSSSFFSFVSYHLQQSPKTAFRGLKYHPWSIFSPSPTSQPDGGPTVTPTCFAWSSESPSFLCEVKGESRRDRMGQMHLLYGANETSVTILDMLLANTSSSSTVRLRAGISGGDCLVDDLDKCTACFRRIDISMQRLKAAKSSFEMALNRFDCLPASQCELSSMQG >PPA20175 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:681571:681861:-1 gene:PPA20175 transcript:PPA20175 gene_biotype:protein_coding transcript_biotype:protein_coding MSILLFPLSLLLLSTLMIVLLCGKKKGAKGNAPAPPTPAGSTGANPAAGAVQNQDDPDGEARNMQTTLE >PPA20171 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:658422:658849:1 gene:PPA20171 transcript:PPA20171 gene_biotype:protein_coding transcript_biotype:protein_coding MDIALDFGVKSVCHLCTPTCETTDSWDNCYYCILNNYEKKKCSNGKKEHHHHD >PPA20138 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:482413:482842:1 gene:PPA20138 transcript:PPA20138 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSQGVIPPSVVNWPNRVRHTTANSDYDESTPLLYASSLPTVVGEEIDRLPINPGYDNEAFPNGVHLSTRSEGGQRRRKKKKNRLRRRIASDPSLSIAKPKKR >PPA20115 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:358889:362897:-1 gene:PPA20115 transcript:PPA20115 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSRLYYHLAAEIPLLGIYLLAIVACLCSKSSRMIDTGFYTFISFIGILDILTYMVTLMTYRLPLYIPFNDFFDSTVGDDSWHGTLTIIYASTFTLVAAREFGTLSLALNRFSAIVYNHKKWSLRSFVLTVIICIVGGFSCNYYMWLTEADYTKIDSDNGTYLYFMAIDRYEREWINEAGVTLSWSGFCYITSMAIYVLIEKTLLITTAPFQALCGGAHSDVDRREYRLTIISFLILLGDTMFFLFNVMGYRLDPNDFVGALKAIQAGAPILPFSHDLRSLCVPFWLVLFDSVLRRRLLAPCAAEESEKVTILTH >PPA20118 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:373347:374369:1 gene:PPA20118 transcript:PPA20118 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRIRGNSSATSAIRHSCTNPIGPSTWKFNWTIAIRIRLVTGRDSLAKNAGNDFEHRQLSSRIHMSTHIGVYAFTCDTRDKGFSNTRDLKIHDKMELQLRRTQAPERIDGLMTVTLHKLRTGYDFCFILCIKLW >PPA20088 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:154787:156624:1 gene:PPA20088 transcript:PPA20088 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFLTFFVALSIAGATLMDELVGQNEGKWRLKSHNGRYLSAYMKVAIFANFPYTTPDDMGKDQQWTIVKVKDTEVAFKASNGQFIRHTLMGGTDLVWNADEWEMWIPVKNGGGTWSFRSRNGKWLSAYRWNKVVLQGTNGLYVKHNNGDSAGYGDVADEWEILTPVKNSDGSWSFKSRYGKWLSAHQERKVDFMPENKACEHWWIE >PPA20076 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:64094:65974:1 gene:PPA20076 transcript:PPA20076 gene_biotype:protein_coding transcript_biotype:protein_coding MINFTVNPTLEFAFEWYLYGIGFIVFPLNLYTLYLATFMSIKTRTLHKRIVMVLIGQVLIFCVFLIIPLPVFIISVFTEQIEHGK >PPA20187 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:762924:763372:-1 gene:PPA20187 transcript:PPA20187 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPSFLPRPLFFLLFLAIPLLAQMPLRHTFDTREMCNSRCNGQCGSENSSDHPGLPRWVCIMNGQGSHHPKNTRYVAVMLR >PPA20079 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:92687:93616:-1 gene:PPA20079 transcript:PPA20079 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMHIPVDESLWDWPLQGSDGVVKVHNDDDHFEVDLDASYFTPQDIQVKVIGHNLDIHFEHEHKQDKLGDVSRSISRSYKLPDDVDLSSIKSSLNKGTLIITAAKKPQKKL >PPA20081 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:105030:107139:-1 gene:PPA20081 transcript:PPA20081 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPYEKDDLLEVAHDGDNSTQTDSQVCSIRLLIANDLTDRLIFGCCPASSGFDIFSLPAGPSPPPVRILRPGRFVQRLLDMISSVPTDRLIQVMNESRLNFTPAEEANCRYLAHLMLTTPDGQEPTREQLRQFLDVATPVLQEQYEASFSDPNSNFPREQWLALRGVL >PPA20109 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:323196:326970:1 gene:PPA20109 transcript:PPA20109 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAYHSEYRPGAADTSELDPCIAAETRLKKSAFSTKKWRTLLLVGVMLLAILALVLGIVLFVSRDTKNSNILTIDGIDYEEMDSAPLVGHSASPDPPPPPPTTTTTTMVVVETERPTTTTTRRPLTPRPTPSKGAEIVVTGRDEQPMQIHRVHAIFVPIAGRQYDIINTAFHKYVRCPPALSISHALSPSTAGGEAATAVDYDDVSTDHHYDHPANDENETVVGVSDGAASRSSGREPRGEEDRSGDSRGEEDGSD >PPA20197 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:861369:861931:1 gene:PPA20197 transcript:PPA20197 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMAALRVVFITTPSEEVATKLARAVVEQKLAACVNIVPGIKSIYEWEGKIHEDSEHLMIVKTVEESVPSLREKILSLHPYDTPEFISLPVSEESEKYAEWVRVQSAKSTISPPQ >PPA20075 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:47523:51201:-1 gene:PPA20075 transcript:PPA20075 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNIESESFLAESRGIDLQDPLKGTWFGVNEQGKIGVLLSITHPQRKDATSRGRIVHDFLSSSLTGKEFCDSLVAHAGDYNGFTFVAIDRPTGDYEMHSFTNELVDELAPLAWSRDTHAVGNCPPHATYAKLQHGKALFGAILPSISESTTNDEIASSLFTIGADKKECYPDAQLDKQVKGFDPRGLSSIFVRVGSPPLYGTRCQTALIIAKDGSAFLRERRFLSINENGKEEWEEMDFSFTVPSKSHKTATIICDYHRNLYGI >PPA20082 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:109582:113889:-1 gene:PPA20082 transcript:PPA20082 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPKTFIHAAISCDFIRSDPQFVGCEVSKTNDDMWPRRKVKYSWYSVVFRRELKEKERPKLGDYDVLESSDPFPQLGIKQAYVPAASSTNIAYKLMETPIFFTRDTSASVSSVCSLSPEPSLLYEVFDEIHHPPQVPLHRTAPGGPPPPLPPKPAKEETPKYANVEATAVKATPPPRPPKPTAPIQETCEKSVLKITNVEDAVLPHASQPPAVPPVIVIQCEHNNETRRFYMTSNIQKLCVDFALILLYIT >PPA20143 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:521851:525113:1 gene:PPA20143 transcript:PPA20143 gene_biotype:protein_coding transcript_biotype:protein_coding MKFHCTDFLMLFGAVFTILAMFIIGPAPFMPFDKDLVVIGIALTILGLAAGALYIPTFQGCLDVVKQHGYDDSFQTYGCVSGVFQSAFAFGGFFGPTVGGAVVQRMGFEWTTTALGGVLCLFVVVFFIFHGCLCCMKPKRPMQQSTSDNVPLARDSETATV >PPA20089 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:158237:158521:1 gene:PPA20089 transcript:PPA20089 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHCREATESGYGERADEWEILTPVENKDGSFSFRSRWGKWLSAHRSNFIDFMPENKACEHWWIE >PPA20151 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:561902:564688:-1 gene:PPA20151 transcript:PPA20151 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLQTGELDDATLEKMSHPRCGVPDFAALSYNSDYDEDTRIKWSKNYITYSIQNYWPGLSEDEIRKVMWDAFQVWERVVPLKFAEEYPGHGDIKIKFGRKEHGGCSSFDGKGGALAHAFFPETGMLHFDSDENWIRMKDGVVSSDFSQTDFFSVAIHEIGHVIGVKHSYNHTSLMAPFYQNPVGSNGKYVRPELDEDSIRKAQSLYGKVVSEPVIPTRSPQPTRHPTARPSHPHTSKPEEHFPILPDFPALPPLPSPPPPRAGPTTPRPEPNLPEYCLREVVAIARIPGNRILVFPDSKQMITVFGYTLINNTLLLAGGYPKILKTHQAGDSSWETDSAFILPDGRRVLISTNKRFAIYNEKSNTIKIMADSLQDHFPNMPHDVVGFVDFHQGKHVGFTSTEVFKYDFETHTAENLGKIHDHMGC >PPA20153 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:566175:568041:1 gene:PPA20153 transcript:PPA20153 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEVTGMLDVATREKMSRPRCDERKWNKKELSYSIDNFGPGMSEEETRCAQVEPISGLYSVDGTMAMGTCSMARVFGYKFDEEKSTIVRVHGYPKKLGWQLSAEDEQFDPIRSAFKARDGRIVVINIVNRIAIYDEKENSMKTLTDGLSNHFPDMPEDVVGFVDINYGTQIGFTPTEVFAYNFEMHAVEHLGKTQNHIRC >PPA20201 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:874154:878868:-1 gene:PPA20201 transcript:PPA20201 gene_biotype:protein_coding transcript_biotype:protein_coding MALYPPTSRYGSPLLAATGHDGLHSVSVLLNEHIWSAAFSKKRRERATRWAKILGFGQILYEKLRIHYLLPVFLLIAYSLAGGGIFYLIESSPETEMLAQKSKFITTEKDGLRFDVEEIQRKVSALQEIYANNTVALNRHLRGYRKFALNQLHKRVYWYALSAYYLTEQETHKQQALRPRNTEPLWRQMVYSTFGRIYALRNYTEQLSLRCWEIGVENRDENWTRSHINSAIERFDTLTGLNHVLTPVWTFWNAMLLAVTTYTTIGYGNITAKSRSGQLAVMLYAVVGIPLVLMILHKLGRLSLTVLAIIWQKICRLCNTLIGSPVFSEDVTPTEIPLPFAIGVAFGWIFEEDWDYFKSFYFFFCSLTTIGYGDVTPTNSEDMFMIIILLLIGLSLVSMCINVIQLKLEKFFEEILLSVIEEYSSNPEARALRGDKIGFLDMWRVWKKRKARLREQAKASKIEAGEKILRAFPFARRRERQELIRDLKQRLRQLDKSTQTERIVMYSIACEPIFAPDRVPYLAPDNERTKFTHLKHLMIPTRAAPEVVSPFIRSPESPSEDTFEESPMRNSALPSSSHHPPISVFSPSSDWGSLPSVDKASNHSIPKVNRSVLPPDFNPARRWTFVETGKLGRGMPRGLINPSALTRGTEHRRESETARLLAEIDRNFVAARRELSTPSPYTTSG >PPA20140 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:506204:506511:1 gene:PPA20140 transcript:PPA20140 gene_biotype:protein_coding transcript_biotype:protein_coding MEASNASSLDEDPPSSIRSTTTSEFRSVNERHIVNDYDVQFIQMAVTVVVVVRRRLPHRHPLQLLHLLEECHDEVR >PPA20106 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:304858:305744:1 gene:PPA20106 transcript:PPA20106 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPLQHRTEEDRREGEDAGAETRSAPGDLMEQSNGVSWNRWETVGGTKTRIWIGRPPQPIDDGNDSRSTRGINPGSPLVLPGRGQSTLKRHGHSKYP >PPA20074 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:44561:47336:1 gene:PPA20074 transcript:PPA20074 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFSRMQRLDFKYTELVEILSAKEFDYHRSSKWMGDHTLFTIQVVIIYVVSIHLIKDFMRERVPFKLTLPIQIWNLAVAVMSGIGAAAMTPEYVSTWYNGGYDATVCTSRSTFFSGTGGVAVFVLTLARLPEFIDTYFIVLRKRPLLFIHWYHHAFTLFISWYGYSNLLPCSRHAIYVNALIHTVIYFFICSLKIPLPSIVARLITIAQIIQFCFIFYAVGHTTTPCELHDESIILTWIMDLSYLYLFVDFYLNKYNRKTREAREEVRAFKED >PPA20069 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:6988:8150:-1 gene:PPA20069 transcript:PPA20069 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hst-3.2 MPTSGEGDITIEKSPAYFISKTAPARIKEFNPNMKLIVVLRDPVTRAISDYTQASSKNRRLGQMPSFERMAVGECAPWSRANCSVKTRGVNGGWGAVRIGVYHKYLERWLKEFPLAQFHFVDGQKLIDNPADEVKKVEEFLRLKSVVSPADFEVDSVKKFPCIKNPDDQRTTSSESGRTRSQETQAIL >PPA20164 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:610747:614501:1 gene:PPA20164 transcript:PPA20164 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDTRTITRAKKTSPSGVSLPEVFAKENKEETQFRPLATAASVMQQQAATTSAAAAAAPAAQPTQTAAAVDAAKRSSAAPAPSRGARQQTMVVSSALSRDLKTAIEQEKASFVDEAAAARLEARLMALVLDKEREWSDKHGKLGQIMVAQKSEADEKLRQSEADIEGLKAALESSIEAMASGFPTAPSSSFGAASSLPHLPPAPVLAAMTSDKLAELTRLKKEKENLENEVSIPIDAIIMTD >PPA20103 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:258818:266261:-1 gene:PPA20103 transcript:PPA20103 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKGLSFREAAEKYSIPHQRLVALVTKVRTECRRLKDAEERGEGPSTSSRDDASSPTRPSDDASDEEKLAYVSMKVESIVAVSTLHPRAKGLLKRAVLLVLWDGLSMAMASSHVGIAASTMFPYVSKARAQLVGLVKPPAPVKPKNVSGLRAEWPPKDDERKGRKRKGVDEEKEEEEREEKEATVDEITYVVNEVLEQVFEEERRAKLFVIMVNVLTGTKSPLEACTKWGVTLPSVASYLARARARLGGRLSKQVEDPPPPVLDCEMRPTYEKGIAVLNGHRLPQSMNEICRMMSNGELSHVKALPFVGTREELTKKIQGIVARFRYQPHQTMKVACAVMRRLVDHEHVQNLAARYDVPYHTVEGYAKVARLVIDVNNLTIIEEDGTRRVIAPLERYEIVKRRRRTREELMRDNNEKERVGEENTADVFILDSDDGEMEEISTIEDIEEEESP >PPA20177 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:685256:690548:-1 gene:PPA20177 transcript:PPA20177 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nhr-48 MVRPICRVCGDKAYSYNFNVITCESCKAFFRRNANKEKEIRCPFNESCEINVVSRRFCQRCRLFKCFQVGMKKEWIMTDEARLEKKARVEENRERRREESKRSKDPTDSNGHNHYRTTSQSSGGEHRAPKGSSAAASAASAAAAAAATAAAAEQQTANFVQAHQHHYAQQQAQAQAHHQQQQQQAMFQPELPTLATSNATTNLLDAMVAAAAVQQQQQQQQQPFPAAAALPTAAALVAAVAAPPAPLPPTNTELMAHAIAMEQHLQQTPFGTATPSQQATAAAVYAVQQHQQQQQMAAAVQQIVSMTAAAPPPPLVDTMAAALALPATPQTTAAAILSAAGASPLGPLQQAALIMDATNAAATQQLLAAVPPPPSAVAAVATTMLLPPSMQPAAAPLPDRCRCTCNCGKYHSSRTIVDQAQEEWEADRRGRRSHSSSDELGLSELLPSNSTSVSWLNPSTNQNPLTEGALSIAVPTMNTDEAYPDFNQLTESFVKNPLHPSHPTTFIDPEFGPMQTDEEERLRFLHEANQVWTQPLAGEESQLHQQGLPSKQVMLQMMVGAVKRMITMAKKFPALKALHETDQLKLIKSCYLDVMIIRGAMAYDPKENAWKGPSASHDYKIKMDAMNDESTDNMFQQSIRLELCDGRAYGSWLCVSARTLSSLAALSPCCARLANTNH >PPA20116 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:365237:366437:1 gene:PPA20116 transcript:PPA20116 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSKQEIQIVEQLNCEYYCQEEKMCTGGQCHGSGDKWSCECYGMSMPTKK >PPA20071 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:26283:30697:1 gene:PPA20071 transcript:PPA20071 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPSSQPSTSGSSVYNRLRNRVAGSSNLALHAQWMVHVKSCTGAVAQWLCARNAIRKVTSSTPPALRSTQTKGVEDQTCSLSCNLQKAALLHMENCTNGSPCEFQYCDTTRNIVSHWQTCKQGKSCGICAPLLNTPYPLPSTFSQNSGKGEPPTVAELSVASFAKAVNAVNGNEEVGDAAAKKKKKKKHKSKIEPLTPASVAYAGWTPAHKRFVLLEHVIWCINDHKPGPRPLARFATPPYTRPTPVPPPCTYAHCAAMQELLDHCGMCTLNSGCNFAHCATTKFLIVHISRCSKTKECGFCNSLNKAVIHRDAAIVEQDYTKVMLRVVPRIARISPTPSDFFVKLQRKAPKKSTTALLTDSYRRLLVIVKAKFFSDSAEQKIKASGEACVLVAQGTITLSSKNKNDGVTGACTQNGEIPETAQPDFVKSRTPA >PPA20147 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:542688:544234:1 gene:PPA20147 transcript:PPA20147 gene_biotype:protein_coding transcript_biotype:protein_coding MTAHQATSSPRAIRYVLFALRLYLCMEVCRLAVAVWDYMNGLRNHNDDYYFKVIWINLAFAVSEVIISGQAIGKKCYKVFTILNVLMVVHLVFLCYYFLDLVDEIFALSQARLIRRWFHEGDKIDDMTVAYFNSNGSSHSAIHSYNVATVGSYPHVYPTTVPVHTQPNNSPNPSEHAYPSYSNVADVPYDTKEAPYPVEQTHPTYPTAVANPAVYSSLDTQVPIQNTNPPAHSPK >PPA20199 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:866586:868327:-1 gene:PPA20199 transcript:PPA20199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-stam-1 MSWLFDTSSPLDEIVEKATGEHQTTENWELILHICDAIKTDPKFVKPAITSLKKRLNHRDPHVVLLALSVLDSCWANSGALFRREISSREFINELESKCKSSVRQVGEKTRLMIKKWVDAEVKEDDSLSLVRTLYKTLAADGYSFESSEPKKRAIISNDPNVVTSQEEEDAIAKAIAASLSDAPKENGL >PPA20136 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:476986:477924:1 gene:PPA20136 transcript:PPA20136 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCNLSQKIICNFVTKEAILELRQFAHHMDKQVSISTWSVPFETQIGLYTVNIEQDEQNQTMVDIYMNRDD >PPA20181 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:739297:739873:1 gene:PPA20181 transcript:PPA20181 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHHGPTLLKYLGHCVRLVQIDFSGYLNVPTENESVKITVNNKPVNLYGAGIMRPFSQRFDGDGFDVTISWIRTFTTSSSFALQFDLGVFDNTVATTPKTEKWTRRHAMSK >PPA20172 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:667412:668905:-1 gene:PPA20172 transcript:PPA20172 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSQLYTCHTEDVQATSPLKPPVSPGGLDKITCGDRWTGEHRQRCQRRQEAMGGRHSNGPASQRCRRDELDGEAIGGLSEPPHPPRLLLPSLDRSWGYGSHGSVLPLETAPRTASIVVLHRAPNGKDNEIAFLQALTARLSLHRSSPPFAASATASDSTRGLGHRRDGEQLRVAWDRVGIADNEFDANEYLLQDATALAIFVSLFRSVQEGSSSLSPPPPSSSRYYQRGWECYR >PPA20094 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:198888:199446:1 gene:PPA20094 transcript:PPA20094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3EZK9] MAQSVPPGDIATQPGTKIVFNAPYDDKHTYHIKVTNSSARRIGWAFKTTNMKRLGVDPAAGVLDPKENALIAVSCDAFAYGQEDTNNDRITIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA20101 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:252722:254448:-1 gene:PPA20101 transcript:PPA20101 gene_biotype:protein_coding transcript_biotype:protein_coding MDAICNEEVWWREEELAVGSANVKGLKEKADHIERCIDAFLAGRPNLPLGLVAEWSQRGSYARRKVIEASGECLSESKRRIKTADERRAQTDGRRGATTSDPISISLNLPRKFPQYQTLSTPNTR >PPA20159 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:580926:581376:1 gene:PPA20159 transcript:PPA20159 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLCRDCHTGEKRLCCKNEGIFADPERHCRYFVDSFCPFNTTTVFMKRISWNNVQNTHFDGFCCDPIVFGLKEREI >PPA20111 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:336458:336659:-1 gene:PPA20111 transcript:PPA20111 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWSDHLKHHISVWWWLIGIILMISIGGAAMFVWKRGGFMRTRSINLMDM >PPA20096 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:233216:235146:-1 gene:PPA20096 transcript:PPA20096 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLRTLLTIALVVAIVFVGSTLTSSSPSVVGPISADIEAQAHINNGNEIVQQKVEAAAEEELRAKEGAVVEEPEVMEQEKEKGPNEVKKEGGVPVFKVHDYTCMRIEGLVYNLDHNSREAGV >PPA20067 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:1783:3154:1 gene:PPA20067 transcript:PPA20067 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAKGCPVGAPGGGAVASFKGALCSGPYAKYFKGAIAVAVAGALAYGIYAYVASDAQREPARSRMKNPSLHSASLTGQNDEIATTSKPTKKGGKTKRKKRRSASSARLGRTSSSTSSTSTPGKPDEITDSAPATPKAEDAAPAAGAADATPAATADSTTTPTVHNVEPTQ >PPA20108 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:316462:319657:1 gene:PPA20108 transcript:PPA20108 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLTPMYLIYCDHDPLVGMEKHYPGSSDETASMLDSSHLPTLYSQCNSGCDCVETEYHPVCAELSDGTQMSFYSPCFAGCPEQYHPLKKTYNNCSCVPPETKKGFKLVKRGFCESKCTGLFGFLVLFAPLAFCTFAVGVPLIVVVLRTVDYAERSFALGIQWILVRVIGTIPAPVLFGWMFDVSCTHSQKDACSGEEGSCMLYNNKRLADLFLAFSIVGQTIAMILLVCVLLFFAGTMRDDPMPDAAVIPPDEENGGEDGDKEEAQKDEKETIALELHPLATETTALTAVSTL >PPA20097 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:236423:241087:-1 gene:PPA20097 transcript:PPA20097 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDPLADGIPGSVPERWKEFPNAIRRTAWEIVDKRMEKMEDKIELFKQLMEAMRGDSLNAERSATLKYAQLQSHMVGRMPRLQGEKRKRREEEEDEGAETEWDTKSIVSIGGASTSASSVFGSEKKRKGSLSSLCSTPSSHPASSSISAASPLVVSAAAKKSAKKKRGSELSRLLRESETKGKKEEEEGGLAAFLASLNVVSQFQ >PPA20160 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:584835:586049:-1 gene:PPA20160 transcript:PPA20160 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVLLFVLLVTTVLLQGKRDNSPTEFKCAWVGKAPFCASPDCPADRSPAAYASKARSHHQHADEFGDYCWTGSKTLCCKNEEVYADPFRHCHRHENSLCPRNKTTLFLERENSVVWEDTKFNTFCCERIVFGMKEV >PPA20135 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:474016:476171:1 gene:PPA20135 transcript:PPA20135 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRTPQRTLVAGVANLDSGVGVYAPDAEAYTLFKPLFDPLIQDYHNGFRPDQKQPATDLGEGKTHLLPDLDPEGKYINSTRVRCGRSLEGYPFNPCLTEANYLEMEGKVKKIFDNIKDGELQGTYYPLDGMTKEVQNSLIADHFLFKEGDRFLQHANACRYWPKGRGIFHNKDKTFLVWCNEEDHLRIISMQNGGNVGQASTVLERLIKGVKTIQAQAPFSRDDRLGWLTFCPSNLGTTVRASVHIRLPKISAKPDFKSICDGLKLQIRGIHGEHSDSEGGVYDISNKARLGLTEFEAVKQMYDGVKHLIELEKKA >PPA20169 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:639563:654714:-1 gene:PPA20169 transcript:PPA20169 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDFLLLVALLLKSAAPAAGDVRRSPTYGQSSQGSSSYVNRPYPGSGQLRSPANSVQAVPPDSVCGSDADCAGYPLAFCDGVCRCVAGALNAGSTCISNGNSISVSGTCPPGQSYVTEAGSCMTTAVPGEPCQYSQQCSATEPGAFCLRLKCECVYGMKQSGSGCTFADSNCAKRGFIWIPELGECKEVIPPGGRGCSHNLQCSSSFPGSTCFLQTCACPSSHPVAIDGTCGRNCTAGLTYSGVTGQCLPTVQPGDQCLYSSQCHAVHPGIGCDRGRCRCPRDEVFTGNGCSITCPSGYRVNSRGICSPGCRSNQVEHEGECLDASVAGQACVVNAQCTGGSSCQDSLCKCTRGMTAKGGICVAIESAPLGSCANGEICGGGSFCSNGNCTCPVGRQVLNGRCVTPITVPPNSPCTSAVQCGGGSDCVSNVCECPANQIALNDVCQLPPTVLSASTTNILPVPAGGACPSGFERCLGQSICVDGVCSCPSGTVVDNGVCVAMRQALPGASCNTATRCLHLSVCVDGQCQCPAGTSIQDGVCRASTAVSPGSDCGNGQICSGGSLCINTRCTCPPGTQARDGQCQRLLSAKPGQTCAGGEICTGGSNCDSGVCICPIGTVNLQGYCQTAKSSLGVCDTNAQCTGGSYCDLKRKQCMCPAGRIAVGSVCIPLGGTGTSVSESRPDLKSRDDSCSYDQDCPRDRVCVLKRCECLFGEDSSGECFPSNSPFSSKLVLSQRKMPVDTLNTLLIEKIRAPSTYLRPSVYGYGSSTHLKPANVKPEPAVAASNDGDTIDVERVQLKPGITLGHPTQMLAPFNPMVPLIERAKLEEKKRGKLVNLGGIVDDTNTTNGQFDFGNPCLPYSSVSASGPGVSVSPGANCLRVGVVCGGNSFCVRGICQCRAGQQPFDGVCMHELTAEAGASCARGETCTGGTMCNAISRVCECANSMEMAIGSRCVQRLQSHPGYPCGNGEVCVGGSMCHRGKCECPDGSQQQGKQCLTMVYSRPGESCGNGEICEGGSNCLPTINRCTCPMGMAIVFGQCNRVVDVQPGGECGSAAIRCTGGSFCNTNGRCTCPEGTHHEGGYCRPAPVPLSITEPAPLTSNSIIAPGDECNAMSRCGSGTICLRGICQCPEGLTPDGRCMPTTTTEASTSHSSTRSRPMTSAATNPPTLMTSTTTSSRAGARRMESAGGACSPSDLCTGRSFCAHGVCRCAAPLIARAGSCTPTASSQVASSSSTIGSRCSTTSDCPSSSVCNGGYCACPPGTTRASATSCTPITTTTSTTTARTTTTTAAAAAATTAQPTGTFPDPPTHILQLRIRTKIIADLLHVLNVSLLLSFGVDLRVMCREPLLSVAPFLRPCSSSSSARPQLCSYPAAGCSSNCGCRAGYRPIGTTHCMRIVTGEPYLTADPLPEYEYPTLLVPVVQQQLHDPAVVPFPHVPPALLPAQLAPQLQLQPGWLQPVQQTQPQQLPLYWRQQPLQQRSYKRLQQSTPGEACTSSFFCEGGAQCTGGVCVCPPGQRFISGKCQPPPIVPPGSYCVNGEVCSGNSHCVGGFCFCPAGHALKGGKCIQDAGKDLKGDGDFFASASMKMSDDLKGMMRLMTRREEVQSRKRMRAPMEEIKLADLGEACLKYSVVCTRGSHCVRGRCECPPDHRPLDGTCIPISSFGDSGRRCLAANQCPSGADCIQGECKCTQGLTLSRFGFCIPVTYAGPGEPCPQGTQCRGGATCAYGNCVCPPEHDVRDGKCVPRDDINDVTNRRRRLTKDILHFVPHSNGVDRQRDFVKGTIKKHRDDKFLAPGESCDESVFCDLNAFCTEERLCRCSPTFVQAGRQCIPRDYVSSRIVVPGASCNPSDFCDGGAVCVYGMCLCAEGGYNPSPVGVCAPTKVGPGEGCAEGERCSRGSLCVGGLCVCPVETEERHGKCIPRTTSEKLTFQKIAKDCPQFPYKRGICYAPSRQYGCIRNMKHARTFPRLGKNLIKQNALGSETIVQKRSCGEFE >PPA20125 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:405133:406132:-1 gene:PPA20125 transcript:PPA20125 gene_biotype:protein_coding transcript_biotype:protein_coding MVATWRLYAHLVCEVPLLVIYILAIIACMGNDSSGMMDTSFYTFIVFNGILDLFTYLVTLFTYRLCIYMPFTDFFGDMDVGWQTTFIYS >PPA20129 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:443945:448796:1 gene:PPA20129 transcript:PPA20129 gene_biotype:protein_coding transcript_biotype:protein_coding MFKISDSRSYATYASIGAVFVAGKIIVCGGYDRGDCLKSVEEYDVGSGSWSMLSPMIDDRGRFDTTVLGGKVYAIAGSNGGNDLKSCEMYDRKQNKWSKMKSLDKPRSHNGCAALGDFLYSVGGTYDSLPIKEIERPRNAGVIAWKGLLVATGGCDRWACTDTVEAYDPKTDSWRQLARLRTPRRGCACAIYRDQLMVIGGSDGQQSLASVEILDSPNGTWRPGPSLNTPRANTKAVTTAGGVVYVLGGFNGTHFLNTIELMETELTGWRNWQQSAVVDSVPEEEEESLSEMTAAASLEENSHGDTSN >PPA20092 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:193012:197266:-1 gene:PPA20092 transcript:PPA20092 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPPPPDCKHSANGVCSKCVLFRSFNLNNRSGRADSTEKENAVTAPMERRDRTSSTSKSTPTVLSLDAAPLTASMRDVGQFRLLPVSSIDSPTSTPRLPARSAAHQALDPAQLSMVEREEGCSSLTPSRETSESPPTTMMTMGGGAEAGGDTDAYTSFEEIRSARAEAKLHQKAAMTTVTPSTASIEGLLPRAPTLLLRLLESELCDASMTLQYLHSDKSEQGVISYLGQWRMVNLLLTKPDVAESIKPYLIER >PPA20133 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:463454:464878:-1 gene:PPA20133 transcript:PPA20133 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPKTKSPESSNTSASRKRGKSPAREGPSSKTRSKHAENKNKASKARKEEMKARDLKYVAVKKEASDEAINLKKILKAEREEMPEIRKRGPISSFVPVHFPAGRIYRPGVLEKTIPVTPTDTMITEMRERQQRTMNRHKTPRKYTGVTKAIKTANSKTASKSNSNECKSGGRKIKTSVTSRSKKAGKR >PPA20130 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:451225:455848:1 gene:PPA20130 transcript:PPA20130 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-bre-5 MVMGFAGVRLPSGRTCRANGWKAAAAALMLLWMSGLTDHLFTTSFDEFSWPPYVHLKAKMSSNGLPNMWNRSIEMVPDCGRGGYREADKSKRLLVIVKSAIGNFEARAAIRGTWGRAEREGEWAVRFVFVLGSSPDRSLSNRVMREADEHRDILLSGDFVDEYYNNGKKARYGLFGLSLWLSSSSSLSLNCPSSFTLLIDDDYMVSRRNLIRLLTSRRGDEPLYEGWQMVTSPFRFRLHKHSVSLADYPFDAYPPYITAGAVLLSAHSALRFYYGTLFLKLYAFDDVYAGILAKQLSIIPVHNGGFPYWSSASQTIDW >PPA20119 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:375369:377249:1 gene:PPA20119 transcript:PPA20119 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIMIRDVWASNVEDEFNKVSSMIPHTSLWDTEFPGVVATATRTVQSRGANCMVLLE >PPA20110 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:332776:334440:1 gene:PPA20110 transcript:PPA20110 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLAPAGSDGQRPATLRIELYGDYCAESKQCSGRPNGFWWGEWEFGLAPVDDLYRLHISFAQHGNLSAHTKKDVLYEMNNGQQFTTADRDNDRDESVNCGQTRNHGGWWHKDCTMVALNGMYGDDLSRLR >PPA20078 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:85497:86425:1 gene:PPA20078 transcript:PPA20078 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTKEQTCASCGYPAAKKYVYQWSIKAIRRSTTGTGRMLHLKKIQHRFN >PPA20157 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:574784:575552:-1 gene:PPA20157 transcript:PPA20157 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDKHHRKFRHCYPNRDPASIRKNLESHDRTALCREDPFGINQYHLGFSLNKKKRWQIEQEFGERIEELQDPRTNLRVSAIVRDIDRSQWRLDRFVDAMDYIGWRVDL >PPA20170 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:656055:656690:-1 gene:PPA20170 transcript:PPA20170 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSTLIPGASSLLHSSILHPGIGLLGQPCDPNPDNPSCSVSKSGLCLPITFSSSSLNASTQVTKFCKPACHATTEICYQGEQDN >PPA20095 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:201027:210371:-1 gene:PPA20095 transcript:PPA20095 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAKSPSWSDESSLSEVTTVQLEFRDLSRITGDKTFEDLAFNTSKHIHEIGCARHGGLCEMYVNAKTGQFKAGTTITFGARADSYYEYLLKQYLQTGKTVEWLLADYAQSMASMEKLLLKESEPHKHRFVGELIGGDAFSPKMDHLVCFLSGTLVLGKLYAGQPEEHLQIAKDLGATCQEMYKTATGLAPEIAHFNMDAAKADDVIIKPLDSHCLLRPEAVEAWFYLYRATGDKKYQEWGWAAFEAIDKYARIAGGGYSSVNNVKTTKVQYRNPDGNKLCDYRTLNCVNRKALVYYGRVMGLLLSCWSKFSLCSKANDEDENSFMSVETAVLIAANDEGDVVIDVNSVEEPTRYTCGFCATTCETDSGLCKNCAAICMDDQDCTDDSSTKKGVMFDKLFDQIVELSLGSGKKLVESEVLKATIFEDLGFPSRLFANVVSAGYSKLTPIQQYTTRSIQEGKDIIACSPTGTGKTAAFLLPIISQLLWEGDLSAVPERPCMPRALIIAPTRELATQIHRVAAKFSAGTCCKCDVIHGGTAFKFENVGKDFSILIGTTDRVKQFVYEGVISLEKIKYLVVDEVDRMIDSRLTYSKDLELIMEKGRITSTEERQTLLFSATLPSWVKERVKSMKLMKEDHLMVIVDKIGAANNCIVQDFLHVRRYEKKMTLLETMGADLEKYERSRDCQWIIANGLFKQKTLIYVANRRIADVLVAFLADYGIPTGTTTHGNREDVNGESGLDSFRIGRTPFLIATSVVERGLDIAGVDHVINYDMPKGINEYVYRIGRSGRIGNAGRSTSFIEPGLDRAMIRPLINLLTEAEQIVPDWLEYVEEIVSRGSA >PPA20132 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:462406:462928:1 gene:PPA20132 transcript:PPA20132 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVMIQTVRAAADEAARKTACADAGATAADAADSGATAAEAADATATDVASAASDTVQKALDVADTISNVF >PPA20091 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:161067:162022:-1 gene:PPA20091 transcript:PPA20091 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEITEEERAREKREEEAKRKPLEAAKKGNVPGPAKNTSAKGAAKKPVAGQSKISAFFTKNINI >PPA20120 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:377755:379270:1 gene:PPA20120 transcript:PPA20120 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGETRLPYPRPLHGATVTRAALFRPWRTRKVRTQKKEEQKEDGRTQVEESKTIEETDMDLYDRVSYLDLVDQHEKQQRRKREERAERLRKIMDGFVCADQ >PPA20117 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:368388:371473:-1 gene:PPA20117 transcript:PPA20117 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSFYNFIGFIGILDVCTYLVTLFTYRLGIYTPFNDFFGGMDESWGTFLTFIYASTFTLCAAREFATLGLALNRFSSLIYNNKKWSLRTFVVTVIICLVAGYCCNYYMWDTEVDYMKVDNDDNLGHFYFITLDPFERDWLNEMGVTVSWSIFCYALSMGIYVLIGFHLLLGGAHPEVDKREFRLTLIAFIVQICNTFFFFNNVMGYRLDRNDFLVAMKAVKTTRIESREAKSRNNFALALSVPIRSVVCVNFEENYHKY >PPA20112 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:339930:341207:-1 gene:PPA20112 transcript:PPA20112 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLSFDGKATCRQYQKIFQFNPDPSATKGRVLTIPDYSGSSYMNFDVTEFTTYPNGTIDRKIARKGSSIMGGEMRFAPNTGILLNFTRDGGSYYTYDYTFTGKIAETAKHHHCPYPFMELQPYQPMVLDETFVLYACDMLVTAPKDFEVRLDHISDTLADHSTVITLNDGDGNTIESTYSPHLLLQIF >PPA20148 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:545570:549977:-1 gene:PPA20148 transcript:PPA20148 gene_biotype:protein_coding transcript_biotype:protein_coding MREVKHRRRFRERPTRLGSDLISKEVIDFDTCETLVTFGVAPQYWRMRHEDSPAVYRDDPFGVHDYNVDFSLNKKRRWTMIDELADMVEQVQDAYLNIRVSASIHAVDRSDLMDEPDVARDRFPCLEYHTVSRDGLNITHQQSKNGRARLRNFTHAAQPCRRQGKRRRARYVEREDWNGRTLDAEDTVNYQKLHVHYTIQKHSTNPSLHNKMANKDKRGHRTTDEVDEYYDDDEKPKVIKRLPKLKNLVEIPSLIEDDVLYEGIKDVEENVVIDRNVFPIVVDDLSSLVIKRELRKRREKKVSRRRFVDESQTKSFVVSTLIERVNDLEDDLEGDESASSSSTSIPLLALPTEMSTRMTISSLPNLHSARRLPCFPPAWEIHQRDSCQVLGQYLPTCPSGRPSHLLLTTLEEIPPQFDRVVVGYCEICCEEMDIASDDRLAHPFSLSCTHLFCAGCWLSHISQSIHQRRLPVACLHPSCSSAVSVSAAKGLLSSSSVLIYELAMINALKADERLITCPECQRLHFKNGSLRISCPCGTFLCAHCSSIDHSPIGCDVFDQYNDYLSRSGFASIYSTSSEIPIVRDLSECPKCKALMQRTGGCNMMRCACDIEFCFRCGKPWTHSHYSCTKEVSTKRTMIDVFTLRQSPLLVPSLLTQAIEARLSLLLEFCYLSFRLDRKLKVIAERVRFCLNVFYNTDDNNNIAAKVNTLKKLCESLVL >PPA20086 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:130088:130702:-1 gene:PPA20086 transcript:PPA20086 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRLLFILVFTSFYIPSANAQSMLGDFFNSAIDVFKPLVEERRTHVREHSTLVRPTERDNL >PPA20176 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:684256:684780:-1 gene:PPA20176 transcript:PPA20176 gene_biotype:protein_coding transcript_biotype:protein_coding MFKEEYRSDDAVMLLLNMIVLFDPNVDGLSNTASVRAEQNKYKLCLKRYMFTKLDNNQMKAQFEYKALLDRLATVRKMNSGARGIMEEHADSMDPLLKELLGKDDDHKVEKKKNHSPKVS >PPA20114 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:349649:359453:1 gene:PPA20114 transcript:PPA20114 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFIFLLVVSIVTADKSDVKFRIDCWPEPGPNKANCESRGCTNDHLSNNTGPPNTPSCFMPREQMGYSATRVRDGEWKLTKNKGLANPWGGGDLPSLKFSYNDVGDSVINVRIEDNLKRLETGDLLNLPRATQSTIERLIVDVRDTSDPFSFQVKREDGQSIFDTSPGGLIFSDKFIQLAVQLPSSRMFGWGENVHPHLKHDFTRYTKWGMFARDEGPDSHVEGSGYTLKFNTKNLYGVHPFYMVIENDGAAHGVLYLNSNSQDVITVPAPGYVYRTIGGILDIYLFPGPSPAEVIQQYTAFVGRSFLPPYWALGFQLCRYGYKSLDDMKSRVDAVRGYKIPFDVAYSDIDYMDRSKDFTIGANWAGFGDYVKQLHDWGMHNILIFDPSIEVDYDTFQRAIDKNASFLEWERPDEVPHDIQDLYPLVKNTKILLSVVWPDKHVAFPDFLDPQKETSEWWTDEFRRFHDQIAFDGAWIDMNEPAAFGTNELNPFYFDNDNHPNLKPLSCPLTGPDSEWDAPPYKTQAVYNFGKGAYLAVKTVCMRAMCGRGSVRQYDVHSLYGWSESRVTADAMRAATGKRGVVISRSTFPSSGRFGGHWLGDNTATWDDLRSAVIGVMEFNLFGIPYVGNHNTDDGMDQDPAVWESVAVATRNANQFRYEYLPYLYSLHYRASLYGDTVIRPLFFDFGSDMKTLEIDEQFMWGPSILVTPVLKENASTMQGYIPQVPGVSFYSLYSPDASLSDFSYGKKVASGIANFPALTTYNEPTLVRSGSIIPRQASAVTTVAARKGNLNLLIVPGEDTDRSATGELYWDDGDSIVEDIHTSFTKTSFNFSMTSTASTLTMTVAPVEGVTNPSLEFFEIFSYPTAVDFKKFTMNGETLSIDSQAAPAPTPAEQAAMDRWAADTLGVAAAAAVAAAASAAPGHETDAYARAAVVLRAASDAASRALQSGRAAAAAAAAAAVAPAAHLAGPPPCSIDRDVLLGKRRMDVREGPKEEHGIAKEDQEGNDGETVS >PPA20154 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:568525:568807:1 gene:PPA20154 transcript:PPA20154 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVYLIFFILSMAQSKPVTMHEAMNFLQKFGYLHPESLSDASSSEEEEDATYNAITD >PPA20070 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:19783:20126:1 gene:PPA20070 transcript:PPA20070 gene_biotype:protein_coding transcript_biotype:protein_coding MSMCGERGSPAPEVKPDERCASNIAKETREHEQVPKTICLIPLLAREQV >PPA20084 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:122586:127506:-1 gene:PPA20084 transcript:PPA20084 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHPLSGGGLSPLDPSGMEGMQHVPSSRMDQLPEPIIPSSDQQQQQQLLQLPQQDDAVFRHPPGIRRMDDYDFSWATPRGMPSINAPLWPSPSSSSSSQTAWGASSDSAPARAFGSQTWSSKGQAIDPSNSALERNDLLASFTPIPPTAAPLAFPTVKSVLPSMEDLFLRPFRLDPALEKMLNPIKVLVELEIRATVSSFYTLFNHLAPIIALLLNRLVAGDLVPSELTEAVVSLLHKKGDPTNIGNFRPISLLTVTLKVITRCILKRFEAMLEETESSTQTGFRRGRSTLDNLHSVKQVAEKASEYGIPVYLAFVDFRKAFDTVEWNACWQSLEKYGAHPILVTLLRRIYESSSTLIRVNEDLVRTTVKRGVRQGDTLSPRLFNVVLRAAMDEIDWESDGIRIDGKNLCHLEYADDVTLIAKNRPELERMLKKLMEACSRVGLEINASKTHLLTSCTTTRSPILIDGMKFDFVSSATYLGGRISLPLDHSDEIEHRIRLGWFAWSRLSSLLTSRLLPMKTRTRLFESCVTSTVLYGSEVWALRASDKERLSVTQRKMERKILGISLRDRWTNERVRDCTKLRDWIREGLKRKARWALKIRQMDMEQWSRATTIEPILRHRRHTDDFVRVVEHLARSPTKADAGTEEHEEQEENVRVKRAEINRDYYDQADAEDNARPARPSSRGGQRTRGGGGARHDTTADEYYDEVETTQQQRHRNSRPGRPKMQRNCFALLG >PPA20161 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:591086:597580:-1 gene:PPA20161 transcript:PPA20161 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLYLLLLIFGISQAVIEVDRRMDCHPEPGLTVELCTARDCIYDEQTVGPTGTPKCYMAADKIGYTAASVSDGSSILTKNNGPKSPWDVDINSIRFRFADLGNGVANVRIDTVEARFDPAPILDLPRETLTSNEKLHLRTTTGDPFSFAIERADGGTLFDTSIGGLIFTDQFIQIAALIPSDKMYGWGEHIHQSLKVGELQHDFTRYTSWGMWARGDAPNSTNLHTQNLYGVHPFYILLEPSGKAHGVFFLNSNAQDVTTLPAPGLVYRTTGGVLDMYFFPGPTPNDVIAQYHAFIGRAFMPSYWALGFQLSRWGYKSLDDLKNRVAVVMAYNIPLDVVYADIDYMEKRKDFTTGDRWTGLGVYVDQLHNWGMSTILIFDPAVQVDYEVFQRAKDRGVSFIEWERADQVQQEIQSEYPMANDTKFMLGLVWPEHHVAFPDFLDTTSATDEWWEDEFKWYHQEVKFDGAWIDMNEPQVFYTRNTPHERDPREGRYPLVCPKTGPDAKWDSPPYPTHSVFYWSEIEKDSFLAQESLCLSGMTQRRTNRVYNTHNLYGWSESRTTSAVMKKTTGRRSNEFNMFGLPYVGSDVCGFIGRTTEELCLRWQQMGAFHSFYRNHNALEFPAQDPAVWPSVAAATKKANDFRYRYLPYLYHLHYRASTSGETVIRPLFFEFPSDEQTHEISYQFMWGRSIMVAPVYTQGVSTVDVYIPKNDVFYSIYDQDYGQKVATGLSTYDARTTYNAPTFVRGSSIVARHQSSALTTTSLRKLPYSLLIVPGSDSTASGSQFWDDGDSIVEDWSAAEYCLTEFTYSTVNGPSLSMNVQHRTPNLDVPDIIELEIFDYPSAPDYTSFTLNGKKFNSNN >PPA20080 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:94633:100612:-1 gene:PPA20080 transcript:PPA20080 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGTLLIFFAVLHSTTSFPTSQSSRVAENAADKSVGRLAFTLADPSAGKTADAPTGGTFMDILSALTKSTFFQKFIQYIGGVASPQDAEPKKAFARPTIPQADLPEVARNFEASLSHDDAIESVPSGAAIVPMSAGGSPPHKSINDEGFTQLLFGEKGVLTNVFHVMDAQRQNEQATMAEAARRSQADPGNVKIKDMDFAKVFDALLMGGQKKNEFGEPGPELPENLLKNGDLQFLGICNRLSCGDIYKAIDEFRRSDFFSNFQTALQLIQDPKGWEILGKMLSNPELIAQFTGGGGEAAAIGGGAGAGIGAGLSGMFGSALGGAKAAVAKEGGDGLTTKDGDFGTDFSAQAKAEIDKDKDAFKLPETSFSIDEGAKGEGAGEDYYSEIVTNIDEDFEVEDRDILGLPTKAATTTTVRPSTMTTSKMTTPERTTSTTYTIRPTAASTAPRAASAPVTTGRPSTTVSSTTTTTAPLMILPKKGDQITMPDFEFNIDEEDYGGTFEKSIDAMPPVDEKFVVDEKIPTTTMTNATMKPVEMLVVGRNFMETSKIMPSPSTSSTRQTTRQTASTTTTKITTPTRPVPSATPTTRVPTATTLRPIAPLVAPRAIRPVPVPVITPVTSAPLVIAPCEG >PPA20196 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:849877:854765:-1 gene:PPA20196 transcript:PPA20196 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVYSRSEQDARGAIRLRIKQERLKTFIPGTPCRVCGDTASGIHYNVESCNGCKTFFRRVVMENRTYSCKDNGHCDINKDRRCSCRHCRFKKCLRVGMDVTELNVEQRRKRKARMIYDGAEGSEESDDPLIKLLMGKELKFYTLLTSLSTPLHATIEAALSSGRIFNDVSTYAKAPMGPSDQHNFSTWRAKILSTIAEWAKSFEVFVRLPLKDQKTLYIHSAFANLCLCEAWYTPTKYTDRIVFPDGLVGYRNVAIGAESLKDRSGLMPTVVAVINIPKLHVPIKGILVPIRRMQMTRIEYLLLMAIVFFDPDCVTLSEFGRNLIGAKRRRLLLSLKAYLDQKLNDPIEASCRYGALLLRLTNVQF >PPA20173 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:670075:670705:1 gene:PPA20173 transcript:PPA20173 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKNHDTMNLQVTTSATSSCPTRDLWTRYLWMRSLARKLPSERPEVAAEEACEEACVTVEVVVDLAAVDVEDP >PPA20145 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:532847:536248:1 gene:PPA20145 transcript:PPA20145 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELHVQEPRNTGPPNEREIVNPGYLQRENCFVATSPRCEFTLINEGEIFMHEKLIIISKEEIPLFADYEEELKEMNRSSETNKKFTFTNKGRIEISTNVYFIVNNYNDFMTTGVLRQLTDEGIGSVPISSATNTGRVTKTVEIVHGLSEEEARIFEQNCISSDPSVRIATESQQAMMRQFEVTLEDARCFDLTLSSIPDMPTVTAKQ >PPA20139 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:485858:491093:1 gene:PPA20139 transcript:PPA20139 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPPLSSVRTLDGPSRKSRGPTGDFAALAGDYIPDLAPDVYMKALGSRSVLGSMSSLASLRSTKSVKERPFIATPFIPIATQVAIDPDRRSIVSYPPREPTATSTGYGSTTGRVDESLQKKAVPPGDERQSATQPSSPRPSPSPLAASPVGSQ >PPA20087 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:134985:138223:1 gene:PPA20087 transcript:PPA20087 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGASIVLLLSIYTVYGQYEKIPAFQISNSDLISIANELRSLDENAAKPGQIRLNYQGHTSTRDASDNAADRLFQTVDSSLLRKPSYEQFIALMNNFNRNTGETEPLVPKGAEPTLLCVPRLTGASHRATKQSPGDCKMDKNEKSTFLTTVIESKPMQRLYNFLKSKGHPFATDPVTWRYWMAQLWFVNYSRARGRADTSGFEHIFIGEASRDLLMDFHLTYHVKNDEISGMHNWLRFYTLERDPRANFDYKGFVVKRFNMMAAVKFTWQREMKRSGSMLIGTSPEFDMSLYTLCFLSRRGRDTCDVEVNGCPLSITSYDLVQNRKVFIGSIFPSAGRITDSCRRNNS >PPA20146 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:540673:541660:1 gene:PPA20146 transcript:PPA20146 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLALVCKRKDITGALHTFAFIHTVIGFGVIGFVLFKLRAIRRWIKEDVKPEPETVAYFQSNGSPYPSGPSNSTFSTPAAYNNQPTNGSSNTSAPNYTVATIGSNPTEYLSSAPTDVPPQSKSSSYPSELACPAYSTVAGVPYDSKEAPYPADETHSAYPTSAANPAVYPSLDTQVPIQNTDPPTLPTP >PPA20193 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:819592:820255:-1 gene:PPA20193 transcript:PPA20193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3FD87] MAQSVPPGDIATQPGTKIVFNVPYDDKHTYHIKVTNSSARRIGWAFKTTNMKRLGVDPAAGVLDPKENALIAVSCDAFAYGQEDTNNDRVTIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNPKGINSPCRSELVVHEME >PPA20162 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:598659:602700:-1 gene:PPA20162 transcript:PPA20162 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEEKRRRFHHVLKLVGPCTIRKASTLWELYCFQAKTALRRTSGRLVRYLPIRIRLQATAVGAGYVLIKRLSVENVPHLFRDDPFNIHDYHVDYSLNKKNRWTIPVEIGERIEDVQDPRLNLRVSASVHGDNRTGLEYHSVSRNGATVTHRRSDKIETRLRNFTHPIVFVIVNDLRNNNPPRKSRGKRRRSPYREREEWQGRDLPYDEQLEYQPLHVNYTTTILTDRPSLHAKAARVGRGLTPPKICKKYVTKSVQIERDDSVEESEDDGINDFIVTTPSVFKGLSDYVVKKEKRRRKKRKPRTRYVDDILRQAEVKESVEDDDGGEVIMDERSPPPLPLSSLRSECFARIPFSSVPDLHTIRRLPCLPPAWRVSQNDSERILKFKLNSSVIAVQRGNVLELTESVCLKPKKKNLIVSVRHSTQSAQFAMDLLITEMKWIANVALLSLFLQRAVCSHLRLCIATSKLSTRFSSRTANYSSACSANNCTMLRALPLSAVAAALISALSALNMNTSPLAARVHPLFDHLHRGSSNGDGSCAMSHLQAIDATVGRKVALTDVIHQGNGSILTPSLLTMAVYTRITLLERKKELRTRLSLTLLLELCYLDSRRSNSSKLLAIKIRFVLDAFFNTKDTYLAVKVRSLERLHDSIGSKFAV >PPA20099 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:244783:246865:-1 gene:PPA20099 transcript:PPA20099 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVTNAGAAAGAGGATSYAMASLYVGDLHPDVTESMLFDKFSSAGPVLSIRVCRDAITRRSLGYAYVNFQQPADAERALDTMNFDPLSGKPIRIMWSQRDPATRRSGNGNIFIKNLDKVIDNKSIYDTFSLFGTILSCKVAIDEEGQSKGYGFVHFEGEDAAQQAIEKVNGMLLAGKKVFVGKFQPRTARLREMGESAKRFTNVFIKNLEDDVDKEKLEKMFSEFGTSNNE >PPA20141 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:512101:515235:1 gene:PPA20141 transcript:PPA20141 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSIGAVICLLEFYVFYEVTFDEQYRACHSRRDHEIERRIEMMTHDEEVIVEIIGSYFIPLIVITTCDAKVLLFRATWSRENSLLRKSQEEGRRKSCLEGASPDRLSIASDDAEPSSTGNCNGLARYSIVKSDQLFAKPKRSLRRFRQFRALRRALAISIFDLLLNLPNYLFRLYISIAKESPFSQETTEMVENISQLMYFGQFSLNAFYLVCLIYDSPRRKKGIPVSSNQHSYAQTYTTSILSTQPSMKWQRSLQRHGVHRKSTPANAGLLMEP >PPA20163 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:605688:606634:1 gene:PPA20163 transcript:PPA20163 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAPVLSSAAADVSHSSPAVSTESTVSAGNHTTTMNSTFNTVPETVDDVTSDAENQYVDAPEQQPQDTEIMYAEEMENIEQAGLEDVDTSSAAAFQTPDRKRPFV >PPA20203 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:887039:894185:-1 gene:PPA20203 transcript:PPA20203 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNVLSPSKRLIVRKVCVVGSCKDSAAIDLLQTEYNAEVVYSTDGQDYIDKLDDYIFLVEDFYSQVFIRLHREPGTRVMSPTYVRSRYRRQHELVAPRPNRPLYCELLKDKTLVMSNMPNKSDIANMAHFLGARIRKDVIEHSTHVIATSVNCKQYRAAFSMGLPILRPDYVQYMWDHKRDELDFDIMNAELLHSYRLQAFEGLKISFIAFTGEEMIDMENQVKKYKGEVVRDDRLATHVVFNAKGTDLPKVEMQQGQNHLTSEWLWQSVQMEGRADEDNYIMKPIPTKKTSRSVFSPMTTSQPNSRQTRSSSNVLDTSNSSVLTNGLAEYSTDDLDKMGVPSPKRIDKRHQVCQEMLETEQNYIKALELVIKFKDALEADLSKGGDGMVKKEDIVIIFSKFNKIIQVHNKILEKLEKLVQDWRPENEVGKAWIDAKEELLAAYPPFINSFDTIKGTLDNLDMTNQRFHVFLKAQESSPEFRRNTMRDLIIRPVQRLPSVMLLLKEIDKRTDSKLADKESLKIAEQVITGVLEKANGRRQINDELSKIFQLWNQIEDVPAHLYKATREHVMDLEIVSLGGTGLWRNYAKKTLRFFLFNDILEITKVRRVKDPPNKMGTLSRLTRNLSVSNLKNLGSEPRPYKHVYDVLLTSLRQMYYVYAGDTMLLVLVIRGDTEGDEELVLQSPDNGDNRGFGGQFVLKLGANVYTQCGRDIAPSRVEMHDTSGTVNEIIKKVLYKRGLANSSMSGSMMLSEGMDDSMMPLGNTTNTTFSRGGGFRSTVSKLFRSTSALFKRRSSRVFDENEDRSEMEGMALSRRFHDEDDDDSMYDDSSLFDTARFEPNGSMLQGRRALSNASLTISKKLHMSRPNLRSINEQAESSPGFLPQSGASSIASSISPENYRQVGASYTPTSSNKTDPVIERY >PPA20191 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:786687:794029:-1 gene:PPA20191 transcript:PPA20191 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMMRPDQVEEVLFKLSKGTLDDAIWGKIAIMEKNHRVAKVYLRNPTVIVDGSEEDFDGKTLGFNAFANDRRDEQTTEIKQKIKEGVILKMDDHGNIKGMARGTTPIYAQGWREPKMNCLSEKLVKMQGKITSDERSYKIFDMKRWKIAVERFAEGEGEMRSLLHRACIRVSLAKDGIDLTRTPCWFTIVNLVALDVLVSRCPHVLTGVSPSRPLPVVAQSLPSPAAVTAPVYHPPPPPPPAHSSPSIDPTAQLPSIVAAVAAQMNQNLNTQLLNSEQLAQIASAVAAAAQNKDGKKSPARTKKDRRRNYRKDIDSDDTSEDSAHRSQSSSSNNKDAWKEMQLCKGPEQHHQTASHVEPDSSNKEKTCIEDKSDAMQISLYKAKKKDAGSDSGGHVSKPPVEEKQWKSSLQSINLKQDQRGMEGEKEKPRGRRPRLDDVIFSKLKTPSSTSDYDSTKEPFDSSSCSSTVSHSTKGIAEVTKCLMTQAIVHQNPLRDSSSSPTPLSSSTPLPPPPPSTSPPRSSLSSLTPSSRPSSRLSRPLPSPRPSSAANGVSYGMFKNATSSSSAIYERPHNEIPRSIGPPSANPAIVLVHPSLLFLNLQTLPHTNPYYVEPFQPPPLLVTLLTPPFLLLLLPPLSSVRSNSYRSPSDRRSIRSSAPSPPLGQQHLQHKQQSGDCPAFPFDHPVYPVGRT >PPA20188 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:771022:772865:-1 gene:PPA20188 transcript:PPA20188 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cps-6 MRTAAKLSGLAALAGGSFLVGTQVSNDWSPFTRLHAATAVAPAPMPPMPVAVPVGGKEIVGPSRASQIMVHGFPGFDNLRTFEDFVLSYDRRTKTAHWVCEHLTPDRMEYDPSVDRSKSAFRADESMHQYFRSDNSDYKGSGYDRGHLAAAGNHRRTQNSIDQTFLLSNMSPQVGKGFNRDKWNDLEKHTRKQARKCVNLYIITGPLYLPKKEADGSMYVKYKETRRVRDRELSHAQ >PPA20184 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:750222:756400:-1 gene:PPA20184 transcript:PPA20184 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSPTDPPTAAPTDVVIPIDTSEKIASVESTGASTPTRDFEAAINGTALARPPMNQEQFDNQYEYKPDHSELKRRLKKTGRRYIDPFTSCAAFKHALFGFIPILEWLPNYNFKSYIIDDILGGITVGVMHVPQGIAYALLAKVSAVSGLYTSFLPPLIYTVFGTSRHNSIGSFAVVSLMCGMAVDRFTDPSNKNYEEAISSLAEPPSAQEVASSLTLLISFINFAMAILRLDFLTTYFSDQAIAGFTTAASIHVLISQVKDAVGITNLTKHDGVFGLPWNVYEIAKRIPDVNLYTCAISLFCIIFLLLGKIIMTTLVSYFCNFASDPFDVAVVGKIPTEIPMPAVPRFDIMYKLLPDALAITIVVYAVHISLAKMFAKKQSYEIDANQELIALGITSVASSFFPIYPVACSLGRTLLNFQVGTKTLLSNVFSSILLLSIILFLGAYFGPLPMPVLSCIVIVALTGIFNKFNDLRLLWPVSKIDFSIWVVSFLATVFIDVTPGLAISICYALFTTIAREQWPRWHLLGNVHGTLDFKDSERYEDVFFFHAICIFRFDSPLLFTNVDRFKKCIAKAMNQWERSHEYYVFRVERTKILTDAMEGGGFKEEEVDEGEALSRHFIVDCSGFTFVDYMGVSALKEVYVEMRNRGVLVYFAASKAPVRDLFSKCGFYDFVAKENFYPSIRDAVAIARLRQKA >PPA20167 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:630342:636958:-1 gene:PPA20167 transcript:PPA20167 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCTCPTGTTNVGGTCVDSSSGAYAVPGASCSAGQTCSGNSICVASFCVCPGGEKIRDGQCAAPGQQCDAAITVCSGNSVCTNNVCTCPQNMVALNGQCANVLAQVNVGGGSSSSCPSPCPPNSYCQSGACVCQMGYSYQQGQGCISSSPLTFQTPSPYTYVNPSSGLQPIVSGGGNYVSGGGSYVSGGSYGQLQPAGSYCGSTAQCGAYSICQENVCRCIPGYASYSTTGGCTSMTDSNSMTIDGTIYVPAGSMGFDESNSIIGPITNTGSGFNTNGLGNGIRNANLGAPGQECDFLTTPSCRGGSFCSNSRCVCGNGLVIGHESCVPYSGDANPGDPCGNAGVVCRGGSTCIAQTCTCDVGFAAQGSICVGIGGGGLNPVDPNLITTLRPGEQCDPSCDYSPCPAKCGYGSVCVDTLCNCALGTQNMGGFCGANDPPVIGTLPQFSTTTVTTMPVLVIAATCALSAMEAVSVYWGYASARRDTFRVAIVPRAYSPLYSATETRTQLDRPSSLNNSRPTQNSMGNAWTACLTTADCPESASCVDRVCSCNADSRMTEGKCVVNVMMKNEAMDTTTNATGYCEQRNKIDVLPRALPGAQCSNGERCEGGSACVSGYCICQGEERADSEGVCRGEGAAGSRLGSVCSTSTDCSLLPHSICHSGVCLCEEGFERVNENCLKVAALPLKTFSKKITKCSSYKDCAMPRVCGPRKSCECPFTMAETETGECVFRSTLASPGAPCPSGDECGAGSHCLQGTCVCPQGLQLTNEQCLPYPNGTNHH >PPA20194 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:822862:826225:-1 gene:PPA20194 transcript:PPA20194 gene_biotype:protein_coding transcript_biotype:protein_coding MISPRPIVTPTASPVARITPYQKKTTPAQAPAKEVHPRCAGRTDGIYALAPCSQNYLACVDEKATIGICRDDLFYSTASGLCDWKDKIPACNMLVRPTPVTTRSVPTPVPITPSPRRDIVRPIGERLNRPIVPLGSQPDCAQIADGVYAVNSCSAYYNRCTGGRLTARGTCPKGTVFSPAGASCVARSTLASCTLPIVTPATPAPIVATQAPALAPAAQPNYGVQQTQQQQPIYQQQQQQQMQQQPTYSQQYAPSYNTYSSSVSSAPVYSQGVYADPSQFCYGKSDGIYGTTCQKSYVICSRGATFAYNCPGEFLFSRERAVCDHPSRMTQCGGPTYGYQQQQPSYGYQQQQPSYGYQQQQQSYSPY >PPA20185 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:758111:758609:-1 gene:PPA20185 transcript:PPA20185 gene_biotype:protein_coding transcript_biotype:protein_coding MDIADDSIDIYFGSSTLDKKKTLQVSVEKEGVGWRKIIILLIILVVIDVV >PPA20149 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:552098:553243:-1 gene:PPA20149 transcript:PPA20149 gene_biotype:protein_coding transcript_biotype:protein_coding MTCACGMEFCYCCGNKWSSSHYSCSREEFTKMTMVDVFTARQSPLLVPSLLTLAIEARTVLIDRRKELQKRLRLLPFLERKAIERTFVQLSLIVELCYLSSRRRRSARFLADRVRFWLEDFYNTKDKNIADKGRSLKELRDSLAV >PPA20204 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:894920:895683:1 gene:PPA20204 transcript:PPA20204 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIEEDDAVPTNHIALTQMSLFPKFKPIHEVVPRLSFYERVRLDTLTSLNFMEFQNQMVLCHRERGILFKGFRILEKRIERLMAGDRTGEDVKLESFLVFLKIGHITLLAGDFARALSAYQQALSLCEEHFWKDPGAFFGLGIVYLHFKSYGWYQGRWLAFATEK >PPA20195 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:826348:847401:-1 gene:PPA20195 transcript:PPA20195 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLAVVAAVACALVAASDDAKPDCMRAGDGYYTKGCSAKFWRCVNDRLYAYDCPRGLYFNPLKRKCEYKSDVPICADGVKVDSKNVIKASAEFNCTGLDDGPYKPHDIACSNSFITCFQGMAVEQACPYGQYYHQDNKRCDYKDNVPGCIQSGSKIGGSIGPACPALRTGHEDIPCDADETCQAIDRPVDGRYLCPKPFAVWYGPLGTGNSDQWVLAPEGLTCGEDGRWYNGNTIVTTPAVDCTAKRSSLSHGSPPAPPVQTLNQKAAALNACPGLLTGKDSEGIPCDGHEVCQAIQRPKNGRYSCEAPFAVYYGPVRGASALWKKATNGLMCGADGFWYDNGAKVDASGIDCTAKKSDLLIKDEGTLDCPSLSTNEREIPCTGDEEVCQPILNAVAGKYACPTPYRVWYGPRGGAVATWKQAKNDLICKAGAWFDGDVKIDATAVDCTVKKIDCRKLGDGTYNGEPGRCSTHFWQCSNEAAYLYPCPPGLFFDDFSKTCDFKEVVESCGGARRAPSATTTTTAPPTADAVATRKTDCPSVLTDSTLGCRSDEACVAPVDPVNQKYLCETPYTLSFGPKANLNQWRQAPNGIQCKNGQWFNGEEQIAPEAVHCTTPKFACAGKADGSYSANQEKCTAYFWNCAHDDAFLYTCPSGLFFDVETHKCDYKEVITACGGMKKDADPTKVVIASSDVSVPAFDCSHKDNGYHEMGKCQEKFWNCADGKSFQIDCPAGLVYDGRVEMCQYPVACNGELPVTTTAGPAPSTLDHGEISNIPPAPTTSFDCSTQKDGMYAEKKCHKQYVQCTNGRTFVKECPAGLVFVTFSQACDYKQVCMNPPATTAPSTTPAADVVSTTYSSFQTYERIPATTKAPIAPPTLDLPNCANLEDGAHAMARCGLEFFMCWHGEASFSKCNDGLVFNPQNSQCDYRSNVIECGTAADATTAAPGHPAPPSPPSTTPSPDLFCEGREDGHFGSGCQSFFYSCQNGATTKMFCPVGLHYDADSKTCDMREKIVACGGKKTEIGTIPASDAPILPYQRVPTVAPVKDVSLSTNFCDGKVDGFYAEGCASFFYSCQNGKTYKMNCPTDLLNDTDRKACDRREDIVACGAAPSTMTPTTPAAAPTASPYQRVPTIAPVKDVSVVALDRFCVGKEDGFYPSPGCQTFFYSCQSSITHKMNCPAGLFYDDLQKNCDRREEIVACGAPPPLATQAPLVTPASTPAAAPASPYQQVHPGARDIPAVSVERFCVGKEDGFYDAPGCQSFFYSCQNGVAYKMDCPAGLFYDGIQKKCDHQHDIVACGAAPAATQPPPTTTPAPAVPTSSYHQVPTTAPVRDAPIVPIEKFCLGKEDAFYGEGCQSFFYSCQSGVTHKMACPSGLFYDAENKKCDNKEEIVACGGTATTQTPITKAADVPAVKIENFCNGKTDGFYTFGCQSFFYSCQSGATYKMTCPSGLFYDVAQKKCNFREEILDCDAPAQTTPAAAPAATTTTQAPRPYVQPTSAVDVPVTITTNFCAGKVTVMVKPEPENEIVKADNVYGAGCQNFFYTCQSGVSYKTTCSIGLFFDIETKQCNYRENIVACGGKKEEIAPVTAAPVSPALDRHIVGIENFCLTKVLMNRGMEYKKKTQEDGFYGDGCKPHFFSCQGSAMYKMDCPTGLYYDVSRKACDHKEEIAECGGNETPTIALAPVAPYGTPAVTTAPSRDQPAIPMITTENFCSTKSDGFYGNGCVEYFLSCQNGNTDKMFCPTGLFYDGHKKACDRREEIPECGGVRPVSPVTPAAAPIAPIAPYARPVQPLATSAPVRDLVPVAVRENFCVGKADGVHSNGCQSFYHTCQNGLTYKMACPSNLFYDVAANLCDVKENVVACGGKKAVVAPVAPLARDQPAPPVDRFCSGKPDGMYGNGCEAYFYSCMSGYSYKMLCNTGLFYDASKKACNRREEIVGCNPVAAAPTAPAYAAPPAPVTPVAAVPQYPSAPAPPAAAPAVSIVHNEAFCTGKTDGIYTTEGCPSFYYSCSNGYTYKMACPSGLFYDVPSKRCDMKENVVACGGTQPAAAPAVTAAPSHTKPAAAPAVSIVHTETFCTGKTDGMYTVESCPSFYYSCSNGYTYKMACPSGLFFDMPSKQCAARENVVACGGSQPVQPAPVQPAAAPAVSVVAVEKFCTGKTDGLYTVESCPSFYYSCSNGYTFKMACPSGVFFDISTKQCATRETVAACGGSKPAAAPAVTAAPSYTKPAAAPAVSVVHTEAFCTGKSDGLYTVESCPSFYYSCSNGYTFKMACPAGLFFDMPSKQCAIRENVVACGGQQPQQAQPLNQYQPSPAQPTPAASPAVSVSTVEKFCTGKADGIYTSEAGCPSFYYNCANEYTFKMACPSGLFFDISSKQCENREKIVACGGAQPVQPTPAAAPPSVPAYAKPAVPAVPVQTVEKFCIGKVDGLYSVGCASFYYNCVSGYTYKMACPTGLFFDISSKHCDNRETIVACGGTDPAAAPAAPYAQPTPAAAPATSVVAVEKFCTGKTDGVYTTEGCPSFYYNCANGYTYKMACPTGLFFDISSKQCDNREKIVTCGGVLPAQPILAAPALPAVPAYAKPAVPAFPVQTVEKFCSGKVDGLYSEGCSSFYHNCVNGNTYKMTCPSGLIFDISSKQCDNRENIVACGGQKPQQAQPLNQYQPAPAQLTPAAAPAVSVVASEKFCTGKADGIYTVESGCPSFYYNCANGYTYKMACPSGLFFDISSKQCDNREKIIACGGTQPAAAPAAPYVQPIPAAAPAYTKPAVPALSVPTVEKFCSGKVDGLYSEGCTSFYFNCVNGYTYKMACPTGLFFDISSKHCDNRETIVTCGGTQPAAAPAAPYAQPTPAAAPATSVVTVEKFCTGKTDGIYTTDGCPSFYYNCANGYTFKMACPTGLFFDISSKQCDNREKIVACGGQLVQPTPAAAPVLPAVPAYTKPVAPAVSIPTVEKFCSGKVDGLYSEGCTSFYHNCVGGYTYKMACPSGLFFDITTKQCDNREQIVACGGTQPIQPAPLQPVAAPAAPYSRIVPAQPIPAAAPAISVSTVEKFCSGKVDGIYAEGCPSFYHNCVSGYTYKMACPSGLFYDVPSKRCDVRENIVACGGQQPQLSQPLNQYQTTPMRDVVAPTSNFCSGKPDGFYGEGCQNFFYSCASGLTYKAMCGTGLFFDIFSKQCDYREKIVACGGVQPAPVQPAAAPAATVAPYNRPATSPPATYSQPATPAKDKPVLKNVNDKSCTGQTNGYYGEGCQAFFYTCLDGSAYKMACPTGLFYDLELQSCQYKDDVPNCGGRRPEVVATSAPALDNLRPAPTNSAQCSGKADGIYPAADCAQEYISCQSGLVRKEACQAGLIYNEANKMCDYRENVAKCAATRDALPTRAPTEDYGRSTSRPITRPSAHKYDSHKEEQMKKPALAPSDYPLITIKIRRRMPRQTRFSTSSSNEDVSDRHSPPDPFNSPPPTLPPFTPIHHRSRMGAKKAMSLILVAAPICQALTALSAVTFSKPLCTLEQIFASRNSGRRHSRRLAAATNRSSRVGSSCNAMADGYYSNGCSQEYLACIGGQPMTMSCPSSLMYSASSQMCDYSDNVPECGGSVPVLKE >PPA20202 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:880962:885064:-1 gene:PPA20202 transcript:PPA20202 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQWLRQSVPMEGRADEGGNYIMTPYPTKKAFRRGFSPRPVTTSHPAMRQTRSSSNVLDTSNSSGLTSEHSTDDLDKVGASGKRIDKRHQVCMEMLETEQSYLRALDLVTKFKDALEADLEKGGEGRITKDDIFIIFSKFNKIIQVHSKILEKLTKLVQDWRPENEVGKAWIEAKEELLAAYPPFINSFNTIKGTLDNLDMTNQRFHVFLKAQESSPEFRRNTMRDLIIRPVQRLPSVMLLLKEIEKRTDSRLADKESLKIAEQVITSVLKKANGRRQMNDEFLKIFQLWNQIADVPPHLYKATRGHVMDLEMVSVGGTGLWKRYAKRTLRFFLFNDILEITKVRRFKEPVNKMGRLSRLTRNLTVSNRKNLSSETRPYKHVNDVLLTSLRQMYYVYAGDTQLLVLVIRGETEADEELVLQPPDGGDSRLGKQFVVKLCANTIKAGETSKVYTQCGRDIAPSRVEMHDTSGTRQRKPIQEKSQTRLCKFADDGQIEWRDGREYATRKYNEYKLLKGRRIQKLVVETVWIDLITLQKAIWSVR >PPA20128 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:433900:437783:1 gene:PPA20128 transcript:PPA20128 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTAYFLRMLSVVKACANYLADNLCVANCIGIRKQANFNGDSMLMETVDKFIMENAEAIVNESVEFSHLACVKTRIIVTLDEMRSTGGESIAERALQYFADWQKKNGSCEHPLEALVAKSHLLYVEEDRHLADCAEMDSRSSVGSCEIIQDYKRVKDSNASLDQVGTPTVQHRITGAYPVKITNGCKRTGNNRWDGRPPGVNAETGTQY >PPA20178 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:713664:719972:-1 gene:PPA20178 transcript:PPA20178 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lgc-53 MQLASRLFKDYDKNNLPSANSTEVIVELTVQSITEISEFSSSFKADVWFSQIWRDPRLDFTDENYCLKNISLAAHRLPYLWSPNVEIHTSPSPNILLVVFPNGTVWLNYRVSLTGPCKLDLTFFPMDRQSCNLVFESYSYNTAEVRIVWRDWEAVSIPDPDSKKLPDFELISFNHRNSTLVYTAGLWDQLEVTFMFRRLYGYYVLQAYMPTYLSVFISWIAFWIDTKALPARITLGVSSLMALTFQFGNIVKNLPRVSYVKALDIWMFGCVGFIFLSLVELAVVGFADKLESKRKRAKHKLECRIRSEKSIFSYQHYEGNQRHQPVMMMRSDSEQQWLARGGGTTNGAHLNNNGRVAQQMPLYGDNGEKHDLIRSSTPSLLESNGHVRRNGSGKRAQLQNNNNVMQDEAAAQHRVRMHRAMADHQHPFYVNGERIDENNSTVGEKIDKISAKLFPLLFTAFNIFYWCYYIGKSGGFFDSVFDLLGEYC >PPA20107 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:307260:312951:1 gene:PPA20107 transcript:PPA20107 gene_biotype:protein_coding transcript_biotype:protein_coding MYEWKSWRNVEGVEENCGHTQHQELIEKEINHLAAETQCGIGKWRPRWLQRFGTRHWMLFWLCWYCAIQGLIVNGLVPSSISTIERRFKLSTSTIGRIMQFYDFGYVLFCIPVSYFGGRHSKPVVLAIGLAVMLTGSFVFSWPHLFAESYSADDDERNYGKCLAEGGPASALLAVANGLEGGSNMTMAQAEALRDCKSDYQPKSALRYVLMFCFAHFLHGIGATPLFTIGVSFIDENVGPALSSLFIGIFYAFAIFGPALGFLAASRFLQLHTDFMHMPPEQWARMMGIEETDPRWVGAWWLGFQVVCVLGALGVFPLLILPKVLPESLKWHRTRLREETLTGPKKRSPECCGMPATAKTAAINGSAAMLHVDEKAASHALADTMPSVKHKGPLWYQLWLDVRHIPIAIYRILTNGSYMLITLAVAVDGLVVTGASSFMSKYLERQFSVAPSKANVLIGCIMVPMAGVGTMLSGFIVQHFRLSCVKTLKFSIGECLADCEHFTFHYPAASLTYEIAM >PPA20189 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:776047:777113:-1 gene:PPA20189 transcript:PPA20189 gene_biotype:protein_coding transcript_biotype:protein_coding MWYKRWLASELLDVWNVRPCIDWCYSAQLACPHLATSRVVDYAGHPSFQCTEQSIN >PPA20200 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:869721:871704:1 gene:PPA20200 transcript:PPA20200 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVLKSEKFPIPITAFEVDFFTHFSASLEFGHDYEIELRFLPLGTNGSFKKRLSIPEYPASGKCERDSALALDKDKYAIFVSDTKKLPLTASVRIEFHTAPPAFCFREYTVEVYETDNPSKPLAKSIVLTTDSTQVIKQEFPFLPVGTRLSIKIYPTEALHSRASECVCSNCNCLVTQTKSFLFPSPVIKVGAIWVIGLNAMEEYRFIHKLSSHLQPFTRLIFAPDDLHDGVNRWKWIGDVNRGVDVVRSLSV >PPA20102 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:255218:258004:-1 gene:PPA20102 transcript:PPA20102 gene_biotype:protein_coding transcript_biotype:protein_coding MSATVTSPAAPAATGYTMTSLYVGDLHEDTTESVLFDRFSSAGPVLSIRVCRDAITRRSLGYGYINFQQPADAERALDTLNYDVINGKPCRLMWSQRDPAIRRSAQGNIYIKNLDKVVDSRSLFDTFSLFGGILSCKVATDDQGVSKGFGFVNFETQEAADQAVEKVNGMLLAGKKVFVGKFQPRNARLKEMGETTKRFFNVYIKNLEEDVDKEKLEKMFSEFGKVTSCAVMSDANGKPKGFGFVAFEEPEQAEKAVNEMHEKQLDGSEKKLFVCRAQKKSERQAELKRKFDNQKAERMQKYQGVNLYVKNLDETVEEPKLREVFEKYGTITSCKVMCDENGRSKGFGFVCFEKPEEATKAVTEMNGNMTFTKPLYVALAQRKDDRRAQLASLYMTRLANMRMTNNIPTTMYTPGNGGYFVNSAMASNRGGFMPSGVPNRMGGPGRQFATGGGFANMGQQYMGGQGMGGRGRGPSGPGNGGQRGYAPAGAGVGRGGMVQQMRPPVSGPPAGITNVRMPMNTRGPAPPGGPKPQPTMYNQYAAAASAPVQQAPRPTQTGIIVGGQDPLTSGMLAQAAPQARMSNGITVRLSEQKQMLGERIYSQIGRIYGDRSDVGKITGMMLEMDNSELIMMLQDPDLFRSKVEEAAQVLKSSVPKAN >PPA20152 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:565416:565846:1 gene:PPA20152 transcript:PPA20152 gene_biotype:protein_coding transcript_biotype:protein_coding MISADQRDVSCLIRNQKCVNVVEQDESYLHTFGYLHPDKLNSHHVTREDQEIKLEKAVK >PPA20072 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:31221:32633:1 gene:PPA20072 transcript:PPA20072 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYDWPRRFQSVPPEIEEWSLKTDERRFLMKVKPFQYPGVSILDGYDTSTYPSKGPYDARQARCADSHGQLDSGDYNRHADDGDRSRRPLQRYDKCNATKTILFGWVHDGTAKLMPSLQYVINAYLPVTNTVGGPIQ >PPA20137 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:479076:481264:1 gene:PPA20137 transcript:PPA20137 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEGPSPEIDRPVYPSLNFPEDDDTVIPSTLSTPINFPGARTPPSTPMFGTPFDANAFPKQTEGVTGEDLSEALSTVDATVPRPESRGEESESDQSLIKKEEGDEVNPLRSPVKRSMSKTKPPPPSLIKNVLQKAVCEKICLQTSQNAPTKYLRLKMST >PPA20073 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:37661:43497:1 gene:PPA20073 transcript:PPA20073 gene_biotype:protein_coding transcript_biotype:protein_coding MMNAIDQGIERQNKRETEMKAGGQTKAPHSNGKAPVEQTKMNRPSMSAEQKQLTSGYQTRLLNMLNANRPEGQRSSEAGQSEKEKMIDFLAMLQGAKMAGRWLLSKSHAEQMKVDHTSIEEFMVLLNGMRGGKEGDHRTKKMNDGSDCKFKGPLSKDYLKSEFERFGKLFYEDPFRPIQESMVEIVEWMKRNKDKKTGTSNLDEHVERLVGQHMADVWAGRRPPLHTYKDTKEITTRYNAIMEEIARDPKRQPPRPMQHFIHKREADLARAMIKKELYAGKAFMNMMTSEQKKETEEERRSKQLARLEQAAYPPELRPTVTAAPVDNGFSQRPDDEIENDILASKLFHAKFCRIEANCGYCDCSLMKNLFNHLETCRNDVCTDDELCTRARAIFQHWIDMRKCKIRMCAVCMCMRGQEHLIRNFAMTDNQLLLRSSQLSLAAQLCFIGLRL >PPA20198 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:862494:865562:-1 gene:PPA20198 transcript:PPA20198 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-stam-1 MSLVVKDPLLLLLRSGRFWNRSRMILSRLLSMVAEFAPRLEKRAWALYDFEAAEDNELSFKTGDEMMITDDSNANWWRGRNERTRGEGLFPSSFVTMNPAEINAIKTETSIAQSTPVAAVVRIDEEQLLKCIALLEDCDPTGAVPDPPELAQIEASSLAQAPLIDAALASVDRQSNALAMVDVAIRNVLALYDGAVQQAAYAAPRYAPPPGQPMQQMQQAGHPQQMQQHPQYYAPPPQQQQPQHLQQQHPQMQHPQHPHYAAHPAQPAASADWQQQQYMQQMQQQQQQQQVHQYAPPPPGQYPQYAPVPTGHETQQQY >PPA20131 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:458173:460939:1 gene:PPA20131 transcript:PPA20131 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFSNYFSKWTLGGIAAGALVLPSSLFLLWKAMKRERTATAATPSIPLYGESRAREEKFIFIDEDYVGVVLGRHLRNIRKIEHETRTFINLISYGPNELHHNIDALRAYEDAASNLKVRGVCSAPTRATFPKPHHRPGDNEEELPSAKDQRSHFLRVHAQTKEQIVLVELAIDAMITDAKRRKNKDELKIPAESIGYVIGKGGDRIRKLSRQFRVRCQISQEGGEYETILLEGTPEGIEGAKTRILEMVDYMARPAFGEEEEISVDEQVRQMLELKEKLEDNTEMW >PPA20068 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:4833:6052:1 gene:PPA20068 transcript:PPA20068 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGDSKISSNVLDMKFMRRTKQRLEEKEKKKQESNLEKAYLGESDKAIGGSSSISRFVFTKDLEFFEDLQYGRMSFKGCNPQVEKLMKHFADKKNVAVRDSDDEKDVSDGEMAETLGRSCSRRASNSTSKQGKTSSNPRNPTSPDSNPKVT >PPA20166 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:625973:628655:-1 gene:PPA20166 transcript:PPA20166 gene_biotype:protein_coding transcript_biotype:protein_coding MIVITFEGAVTDRTTRIYKSLFSGRLRSHDCPLRATFFVSHEYTNYDQVQWLAARGNEIAVAGMGSTSLSSRSEDRWRAELQGMRMALAEFSKIEVESIRGVRAPGMKTGGDSHFSVLAAWNFTYDHSIAPDGGPFWPQTLDHTLPFLCTDGSCPTKAFKGVWEAPVNRIITTDGRSVARLREAIRSTDTRDSVADLLRRNLQRNYQGSRAPLVLSLDADFMFALPQNEAVNGLIDFLEESLAKEDVYPVTVSQMISWMKSPTSLDRLAKFKPFHCPRRANDHVQPCEVFHTRENLILSTKMNAYGKDACLILRP >PPA20100 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:247426:251910:1 gene:PPA20100 transcript:PPA20100 gene_biotype:protein_coding transcript_biotype:protein_coding MAGECSEELEAPSDEVIITTTGTEEPIRSIDGQSFDDKPTPSPSPPDRNLFQSSLSEINACISQHTKRLNQLVGRNGQSSLIEDASSRRKAILSQREDLKKETDRTKAELEEKIKIIEDVRSQMKHMEPELHWKNAERLDKEIKTFEDRYNSRLNNREEKVVVAELDKLKRNKKRLEGYNILMAKRSEIECEISVLKLKRDADFTTQRNLRKDQMNEAKTQFDLKEEARRCRQQLSELIDERKAVVSQYETDRDEYAQWVAKNRKAALKASSSFPATFPAAHKNNADFVDVQELEPFYEQKRDCRLLIAYLERYEKEQTGHIHEENGANGVAAGAESADEFPEAFKQLAVQPLRKKKSHKKLKEKQPLSHGIDIYKLFGQLEIDPPKYPNEVAVSLEKVRAQLTFHESQTIHMDWDEDQYGLGAHAGAGTIARSMSTVDSAFDHSETMSMNSGRFALSPIILSRRDSKRQSRNDEGEF >PPA20182 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:740750:743803:1 gene:PPA20182 transcript:PPA20182 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLLLSVLLLASVAETRVTFTYSEVLQQSDIPNVGDRAPFKCDNGCKAYTDSASNNLLITQYDAQTDLYPSIITFSNMGGALATFLPEPYELKAGKNYFIENRGDKNPTFVFYVVDTKAPNINTQVMIIGDENGNDLNGKDRIVTTLSSKYDAHRYNQFVGGFPGGYPRIYSTGFDAVGENECQPLYQAKTPESSSLAAITVFSPISTVDYGYAGDKNVHVKWNKDNSVTLNKKSSSVFMSPGYVGCSYTNPHSYSSTVTKVAGNDRFVADSIDVNAVYFGVQPDEMVMLRIDEMVLDFCGTGSYAWTYDKQFDFAVVLQWERKSQSSNWAVQLDFGTLKQTGEISPAPQITCKADPIPTTTTGVPTTSSSSSMVILTSIVVAILAML >PPA20126 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:410021:414249:1 gene:PPA20126 transcript:PPA20126 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLLFDIHSRSSVHTYSTFSAKNIGIGIFSLASILTLHAFFIMARIPFPPDPAYEGFGHVFSVAVASGREKPVMSSELETRTFNETAFIDTLPLTDNELRRMTNQKRTLHTMIMEGNENVTHIGDDCSKWHVFSSSLYLLAPFDATRNGDHDECATIIQANRDADNLYPMGCPSFVRWTAALHTPTVVIQTLSISLLTTIHSDIDKSIRLLIPISLICFCVASILDMGVLIVIDRANGASPLRRSLRELLFLLSFLSFPVIYTAHMEFFDAKVCSIQVPWWVAVAEYSFALSVAMTCLLQLTELRNIELAVHASEEEVQMRIHHSYIGEYEPFVPFEDDGLEIYSTSLVIEKPTTNERSLNFPHSLAPSDLREIIEYGETPSFQFYNCREVDGDNSSAFSPVRETREHVDLASHVEVIRGIAVDNANNKEYPSTIGAVLVASGLVISHRRI >PPA20158 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:576706:577863:1 gene:PPA20158 transcript:PPA20158 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPFCVRQHCEKGWTEMFASGTVWSNKDYVEPFGTPCLNGEKTLCCKDDSLELDPKKYCRFNVGHLCPDNKLALAIKFRHCGSLCEIPYHGFCCEPDIFGKTPESEARKGMPSPTSSESSTMTPIWTLTLIMFALLATIR >PPA20134 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:472235:472819:1 gene:PPA20134 transcript:PPA20134 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRSGAKDCHSLLKKYLTKEVVDQLKDKKTKLGATLLDVIQSGKGTDPTDPGIR >PPA20180 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:736756:738052:1 gene:PPA20180 transcript:PPA20180 gene_biotype:protein_coding transcript_biotype:protein_coding MYISDGTKDVSNFLVMGQPDKGTKTELPPWNDYKLVWKGDNAPPSFVFYAVDKLAPYTDTPVHAVVGNEAGILIDPSLSRSFTLLRNVEPAPPITFEGEFEARYPQIYATGYDALIEDECKPVYEARSQSSMLNSWLYVASPILTVDKGPAKGKTAIKFGRSSAFTKKPSDSSIVYKSPGIFSFSI >PPA20122 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:391081:397356:-1 gene:PPA20122 transcript:PPA20122 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKRFRTVMSQQPPPKGEDKFENPFGYEKGAGMDMTLIASVSVIILIVGLIIAWAVKAQMDESTAKRRREAMMAMAAEDEVNDRREATMAGGRRRGAVRHRRTNFGDEDDGDFVDQMMAQQGGGEEMGTQCVAENEDALLAGVGGGEKVGKKKAAKLEMKAEKKAAREQELRDREDRKKREAEKEVEREKEREKERLEEEAEKERARKEKEEREKREQEEYERMKASFAVAEEGFDQVEGEEAENLMQAFIDYVKECKVVNMDELGSHFSLKTEEAIDRLQHFLSSGDLTGVMDDRGKFIYITEEEYAGVAKFINQRGRVTINELAEYSNRLIKLES >PPA20165 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:622824:624643:-1 gene:PPA20165 transcript:PPA20165 gene_biotype:protein_coding transcript_biotype:protein_coding MWISDGTKDVGNFYLMGKPDQDTPTWLVPWNDYTLQWRPEDGHRAAPKFVFYVVDKNAPNLDSKVRAAAGEFSFGFDSKSPRMFTLLNAADSAPILTFDGDFADGYPRVYSTGFDAASEDACKPVYQPRSQKSALNSLLTVKSSILTVDKGPAKANTKSGIAFEKVPSESSVIYNSPGYVGCSYIKNQIYSSADDKVLDNFSADVKSMDLEASLNIPTADEAVHITVNNEKVDLFGTTPVKKHFDADRFDVTISWIRGATTTSNFAVQFDLGIPNNGQESIDFACQSDRTDHIRSDNDEKRTRNANPISNFDRILFALV >PPA20124 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:403780:404528:-1 gene:PPA20124 transcript:PPA20124 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRATWLLCCTRDLSSLAMALNRFTSICYNNKKWSGRSFLLTALLCIVGGYTLNYYMWDTDVQVDHYSFELSVNQ >PPA20142 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:517923:519648:1 gene:PPA20142 transcript:PPA20142 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKYGTSTCAGPEFDFNQDGVAFCVYSDESKVLSNSTSNCTVAEYECEGCDFAQLRFFNDYHDVLLPDSSVDSHSNFYEVLCKYGFLQILYADGSTAEERDAICIRHAEKDVVAVSCLHTSTELEMSLKEVGGHPGLTGHCKFGQCWMYCEDWTKTLNYIPWAWMPPLNSTSGFLNCQGPLCYDRGIMTFDVKCI >PPA20077 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:79795:89266:-1 gene:PPA20077 transcript:PPA20077 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cutl-21 MNNLIYSILLLLFVSTALAQFPVPPRVSVVPKCGAQLITIEVNFNKNYLAGGRFTDWIIVGVTGRPECRLKGNGETTYVIEIAVFNDPCMTQMPAPGVFQNRVRIGKNPSVILQGDQTLDVKCVYGLPEVDTLPLPVINHNFNIESQTSAPTSALGPLSGSAANGDNAAVATLSNTRPAASVDPALLQQLGAAAATAGAARTAGSNNGLQGLQSLLQQQQFGGLSNSNNNNNALAGTSQLFEQQNGEFGGLSGLGRGGSGINQGMGINEGGRLPILPINPANRSNGVNDLSALGGLNSDLGSNSVLGNGFNNQFGSSQNGQIGQASVFGGDGQQQSLGTLGTAQAEQSKSRFSFFLLLALLVFILVMLLLLVLLAFFLKRRAARAEARKNLLVSMPPTSELGKLGLGHLWWSGREAQGGGGAMGGPAAGAATSRPQISSAAERIYGTGRGRMAHSPADSTLSTTTLEETTRGTNNTSRTGGAGYTRQRLFDSSVRRSQERNAHYAATTFRDDRQRSRTPPPTRSAPIDVDDIRIRSEERGIDVGDDVLSSQPRSFSEWRRGVVGEGSHFGALKMTSREVEAGTAPERPLPESRPDSRVRVEERRSESRIDENGISSYRSITEIYQAAEQTEQQEPIYREHALPPSSEDPAVIDELTRCVLSIRGFGPRKLTEQEMDILAVTERPEYRHLFTLEKWTQIARCVMDSSAHQRTTNLHSAQSQSALNVYIGNIGSDISDFAL >PPA20066 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:61:1601:1 gene:PPA20066 transcript:PPA20066 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEYGKKELEIRKRLEYGKKKFDIRMRLEYGKKEFDISLRLEYGKKEIDIRKRLEYAFWKH >PPA20150 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:553895:560304:-1 gene:PPA20150 transcript:PPA20150 gene_biotype:protein_coding transcript_biotype:protein_coding MREDKHRRKYRHRPTRTGADVEAIGFDACETFQIYGMHVRDWKTRNQDSAALFRPDPFGVNDYFVEYSLNKKKRWTMKEEIKDRIEEVQDQSLNLRVSASVHGIDRSNIGYTRNHFDQQEERLPCLEYHTVSRDGKTVTHKEAEHGRARLRNFTHAAQPPRKQGKGKRAGRYYERYDWNDRNLAVDEKVEHQKLHVHYTIEEHSDRPSLHNKEASQDHRSHRKHSAERRQSKASRKHSAAIEIPSPEDVLVEADDLSPVAIVEEINMNNDLTTRQIFPIPTVVEDLGALVVRKEKKKKTTGKREPLRRFVDELLKSPFFMATPATSGYGSEDDFDDDEPSTSFSSLDASIQLVSLPSEMFTRLSLSSIPHLSSATRLPCFPPAWEVHQRDSLHVLGKYLLTCVVARQYGDELRLAIVDDCASTHPSRPQLPPSDEPPPEIDDAVEGDFCEICYGEMDLTSDDRLCHPFSLSCSHLFCTGCWMSHISESIRRQRLPAACLHPDCSYSVSVSAAKGILSSSSIEVYELATIDALKAAEKLITCPECKRLHFTKGSLHISCPCGASLCAHCSSVDHSPLGCDVFDQYNSYMKRSGFTSVYSTSSEAPIIRNLAKCPKCSALMQRSEG >PPA20098 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:242372:244688:-1 gene:PPA20098 transcript:PPA20098 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGEGKPKGFGFVAFEEPEQAEKAVNEMHEKQVEGSEKKLFVCRAQKKSERQQELKRKYEQQKAERMQRYQGVNLYVKNLDDTVEEPKLREVFEKYGTITSCKVMCDENGRSKGFGFVCFEKPEEATKAVTEMNGNMTFTKPLYVALAQRKEDRRAQLASLYMSRLASMRMTNNMPQYTPGTGGYFVNSAMASNRGGFIPAGVPNRMGGQGRQFATGGQFGGMGQQYMGGQGMGGRGRGPSGPGNGGQRGYAPAGAGVGRGGMVQQMRPPVAGPPTGVAGARGPQTVRGPAPTGGPKPQPMMYNQYAAAAAQAPVQQAPRPTQTGIIVGGQDPLTSGMLAQAAPQASIVSDEQWNYRSSEQKQMLGERIYSQIGRVYGDRSDVGKITGMMLEMDNSELIMMLQDPDLFRSKVDEAAQVLKSSAPKAN >PPA20093 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:197763:198480:1 gene:PPA20093 transcript:PPA20093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3FCY7] MRTLRSISISQFRTPSRRHGPVHPSRRYRHQPGSKIVFNAPYDDKHTYHIKVTNSSARRIGWAFKTTNMKRLGVEPGSGVLDPKENALIAVSCDAFAYGQEDTNNDRITIEWTNTPDGAAKQFRREWFQGDGIVRRKNLPIEYNP >PPA20104 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig47:266362:267307:-1 gene:PPA20104 transcript:PPA20104 gene_biotype:protein_coding transcript_biotype:protein_coding MPQATTSERKTVEEELTTRDYGRFFDAAAASTIYTTNFTARKVRIGYLSMARRAMARASPNNMN >PPA20144 pep:known supercontig:P_pacificus-5.0:Ppa_Contig47:528213:530830:1 gene:PPA20144 transcript:PPA20144 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPYCAIIDNADQGEDSDGQGNRAGHRNRRIKVDRLKEKVEEKEGIPPPQQRLIFGGKQMNDDKTAEEYKVLSRPVPFSISCWPFEEDHTKDQLREVQYPPFNYRIPSCLPIYALKKRLNIPDAPMMSPDMMMAAMPAPAAAPTEAAAAEDVPLKMTFKVTLAKFDDTKKIALIKEIGNIIPGLR >PPA20208 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig470:9576:11092:1 gene:PPA20208 transcript:PPA20208 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGNSVPGVSRNVTEVFLLLRSNAQQDFAFRDRKGRNSKVRFGSLKEEEMSLVSLEEGGEHADTSYEQPMWIHTADEVEFEMSRIKSKISELSVAQQKHLSRTSFGDDKLEEMEEKRIESLTDQITKMISHAHRLGSGIETDSPPRENGSEHRLRKNVSSALLFSLSQITAELKKHQTSYLARIRKRSQNVDDFLIAGLGGDTSHEMSLLDAAPDQEYSMAELQMIMNNESIVHEREKEVLAVNQSIVELNSLFKDLSAMVVEQGTILDRIDHNIEHTSIRVSKALDSVQSAYRAQKGDKKMQCIMCLAIAIITI >PPA20205 pep:known supercontig:P_pacificus-5.0:Ppa_Contig470:213:5803:-1 gene:PPA20205 transcript:PPA20205 gene_biotype:protein_coding transcript_biotype:protein_coding MFPYPHGMGVINPLYAAQLAAAQAAALNPLGRVVGVPQPGAALLGMQALPRPMLVPPGMGVEGAAASPSPAGGWTEHKHEDGRNTRQSVWTKPDELKTPAEKGASSSGSGTWKEYTTAEGKKYYYNSSTKETTWTVPEGFTPTTPSGEKKEEVKKEAKEEGGQSELERAMAATLASLPKDNTISVATLSDVPMVDPEVELKKRQADRFTELLRDKYKDGKITATCNWDKAVPFIQSDPRFRILSKVSEKKQLFNAWKVQKQKEERDEKRMAVKKAKEDLETWLLAHPKLKNSGLQYRRAEEIFASEPIWKAVAEDDRREIFRETHMTVTKKDEAEKNEIAKRNVQALNDILENMTDITNQTTWAQAQRLLIENSEFAADDTLQSMDKMDALIVFEKHIKNLEKLYDEEKLNEEKRGKRQERKTREAFQLLLKELHDKGDLTSVSLWSTLYTTIASDPRFDAMLLQSGSTPLDLFKFYVEDLKEQYGNDRKIIKEILAAQGKSIEVNTTFDELVSWVHEDEKGKKVDMGNLKLCYNSFVEKAESKEKEMEREELRKKRRIDSEFRNLLRTIQPPIEADTEWSSVRSKIEKESSFKAIGSEEERETLFKEFAKGTGEESSHHKDGKKKKKEKKKKDRRDSESEGEMRNKKKKRKHDKGDSSDDERNERRKKSNIDLVLILIRLSSSPFPSPSFLRPFQSRSPLYIMAKQGKVLFDGKRMSGELFSLTYGALVADMIKDYDDVNQVNTQLDKMGWNMGTRLADDFLSKRTNVSRCTDCKQMAETLARDVIPMYLGVSASVSNWSSGDKEFSLILDNNPLMELVEVPQSLSALNYSQLLAGAIRGGLEAVHIKDNFHGASGTEYGASKSRVKIHVNMENRLQLACELYLITSLLISD >PPA20207 pep:known supercontig:P_pacificus-5.0:Ppa_Contig470:6342:8348:-1 gene:PPA20207 transcript:PPA20207 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKKIPVGGPPSNGSSFKPTTASVPAAPNTLATALAGLLPEPTFSSGPPIYTNNAKRVFLKPAVTVPRLTNPTPVQSSSSIIRRRPDKVNNEVCNDCVKALPNLRRSLGRLEARMEAVTSMIKQIVNKHSQQNVAYARTPNVMPPRDKPSIASPSPSLNGNRVAGNPTAMRFVPITGRTTASPSGNSVMRKFVTDRVGRLSPSSLMYRMISRGLLTYKSPSFTVEEYYKQAPNVIKAHTLKQVQEVMEDLFNNKLYKKCDPRVETMDEECIFEKERDLPKLSRLADYGVNTADFLSGCFDD >PPA20206 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig470:3600:4213:1 gene:PPA20206 transcript:PPA20206 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVTRSERAGNIFFRITALIRSGQLQWADRPAWYDVYVAHPPLTPHDWNVQLPKYNEPVKKIFYEEDIVRAQFYKNHRNVGHVSMDKEGDSISQQFINEYQALSKEEPNLPMEEMFAKTEKRLEDVGLYLRRQPKKESTEETKKASTEESNTSGNQ >PPA20209 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4701:83:372:-1 gene:PPA20209 transcript:PPA20209 gene_biotype:protein_coding transcript_biotype:protein_coding MKELRTQGNLMIWSCDETWVHKGMRPRIGWQDMRAVKQPLTFLKNGLTAGNSAQWKKGERLVIVACLSYEGFRYPKVWRTGK >PPA20210 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4708:74:831:-1 gene:PPA20210 transcript:PPA20210 gene_biotype:protein_coding transcript_biotype:protein_coding SPKKVGDDIAKATGDWKGLKVTCKLTIQNRQAKIDVVPSAASLIIKELKEPPRDRKEVKNVKHNGNITFDALLKIARIMRPRSMAHKLEGTVLEIL >PPA20212 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig471:2059:5720:1 gene:PPA20212 transcript:PPA20212 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFIPPAAAIKFSMTGDMDSIPMPGDVPTRDNAAMTPFLPSYAVQPTGYQPPMKKRVVERSRLQPRKPPGPPVGIPPELSDSEDEEGDGEQLHDEDNYDAVVIPEELLASSATLSLTEPLRPAPVPPTVYPALNLARAPPVHPSLLAPPRMPIRAPPPPPTGGAFRTPDLSSISAAPTVAKPTPDAVISAKPELRDLAGEATRFVPTNLRVRREEQVKKPIGRVNAYGMATESAAKKAAKTTDEAYSDFMKEMEGLLSHDLPPSIGQGLDPSLPKGLGLRVDPCLELLHKCVSVLELLSSTEVREGAETVVVARGQIRGVRWVREPFHLQLVHFLLGDFRMMRARVVHEHEDFALAQEFGRDPDRHLFQLGSEEVSLDGDAGREDLPVDGTEDGEEETEEFLLSVKFRLWSLLGFLIDVHPLKFPLRIIVGDPLLIHGDDVADPIEIGSTLSCREVMRNHLGELRCLPSIMQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAAYGGIPSPFDPGENNEAKRSGSLEQCS >PPA20211 pep:known supercontig:P_pacificus-5.0:Ppa_Contig471:903:1905:1 gene:PPA20211 transcript:PPA20211 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSNQTTKSGRFMNPADQQRKADRAKELKRNKKQRSAVRTAIMKNRDPNEVIDALRKLDDGEMDTDAPVRATTEIKRERLRKQYREIRDFFIKAEQPDKVSGQGDRRKDASVRAGAIQEEPAVG >PPA20213 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4714:360:1119:1 gene:PPA20213 transcript:PPA20213 gene_biotype:protein_coding transcript_biotype:protein_coding ISINSGVKILSYSFSETQQGKSGADRDISKGGSKMRSHRDKQHNVITPEDMFDALNATLQLRATSVFLAELVENSVSSTKIKKITELSYFEYHGSDARVWKFHGIGDGEVIKDLKHTNATLDIKKQEGKLATAAVNIVPIRPILPERRSSLFSTAATHIEKGKHFIRPEHVKLLDRVLGLFMHAIEDTLVPEPLSPVSEVVKAFKRASDPELPQGWAIKHGRKVGRYSEATKAFVKAKFD >PPA20214 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig472:4084:4610:1 gene:PPA20214 transcript:PPA20214 gene_biotype:protein_coding transcript_biotype:protein_coding MLEASKNAATADAGLVNTQRKVKLEAMKKAAEEQRTRQEAKERRRITSAAKRAGLALHPVKKTARSRSKEDPLTRILADLDNR >PPA20215 pep:known supercontig:P_pacificus-5.0:Ppa_Contig472:9745:11138:-1 gene:PPA20215 transcript:PPA20215 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKYSSVPLAQRRLLANHLHRSNAKEKKKDVIVERFDDGEEVQSWTIETEVEIGAPAAVISQTPSSQPAPLATLGVPPPVGPVPCPLQIAAQWSCDQQGKLRPYMPPPPPLSPVKSSLSVESLADSAPSLTPVSGPVQRGPSPARSTPSPEPEDDRRPPAGGKVRRGKGLPREKPQEKYGWARYINITLADVVHFHPSWFEPSVVVYY >PPA20216 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4722:210:377:-1 gene:PPA20216 transcript:PPA20216 gene_biotype:protein_coding transcript_biotype:protein_coding MANESIAAPPSAPATGKKRRLLEEEELTMEDMKLMYLQLRATLDKQAAWNDRLEME >PPA20217 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4727:43:465:1 gene:PPA20217 transcript:PPA20217 gene_biotype:protein_coding transcript_biotype:protein_coding APKNPRPKGWALRSTKKTGRYDPVARKLVDDLFEQYFSNGKTLRPDEAEKRMRERNDILPAQRMTFDQIRNRITTLLSQKKEHQRKEHGNRQRRYVKLIDDFERDLAEEGISLDDIEEEVDLERPLDEDDLIITSDEIYDL >PPA20218 pep:known supercontig:P_pacificus-5.0:Ppa_Contig473:8:4925:-1 gene:PPA20218 transcript:PPA20218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lin-66 MIQRPRLDHRAVAAAHHHSQLLKMKMAYDHLGGGGGGAPSPLFPSHPHQSSSAVGGPVVPSLASLWPSTAAGESAMMRNAAAAAATAAAAAAAAASTASSFWQQSTHNGPMPTLPTGPPSLASQLGAPYGGAATRLVPGLLPSGLNGGLNGALNGGLGAALYGAPPSVLESLAPPPPPKVEQSQIINGFGKLTWLSSKAGLITCANGKTISFQIKDFCDNQLTDLTSVLRVGFTLSFQAALSESSEYIATLVSPLYGQESEKVFASSPEANLEESMPQQTGNNKDAYCLRIEERAIPTLLAIFQRHATPQIQLSSLHSQMSSVSGSDDELFRYVGSSSLKRRQFIERRTHLFALSSDDQITLQKQSWKVVAFPLQAMTKPSLFPLQQPSIYSCVLRLASHLLRRGGVTAIESLYEFYLSPNMPADIRATIGESRARFIELMQSHQWIFSLFPNRTYVSVRRNLPHYDYPEFVRTYFAECELFRPSFPRGTLPPQYGGANAAAVAAAAAASADRSNGRAGGLSRTMSTHGANGAGAPGSGAPQPLIPGASRHQSVGGTSSNGRSLTMWGESETASNGSGGGGRDGRDGRGGAGRDDGGASAAWGFESNNTWRPPSLMTLTTNGLGGGMPTKSMSTMSTQTEMGDGLGAAPGCVCMCNCGAREAARIVSRGSVSPPSAQSASHSPHMNSSPVHTRRDLEAFQAALDSALPTRYYDPESTT >PPA20220 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4737:104:927:1 gene:PPA20220 transcript:PPA20220 gene_biotype:protein_coding transcript_biotype:protein_coding FLRDLPISSPSQAKFDPFDRQPGIVPKLSGGFLRSSTARSTASPTEDTFAQPGAAPKFTGSFLKDREPSPAHPMHLSSSQMKAFNDEETEGAVHRKPDAAINNFEGPITNPAAHFLSGTHFEKLSTPKCRMLNCAGPVPNDGSLSTTPTLSGKRSACSQVLVPINGCASGEGYPMAMLCSVCCECSAPLVSELLKSAANYRA >PPA20221 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4738:109:879:-1 gene:PPA20221 transcript:PPA20221 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLGVLCLAIAASSVQGQEVCGCPYKPKTGAIYEPARLMVIDNSTNSCAITCESGYQLEVKLENRANYAECTNGQKWTGQQRETFTTQALVDNPFLTCIKAMPK >PPA20222 pep:known supercontig:P_pacificus-5.0:Ppa_Contig474:3373:6037:1 gene:PPA20222 transcript:PPA20222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-riok-1 MIVLDPRTRLVLFRLLQRGTLESINGCISTGKEANVYHGRSGNTSIALKIYKTSILTFKDRERYVEGEHRYRNGYCKHNPRKMVAVWAEKEFRNLLRMHEVGLRVPRPIMLKGHVLLMEFVGKDGWPAPLLKNAEINAEVREGEK >PPA20223 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4748:12:493:-1 gene:PPA20223 transcript:PPA20223 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLLFAGVRGQTDKRSAVAACVVAGETLIFAANGFHCPLTAVAKNLGDETGSVTDIYLPRWLARNLPAIHVPLILLAVALHRRNIVAARARRQEEGLAAGPLTQQEFAVFDGHEEALGPAAELDAPGQAPAGDIDAD >PPA20225 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4758:901:1187:1 gene:PPA20225 transcript:PPA20225 gene_biotype:protein_coding transcript_biotype:protein_coding QTDESPTKTAEKDKEKEKEKEKEKDKDKDWKEGKEDKGKDKAEKSAGKEEDGELPDILPLE >PPA20226 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4759:1034:1201:-1 gene:PPA20226 transcript:PPA20226 gene_biotype:protein_coding transcript_biotype:protein_coding ALSALARWPILHLVSYSMDDGPSIGMDERGERRPIEMDKRRMSTLRLRLENRGPGK >PPA20227 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig476:4775:5000:-1 gene:PPA20227 transcript:PPA20227 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYLHFAYPLIEFHRESFTFSSCDAILVEEGDVDAAAREAWLTDEPEPADR >PPA20228 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig476:12138:12486:-1 gene:PPA20228 transcript:PPA20228 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLGLGRDSGEEMVVVGRCTRENPKCKYLHPPQHIKDQLLINGRNNLALKLMR >PPA20229 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4765:13:822:-1 gene:PPA20229 transcript:PPA20229 gene_biotype:protein_coding transcript_biotype:protein_coding DKKAGDEVDAEAKAKEDDAAAIKRAEEEEAERKRKEADEAATKKKADEAAAAKKALEEEEARKKKEADEIEAKKKKSKKADTDAKKKAEEEAKLKAEAEAAKKAEEEAKAKEAEAKKKSDEEAAAKKAKEEEEERKKKSIMNDALMPDKHAIYYRSLPDAAAKKKADDE >PPA20230 pep:known supercontig:P_pacificus-5.0:Ppa_Contig477:2900:4389:1 gene:PPA20230 transcript:PPA20230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase [Source:UniProtKB/TrEMBL;Acc:H3FDC2] MSLFASLLGPFGGFFASGFKRAFKIKDFGAIIPGHGGLMDRFDCQLLMGTFVNVYIHTFIKVPNPSKLLTQILWLSHENQLTIFESLKANLEQAGVLPGTP >PPA20231 pep:known supercontig:P_pacificus-5.0:Ppa_Contig477:6411:9562:-1 gene:PPA20231 transcript:PPA20231 gene_biotype:protein_coding transcript_biotype:protein_coding VGGSGGKCPPLPPLPNPARLERVRFSSQSFKRQCALLAEAFALASITARLAQEGEAMSEEQRKELETSRADIIELLELMESEEATEGLELKKPDDEEGGDDDGTGFEEDLADLIGMRCRAPYTASSMPLGLDKHAAIVLGFDGIDPERGVMLSVLYSHPLVSAMRPCPHYLADACRYAEKCKYSHGTSVAASEIEDYDEPDFEWVL >PPA20232 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4773:3:698:-1 gene:PPA20232 transcript:PPA20232 gene_biotype:protein_coding transcript_biotype:protein_coding PTQPSTHTLQSTQPSTHTLQPTQPSTTQPTQPSTTTLQSTQPRRSRRPPLFSRRSRRPPLFSRRSRRPPLFSRRSRRPPLFCRRSRRPPLFSRRSRRPPLFSRRSRLPPLFSRRSRRPPLFSRRSRRPPLFSRRSRRPPLFSRRSRRPPLFSRRSRLPLQPTQPSTTTLQSTQPSTTQPTQPSTTTLQSTQPSTTTLLPTQPSTTTLQPTQPSTTTL >PPA20233 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4774:414:880:1 gene:PPA20233 transcript:PPA20233 gene_biotype:protein_coding transcript_biotype:protein_coding THYEVTTSIVYDISGPRKSFTTLIPKYSTFRSVGPSFTKGPLLLPGNVETGNVWTWEDTRSDIL >PPA20234 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4776:937:1095:-1 gene:PPA20234 transcript:PPA20234 gene_biotype:protein_coding transcript_biotype:protein_coding GLAKELLREDYLKIVVDKIDAANKSITLDFVQIERRNKKATLLRMVGIDLRK >PPA20235 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4779:32:1029:1 gene:PPA20235 transcript:PPA20235 gene_biotype:protein_coding transcript_biotype:protein_coding AKDAKKTAEEQAKLKAEEEKKAVDAKKTAEEQAKLKAEEDKKAKDAKKTTEEQAKLKAEEEKKAMDAKRTAEEQAKLKAEEDKKAVDAKKTAEEQAKLKAEEDKKAKDAKKTAEEQAKLKAEEDKKAKDAKKTTEEQAKLKAEEEKKAMDAKRTAEEQAKLKAEEDKKAVDAKKTAEEQAKLKAEEDKKAKDAKKTAEEQAKLKAEEEKKAVDAKRTAEEQAKLKAEEEKKAKDAKKTAEEQAKLKAEEDKTAKDPKKTAEEQAKLKAEEEKKAVDAKKTAEKQAKLKAEEEKKAQGCPEKPLKNRRSSRLEKKKKGQGCPEKPPKNRAKAS >PPA20237 pep:known supercontig:P_pacificus-5.0:Ppa_Contig478:6770:12163:1 gene:PPA20237 transcript:PPA20237 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGRNEDKTVTCHTCKETCSDLWSLVKHCHVLHGLRVCQEDISDTDVMTTSTSSPQSSSLESGLSYRGAEYRGAAESREMMEGRDLMTPTTPDKRMSTPLQHSLKGLQASNKSAFSLNAFCSERLKEIAEKATQEEKAFPHFSNENKRLGRLISEGTDEEHGVSAFTPASNALNGFANQIQQGAGGATPTGSLLQNLWSQPTMASAISNYYSSTINQLSSSFSTHAAAAALLGLSNQVYTPQPTAQPHPQPTSVPATPTPCFTPKPSFLSGIKLEEQPLRPSSTLRRRAGSPSECAPLSKNPRTSSSDDASELIVVDDINMAEFDLAEPSARRAVNIKKEMCTYCNKVFTNRSNLIVHLRSHTGEKPYKCQLCPYACAQSSKLTRHMRTHGQNGKETHHCYICQMPFTVHSTLEKHMRKCVVNNSAQAAAIKRELTSSDDVKPSASLLADATSLIALSSAPIPPTPPPTTTTAGQSHQIVLNWLQLFLCKHTLARGEGAVYTKHDDFAAQITHHSNKRDSI >PPA20236 pep:known supercontig:P_pacificus-5.0:Ppa_Contig478:555:1652:1 gene:PPA20236 transcript:PPA20236 gene_biotype:protein_coding transcript_biotype:protein_coding MSGACSVSSRSRTRKKAAGSGSGGAREARGDSSAPEADESASGADAAGAARPGGNNNNNMDASSGSTPMASSDSGIDSSAEVEMGELKSGSPMSREGSSPSDNGVDSIVCGECHAHFPLSMFTSFIQHKGCGALSGNCQARPKKI >PPA20240 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4788:51:947:-1 gene:PPA20240 transcript:PPA20240 gene_biotype:protein_coding transcript_biotype:protein_coding MALASANLYQQQLPDELQELAAEVAAAEEWEAENRAGRGRRDNDEPFRMCGARLLQHIMDQDLCRPDKCEGISKRSGAEEVFGSRARRGADSKLISTRCCTNMCRPSEVRAICC >PPA20244 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig479:6396:9121:1 gene:PPA20244 transcript:PPA20244 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGKKIAVLTSGGDAQGMNAALRAVVRLSYFHHAQIFYIKDGYKGLIAGSMERATWESVANVIQTGGTFIGSARSKEFMTREGRLKAASVLALNEINALICIGGDGSLTGLQLLHSEWGSLMDELIDMDKVSVERGQRCVQLSVVGIVGSIDNDFASSDMTIGADSALTRIVEAIDAVVDTAQSHQRTFVIEVMGRQCGYLALTAALATEADFVFVPEWPAGEDWQSTMCNRLATMRKLGRRLNVVIVAEGAVDTKGKKITPEEVQKVVEEKLKFDTRITKLGHVQRGGRASFLDRLLGTRMGAEAVYTVIEPTLCKEAYVIGIEGNKLKRIPLKKAIERNQMVRRK >PPA20242 pep:known supercontig:P_pacificus-5.0:Ppa_Contig479:1955:5338:-1 gene:PPA20242 transcript:PPA20242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-143 MRPMTEGLLPDNSLDDLKAGSLHDEQMGEESGDDAPTEISSKMTSDDFANGLKENESDDEEAKIIAKAKAEESVRRAASNKCDICGENEKKYRCPKCDSRSCSLACSKKHKADDNCDGVRGPWQPVSKISRFNEQRVNEDANFLKQGDQLSEENGLNVPPMPIPTIPDCLPEGLREQAASPLERFLIGACHKRRVFIYFNEEKEADDGSRHEQFSDTVFWAVTLEFRKEIKGEDGQGCGQFSSYQYTVQQVPESIHVRTVIKQFLRPRMHGPLVSKDELDMDRLQPFIDATAEKINIFMPAHMRAEEKFYTVNSETTFLDNLRQRVVINHPKLIICLQDELSSMGVISEEEQRMVVDARRAILDRRAGPCPRGSRGGPFRGNNFRGGSGGFQHHGGRGGGGGGFGGFGGFGGGGRGRGGGGGFRGGNKRPFEGGDRGGYGKRGRDGGRGGRGGGRPYNQRNRDLDDFDPFEPFAGPSGAAMLESWNTSVAKTEPDNSSSGGPKNYFPDLDALGSSPNKN >PPA20243 pep:known supercontig:P_pacificus-5.0:Ppa_Contig479:5430:6139:1 gene:PPA20243 transcript:PPA20243 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVLSPEQLADREALRALLKDEVQRNFELGYLTSKLRTSEKHLAKDKDGKTNSTILVDSAIRDARPQTHSYRPPHSHSTRQSQSTASKHPLSDITRYFNDKKDVEKARLDRATKGVESMKEKCYQQWTALFNKGEIETEHQAIEKTNELDVA >PPA20247 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4796:190:703:-1 gene:PPA20247 transcript:PPA20247 gene_biotype:protein_coding transcript_biotype:protein_coding APPEETQPLLLRPKSLKQRPERVPQRPLTVETFLLSDQLLAAELQEEYYRR >PPA20248 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4797:257:676:-1 gene:PPA20248 transcript:PPA20248 gene_biotype:protein_coding transcript_biotype:protein_coding SCSVHATAALGSGVYRISPEGREDDAFDVYCRMDEDDVVGEAEGVFRAGSAWTYVQ >PPA20249 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4798:333:1549:-1 gene:PPA20249 transcript:PPA20249 gene_biotype:protein_coding transcript_biotype:protein_coding EARRERFIERGRTHQTVPPNLGDSRRDAPPPRELRLSAPAAPITLDHEADGEYAPARAVPLKKAAPRRASEREERLVFEE >PPA20379 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:841703:842376:1 gene:PPA20379 transcript:PPA20379 gene_biotype:protein_coding transcript_biotype:protein_coding MTAISRGEVPDLNLLPRDLQTHLMSNSNQMLTMFSKNSKGGSIDELLSKLPKWERPDLPTFSPYDINDIHNELVQEEEAAERQAKLRVYSGVALAIIGVISVIVIGLLCYCNRKNQRTNSHPLHRNAESSSTAGPSTSTSSFLQSTSIQAV >PPA20398 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:975891:977302:-1 gene:PPA20398 transcript:PPA20398 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLLISVLFLVFLAEVGTGKRSRGFLASESLTKEIQEFLYLDRFCFLSEIGNLYMELRYPLSLHNTNGIQSLLLYYDTQEQWHSAYQPNKSCQDRIDLLDPMNNQQVRLTPYGFTVDRSIRCEILTTDGLKEPWVRTARARWWYLAVSNCENGSQPIYLEYDLTMTNGPSSDSWFYQFSADEFC >PPA20391 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:907637:908704:1 gene:PPA20391 transcript:PPA20391 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIYKDAHLQDNYNRFETEAHKGAHQRRMVEEDAPDHRSKIRFRPEFQTGSKFSGFGDRPGSGVELTKHTWAGGEIISDPTRLPAGPKPRRIKPVDLTPRVTVTQLTHVERGKPGHDGLNEYIKEWNTAGSAPASEYGGALNLGGGPGSDRGLGAMSPNSGRGVNRFL >PPA20349 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:623108:627895:-1 gene:PPA20349 transcript:PPA20349 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPMMGQQRHHRQQQHMQQPMQQQQLQQQNNNGRNHVQVAVDSHLATGGRSQLPGSSRLPDSPPITDVSAGSSGSPSSSNSAGSPYSPDNYGNYNALNNGNGNGGLILGVNDLAAGAQDVGMLDALMMPQTGIPANGRHPQQMQQGAYMHSPYATQPNQMSPPEMAMGNQAQYAQQMGNGGYGGMGMYDEMIGMEQANMGRVSGGPSRKRARNDNQQEMKVAKEQQHVYDMSRVKPDPTMMVYPQSQSRFIPPTTPGIIMASSPIGGDSFIDESFRGVDGFPPSQCPQIIRFNKYFEESWQRLYDKHNQELSFSMHVVADKGFNYSPKDGVFVNQKKNHFQVSVNIEACDDNPPAYVLVGDELKPILKMQLAFCGVKSEMPTSEIEIKQSQNDRKPGVHKPVELDLIPPRQVTKVTVPRLHFSETTLNNQRKNNKPNPDQKYFLLLVRLIAVTTDGSTNLVLAYASDRVIVRVRSYPYSDLSK >PPA20262 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:53989:54641:1 gene:PPA20262 transcript:PPA20262 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVAIRDSIITGDAHDLPFTSSSFDIIINVESSHLYGDPQSFFNECARVLSTGGHLCWADLRYDGEEKQVLKQAEKAGFKLIRIEEITDSVIRGMEKVAARYDHILLTAPWYIKLFGNSFRETYCAPGTKAHERYVKKEKRYWTACWVKEF >PPA20327 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:471398:473138:-1 gene:PPA20327 transcript:PPA20327 gene_biotype:protein_coding transcript_biotype:protein_coding MHCNLSTPIMHQVVPSNSAGDVWESTYIQSQESTGSSESPKQSGRSTASKTTPEHRMTQSMTDHASPSSSTTKYSPKLTVGGAINVIRCEIPPKSPDYDNVTIDELLEASNCWAHYMNDQYTQIKNSHRLPNDRVIKEEKTSSCSPTKGPKKLFKPFMRSNSKTNNRSTKAEEKCNCAELYEQDFYGYREMMRERKCDCGSYLGPGEDDPRLMAVHVIRC >PPA20385 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:872320:879206:1 gene:PPA20385 transcript:PPA20385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tap-1 MSEFESWTDTLPRCTQSGIGVIPNARDTGGIPRQTHEFMHKCLNLSKNNIQFYGIFCAYNGDQRAAKYVSNRLAYEIFEESPITVEMAPEQIQIELKAKFSTVAMLYNQDVNELLVRRLLLKEQQTEESKEMVSKINAKLQAGTTALAAVIVADHIYIINCGNSRVLALLVDSGNSVDWQLNEEHDMDNQDEIERLKQTGVSVQKFESPTRAVGDCFRGLCYTDNEDYKGATGPPLISTPDVYVYPVDEFQCSHLLICSESVVKVIQEIGIEPTHVNQFLLSELVHEKEHSQTKSCVSLAQAVLDSLTRKHRESATEHEDMSLILASLSEIMSAAVQQSKVTMTTTDASFAIMRIVDASEN >PPA20264 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:76827:77801:-1 gene:PPA20264 transcript:PPA20264 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPTARLRVSCSNGGVQDVNNCSKSLCPLGWAGDKCDQRPPNTTTIPATATLQTKRVDMNVGAKGTEYKVEYYLFKAPAGQKVQMTPKVLGTRWSNSCDPMGIEIKFLRDSRPSGLQVCDWCVQQPTVTSETNEMLVQAYTLGEQFAVEMSFKAVN >PPA20351 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:674996:677371:-1 gene:PPA20351 transcript:PPA20351 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRSRWMNHIGEIADHRLPLSVQLKHTKLYDELLSPAEAERQLTELFEQHGGPVVNAAPLAQTAFGTGIVNATFFHPGSWSKFFNGNGPSDLVHQKILAFKLTMDISECPEHLSLWIGGCPDWKENIDELKGCIMRTDYDSFDGSWITLNRSSGYRLRNVGKEERVGGKSRDTPKKARIG >PPA20389 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:897903:900918:1 gene:PPA20389 transcript:PPA20389 gene_biotype:protein_coding transcript_biotype:protein_coding MCDFNRLDSDEEDFTVAQEEEKLRYGGALAALSDEEEEEEEGGDEPEEDDEEAILIKAARKVKERERKKAEVRRRLEEAGRAKKAKKGFLTPERKKKLRIVENARKYTLCFPYKKLLMMKAAEDLKEKQRQLELERSRILNERIIPLPDLDSVDDLEAVFEEMKRQVLKLEADTYDINYTVRQKDFEINELTIAVNDLRGKFVKPTLKKVSKTENRFDKLKKKESTKAMYIIRYGCPMTTVDFRSNLKIVEKTAGIEEEPEKEKKAEWAK >PPA20260 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:48769:50146:-1 gene:PPA20260 transcript:PPA20260 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLHGSMGDWKPFLLHFPSLFPPLPLRFLHSISIRFGMPTSSNNRPSIRPITAYDELKLEVQLDEIHIELERAQAMRKREVHMKIDDPILAELIINMKKHMRSDFSTFPWSGLIHPRSEKLLRKIASLTLVVELIVESDTVEKENEGIFGRIAKHLESVVKTIRNFF >PPA20396 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:966789:970357:1 gene:PPA20396 transcript:PPA20396 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAKMPALCVENETAHCFFLKELAIIGGTYDEFDAADIRQCCIKCAQQGCCMAYTYDKIKKRCYMKSAISNSEERSYTTSGIKANIANGNGCKLTNIEIIGGSTSLNLKNSKECQEFCTAYGIYTWMPAGITEDNEPFDATCTCTNRIASLKYTYGAVSSILPNTITRSDDRSL >PPA20250 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:4045:4933:1 gene:PPA20250 transcript:PPA20250 gene_biotype:protein_coding transcript_biotype:protein_coding MPENLLLLADQGILTRRTIGDPAAEENEKSGAKRDATTEARTSPPFSLSSSLPPFRQLIRDRVLERGPLSASRSPCGRPSKKEYVYNVTKLT >PPA20341 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:562837:567740:1 gene:PPA20341 transcript:PPA20341 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMRNGNIENIALLEQVNNSEIWKAPASSAHFRWVVVLPFIFVILTLSFLYIHILVHMGRSQKDPLLQSTAKGIVPATVKTKPKKVRVPGMEHRATGKSSLVLRFVKGQLHEYQESTIGVAFLTQTIWDRAGQERYHSLAPMYCRGAQAAIVVYDITNQSNNTKRSSNRKLLVTLVLLAGSACVGWLPTVIQFMITCDSCLLPLRLKARAILGAVSMFLHIAKLILDAFIYSSRLIEIKYSMFIFCDMFKNRVRKLFGMRYTNPTLPSEFTRYLSETKENRSMRRKHTAMLSLSPKSEPVAKRASSVVMMGSNGKNHEIPLPHRSNTLHVREVSESHHI >PPA20256 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:32920:33564:-1 gene:PPA20256 transcript:PPA20256 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPLLPLDPIDASPPPTKLPYVTLQSPDEDIDLLFGKIVYVDVTVEYTTIKKGIIRRFADRVCAVCKAMKILCCTSVEDHPAWYIY >PPA20336 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:524250:526744:1 gene:PPA20336 transcript:PPA20336 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLRETMSHREWERFVDEALEGLQPTPPRERMVWNDDESHQNMNRWRSKTGVTMEQWMRDNHFITGPPSRSTGAPAPITRDQRDQRSTSVVILSRPVSPATDSEESIPEWVEKSHKMMKEHGIYSLLGEPVPKKITLAKSGEMKKKLGEYKRQIKMGVETRAETLKMLRTFIRSVSTYDDESIEESSGANETQRHADYEKQLEKIHSVWKAHRIYDTLEVAIPNRTPTAQQMVLLREKMMMFVKRRDLTIEDADLFLKVLEEEEMGEESEEWENEESVEVDATLDDANAIETQYISGAEDIIPGETRYKNSGKEEVTVRVKGLAPSYHRKVITGANYVKK >PPA20325 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:463980:464315:1 gene:PPA20325 transcript:PPA20325 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACTYPAMNLTADHHADEVVGMIDATTSSNVALSSTQQEMPGTTWPRDV >PPA20263 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:55755:57182:1 gene:PPA20263 transcript:PPA20263 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSRKSSKKHLRPIHTKIHTTKIYPQQSAVIDPSGFLFIHPTTIAEKSKLLFEKEEQGESIRPVILNCLKMKTDRLLHLRSIQAPKS >PPA20290 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:260893:262389:1 gene:PPA20290 transcript:PPA20290 gene_biotype:protein_coding transcript_biotype:protein_coding MDADKSQRGAYITGPASDFIVRRFLEQKEHLLHWNARGASNGAALRRNAAESVTTEFNKIFPTLDKTFEQLRTGGGVDKKTESAIEKLKNPSEIDGLIIQYLKKTDFLGVGPESLETGWALRMENNNSKPMEMTVDDDDEDAIEILDQRKFKKVRPSKSGKLSRAGFEKARVQSQAEWIRSVAQPMSDRAASMGYGPKKRSHSDGEFPKEKKSKMESEDSVEILNTAVSSVNLLTGQLAAISAKADAGAALAEQLRALVKYGQPTREHLEDMLSSYDAKVQSATSSKGSPMDNVISLP >PPA20373 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:812826:817263:1 gene:PPA20373 transcript:PPA20373 gene_biotype:protein_coding transcript_biotype:protein_coding MVYWSRVLDPESDDDIKWSKTKDLPVSRHAVELQERLRASLASGNHSATSNIQWGPEAKRIKREFGFETDVPQDPPDRLNISNWPESLLNTFVGDYLPIEERIKLRNMCKALKKAVDSRPKMFTFDIDVWRLEQNEVGLYMPRDEMEAVYVISNKAFKWDERPPFSTFKEIRRGRQDWTRYDRNRMGRIKTAIRTMSNSRTSTPSISARMMLNHATAECLTLNIRLKEREPLPQMERFLKRAKDVFSQFILEVRQDSWKFHSSLNYRKSYEDCGITNAELHSLVAGETLNWLRLKVVCRFITQVGLCHIIRYLRTFKKTNPSRGFCIVVNASAARNLIAEVQSWPLFGIKEEETEPKREIDLYPSHRTDEEHLEVRPIKQNTMKSEIMEVRYWRKGTDTIRVAHMRQREDDDGNWDPFEQPRFTDRPT >PPA20302 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:334552:335534:-1 gene:PPA20302 transcript:PPA20302 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRVLDQIVSLVRRLSPTADEFVALKAILALDPQGSPLGDLATTLLTIARDSVMGSLYTKILSTCGSQAEAANRFGSLLMLVANVSKHGSLACSTMQFCKEMGVATDPLLEDLLYKED >PPA20365 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:765144:767397:-1 gene:PPA20365 transcript:PPA20365 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDRKRKGSSIVWLEKNDDTSASSISLSNPTKSSPSVAVLSAPSIEIIGEVKAPVRAAPVASVAVPAPQKPLNPWVLHLIKEAEELVDRTGDWVSKCDPRYLNYDQEEWTEKFGNETEAKIQRRRFDLGGTGLTTEDQQQMIVRDNDDITKNGFS >PPA20360 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:727057:728189:1 gene:PPA20360 transcript:PPA20360 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSDNNNNNINVPENEAPQTPTSSPPVEFMVQLSPARIAEFQRLSEDMTSLRPELADRSALDLNRLSNMNVEWTDANFRQQLTSLIYTNICASITEDASLLMDNCELMSDP >PPA20335 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:517891:519114:-1 gene:PPA20335 transcript:PPA20335 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGFHVDFNSDKSIDYDTNSKIIGEGRYEKEASPFLALILFTLFCSMIRTGQHIFYLLSTGETISTKKCTIMAHTYYVAEVLPQQMALIILVYALLENHRNISFDFRNQFKCAGIVIVIAVYHHIGFCVLFTNIGISAYLHIGSPGNPAGSTPREVQNSIFFTLLSYSSSCLMNFRFGC >PPA20368 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:775296:780423:-1 gene:PPA20368 transcript:PPA20368 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSPRSIMIPSPSDSSSSSAPSCSSLSPPPSAPSGRPPVVRFDENGEPTRVRIVRRDSSMLIKRYGSGKRVVRFLIADSKIESEYIKRFLGQLSQLEESRFCELKYGLQSHHKGKLPNDAHLLRFLRAREFDVPKAKEMILTSLLWRKQHNVDQLLHEWQRPPVVKQFFPGCWHHSDREGRPLYLLRLGHLDMKGLLRSMGMQNVVKLCLSTCEEGLNRAEEATKTLGVPISCWSALLDLDGLSMRHLWRPGVQALLKIIEIVEAHYPETMGQVLVVRAPRVFPVLWTLISPFIDEKTRNKFVICGGENMTEELKKFLDDQYIPDFLEGPCLTEVGPGGHIPKSLYLPVDEQKEDDGVLSTMYTTTSFVKGCPIEAVINVASTGCVLTWDFDVLKSECEFTVYHTPKPAKSESNNCKMERLPIIVSTLLIK >PPA20291 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:263879:266480:1 gene:PPA20291 transcript:PPA20291 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGLKTWKLELVVVDEKIEHDGEGEEMMNVMVAKEKNEKEERGRREIEEVKRRGGLVQREVRHAYSFVPKQKLHWTEFRFALMKENTISAWIFRHFKIKSSERRFEMRTKMERIMRPQKGKILVTFGPHLKTCRNMMYSDSGSGSEDESAKLKEMKRKVYQIMYKDMGTIASHLPRFSHFSRIRTTRRAYGTVKGMEDDSKCVRNSSHKEKRSRIRLMEKGEEEERRRNGKGSIIESEDGFGCIGGRIESDAI >PPA20382 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:859243:864089:-1 gene:PPA20382 transcript:PPA20382 gene_biotype:protein_coding transcript_biotype:protein_coding MMILEDILWVATLPQLQSAIAFYSHIMKLVKASQGFGNIEVLLPARTPSSLAPSNHATETGLAPNKNFAVFDFNQTSYHLNVKKIDLHLCDDAHSPEVYPPQWDIESGAMQVTLHTLLIDVYPKTPAAADRSKWVRYASPNPVTTFLEQNLKHHFARLIENTVDETTRVRLTRIWPQLMSFNVVFRVLDMSVQCVSDMQTKRDSLFNLFESDSEAKRKFPGEQFVLHAEFANFFHPMSDSLPVPPPLTHVQFGPFSLLFDKRTIRWILYVAHHLTVALSQVEAPDMEPLPVTDFKLDLLMPKVILRLPDPSSDSRLPHRLTVSLSTLSISNRSTEEQNHFTLLDTHLMDYLHGLALPSGKDILRSDLLQLNSNMTVSGLEEGDQLWLSSSPMWVETDHGPDTRGLLLITDVPLKASLTIRKDQLNVFVEPQTTLSAIVDHFQLLQLLRLSDDISKFADLLSTDQKFLSKGKTSDTPAPTVLLVAALAQAKVHLLLTHGPMPSPYEACPAINEAINTIDTNSYSGFIIDGYPQCKISGSFEGTMMHCN >PPA20281 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:217611:218212:-1 gene:PPA20281 transcript:PPA20281 gene_biotype:protein_coding transcript_biotype:protein_coding MVRREQIQHDSYEKHGDRHAIDSHKEIFGHKKDEETALKERMIHLAKWLLKNPVDDQVEYNADEEIQLSEESKDDHRFYACALDPEMTLSLHINCVPSW >PPA20276 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:166786:170740:-1 gene:PPA20276 transcript:PPA20276 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVQEEIGHESFEENNITDLDRMLKDAGKNALPPNSVEFLSKTSLDFAKISEFLENTQANRPMAIVDAYRVCPRANEPEMNLGNRLLLWHGTPTRNIAGILSTGMQIRPAGVDVSGSRHGDGCYFADMAYKEQWREDGTCPLREGLDSVKALGRHYPDPAGHWAHTEGFSVPLGEAIVEEGPFVRDYNEYVVYSTPQVRLKYLLRYFSLYGCDLAPSYLNRK >PPA20289 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:248159:249629:-1 gene:PPA20289 transcript:PPA20289 gene_biotype:protein_coding transcript_biotype:protein_coding MPKELGLRRRDTDKKVPESPKDVKIQRDSNCLVPISIFGESYKCRTWIVSSLFVFTLFAIAYNIHAYYVISRAYREMRDRPVGENQTNNRIGDSSRNMKNE >PPA20295 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:291246:296686:1 gene:PPA20295 transcript:PPA20295 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nucb-1 MRPLLVLAAVLVVDVVAPPPRREAPPPPPPDRPAYEFTYSKYLEEVVKILETDPVFNEKLRQMPEADIKEGKIADHLDAVPDPIAQRLHTLKVAELERLREQLAKQIEVDGGAHNIKMPQHLDLQNWEKFGKEDLRLLIKQTVADMEEIDRQRAAQFKEYEMKKKAEEDHKLAQMAPQEREQAKHDIEEKEKRHNEHEKLKHPGSRDQLEEVWEESDNMDKDSFDPRTFFNLHDLNGDGFWNTDELEALFQIELDKMYNETNPDDDPREKYEEMNRMREHVVRQMDKNNDRMISLEEFMKDSEAQAAQGNKDQGWEDIGQQLDHNQGDGQQVDHNQVYTDEELRKFEAEYAQQMGWGADAYQPVDAPPPPAGQQAQAHEGQQQQHQPPPVPVQPIQHQQPPTPPVQQQQQQQVHAAVPPQQAQQQQQQQAQPVPVQPHQPIHDIPKDPAYGI >PPA20269 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:88695:93655:-1 gene:PPA20269 transcript:PPA20269 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTENTSKNADQSQRELKKQKEVKPRKRLSEAEKLAMDEGVQRIFLEFVVKEKESFSSTNCREKIPNECLKRQQQQQTPPSKKKKARNEVDRLRGDEGVQRMLQEVYAEASESREEMKKQSKRIADKAELSIIHESASASSEAKVVNQKAKKKVESLKSKHIDDTTSEEEHKGEIRVKGNIESASTTSSAKRNALHSTASNAFEKTEQSMLVESSSPIAPVTVGNKPKVANEGEAKIVGAKPIDQTWKLR >PPA20274 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:155113:158323:1 gene:PPA20274 transcript:PPA20274 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRTVNERATLSEVANHGWLKHDGLPLYIMNSLLTYNFFLESTPRDLMKECLTEVGITEQQLKERMDRYDNVDGMHRLLLKDHRMRRLRKVWVDRKTTEIFVAAHILKSQGECMAVVPVVTKIAAAPIKEILQARAQEIDEAEENNATWSLYLPHPEEYPYHEFKEEQRKEAEENERMALESEQRSSTQFIPADYTSVRTMEAAVGSSQASGRVQQPLTVAAHLQPPVVARSTEIADFIAAQNGSALDASGNWEPSALLALAASLNEVPLELRRLPSDVCHRIAALDLIQKRRGGVPGQGPPLPKRQSLPSLFEQNILRRTTVRLGDPPKKNQRKNFFEMIRLKRRQADKQNGQSTSGTNWSLPPPALPEGGSFDDADEGKSAPDN >PPA20353 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:685377:688641:1 gene:PPA20353 transcript:PPA20353 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRTVASVAPTGRKSALEATSNDSTKPPVGLLHLIACQCIEHEDQCDEVMDVIIGNFVSRMDRSKKELIIKTLDLLHHLLSVCKDKVANWFFYDPNSYKTICILREMTIYLDVDIKAKATEILSKVDVRREERTSSSDPERTELDAREKSTEYDERNGTPPLESAIPARHLTQSKQKSKKASHEAPMIHRSGSVILTKRPPIMLPSNSDSEGLEPIRSPSKAEKKTRKRSRKGIPTSKIRSVPFASTNRRLPSGEVEPREDTTIRSAAHDDNDAIVEMRAPSEPVPKRKQISEAAKLMMDEGARMMVRTASPRRRSEAAKKDGKMPPTYHSNATEESYTNGEARTEAVASLTLSRRTESVSSITKDPEQPIKDASSKVNAVEHRNERLAPRTSDETEENILRAEIAMLKEAKKKDAEEKKRLESIASELEKEACSYL >PPA20359 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:714348:718779:-1 gene:PPA20359 transcript:PPA20359 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVVRHNCGVDPSSFQIGAHEFLILPTPASRSSAKSPSISALVYRCFLKISHFFGGVHECLNILIAVNRATAIIAPHSHAKIWRFGIPIACVVLILVGAGLSWHLFDSPSFFYPSMYDGLAYYVMVSDTSKHPGLYFFVKGKDISDDEALMLFEMLPWLFDLRFFSPTVLVLITDSNMRRAFLGLFPARQLAAPALIPVARTTEHIDDHVPGNTDVYSAPKLAPSGSCCKFCPGQSWTLILYTIYVPIFTVLWIVEISLVFVHRKNFLSSYYFFFVLCGVISLICELTINFGTRLPLFPEVNSFYGTVPWISQGQFPTLLYAISHFFGAVHEFINIFTAINRATAIIAPHSHAKIWRFGIPIACVLLVLTGIGGSWYLFDSPSFFFPGPSKDGIIYGMMSDTRKHPEVSNSRNAVITSLVSPAISVSLYAAAICFLRKKWKLNN >PPA20309 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:373825:383629:1 gene:PPA20309 transcript:PPA20309 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKQLLLLTLFCAAVRSNQYAKDYTCQHDGYSAPDGTCQCTDLYTDVGSKKQTCSQIQCVNSGVLSPYDRDVNQDQRCSCPPGFLGLHCEPVKCVEGDLQSFEVADHSRDVSIIITYNQQFQEQFKSKDKGPPIEKTICDAVYNQPGILNKMTDVFFNYVVVDRVLTTTDSCKATTDFTSFRNLEDKETTFWLTVISGGAQYTSYTAQWIGGIGDAPAQSVSAGFFNAYTLTVPARTVVSFKPYVTMGSQKKCVPSTILILFKSGHQARLAITNSDDFDATSPYAISGDTNTLVGHFLEHYHENNLMKLNLEFSSSGTPFTLNLDPVPTQRQCQYNARFGQVSCNDGDDTFVRVRDNTDGAAITVQNLYCTAKEEASRSFASTLLRLDDEGDEPADSTCKPAPITVKKTGRSLIVSAHATNAIYQLFNGNRNSAIRYLNDLDDYGIYDQYIINIYNAAQTTAAKVSSKYEDFKDNMMTQWHTYKMKDTTTPAPPVDPTSTAPPPIYFDEECSAPVSALFPLEDLTDRFTNALPNSDIIFIYDRKNDVVDEEDEMNILKNAYSKRLRLIVLLLGCEKDDFSDPTAIRTWQTLASKTGGFAVHFPQASDLNNFLGSYVGWVNGQAAGALDNMADAENGFIMHPVNSTTFKVKKGGNYTIFVNAVPIGLKLHSDFTQQVLSVSEITLSNGLTLTDPETFGNSIAKFDYTPDEDDTLIVTATPSPYYFYFASIRAIDLNEEYATIGFAKTNKEFCLEKEYPDFNPSEAQYPITTTSAEYSVEMSLFDNTATSFRNGVASGSNGNFELDYNWKCSDNNNIYYVSADVTTSDGSFSRVFTSICVGVSDGSAVCINGEHAPNDNTVCICSHDYTGDNCDIPVCQNGGSVTASLECDCVQDYSGFFCEHYDACVAEKDFVPDFKSIASTIIFVVEQSEGVTEKLQSLDPETFVDARAAQYIVVQYGTTDEYKVLLSTTDKAAIFPALNATQKIELPTDVKPEEFYDPTFALAASLDAQVTGSAQVFWFAETDRADYFADFLFDQISHQRVSISALFTRSVIETPIHPVQVAGGSVFYFPTDDASNSYQDFFTNYVVPIVQFQSSFELRPNLLASTTECNAAVTVNIDQSAAQLFVAANGENTTIEISSDATTYTPHDIPGGKVFTFNKKSKSKIFYDGAGAVTVTIKEQDATKMNCSTTVTAFSQNQVGYGFIENESDSKAMIATGFGEHLLVTYWTSESVEDTPTPTAVVTPISLEEGFGNGTEIYATVRNGCSFSLSIPVDCSEGVNAVGVTVSNYIIPNSGGNAYKVDKYFSVLCPAGECVHGNTTVDGCVCEAFWGGTYCTENTCKHGEIVGDDCMCYNGYKDGDGENFCDVKIDPNDRPGIAYLFIQDVCGSDADLKLQTQSILANFMDLLTAANYKFYLGTNNPDQAEVQIIKDSSDLRAKMAANDDGTGKCSASLGVIDSEIGDNWDDLYSEYTVYMEIVGFTDKNAMFTDPDSFKIFTPAVFTETYDPEVALLDLVNTFPTDKKPVIPPTDSLQRCIQNLQADFAFHTDISSAQKVIQNVVKYFDIPAVKNIDLDDDTCEFNKDQLANKTQFYGVIYANSSGIGVPTFCMSNMNSYPSTVATTDYKQAPQVMLQSVIDSFTKWYNTPTKMMCHCFDYENLETTKIIMWTPLSKTSLDTVPPSFAGFDVVGFKHIVMPFGFGYDDSPLWRGLVPDRAMWTRDASLSDYDVDDIIQDIWTITCKMAGHIQDDQTAEPFNPYTTKKPRVQGDDTKNNIDGVTDDPSAADDDSLPR >PPA20363 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:738711:746892:-1 gene:PPA20363 transcript:PPA20363 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSRKTKLKVGHKLEAYHRSGQEEGCWFCPATVVTVDDGYIKIRFDQSSEENRVSFDNLRLFPCGFAAACGWKCAFRTDRPRIKPKSGECQLRTSRAQGNAIPLESSGGASSQPPNIDEAVPTAIPPASSSNYHLASSIIDGPSTSVSIDPPRVDPTMNATAALTERALTTSRANGPSTSGSFDSSRVDPTPPGQSLAGPSSGAIQRRPRPGHAFCSRGSRGAARGGLSMAEQAPARLPIDDDMPLTPAIMPTLSSTPPEQPLAGPRRTVMWGATHGKGVACSSRGNREATRGGLSRAGQVSLTGPETGHSPSTAGVNPQNPVDTKTLMIEHPSYAPPDTIDVSNNLLAANEIYAAADHLQVAAAINNQAPPLDAAANEITAAVDELPVAAAVNNQPPLRDANKITAAVDELPVAAAIIGPTADPTRRSPSPVQFSWPDHFENVGSMTAPKKSFWKRPTTQFVNPFEEGHLLLAPDYVTPGEPIDYTISTICTVTDTLGPWVEIAPLFAPSDNSTDINWKDEGETVRVPASLSGSGSYLNRLKKHLSSNEVQKAPDECFGKISLKDQPKKEDLRGLVGCKVEAYSPHFGKGYFCPATVVAVDLSKRLATVIIQGSNGTHKEVSRKRRKKNGEQSGVEMPKRPKGRPLGAQTLQKALEQMIRKGETNALLAAAKKADVIKKVSHKVPTTSSSIDHFASTINDLPTSGIIDPPTVDPSIATARDEGAVTSGVSIQNGCNLETKEGPLAARKRRVNKEQGDAPQIPKRRGRPTKEAKRLREAAGLNQSDVVMGETSVNGAVIIGDHASSDARQPQWKNTLFEAVVLASGQELSYDCKIA >PPA20316 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:425727:426114:1 gene:PPA20316 transcript:PPA20316 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVIGREESVIERHGMFNNVLSGSLLLAGSLRCHHFHLPNDTTLDDFDPLLL >PPA20331 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:489875:490961:-1 gene:PPA20331 transcript:PPA20331 gene_biotype:protein_coding transcript_biotype:protein_coding MFRMCIPFLCNSSTLTAALISVEATTDNCAFEEALTIGAILNLALAYYFLRVWIFQTDMKAKLEATAKRIDEEDRASAQRHRDYMRQMDLLRQRQAARGSIDSWRINDGHESLTPCPPSFLHSLCPPMKGHVMHIM >PPA20320 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:442891:445734:1 gene:PPA20320 transcript:PPA20320 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYISLMKTTDNFFLGTNNPSQSKVIVIPSMEVLSKALSDNRASPNVKLLVSERHRMALRGKVDSHAGTNLPKLDSDFVLDMISDTKPVTPSLDNYPLCTKMLQAAFAFHTDISSAQKIFQSVTKYFDIPDVKQIYQDDDTCEFNTDQLEDKTQFTGTMYANSTTFGVETFCNSNMDVYPELIPTSDYKQAAQHKGSLRLGRVLGPKTDCTIPNGIKETAGKNANGDKKRLDTVPVSFAGSDVVGFKHIVMPFGFSYEDSPLWRSLVPDQSQWTRDASLTDYDVDAIIQDIWTITCRMAGHIESSETAEPVNPYTTKKPRVPGDGL >PPA20334 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:506370:507442:-1 gene:PPA20334 transcript:PPA20334 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDASGTGGSRFPSKVVIAAATGAVVAAGAAYYVYSKSGKPNGATPKSNQLTYDQLKKYKEDGNAHFNMKRYADAIDCFTAVIDELDRTKMFDKNVNKDKENMLPSKMNELKSASVGSLQERLTQWRLERDQVSNFIIISSISGLLWKTHTMCSLFPLLRKESKVAFEKAIKFMVDGTPMPFPLDAEVEKDRVILQGKRQLDGGSRGGRGSRGGKHITSRNCFYCDEPGHLAWNCQKKKEDEARE >PPA20375 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:824923:825336:-1 gene:PPA20375 transcript:PPA20375 gene_biotype:protein_coding transcript_biotype:protein_coding MSADALIRPEKEDRHFKSIMAKNVNVLHASLDNIALVLSIVDGNTLVQGKAQS >PPA20337 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:529703:537434:-1 gene:PPA20337 transcript:PPA20337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-alh-13 MLKEPEQGCGTTVTAMLRRGERHTVLFSLVWGVQEAAVVTACVNALENGVTTVITNGLNQDAIKSAVAGKKVGTMFARTHRYEGPPVDEIASKCRDSGRLLSALTNKERAAIVRNMAGLLLSREQDIVEANRLDIKNAQASGLEPQLLNRLKLTKPKLLDLNAGLNMIADSAESLLGRVLRRTKVASGLYLEQTTVPIGSLMVIFESRPDCLPQVASLAIASGNSLLLKGGKEADESNRMLHSIVQESLGAHGFEMRDAVTLVRSREEVADLMQLKDLIDLVIPRGSSDLVRSMQEMSKGIPVLGHAEGICHVYVDKECDEQMALDIIRDSKCDYPSACNAAETILIHKDMMSSKFFENLCNVLGNEGVKMHAGPKLQTLLKFGPPAAESLKFEYGCLECTVEVVDSVEEAVEHVIRYGSGHTDSIVTSNQNTAEHFMSHVNSACAFHNASTRFADGYRFGLGAEVGISTGRIHARGPVGVEGLLTTKWILRGEGHTVGDFKEGGKYTYVHENLSPSDIFRADEKIARTA >PPA20380 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:845404:851919:1 gene:PPA20380 transcript:PPA20380 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sym-5 MVKLDSNAFSGMSPVLQELILTHNNLTEIPSEALAEVKTLLKLDLSNNTIGDLTAEQAIPALPKLYDLNLAFNNICHVHKNALAGVRDNVQTINFGHNCLTAVPAPAIRGFKQLQALHMHHNDIGALDALSFMNLPVMNLLNLAANKITDIKQQAFLNVPSLRYLYLTDNQIGSLASYQFSVFEQLEMLDLTRNKITVIPKMAFAGLGQLRQLYLGENHINSIETDGFANSTVVILILASNNITTLDEGSLNGLPNLQQISLKNNHISSIHQNAFYNTPSTAMVDLSGNDITELPPSLFLTQLNLLLIDLSRNKIMKTPYSSFNRRVGTVLLQENPLVCTEKVHMLQDGVGVYIPNSDDIVCGGHPKPSTTTTTTEKALVVEEEQEQEMPIARTSDISTERRVDPIYSRLGIQGLPTQRIKMPEPPVEMPQSPPVSTTAFLQEEQEPIKPVNSADNRPYNPLNVRPANIVSRPRNHFGSELAPVGGRLPSRENPFARTAVHVPASQITTTTRAPVVVEEEEEEEEPGVIEPEEPQMPQEEIKQVEQQIQDEALNKMIDVMSVPPTSTGEPRVRTTTDIRDNPNIIHPFPVPFLKRGPNLSHSSIIRPSTAASPNPAQPQTPAYPRIEPIEPIHDLAEPNGPGAFPIPALEEATMPVHTLPPSIVLAPARPAPEIRDNRIENERYTEFALRTQQPDEIASDAKASARTSSFLTPGLLIGVCLALVFLASIVVFIGLCVAKHKNMRRLGSSSESDSTTARTNAYVAAQQAQMKMMYGTMSRSRQERA >PPA20259 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:41937:44475:1 gene:PPA20259 transcript:PPA20259 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVTLLLALATTATVAAHGPFTEDFVDFLSKSPELNEYVLSSFREYGDSGTFGGRTDEDEKVTRHPVVFVHGSSDSALHHSDRATGWTKSVEHFKKRGYSGVELFGLTYGTRDINFSLESRITCRDLIGLRRFIEAILDYTETEKVDIIAHSMGVTLARMAAQGGEVHLPTESCNLGAPLAPKIDAFVAISGANYGMCMCLMAGLTHLPACGQSGLAPGKCGRRQATIGDCMDHTECDGEDDYSNILFAVNKADKKEAEFVASLWSNDDNFLGRNNLVWGRKTSLVPGSDVTHGYKKMDHFESKDKTVNDQLSLVSDHRLHGGREKRHQ >PPA20366 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:769231:772258:1 gene:PPA20366 transcript:PPA20366 gene_biotype:protein_coding transcript_biotype:protein_coding MELHRRCILLACGSFNPPTHAHFRMFILAKEALERKGVTVEEAIFSPVSSSYRHKANLVSDHHRMQMLSLATVNSFMRADAWEQERGTWSRTVEVLEHHRQTARQKYADPLIECFLVCGGDLVDTFTKVMDDGKNLWDQEDLDRIIFDFGMVVLARQGSKPQETLKSMTDRPLEKVIVAEETTFPNEVSSTLLRKALEEGRCIRFCTADPVVDYIAAHGLYGSGYRAGPGERERDGAVGQNGAGAMNGTHNGAGLINGAGHNENGAGRHNGANVHTNGAGNNHK >PPA20370 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:803075:804915:-1 gene:PPA20370 transcript:PPA20370 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDRYNYSEDEDVYLEPELRGKGSNDLHLCGKGLKEIPAAYLANLYDPNCILRLDLSNNLFTDMKELTYFRNLVSIDISCNPITEIPSQLSECTNLRNFVAKSTHIEELPDFFKDLTKLHTINLSGNRIKFFPEVLIEMPGLKILYLGANEIQYLPYSIGRMANLELLYLGGNELRDIPATIGDLKSLNHLTLSGNKLETIPPTVAQLQNLELLAIHDNEIRTLPTGIVKLQNLQQLSLRNNPLVNNFVHNYAFDPPMLKELAGRVVRRHHDLDIVPRHLIPNDLLRYTWDLYLQRFFYSWPQR >PPA20310 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:388427:390566:1 gene:PPA20310 transcript:PPA20310 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNMEVVERSGEWSRNKREEVIFDSSCLHQLDYNHRLNHDGSSSRKDVTERHMKREQQKSGKGRTGSGCSIKFSASSLTSRHTSLECTNFFESRRLSTTSTFLLL >PPA20362 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:735599:737137:-1 gene:PPA20362 transcript:PPA20362 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPSVRPQVVALTPASSGSEEAAFASALGAHARTSGTSGGAPISIAELAAAAQRRVLQRAPIDNVHLQAQIVQIQHQQLLSRPPPPTPAEQLHPILRAPNGVQESSSVQRHQVPQQRLPSRLPPRYAEQLSPIMRVPTDDGAGSLAAQANAPGSSGAFPIDNVQLQAQFVQLQAQNEQMQQQMQKMQQMLQQVLHAGVANGAGPLGAQQRSVLYRNRSLQRHLVECTHQTPEHGNARDMLPTQPDAAREGAVEEDNGIISTLLWRDWLVFVV >PPA20393 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:912859:915406:-1 gene:PPA20393 transcript:PPA20393 gene_biotype:protein_coding transcript_biotype:protein_coding MVDALKPEYVTPLVCYMVHDSFKDSGKLFEAGAQWYGTLQYYRGEGKVLQNATVETIHDNWKAVSSMDKPKHVASIAEQSAMLMDMLGSLEAEKSSGGSSGGASAGGSGGFPSNIQSSALFQEIADGIKADPSSVSKVKQTFTYIITDNAGRELGKFTLDFKSATPSVYLGDCRNGNAAVTVTVADQVFMDLAAGKENPQKAFMSGKIKAKGNIMLLQKLQTILDQKKKSKM >PPA20267 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:83740:85068:1 gene:PPA20267 transcript:PPA20267 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDKSPANGVNALKRKIISESESGSGASIKRKTDENNNLKLFGDASFDSGIETTAVFEEDDETIFNTEKEVSRFREAEYQLTKTEKEFEELKKLMETKLNEACNKLLQARIHHQQCLRALTEEQVTEEVEKH >PPA20315 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:421857:425143:-1 gene:PPA20315 transcript:PPA20315 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRTVNERATLSEVANHGWLKHDGLPLYIMNSLLTYNFFLESTPRDLMKECLTEVGITEQQLKERMDRYDNVDGMHRLLLKDHRMRRLRKVWVDRKTTEIFVAAHILKSQGECMAVVPVVTKIAAAPIKEILQARAQEIDEAEENNATWSLYLPQPEEYSYHEFKEEQRKEAEENERMALESEQGSSTQFIPADYASVRTMEVSLSEHSASLLVTEIRDKTAVGSSEASGRVQQPLTVAAHLQPPVVARSTKIADFIAAQNGSAMDASGNWEPSALLALAASLNEVPLELRRLPSDVCHRIAALDLIQKRRGGVPGQGPPLPKRQSLPSLFEQSILRRTTVRLGDPPKKNKRKNFFEMIRLKRRQADKQKGQSTSGTNWSLPPPALPEGGSFDDADEGKPAPDN >PPA20296 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:297540:299639:-1 gene:PPA20296 transcript:PPA20296 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRTEIKGSAYWIVFDNAKRKNAISNKMYDELCKVMDDANENEDIMLTIITGEGEYYSSGNDFSPNETAGVDHSDLDYESGYSRWMRRLIRHTKVLIALVNGPAIGIAATTLGLFDYVVCSDSTYISTPFPTLGVCPEGTSSVVFERIMGTSNATEMLLFGEPMSAKQCLDRGFVSHVFPKAEFKQRAAALVEKYSKLPKHSVLASKELCRGQKWRREMLSVHNEEYDLLRKLFVHEDTINLIMNKFMKAKM >PPA20328 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:474218:477603:-1 gene:PPA20328 transcript:PPA20328 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEEKVRGFKDDKRQDKLQKLPCHCIPYHLAPSSSGAQRMEPDGATTLAGRKVALIATGAFNPPHYAHLRIFERARDYLERVESAHVVVGYMSTVSDSSGILELAPAKHRLRMIEIALKKNEWIKPGSWEAQQSRQPSLHEILRHYEKADIHSCKALIQEVRNNHGDETLLILLCGGDFLEAQVSCEPYQLANEGVESAVEHYRLIVVSRPGFEPAKSVYLVDGLRRNEANIHIIDDETFPNGLSSTRIRTAIRRGENVRYSLPDKVLSYIAHHRLYQTSSLNELNILR >PPA20358 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:711178:712278:-1 gene:PPA20358 transcript:PPA20358 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTSELVLTGPEIVPFGPDTKVASNAGRPRAGSMDHGSGQNDRPIFKRRAVADWHLLVEFVWAILWICADTKDNLQNQLNW >PPA20279 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:209055:210547:-1 gene:PPA20279 transcript:PPA20279 gene_biotype:protein_coding transcript_biotype:protein_coding MCMDDFYKIPEYDFKSCIRTFNGKTIGNVNVLIFEGILSLYSDEIAELLDLKVFVTADDDIRLIRRIQRDTKERGRSIDSQAKADAIISNDGTANNLENAIRLIVSRIREEICGRNNINEIAIFE >PPA20317 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:431733:433692:-1 gene:PPA20317 transcript:PPA20317 gene_biotype:protein_coding transcript_biotype:protein_coding MQFATWNNQKPECLHPHGKEWDWMDRCLPRTHAVCNVEQPETGVSPSARKRMGLDGSFAAERSLHFCNPTEKMPDWTRTMQKGVKIGKYQYYNEEKAPSIFNSEEKFVKQNYDYFLVLDFEATCVENSKIKPVQEIIEFPVAKLNASTLEVESVFHKYVRPTVNRQLSTFCTDLTGITQETVDQAEPLSAVLKSFDEWFESEGLHNSSFAFVTCGDWGHDEVQIVNKTGQQFDDLKTQLPNEAKYKNFVLPTYFSSWLNIKKSVTALTGVTRKGMKGLLEIMHIELKGRHHSGIDDVKNIVEITKWLLKKGHVIKNEDASANRIGAT >PPA20312 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:395002:395630:1 gene:PPA20312 transcript:PPA20312 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVYAMKKLTNANASVIAPNHQEELMTMYKQSIIPKTWNNSTKGLTKALEAVAEQYEKAPDPRTFGPFIEPMIRRTRYEPIKLHYYVSFITSPIISTGIPYGERKVKTSDGSSVSIPNTIRLHRNAEIIRMYILGRSI >PPA20333 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:501397:504500:-1 gene:PPA20333 transcript:PPA20333 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSKAAHSLKFCPSPILCMSSDASGTGGSRFPSKVVIAAATGAVVAAGAAYYVYSKSGKPNGATPKSNQLTYDQLKKYKEDGNAHFNMKRYADAIDCFTAVIDELDRTKMFDKNVNKDKESVDNHVELLAACYQNRAAAKQASEDSPLEVIADCTHAIALKPKYAKAYIRRAQLLISMEPKQALAEPTAPSTPAAAAASLVVASWQVGAGARHHCAMVETPKGPTKSALPPEARAPVRYASQESVDALSGKVDKMMRILTKIVTILETPSPTPPPSLPALSPVVNMAREVYEACTKAVMDKNEYADKETRALVIGSTESKVPAESLKKDEELVASLIDYSESEAAKKALADGKSTHPESIIH >PPA20364 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:758610:763447:-1 gene:PPA20364 transcript:PPA20364 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETTYEIVHILDERTKPDGTLEFLVRWKNCSPADDTWEPPEMLDHGEDNWHVVRLRKITNGDVKAPAWYEEYRRSLNDQSESGEEEVDESDTEWKPKKYEKKRNGSGCTPGSARGPSSAQHSMSGSRRQSRKGTCVRREITAMPASTYHIANMTHPSLGSQDPIASSETRRSRRGLCAVEENEGTQERRSRRDTTDSASRRNDSTPLRTRSVSKRNHSLSDAAPLSDAVTPPAPKRNHSTSNGAPPDAVTPSNPKRNQSSSNAASLRDIVAPPAPKRNVPRDELTSSNGARPTAVTPSNPKKNHTLNKGAPVNGSSEQRSKRQKTAEDELHEPEIKSRKQERVGHELKRGSPGPADTAPLIAASSDGDQAEVAVRTIADPTAARSTTSDQADAKAIVDIGPNTLRNGVKALTEDRVAVTSTQVPTIPSPPSSSPHSGEDDQAHPDGAVIIPEATNGGVKTANAPVDATTPMQPATDAPIFHANEDEPSYILPPSRPSCFRPFDWVAHLKKEGGRTAPAKLLASSPLPVHS >PPA20253 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:22465:23106:-1 gene:PPA20253 transcript:PPA20253 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVIDGTQVRRKARPAAPPDKPRRSNLPSVEYSGSFLQWYWQVPGEGTLLPTLRQCL >PPA20387 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:888579:891518:-1 gene:PPA20387 transcript:PPA20387 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNQIVPTPFLLAESDVYQVLHKATIAAMKLRLKVTPGKWVEKLENGETELFKSSDAMEVFANKRHSLEKLERYAMKNIEIFEREFGKKDKKIKLLEDQLKKNGGNRESKVDIRVTQQEANRALEVSRPSKDNENTDEEARGAENQPPNAPSDLENRNEAEVKKAEEERMKKEEMEKKNEENNKEGAENSNAGPPNKKEGEKKKKKVPTVSTVTRRSQRLNVPQQADTAEEKRGEDMVPENSLHSPVLSSQSSHRQPSDPSWTEGADLSMVEDEGMEMEGGEEVKRDNKVYGKEMRKTFTKDNRKQIVAYADL >PPA20286 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:237355:238448:1 gene:PPA20286 transcript:PPA20286 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKRDHMGRLVATLRFAKLMQIGPLDFLSALDDNSIIGVLSNVHSCELAMDETEGSSGIVPHRREVEQRQSSICRPSRIIRWAKPVACEMPPGSAYTHEGLSSNIRLRWEFYRYSLLKNFS >PPA20254 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:23869:25315:1 gene:PPA20254 transcript:PPA20254 gene_biotype:protein_coding transcript_biotype:protein_coding MVARMPVPFVADASTVTTRSSRKHVARREISPVRKPKNQALHRSVAAAAAVMPPLKAPTRSIAPKTAAAPKRGRKPSIVAAAPKRGRPQRPAQGAPRAVSTAAPKTAVAPKRGRKPSIVAAAPKRGRPQRPAQGAPRAVSTAAPKTAVAPKRGRKPSIVAAAPKRGRPQRPAQGAPRAVSTAAPKTAVAPKRGRKPSIVAAAPKRGRPQRPAQGAPRAVSTAAPKTAVAPKRGRKPSIVAVAPKRGHKGASTRSTKQKLKAATRSIAPKAVAAPKRGRKAAVARSASPQELRRSERIKKITDCQKPLPVRTQLRAMHRQAGGRGTVTTVHRRRQWACRKKHL >PPA20304 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:339743:340551:-1 gene:PPA20304 transcript:PPA20304 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSVCRDEASGRHYGVVACFGCKGFFRRTVRAGKTYHCRYDQKCRIDKTGRNVCRACRFKKCLEVGMEPDAIRPDRDKTGRQKNPRRSMLMMSPMCAALNGKKYSDASLIGDFTSLEANLADLQDSEDNRTTPSSRADSNDEDKVRLILTSDRRWITPSVDLLL >PPA20395 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:929247:934165:-1 gene:PPA20395 transcript:PPA20395 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lin-14 MRIRSVFIRNTSAAIQGRKKRHVLTLNETCSIEMMCEKGRAWSPADALAFAAAAIQNSTMPLPNGVHERKPRKQISDDYVKLIRQQHELSGKNISDIQIPVPEALECDPSFVATPEKAIVEQIIQSKKFDELSPKDVQEATTQLCKKLAEKRVFGPRLMAQTTVAGPNHSTYNNLPDEGIIYIQHVCRTVLKDKLKSEEEFWDVFREAMRKLAARCRRVRHAKKTKSMKENGVTPLLENQTQNALAMLAGGFSEQWLENVKKNVAKHEDVSPPQSLVSALHQFTQNNGTVVKSESPEEPVSSTSQSDGGIFKGEDIMEWVRNQV >PPA20307 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:355167:363749:-1 gene:PPA20307 transcript:PPA20307 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSLFPELEGSYDKYHQILKDMEKLDASCFYGRPLGFQFSPSVSRVFRFIGIVLATYSLSWEKGHGAIGSLINSGRFFFSPEQRASRIIKVIKEADITFCKGFWNLSEMGNSGMVRRGDANVSKFKHVPKWFCPNMAINELRDLPSDGPLQLATVDGGTVLIPEPSVHTGKRPVKIRVLSFQARHGVSPNGLGARQPLSPYLLFHCHGGGYVATSSKSHETYLRVWAKLLNCTIVSIEYSLAPENPFPRATEECLFAYAWIVNNPALLGWTGEKLCMVGDSAGGNLIMSINLRLIQLNIARKPDGIVPVYTPFLFQYLPSPSRLLSMMDPLLHMGIVLRCVAAYTGGYAACGGQASPVQGETEGNKGHKSLQEYMEQVQKSKIDFSGGSQSIVSLVNLTAQDAHGYCNIEKKKKEPVKVDLDLNQNGETSKSGESEDTESEEDDNMSVSSVQVIADPLHIQLSTLFDSDLVDYLKNHPTTKSNIVVVDENGEEIIEGVEAEEKDDICPEMDVVDGTEEEEFHTSPSTPVNQAKPLAGSVSNNSLTAALQPVAHKRSLSQNLVDTAALAAGHALDNLSDWLDRPTEKQKLERAQSTRSQMHAEEEETMERKRNVLEELAASDVPRDPLISPMYATDEMLRQLPPTFLIGCHLDPLLDDTIAFARKLRAAGGDVRSVDLIPSVPHGFLNFTLMSPECRDGAKLCLVRIKQALGITRDA >PPA20294 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:288515:290058:1 gene:PPA20294 transcript:PPA20294 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLGQPGRRTALSWFIEKRRPIIDDLTRHIIRALDLYAETNKNKMPSSILIFRKGMSEGELKKMKQINEALEQIRLERKELREYRPTLQCLVCMSNTPDRLFYKGGSENVPAGTVLEKEATNPDRVEFVIAPHIAIKGTAKPVRCTLVCDQRGRDGRRLSLPELECLMIQFQSVYHSLCYIHGIAGSPTRLPVPLSDSEKAAERQMNNYKEAL >PPA20318 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:434717:435304:1 gene:PPA20318 transcript:PPA20318 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLLIITSPSLSHKTLALSLTLSTQPKRSLVPSVHVIETICWLSLRYARCLRHLNVLHACEIG >PPA20361 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:731133:731703:-1 gene:PPA20361 transcript:PPA20361 gene_biotype:protein_coding transcript_biotype:protein_coding MSANNKNGSTACNAQENEVISTPSATPPIDFDDAFEDMVGNVAQHVISTLRSSLLMNNGRLVPCVFTSNPYLNPAASELIPDSEQLSRSS >PPA20401 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:998625:998923:1 gene:PPA20401 transcript:PPA20401 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTPGRKTEMEGTEGRKAVSKAEIWQQSNEREGSDRFMGKKSQERKGGGLEASDRNRRIKIGEGNGRRRSARGTKVNQWIWVM >PPA20357 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:710080:711089:1 gene:PPA20357 transcript:PPA20357 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFSVIAIGIMTLLGLQEVSLEMGKIVVPGETVTTTSSVIENIEARIASASDEEKQKLHSFLAKEGFNTSEAPLSEALFVCYSYLSIRKLDEQLNQSRAGRLAIATLAAAVASFQQLTFEDVRMSLAPESSVEDPANGDIEGAIEKV >PPA20297 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:301011:302493:-1 gene:PPA20297 transcript:PPA20297 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRTEVKGTAFWITIDNPKRANALSVQIYEELIRAFDAANAHEDTIITVMIGEGKYYSSGKDLNPKEMATHDHANLDIEAGYSRFIRRIIMHRKVLIGLVSGPVMGIAYTTVGLFDYVVCADSTYFLCPFTTIGVCCEGVSSVIFERIMGTSNEYFSSEV >PPA20388 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:894351:895094:-1 gene:PPA20388 transcript:PPA20388 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLLGTYMLTELLYRLDQANTAIMIAAGVITFPPALFTYFRVLTVPDFKNEFLLKLFVLNGIANALKYFTFSCSVSFVIATVVAFPLFFCGFTYKEV >PPA20386 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:881114:884367:-1 gene:PPA20386 transcript:PPA20386 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPVRIRCAFVFIRILVRFGGGRISPLLRVVGPLPFVVLLSSLLLSKGSLRVICRLASMHCGDRGSLGLLGIVRGRRLDVSLDVLKSRQQTTTPVGVLRRRLHRSSVVRAAGYDRDVGIQSVGASDSLFVHAGQTMMFVPTDYILGRNGINGEDEGDREGAQDRVHVPEDNWKCATSKFGYAMEELFARWENDGKYGRTCSRTEIAKLLLGQIGAIGEITQFKSVSFLVHSCHGKEHLGRIDWAALRIEENAQKMLLVGQTILRPSGCKSTSIDDDNATYFES >PPA20321 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:446231:449361:1 gene:PPA20321 transcript:PPA20321 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKLLLLLGLVLSASAQSCSNNVPCPTGFMCDTNTLVCRQFRTPGNGNGNNNGLCTNVFCNPGTMCDSNTGRCVTFRTPSNGASRCAGVQCPTNYQCDANTGRCVQFRDPGSRPGNGNRCGGVYCLGGYTCDTNTGRCVLFRTPGGGSTIQCTTSANCPSGTACDANTGRCVAFRNPTSVTSFTGTGKCASVICPDGLTCDSNTGLCQQFRSSSSQFSPSSSSSSTSSCTGITCPAGYQCDRNTGTCQAFRAPYRRAVRESQLNSLCRNRVCAQPNAVCDENTGKCVLVTDLCQFSSCPPGFLCDPSTGQCRISMVSDSVDLCASVKCARGSSCDPMSGLCSRQTRQAAYQCPGLCPQGTSCDENTGR >PPA20271 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:127984:132031:1 gene:PPA20271 transcript:PPA20271 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-syg-1 MRLLLLFTALAVAAAEQEIVEGPRDTSVHVGKVVTLNCKVSGQGCGGLQVIGHQCSCAGCEWRRAKKEKETLSDPEGGGEDQSEMPGIGREFLVAFASPRANRVGRTKQEKGAVQWMKNGFGLGTERSLRFFPRYTMVGSNSEGEYHLRIENTTVSDDDVYACQISEADGESSIISAPARLTVLVRPTAVKFVEKVAPMLNAIAGETLLQACVSRRGKPAPRIGWAISSDAQGREVTAWLGESRAKFGKLFKQHNIGTQVSIAAQIDDEARIEGDVISSLSNISFVPRSEDDKKFLLCISQHDTFPDRVEIDSVRFNLQYAPRVQLTWAGPGALREGKPALLACNVDARPLNDLKITWYRNQNKLLRHTTDTLAFEELKMEDHKRRIRSELRRIR >PPA20277 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:173704:176410:1 gene:PPA20277 transcript:PPA20277 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWKTPIVENYKESPYVVEGFKDAETVFSKRLANTTLGLDLAKLRNYTREAVTENRNVMFLMNRCCAALAFKLLIDQDSLDEYDINVIILLKSIFPKAIRERFDDDTNYNMDKSPWTKRRLFSVDEKKAIAEAFTAAVNAFSKRLEGTQFGYDLFALDTYLKTNKMPRFEVLMTPPNDKVEASTIPIHSFEESPPSDAEAPAEREEPELPADSEESETPEEPKAPEEHEEAEVPAAPEEIPEELAVEYEDTQATEESETHEQPAGEFVENEEAIEPEGSLPFSEPSFEPITSSPPRNTSESSSTDPESLDKPSSISSEPEIPPNESHDDSLVDITPPARPSNQTGIYPHVIPDESSNSTAPDLRPDVPSKTIILSVYEGNSPVAAEDETSA >PPA20355 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:692977:694456:1 gene:PPA20355 transcript:PPA20355 gene_biotype:protein_coding transcript_biotype:protein_coding MCIIQLLLNIICYKYCLSDDDLTEEYMSPVSPSSSKGHVVSDVELGHNYARTEEPKKSGDSDSITEMMCGRCELECKEPMPNPWSPGCYTECCGVCSAHYKFDNRKEYGTEFLELMMRLNRFYN >PPA20285 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:235490:236378:-1 gene:PPA20285 transcript:PPA20285 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPRRSFTISLAPGSQFTEAPKNPRPKGWTLRSTKKTGRYDPVARKLVDDLFEQYFSNGKKLRPDEAEKRMRERNDILPAQRMTFDQIRNRITTLLSQKKEHQRKVHGNRQRRYVKLIDDFERDLAEEGMSLDDIEEEVDLERPLDEDDLIITSDEIYDLVYSNMEFFDNPSEPVFSDFGEFEQ >PPA20342 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:570343:577633:1 gene:PPA20342 transcript:PPA20342 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRSVAKASSPAPVGGPTQFQRFCQWYVKSDCHLTSEEMQRLGDHKYSAVDTSYLDELCMKRFWESVVPLCPLWLAPNLITLIGLVINLGTCLILSYFSYSARESAPSWAYAVAALGLFLYQTLDAIDGKQARRTNSSSPLGELFDHGCDAVSQVFVTLNICYALSLGQVDGAVIIVNVISVVIFYCAHWSTYCTGQLRFARFDVTEAQMVVISVLLTTALFGDVIWATELGFGITVRYVTVFGSLITSLFQVYKYLNIIFSEGVGKNGSTVADTSVLFPLFPLLLVCIPFCMIFARADSDVIVENVTLFCIAFGASVIPASKMAMRVVVAHMSKSELILWDWIFLAPIMIMINQYYDYPFDELNVIIFATIYAWASLLVFSVMICRQFCEYLNINCFVLGPRNPPAKNNKRH >PPA20288 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:245923:247991:1 gene:PPA20288 transcript:PPA20288 gene_biotype:protein_coding transcript_biotype:protein_coding MYEVIGGANVTTRERWSWQVLILPHTENGILGICGGTIIAEEWVLTAAHCVQNSNITKVDVYYGITDLSQLKHVDSGGPLMKKASNGQSFQIGITSFGNCETEQCKEYMSGEEFPNVFTDIRKYCNWIKESTEGKVTCQDEEVVLEDVGISV >PPA20339 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:549680:550752:1 gene:PPA20339 transcript:PPA20339 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGFYRIRRTGPGPDLIGPQECYHRFSGDGANFERTLINWLHRKNTTHYSPITPSYHSALLKLQVDSLRHGEQVTTSSSSCDAKKVDQVSPETPLRERALCKFEYVLNYNPRVRVPCSFLPPPPPPHLPPLIQETNQIAPNYAAHPYANPTRLLLVSSKMMMKVGQ >PPA20399 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:992955:995660:-1 gene:PPA20399 transcript:PPA20399 gene_biotype:protein_coding transcript_biotype:protein_coding MFDMLADQERIEELLRTMQQRMRAERIWQEKENAKMTNHAQWRFVQEIARAEEARERELDAMEAEEGSDDEFDPFYTFDEDCGIADPFEPPAEMPESMCDIPYELLIEGRSRRSGNERVHPSS >PPA20348 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:616592:620252:-1 gene:PPA20348 transcript:PPA20348 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTYPRKTTWAEWTRSDSLSNDTVAYNWLSSADTKRLGTCSEIMRAKIGGGPLIRAWEKTQSGPELREVPPLATNPGQFEPPEQDIGWQNSDGMMYIHHPVAIGTNQNYGGLLNVNGDIVLTGQVQQPSDRRLKENIVNLDKKQAMDRLNRLRIVQYDYKDEVARALNMSEAARSRYGIIAQELDKIHPQSVNKEGEFLTVDENRIFYDNVAAVQELSKMHEDLEVKIDGRVDHMQAFFSKIFRKKETKPADGVSVISEDSGLAGQKALSTSRYSLASTFKREIAKQNKESCRNPSCSRSDASSHCSSKLTQGTIVTLVVVMAVCLLSMSALYVLDWHHRNYGIHAIVTPGKAPEGEEPGLMKKTIVMPRGQEDAPPLHDMCLHAGCRTFCCDKKMTYEHEIRQGGFDIATVKPLAGSKKAFLAAIAGSEKMSPTSFFPKPLWSGVRIEVEGMNMTIDSRYCSERSCNEKKGRYHLFVPVSPYMPTTPVMLRITPPQGLFVQSCGHMLDFQEEECPAEGGSLHFTLNMPTAIETEQNLFEVSAASYKRSGYRFRVGTNTESCYGSEERFKSSYEEYNIIFYRTCGDDSDQAQTTIEIENERDFGIGPGPKISPRENRIVEEHVSAA >PPA20323 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:454929:456311:-1 gene:PPA20323 transcript:PPA20323 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMFMVTRISTKPGLLTYLLCGGLAFFGCWICCCIPFCVEGAQDIEHFCPKCNRFLGTYKRI >PPA20265 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:78332:79098:-1 gene:PPA20265 transcript:PPA20265 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRVPTFYDILGVNKHFNCAANCKTSVSCSNGGVQDVNNCSKCLCPLGWAGDKCDQRPPNTTTITATATLQTKRVDMNVGAKGTEYKVEYYLFKAPAGQKVQMTPKVLGTRWSNSCDPMGIEIKFLRDSRPSGLQVCDWRVQQPTVTSETNEMLVQAYTLGEQFAVEMSFKAVN >PPA20390 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:902275:903178:1 gene:PPA20390 transcript:PPA20390 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGDELNIDTTNKLVVNGVDLVNRAELSPSQNRELQRFIDLNKHLLQYKDRELPPEPPTRLTSNSNYSALSKKIGDGTPVGGYNPNPNQYLDDGSRGRMTNRQNSRSVSPEKKMNGGPDGPWLSHSS >PPA20378 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:837621:841100:1 gene:PPA20378 transcript:PPA20378 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLRVRNNRICSLSSNSLNETKNSIELLDLSKNCLGAIPAAALRNSQQLAYLDLSSNKIAEIGNFNMMNLPRLRELTLNDNLLNKIHPMAFMNVPELQFLYMRNNLISALDGNRLQAFPKLEILDARNNMLSKVPSFKDQPNLKEIRLDGNLITKVETLAFASNPRLQLISLEDNDISVVARNSFDSLDRLVILLLSNNSIKSIDRGMLDGMKNLQQLNMRNNSITEIDKASFTPLKLLTTLDLANNQLKTIGPGTFDVMNKLFWLDLSGNQLRSIKKGVFTKKISNMVLDGNPLECDEDLDAFVHYLVSNKVRTFLPAQKEIACAGPPAMAGILLRDVMIKKAGELKKEEKPKEKGNQQFINSLLPALSIGGLGANPNGGQAGAGLGSLAGLGDAFPGLKGMLGGMGGGQATTPQRTVTNQASASAPAAPAAASAQVAGVSNINFNSLSPTELESLIAALPPMSVDVPGYGNLDVSKLPPSLVAHALRGGQIPGVPKEALDQIIAKYVSMMKEMVANGLRAQQLAAQANQQSQQGPASQQLQQPQVVAAPVQPTIPTNLLVPTAPATAAAPAMPSLPLTPQSLEMLKLLPTEPSTMGEIERGSSQ >PPA20326 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:466033:469440:-1 gene:PPA20326 transcript:PPA20326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lgc-32 MLLYLFLPVLVWSCTSDQEIIAQLRRKNIGRNNVGDVELELHVDNAKRLDKENAVFVEGYVLKKWNDGSLNFESQDPCSDSVIVSDVSHPVHLSETILQSDATVTKNGTVTVKELISMKETCTVKDLEFPVRSMRCALKFPLKKSERIATWANAPTKNSLFELSSSEMDDFGVEVILKYKLPFMKVIIEFFLPAVIFMGISWLSLALGPMSITRSILIIGSFILLMRHFSYPPIEIGQTGEPVWKTFSYIFVLSTLVELVVVTCLMSMSKGPSCCRKRSGEYDFEPVYEELNDLRKRDSLANGEYKMRYAEKRTGCSCCRFFALFLDLTTMVFLATALILFIILYFNDDFNLVEQINNFSLDKIIKL >PPA20329 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:482266:483171:1 gene:PPA20329 transcript:PPA20329 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hen-1 MTLSAIQAARDIRAPFAYPEENGFKTYDPELAHAKAMIPVLIQIPMKVLLFPMKMVKK >PPA20377 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:829797:833646:1 gene:PPA20377 transcript:PPA20377 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRELVRISALLCALFASSSCATSACPIRLQPPCSCLSSRFESISIVCDKGSSLNEVLQSLATPPALIDSLTISNTPIEELPPYAFNTLTVRNLILRNNGLQQIAPDAFAGPMLNSLESLEIRSNHLTAIPQIGVNEIRSLKSFSLYDNLISIVENNAFLSYHSRTKLTKLDLSANNMSVIFPQGLLGLEALEQLSLDKNRLRMIPEDALQLVPTLEQLSLGVNEIETIGVKALQLPNLKSISLEVNKIKSIPPDALQTVPNLAYLYLAGNLFTSIESTMFFYVNRLKVLSMSNNHDLRIVTASAFQYIPNLLRLELSDCAISVIEPTAFHKIPKVQVINLARNHLTHIVHDTFTALPSIISTIDDFSFSQLSALSTLDLSTNRLESLQPNVFYHSLNANTGRQRILKWLRENPDVEVAKSLDELAKCWTPSEVSGYDLRQEDPFDSTTTLSTVTTKSVEPGEKMRKLIKTPPVTATSIVDFPPVQVGTEPPKVSTMSLTILILGCVFGIIALVVILIVMIRCIMKSRGEKSTGSRFGGDSVASSAFSGSAYSSTGLYQPEQCQPIPRLRPRPVQNSDNFLMD >PPA20344 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:586231:587333:-1 gene:PPA20344 transcript:PPA20344 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLILRRKTKIEDMFSESRIFFMYLLHSLPYAQSAFNWLFYAFLNRNLRNSGGRSSHTARSTVPTSTLFENPMSSNVTPLWKNIQLMGSQLRTATADTSQLLLRRSPFRSRSRVQSRSSTYLGGDSNHLCVSLLDVGNGHHHQQQRRSTLLIPRMEPSLTGLMTVQKCVSFNDLPRQQNGDPFSTTSLRMNADDVEEPPAVLTPTSSDASATTTSPLVSEHGLVESCSVEWL >PPA20257 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:33923:35809:-1 gene:PPA20257 transcript:PPA20257 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQMRSMASLPQSLPAATVDLTASTHCKKKGVIGLEMRLEEVRVAMSKAHAARRYTLHILTSDPIVFDMIKNMREHMRTEFRTFGWTLDLNERTQEILRKISALTLMVEVTIQYVSVKQREPLMQRVTNHVESAYNFIRGLV >PPA20255 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:25760:28526:1 gene:PPA20255 transcript:PPA20255 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGTMMNEVFVQALRETVGTWEERESNEEIFDVDYIVAKQVPIKGEVFPTGTGKVLLKWTGFPVPTWENLDDGMNELIAEYSQKLSFEDNLERKLRKRMGNKVFNETFPNRFIVQENAKSNSGYVREELALERNRLSAIAHDWTVLSGVQIYIIDWTSMPSASGDLKELTFILETQRTPSVKKIMASGMQKSLTHIACTTACAQCEYSEEVGASHRCCAMPSTIERDDEGNVQFVKGGVEESRKAPFYFECTDLCECDQTRCKNRSVQNGRKKTLAVVRLPHKDWALFAVEPIAIDGFITEYIGQMIPSYSRSGKDRCYDFTLMYDALRKNGPNRPFVINSAKMGNEARFAAHSCEPTMYVAQVIVERDGECINRMAFYAQANIEIVVASGSLFILSDEVRFLLIPSSLCQRLNEIGIPISRTGRRVMGSYLSLKDGISSLEDSSTKKTPAHARLRIRPTVGLNLRATPSTVLSGTKGAGFFLQPLRL >PPA20251 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:5058:6883:-1 gene:PPA20251 transcript:PPA20251 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIVYLDNEYVVLDNSVLLKGSVEAVGETVNINFYGVHRTALYCEGGIPKKLNREVSKWIDGTKTFQNQQKVESKKKVLLANGKTVEIVNRPKRQRETTSPPTIPVKKTKANDNGRKKVVAINTTADSDEQSDGDTVARDPSVGGNVGLSQVEMSRKEKRRVERAMRQSSILTMDDDEDEVTVAPTLPRSFDAAARKLTSVPVLDAVRMMEERLNGGLQNINKNLETMNRRIDRLEDQQDEIADSMETQRTLIREVATVTPQIAHKAAEGTDTITEMLPSPPPEPRVRELDEEHDDFLSFAAALDREIFTASERSQPLSKRDKIRVRWMTECVLYRRRFSVGGGKKMWIAAMRKRLNTYASRMRAPREEGDEGVQRNAQEDEEEVREESGEEEEEVQEGRDETEGDESVRMDEMEEEGMNDGRMRGGSDMQDLFNYLNDDQFDN >PPA20356 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:705135:707772:1 gene:PPA20356 transcript:PPA20356 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRTLLTLLALALAALAQMPEEYVLDPKRVVELYDEQGPLKCYVTNTVTNEVELKVCQVPGQPLSAINLRDFLLDEKTTRPIAGYPACMAILFKGAFRYSCVPLPGVATTSCDRECIETAVSPLYTSCCCTTSECNKKMISRKAYELEELLRTL >PPA20397 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:971807:974844:-1 gene:PPA20397 transcript:PPA20397 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVNGKIPKSRPNIISLACKVTAYGTYARNGMGLPFMKLVGQLARASAETCFIILLLLIAKGYTITRSRLTNLSTWKLTLLMCLYVILYMAMFVWQMKIFDPAVVTYVSESYPGYGIQALRLLSWLSYTISSITTCKKYPKKREFYLVFGLFMSLWFWMGPVTLYLSNFLLDNWVREEVVNLVECCVVFYGFIVFMVITRPSMTNKNFPFHVRTTQIGDMTQPADFPQNAYEGFSANVIVMVTFHHS >PPA20350 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:665366:671781:-1 gene:PPA20350 transcript:PPA20350 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIKWKELNRLLLVKGASDVFVEKWKQRLDGRLPLSDDEKELMQWTQEILEKRRIALGIPPTVPENPKKASPKKASKRSTAFRSSANHTTSKTPAKKRRLEQSEEEKKEGSQKGEEDRREAKKGKKEDRVDGNHNDLADNRTDGIDEKGEEPNEQVEQVMTIDHDQQQQVDPRLDIEAPLKITPEHFNLPSLRNLLERILIKTNPTPMQRRTRDSTYSRGSCADGGQASSSTESSSSSQRSEAPSKGKGQKAVAKKQTIIPCYLHPEEAEEKKRKYKEDFIVRKLQVRLEKERRERGEGPLVMSMKISKNDFASITRKADKMHAWLHSDEEQETEEEQAARLSKKGKKSKKRKMVTCHVKKIAGAEKKFIIDGLPFTTPTDQKGNPSYDLVKTMDDSQGPLECYATRQPTVKTDEHNGEGPAEPAQNPDPSYIFVSESEHFEDNATLSQYHDLRESNKCETTCACEGVCKPETCACIQRSLYKRKEKCPSKVDYRKMKFHLAHFTNMGFALRVMEPVVRGEPIVPFTGVYESAMTEENKDWAFTCTNLAEAWMRKFTGIKESTSCTLLTPRYKGNSGGRICHSKDSNCEFMRVYRGGMSTLLPEVLIFATETMEAGELLWLDYGEDYWSQNPDQQCLCLAELCHDEEVIAWMKTLNYDQIRQVLIDREMLKRKRIIHEQQEVLKALAAQQEEEMKEDNGENMEEMEEEGRGENMEDANEDNEDEIEL >PPA20311 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:393165:394731:1 gene:PPA20311 transcript:PPA20311 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTLITRVMRTVIGREESVIERNGMFNNVLYFLPDHYDISKWEITRVVTNSQSLTILLLLKYNV >PPA20261 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:51117:52512:1 gene:PPA20261 transcript:PPA20261 gene_biotype:protein_coding transcript_biotype:protein_coding MHNGSMDLPVDWVEMKGFAPRGDGWAEVAVEFNDDIVMHKASSMIANSSHGHVHYDLEMDNHYVFLKIHMVAMASMENKSLYGNSRIRPSSKGNLTDQQNMSQISKLTAHDVLPSSFRTSNANFHKFENATRKKKDPRVEAVLQLLQQRKHQLSWKFLLIYAVVSSTFLLLVLSFTILLLFFWFVSIYQELGYQARSNIHSFETCQSRTPTN >PPA20275 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:163248:165232:-1 gene:PPA20275 transcript:PPA20275 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPLVFDAIWRWDVGVDIEIAVVIALLMLIALVLVIYDKCCAGTVQHEMDPSEIEMTEFSPYVSNDDDSTTSNVPIDDCVMTTENVVRRTSQASECGNEEAKDEEEGNPDPDQRSDIETDIASPSNMERHGPNTPEEEIVQSVQEKRDSITADVST >PPA20330 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:487196:489089:1 gene:PPA20330 transcript:PPA20330 gene_biotype:protein_coding transcript_biotype:protein_coding MYQHRRMHVEQIDVRSAEEITEEIKAALRLFRVHVLFTISFVLLGYRSLARANAIFDFVVISKFVKNRWRQESSADIFWLTVSMWGMIYFMGTMMARSTKCF >PPA20372 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:810214:812411:1 gene:PPA20372 transcript:PPA20372 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFHTVLDSDSDEEIGWSRTQDLPLNRASWELEVFRQKAIEEVARLPPSDKKTREFVLRGPGEKTFTIQVMKPETETEEERRLKIENWPGPLLKKFIDYVPVEDRVKLRCTCKTLRNLVYNELRMLNEPEIYIKRA >PPA20346 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:605163:607469:1 gene:PPA20346 transcript:PPA20346 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKLPSSSRVFQRTESIKKETISSQIPMHNLLDNRGDLDKVVDETHFSKNEVRSIYRAFKETSPNAVINKEILREKFGELFPHGDIEHFSDLLFETFDNDGNGTINFQEFVRALSVLCRGTLDEKLDWLYKLYDPKEKGEVTWHRLFYVITATDDLIGRKAKPTYSREQRASRAHIIYAKFDINKTGHITKENFIHVCKTDPQIMESISALYTILPG >PPA20384 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:870176:871504:-1 gene:PPA20384 transcript:PPA20384 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIIKNQIVKHLSKFAKNITPDQISLDVLKGKSELKNIVLNEEVLTEVLELPVWLRIRKATCNRVAVKIQWMKLKSAPIELFIDEIQVDIILTAEKPPPKKKGASLK >PPA20282 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:219224:219671:-1 gene:PPA20282 transcript:PPA20282 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHAQTEYRNRTDGFPDVAAVERQFRDTHLSSWQNTLLGVSLPLNFCNEQQLEKESPTVVSVANSSSPL >PPA20303 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:337490:338528:-1 gene:PPA20303 transcript:PPA20303 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSGEKGAGSAGNYADSLRRLLVLLIDYTNTLKPLADLSPDEKVSTIRNCAPAFCLLTLAYHTVESGAPGGTLLLPSGHILTKHDSLIESRDADDDKRLVHAEAKIR >PPA20383 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:864783:865726:-1 gene:PPA20383 transcript:PPA20383 gene_biotype:protein_coding transcript_biotype:protein_coding MPISEPLRVTVPYAFQLSRLSVDSRTPGWQHPHDLRHSRISCPNTNRTIMYKQNFYSVLIVGSPGSSSASRHQPTMRVRVHNGMSSMPPSDSSLLMDGYELR >PPA20268 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:85596:87721:-1 gene:PPA20268 transcript:PPA20268 gene_biotype:protein_coding transcript_biotype:protein_coding MANHIRPANPSNLRFGGNHRTHHIDFPSSAPSFNDVIQSHKSVEARRFHNDIQRNEGEAVGSQDQSKHLRQAVPQAHNSSFSPLPDRTWYSLQNKIEKRPSVGEQRREDFTTSSNMPVDRPLKSILKRPSYDAEVEKHKRSEHSSYNKNEMEVRPQSFPNSLPSAQNISTVHDSAFKHPSVLDLTALREDDRPHTMKSTSLTLNVPSSHDSGKSVVNSESSQTGSSKEDDSSQTSADGEQEILIPNMVPRAPGIKELQGDFKTTYEQDARTLTVGAWMHVTDEERRKHFERYGTIEDVRTIRYVTMIRYSTIKEMKNAVLIHNQNKVVGRFGGVTTFLTTPPMCP >PPA20340 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:557373:557713:1 gene:PPA20340 transcript:PPA20340 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNSTGNATGNYTDLVSLLDPEKSKEQVDWERDPIAVWMDVFTHICSIIMIVLAVIALVVNLYLLIVSTP >PPA20381 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:853938:859005:1 gene:PPA20381 transcript:PPA20381 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sym-1 MNLLLLAALVGAASAFCPDIFQNQTACTCSDYFDGAVVKCSGPDGPMIVEKLKNTHVEIRELVLEKANIVEIGPRAFKNLRIKKLVLDKNRIKGFHKNAFDGLQNVLQDLSIQHNRLTKVPTDALNGLKALNVLNLRCNRIQDLEESVFENVTSIMDINLSCNQICSINGTAFESIRSSIQSLTLDNNCLTEFPAAAVANMENLIAFHAKTNLINELAANDVTNLTSLSMLVLTGNNISLVHPEAVHGCPNLRYVYLAENALTTFEAGAMTQFEQAQVVDLSFNYIKNVDAVTFAGLESLQHINLESNQVSEIAPGAFAGTPLLLLWLPNNCISNVTAAMFQGAPFLRQVSLANNNIEDIEPLSFAHLANLHTLDLTFNRISELRANAISGSDYLNVRLQENPMVCGEDPYHVMNGNEFIFISKEPNLICKTNWKQLPKAVCPKDVPRPLPSPCCQKDQGRASKIPTTTTTTLAPESEELLDYGEEEGSGEAETKVVKGAMPVADVPVVKATTSTAASTTARVLPARTIAPVKETKATAKPVQRKVVEEEVLEDDDEEEVVSGEEEEEEEEETEAPVTTTVATTTAQATTASTIPSVASQKSRRVNMERFWRLANKAAFEDGQKKKSRFSLPASETNKLSGSIAPKKLEAVEEEEEEEEEETDEKKIGQELEFSEDPRKEEEEVEYEEGEAEGEEYEEDESTTPAPTTTTTTTTVAPRRIPARLMQNRNKMLPPWMRRTAPKPKQSAPVESVEFSHDSQVQTVQVAERIPIV >PPA20292 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:282957:286653:1 gene:PPA20292 transcript:PPA20292 gene_biotype:protein_coding transcript_biotype:protein_coding MAERTGGSGQPMPTEDEQTAAIERMKLPDRIGEPGSNGAAFGIPTTVTLNVFRLHLEKVPGKIFKFDLQFIACGKNGKDFEINNALHKSPEYLRSKKRHALVAFLRAAHESEKEYFRQQQIGDPDVNYSWQRPLFLHVLAWRSTHDRRVCYATYMWRHCCAFDCGNAYFTAVELPNHSGAIPEELWKASDYTQCYIPNCEGPIKWELKKAEEFRIDGEFAKSPQAQAFFTILATQNLSRSDEIDVKKDAAYSLEGETTSEHKELRKGILAASRMVGSDASIQLHSKIGMFFKPQPLLEYLRESSGKHSTMELVAFLRNPRASERLRREIFNLPLQMRHIKSQRIFECKGLAATSAIETTFPYNDRVVSIAEYFLERYQYRLQHPTLPLILERNKRGQSFHPIECLDITAERVSNQKMTPKGQEEMISKSCRAPAVLARDLETSRNIAQLDTTNKYLNAFEVKIKDGFSELPAKLLAKPHVIGMAGIKPEITDIGKIGYSKGSSFKFAGPVKLEKPIVLFIVDNAIDIASP >PPA20306 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:350817:352395:-1 gene:PPA20306 transcript:PPA20306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ets-5 MKEGKRVRIGCLAYTSLLSRVPPQVLGSGQIQLWQFLLELLSDPLAHAQCIAWEGGNGEFKLVDPDEVARKWGERKSKPNMNYDKLSRALRYYYDKNIMTKSAIC >PPA20301 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:320584:321876:-1 gene:PPA20301 transcript:PPA20301 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDVCILISIVSSALIDSRFKRQTFIYPEELKQVAQIHPGTKIIVGHAGRYAPQEWPEWYTRTMMQWEGHSRLAPFASTDPRYVPYHSGKSWNKIA >PPA20280 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:215360:216813:1 gene:PPA20280 transcript:PPA20280 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRNGTKAVNDSRPSVDDFLSGLIVLPSYVVPIKVPVKGGKPYRMGPDSDFAPPPVQPRILQTHEIPRVKVWTEESYAQKYEIPRGFEREYVKERACDRDVMKVVAYCYHAENPFVFVQLAMKDGEEGLTVQEAVQAFHSLLASNLFPKVYSNFTAKMLRALHDS >PPA20308 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:364743:366047:-1 gene:PPA20308 transcript:PPA20308 gene_biotype:protein_coding transcript_biotype:protein_coding MVDETLPLTFEGTEAHLPPPSSQFTSGGRTKLAHKLSVDRHAIFTLVAELSQDNADHFCKCPTWTSYGGRIIDCCTELKDAMPKLQDVVSQLQEVAPRYDYDERTPGNGYRSLICIADTVLLHLVSILKACAEQRTQIMFRITHCCKELEAYATVVRFLCESFSKVGAVFAFLC >PPA20273 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:152651:153146:1 gene:PPA20273 transcript:PPA20273 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSLPNCRASVCTLSMRNSVAIKSIDKSKLLPLKGSLCRVYREVEVQKKMSHPFVLDVYQLKN >PPA20284 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:231278:235081:1 gene:PPA20284 transcript:PPA20284 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEESEDISSLAEVLGQLGIADSFPSLQSPCTLTESDRRESRENGETGKASLEDDGLPSHGVLRNDFLLAVPKGSCGICFEAVEIKRSLKLHPCGHEFHRTCIVEWFETHILYTGKEQGLYQCVTCRSTCYDLKDRTKMQRNLTTYAAWGPSGQPDEMLIISTLSSDQVVHLETFLKFSFNSTVSWIRSLSMQKRNATEDKKSDVYINDIEEERNRKIKRMMTLKQLIDDYERSKTDIRFGYIQDSLMIKFYEKEERTDAALPSNSDESQAPQTDVPLCPCGNPLSPTYKRFVTLNPCGHSAHRTCILRKIEDEWKQYEGTENFPTCHCGREIDSFKQFHGTERVGFWGLPKNKVDLLKTDKFQFYNLRRLNIRETLILIAEQKRVALSPSKRKKEETYLKDIEDENHKLIGQYRECLRQMLSCIEDQIKSDANWKHDQTEKIIITEAKYCLTMREEKEARLSEEIMLFLSKKPEMGTLPEGSRWKKDKNPIAKQEDSDETYYEVTTSIFYDISGPRKSFTSRART >PPA20283 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:227504:230931:1 gene:PPA20283 transcript:PPA20283 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLRITEASDNDDPSVTRAFFEYELIHKWHMEYEKGSRRNSARIDAYTQLVFKSLEEPTRKNEKITDEAKKNTWTLKVYVDFSQYQRPHRMTPEIAAIKVSTISIVDPIQDEPLTSTVVSIEGLRLRSEYIDAVFNYRENMNDTSNPSLKLIEGSTLRGMLHFIEMLQSKKYYLEDVESAIDALQISDFIVMRPFAQKWLIPLITNIELPEYFGARTIELAALLKMARKTHNREEFEVLMEKQTEEYLAEKERRKDRRRRGFRNPKKLIMEICN >PPA20392 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:909195:910603:1 gene:PPA20392 transcript:PPA20392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gei-15 MSSRGAPDNGLGNRGSPFDRDHGHPDGRMSNASTMFSDPGYRYTDIQHGYAITNPRELIHQYATTTPIAVMEAADNTPGSMTTATYKKKVTTRIEERQGGNVTGDTYAPYPPYRASSQTVNETRTLSPTNFVRKLRDDTMTTTQREANTHLEHLNNKDPNQQSRIHEIRQERH >PPA20367 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:773463:774930:-1 gene:PPA20367 transcript:PPA20367 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEVVHPHSPSLNPVEMVHNVISSAPTNKIHTDPSLVLGVDMTIVEKPCVFQEGDSMQGSHFCTKEGSYILQWKVPDVTVSSTTFDFSTHRGKIMYYTETLDSADFRGSVASLESCRSSFSSMAIVSSQPSTPASVPKQIKPE >PPA20266 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:79150:80368:-1 gene:PPA20266 transcript:PPA20266 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nas-38 MFCLVFLTLLSLTLAGPIDFEADLKHNVDLGRLQKDLAALDERLKNMADTEAEIELLKAAYSKTVIESPAPINGSTCKDEDANELNEKAGEEKDLFEGDVLLTNDQLALIESLHKSNRSRRQALKDAGYSWGTGNPVIPYSYSATYPQATRRPTITAAMKYWEKFTCVRFKEVTSGYRVEVRESKGCSSYVGKINDRTGTQGLNLAEGCMSVGTICHELSHTFGFFHVQSRFDRDRYVDIDFNNILTDDKHNFDLEKEDKTLLRDIPYEFGSNMHYYHKDFARDSNKPAIYAKPAYKAR >PPA20305 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:347859:349103:-1 gene:PPA20305 transcript:PPA20305 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVHGKRYAYKFDFNSLAQACQNSVTNSSEGANYHQNNMVEKMPPSHHSSLSAYPLFPDRLSPPIPVTTSSWVAYGPGATGQNTSYFYSPLPTYYPALNTPGPKMLESQDAVSE >PPA20338 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:538952:541482:-1 gene:PPA20338 transcript:PPA20338 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLTSRLSRFVRAGSSLVPTQVPTKVVPLDNVGAVPVHDQNGNYSTKKRRHIAINARSELQKAQRIVVKLGSAVITRDDECGLALGRLASIVEQVSELQLSGRQMLIVSSGAVAFGRMKLRQELVMSMSMRQTLRGPSGVKADKRACAASGMPGLMSLYEQLFQQYGITVAQVLLTKPDIDDPQRRKNLQATIESLLSLNIIPIVNANDAVAPDPKLNMHISDNDSLAARLSAEIEAELLIILSNVNGVYTGPPDMEGSRLLNTYVPAEAANVVFGANSKFGTGGMESKVRWSISNNMSLNKPNNNEMWTKLTY >PPA20299 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:308920:311602:-1 gene:PPA20299 transcript:PPA20299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-eyg-1 MGYRVCTTPPSVSSINRILRTRAAERAAEELSIIINAQNLASRNQRMPGMPGRPFLPNLPGAPGAPGFGFPPLWPGLFMNAAASLGNGVQFPPAFPLQPFLSALQLNIQNAAAASAQTAASSPADGDAVSIEDDSASSLQNRRCSRSSFTADQLEILEKAFANEAYPSTEERIELVRKTQLPEARIQVWFSNRRAKWRRSNPESSSSSVEREEPIIPIRADSTECSPISSSDKAEEDKVREELGGIFPSSNSPLSSKIRFKPYE >PPA20371 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:806765:808774:-1 gene:PPA20371 transcript:PPA20371 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mxl-1 MAGSDESDIDDPMEELSAEELKQLDPKKHARAQHNALERRRRDNIKDMYSNLGRTVADTSTERASRAQILRKAIESIEEKQIECRRKEAELAAIEAENAELERRCREMIEKRKAEMDLRGGAGTPNGASAFVAPPASH >PPA20313 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:405970:407507:-1 gene:PPA20313 transcript:PPA20313 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWTRTMQKGVKIGKYQYYNEEKAPSIFNSEEKFVKQNYDYFLEIIEFPVAKLNASTLKVESVFHKYVRPTVNRQLSTFCTHLTGITQETVDQAEPLSAVLKSFDEWFESEGLHNSSFAFVTCGDWGHDEVQIVNKTGQQFDDLNTQLPNEADFKNFVLPTYFSSWLNIKKSVTALTGIELKGRHHSGIDDVKNIVEITKWLLKKGHVIKNEDASTNRIGAT >PPA20332 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:496562:499277:-1 gene:PPA20332 transcript:PPA20332 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSIFLLLTTVMAALASEVVSLDEHSSALCASRPIDGSAFKRLRSNVFCLQTLVVPEGQVAQIFFSNRQAYCGRLGVEEKSLAQVTGPDSTITHFCSAPAPSLTLPSGSHYIGVSPSSVPLKVSLTYINTLLGCGDKVSNALLGIPLTFVPTRSAKCTLLLPGRSVLTIKAVRRTPGSQHVGQCVRLRMGKAIWNVNRHFPPICKVTEPLSFNMGCGIVVMDASNEAVEKVDFTVRKMDEEQSILAPLMCDNYSETDDDSDY >PPA20293 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:287172:288152:1 gene:PPA20293 transcript:PPA20293 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKLEQEMGVVTQLIFRGTAMDIIGNNRVKRYNVIAKMNQKLGGVVVNLEVPPALKQTDPDAHKKAESDWFRSRMFIGLSLSHAGPQSYADRVVGETVKEPSCVGARFTRDGFESSHEKDLLAPPLRV >PPA20374 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:819446:824127:-1 gene:PPA20374 transcript:PPA20374 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLRSDVCASLSALSPPPIVKYDKADPQVVFTFSAPSAQSFAQLELLCRQLDLKLEDEIIAHLSAFVADEQVTENKLRFVLKLLDSKLEINDPKKPKPMRIRLKEVIIEQDED >PPA20343 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:578359:579605:-1 gene:PPA20343 transcript:PPA20343 gene_biotype:protein_coding transcript_biotype:protein_coding MARLALLTSPIFLCLLVFPLTYCCQTEEDCAQFMATKRSDIEPKRAVDSVKSRIGASARFWGKRSEAAADDVKMKIAKSRLFWGKRSSENAEQFPVDDDVDYSQEPYFRSIKASLPSKLTLSSRFWGRLARLVECVPLVE >PPA20354 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:688705:691627:1 gene:PPA20354 transcript:PPA20354 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLGKEKEAEEKKLMESRITHLQNEVEEGRKKEGEDKKKMEEQIEKLKNEIKTIIERNEKVAEEKRVEIEELKSKVAVVTVSLKKSTGENEKLEHRVATLESSMARLIHPAQMPGAVDAAVHENETETNENALSFEDGDGEEREEEERDEGNTDNTIENSITGEDVMRMRDDNEDQDEEEENNENRTFEEGEKVYAQVAAVDGAVYPASILEKKPEKDGSTTFTIKYDGDVDKSYDQVIAAAQVPFLLFKKEEITNGGFKAIGKDGFDVGEEFVGVGKSVTTEIERVLKDVKHRRIYSLNEWVKARTSVKDRQFWPAKIVEISLISGGYTLYKVKYPDNGTIRWPDQYIQPNESKSRVSGTFYFYDN >PPA20352 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:679737:681727:-1 gene:PPA20352 transcript:PPA20352 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFRERIRKDTGSVQIQLETSNSDPTPAVTKDNLTSPTEPVPARHTSPINNSYLESTGLDEMERDTVISSSEPIFATSTMCNLKLAELEDTKCTPIRSPSPIPSDEEDMNMAELEEHRMSPDTTELLEKNDKIGLENLEEAHESSVSQILLENLDDASVTTPNGIRTRPISVSPILDGHTHETPSTSKRKRSCGSTRNNSNNKRRNSRGSWKEKEYRYKRLRQLIIDDEGFVRIRIEWEGGSKKWGSARFTNELLEHVPKDIKEGRNLYNIVKRELAKKPHLFNQDARKQLAEKGLQITDESVLECSWNEEIMECR >PPA20402 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:1040739:1044183:1 gene:PPA20402 transcript:PPA20402 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSENCGVKEELKTVRDILSVISQLLSLPNEVDHPVLTDQVITKLGEKASKKAIKTRVTNPSLETIELLEKMEETLMADLVVEKIVKVNNKGASHHSKKENVRSTVDRCDNSEEDEEEAANQTVMAVYPNRSTLPLSVDKSSQSKCRMLRLCFRCLVGGSSHNFKKCEEVCAHCNGRHHKSLCKKNSSKKVDSARNDGVQICLEDLSEHDMENYYNELERERDDVCHEKVLRDIVGTTTTQVIPRVSRIKSSKLFTCRAELIHPTNGRIHDVNLMIDPGASVCLISERTAKILSLNCKGIVETGFTGVGGKECEFREYRVHEISIKSPITTASPLVVEAYEFPDPLTEEERQYEFDARDRQFVKKQKVDRLILDDVEGPLDILVCMKEFLRVSNAGKKSIELPSGMVLFPTVFGYIRCGAPSSRNEKNSLQCMMAERINMMHEVERWDPSKVVSVSKRRRLPSGTMNVDLVNKVTQMEDARSKLMMENLSRFLCADLAHTEFEKDLSDEEVRMHFKETLSFTEGEGYTVRWARKPGCENLPSNLPVAFQRLIQHRAWRNPTIREAINEQVNDLLKRGKIDCERGECYYMPHQVVERAEKETTRVRMVFDASSHGHNAPSLNDMLWGGPSDLAKVPSLLMQFRARPMVVCGDVEKAFHTVKLHKGDRNLCRFLWIREGGTKPDSPYDENVIHLRFIVVPFGVVQSPFLLNSVIAHHRQKKIDKLKAELQEDSANEEIVRQIALCSDIMLNIYVDNVCFGADQADELMNSIITAKATFREMAMNLRQFLTSDPQINSQIPPEDRAGGEEGCIKILGIPWNSNTDSFELKINVKEDVEIKREYVSEASSICDPEGRLAPLTLPLKRVMQRLCTGALNRDWSRRLTAEEIKMAKECLAKVNGFKYSLPSIHCFSRPPHGDLPESRAIFSPAFNVTGLDYFGPVSYRSDSGDEDKCYVLLFTCGTTRAVHLELVRNMSIGDFLHAYCRFANRRTTPKVIWSDNAPTFHLADKLFKKSSEYLRLLDDPKGEIGSFIAYYGTKWRFSTPNSPWFGGFWERLVGVVKRCLFKTMGRSILPWCELETLLTYAEATVNSRPLMPVPHDIKDFLDIPVMRPVDFLLPGAR >PPA20300 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:315238:317595:-1 gene:PPA20300 transcript:PPA20300 gene_biotype:protein_coding transcript_biotype:protein_coding MQEESKRSHTCVLVLSLILIVAGFGLSAGGLFSPSWQVVDIREFRAQHHHGLWLDCTRPERHLASIDRSFASEQPLHCTYKFDYSASQVIDENIENIDINSAAGESEHHQFFGWHKAVLIFMVFSLLFAALALCSGICAPCNGGCAVIYAILVAIALFMGLVGDAIFFFAAHRVDSRFVTGLVGTYEQRIGIAFYLHLGGSLILMFAFIVSTIYSYQILRRSDGRGGLPLRELAPLYGSRIRETMA >PPA20369 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:781406:784499:-1 gene:PPA20369 transcript:PPA20369 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPTCPQIPIFVGSEITYEYKSEDGAVHVVERKCELNFEVPYLLKKIASVDYVYFNQKNSVDWRKRTLLIEATNISFSSRIGIKENCMYYVHPENNDWTCFEQSASLDVKSFFGFEGTVEKIAVKQYGANLAKGKEILEFFIEETIKKGTDHLIPYTVKYGEDEGSVGDSAIDMPKECNGEGEEETASNAPLCVIRFTSATNVLYCWE >PPA20400 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:998246:998464:-1 gene:PPA20400 transcript:PPA20400 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVTGLKKRRRAAAASAAEVEVGREESSMAEDEEEGEKPPQTPVIAVHDLVYTYISFS >PPA20319 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:436480:441150:-1 gene:PPA20319 transcript:PPA20319 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKELLLFTTILVLGNVNPGLNELEEGLSDSVFFFIQDICGTDEQLKDSAQSVYSNYMPLLKRGDIIFLGTNNPAQSEVHLIEEVNQLELALRYNREHANVECIPSIGAAIDSFYLKLKQRTSLILVNDLADRLLRNVNVAHVTTVSSKSFDIFPGMFTQTYDTEVALLDLVGAFGSHTEPEIPSSNNLLRCVQKLQATFTFHTDISSVPRMAEFRAKRLRSEIKDTCEFNKDQLKDKTQFYGVMYANNSGIGVPTFCMSNMNSYPDTVNKAEYKQAPQVNLQKVIDSFTKWYNQPIKMMCHCFDYENEQTTKIIMWMPLSPTSLDTESVSFAGFDVVGFKHIVVPFGFSYDDSPLWQSLVPDRSQWITDASIYNYDIEQVIQDIWTITCRFAQHIEPDQTAEPFNPYTTAKPASPFPRHFLLIQDVCGADENLKDQAQQVYANYLPLLSNADNFYLGTNNPDVSGVKTISSLEELNKAMSQNRQASTTCTTSSIGATLDLFYLKIKQQSSGNTQNDDSDKPTGSVDVAHVTTISVDNKVGSYLDNLASEYILKMEFVGFTKKHDYFQNPDAFDNFPGNFTQTYDPEVALLDIVNAFGSLIKPVIPPVDDLPRCIKNLQADFTFHTDISSVPRMFEFRAKRLRSEIKDTCEFNKDQLKDKTQFYGVMYANNSGIGVPTFCMSNMNSYPDTVIKAEYKQAPQVNLQKVIDSFTKWYNQPIKMMCHCFDYENEQTTKIIMWMPLSPTSLDTDTVSFAGFDVVGFKHIVVPFGFSYDDSPLWQSLVPNREQWTGDASSDNYYVDKIIQDIWTITCRFAGHIKDGQTAEPFNPYTTVKPRIS >PPA20258 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:36381:37395:-1 gene:PPA20258 transcript:PPA20258 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKYSVLELERMFSTVLDKLERTFLAATLPLVKNCLAEVIQVFEGIRVHYDFRTLFLTDREKIEQIKDQLNLMMAHLDILSVLIARTVSKSC >PPA20270 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:98298:106623:1 gene:PPA20270 transcript:PPA20270 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTRTRTKRARESTGSDATAPQLSLPPDSTKPFFNKPPAKRAAKAKDTEEKRRKEKKVAKVSIDVIDISSDDEEAQRKTSETSAGKPQTEKRPSEAAKGAKKTADLAVKKRKDDKANMEKSMKDSGQTKQTSKIVESAIVHKAVKTSHSVTSATDRVEPIHVSTSSSESADHSKESFFNSPLFESENTRIARNRSPGFDVPANRLVNDNIQFKLAKIKANALNEKVTVDHVSPLSFYSMQMKVACLSDKQFSFFKAIREERIKEVETGELTDRETTLAREEELLLNGYFEKDCPRLARIAKRDGLPELDDHPFFYPGISRRFKDEMRLSVAHLKETVDIRAMHETVEQGLSKFPLRFFDNFENLMETLDHDKELDPRSRKPRNPIKLCTRTPFASPDRRRELMDYWKRDMKMEEEVPCPSLSHPAVIQVNKEAILHLNESHFSQLHDGIAKKITDILNADRKNEKLPPAKNRVAWPPKQRHQLNSADIPDGRQWSMLPAWFPRDLEKGESNHRYYQTQCAVQEMILSMAPWGFILDNRLHHIFQKEEDYLAKLMVLAGSDAWALRHTLQVRFAFQKWEYEMNKERTKRGVPLEYLLPREPYGTLCEAEFNRQFGKVDDSHMNENWHYHPVLVIDVNTTSGSYLRLSRTRYPEPLPRGAVRVVVPFMERGEDSEFEPYCTTHLDIKNFDTRSLFGVGQYHPLNVNYKADTSRCYRVALPHKLYEEWWKCSLKNFDSFPSHFHTFTEEQKRQYKLWPHSRREFALWFDAMAREWKKSGERKPISIRQAEQSDRDEFLRTKYPDLYKRLLPIRKENEEKAKEEREQKERQKAARAAAAALLSPQLGTPKSASSSERCSSGTTTKDIPCSLSKGASITMRKKAIAQSAVKNGRAPATKSTNGAAFSTPVNSKRPLTTSTILKDAETPKQWVFVHEGADDPNPLRMTVEKYDEKVKIALRVGADAPLAYPYTPELDRIFKKIDFDRTREELDMIKAATPYTSRIISERMPIFDNPTPSRPKVLTSTVSSNGPAISTPKMAPLSTLKHSQNSSN >PPA20252 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:8550:11431:-1 gene:PPA20252 transcript:PPA20252 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRIIFAFGILQTAVAISCQNQYNYDVPWFAAYKFPKMAGEPSDAADGYGFYYLDSTAKTAFKPSAAIGYTLDPYYSRMKDTELLHVIYNDEPAENNTKIYESKIADLAANISGAASVQTGHTKGVLLFDKAQGSGIWLIHSVPKFPPADNLYAGEPPPVSSLDGRSGTQQWKKMRRVERRETPRRRPAPLLPSPSPPLFPPFRQLIRDRVLERGPLSASRSPCGRPSKKENFPEFVFYTFLARLHCK >PPA20324 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:457518:458232:-1 gene:PPA20324 transcript:PPA20324 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGQAPPPAQPQVGYQQPLATAPPMEPPPAYAEFPPSMDPKMVQPGTGAYPHLPNEVPLQPPPHQQQPMPQPG >PPA20287 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:242405:244190:1 gene:PPA20287 transcript:PPA20287 gene_biotype:protein_coding transcript_biotype:protein_coding MTITMVSTSHQKRAFRRRKSYPLSFEEELHNLLVEALELAHSASDRAQTAIRMARNGLTQLHQHFVVSSRSPPRPPPIPIPYNNFLDDEEDDDEEDEEQGPTATPRGRSGPGTPKAPRKLAPPPLPPQLDSLRPFLISYRVMSCEDCPQGYASVRKRSGMACVLRYDGLKGVSSKNYLGPAAPFLHAGDRGRVRGELSPFLVAGGDHRDGHPSFPGGSWMLGDCEKEKREE >PPA20322 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:449747:453715:1 gene:PPA20322 transcript:PPA20322 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHSIDAMACNVPPGAAFRNPGGSVCSQQCPQGTSCDENTGICRQFRPPAGNPPQQTTTLPPYNDPAGYCNGVRCPQGTSCDTNTGICRQFRPPADNGGVRGCSAVTCPAGYSCNPLIGICMADNSGGNYNDPCTYVTCPSNYQCDSNTGYCVQMRNPGNRCASITCPSGSACDASSGLCRMVVYNPDKCTGVQCNQGTSCDSNTGICRQFRPPAFHSLSSSSSNAVVMASPTSQTSSATEKDLCSDMQCPRGTRCNNVNGICERISFGISTDECATIICPAGQECSPALRRCIPTSNVTSKSINTEAPVVSTTNRTDLCANIRCRNGATCDPNSGLCLLPSMDRCANIRCSGAQKCADGVCVTPKCFNVNCPTGTACSPVTGQCESFTCPDNSHFVECSSPCPASCGNTDSRILNK >PPA20298 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:303069:305682:-1 gene:PPA20298 transcript:PPA20298 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cal-5 MGSCCSSAASKPDIGGDNGDIKDDDLRGIFKEFDLNGDGYIQKDELKAVMQKMGQSPTDEELEAMFLAADKDNDGNIDFTEFLTIAHANPLSLSLRSVFDELDVDGDGHITRSELRTAFQRMGHALSDQDIKAIYKHVDVNNDGKINFQGRLPVATSVNSAR >PPA20345 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:591160:592136:-1 gene:PPA20345 transcript:PPA20345 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPIEQSIISRLQALDGSLLMIICLYSGLALTGLLGNMWVMLTVSSQLAGCAFPNSHRGGTLKHTVQSSAYIYLLLLSIVDLISFVSVPLLVTDILENKWPFSNTLCKLLFFCEGMNKTLSPLILTALSIDRYIAVCQPTLLWMRQTKFALVTIIGCVMISLFFITPVIMEANILRMQDQKGDELAESGSPYYGIVALPRPSSAAKQNDGTPV >PPA20278 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:201362:202899:1 gene:PPA20278 transcript:PPA20278 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRAPIVEYVPHHIQIFNCTSNCKLFAIIQYTRVDLNKKSNRSIFLLSKPINELAQCGSVLGDSFAL >PPA20347 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:608187:614933:-1 gene:PPA20347 transcript:PPA20347 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPNQHYPPPSRQQQQQPQLQRHAQAAAQPSHLPSSSRIPDSPPISDVSAEGGSPSSSNRSIYSPDYGNFSAIDNNNGGLVLAVNDLANEDDRQMMRPQQMSQDPFMHSPYPIPPNQMSPPEIIKYSQQMNFGNVYAEPQNSGSVRGAKRARAVYSQQHPMHEKQSMYDVSNVKPDPAMMNYPSQPVSRFIPPNTPGLMSESPMGSDVYLEEEVRGFTPCRVLRFSQFYPETWHKLYDDNHQPLHFQLSSIIADKGFSYSTKHGIFINQKKNHFQVTATTDALDDRPPSYVLVNGMFVPFASMQLSLCGVKAEEHSTKVKVKQSDKDRKTTNTKPVELGVIPPSHSTTTTVHRLHFEECTDNNQLKNWKISPDQRFFLLTVRLMAKTVDGAEYPVAAYASDPFVVRAGNPKQFEAPVPQAYWEVDYEIMYTSLRVSIGTKDNYGAALNVNGDIVVTGQIRQFSDGRLKENVVTLDGKKAMENHNRLRIVEYDYKKEYARAMGLSDHAIHRVGVVAQEVREVHPESVNPNGEFLTVDPNRMFYENIAVVQELSKMHKNLENKMNERVYHMQSFFRKIFRPKESAETVSADSGLEDTQSLSASRYTLVSAFKRENMPEPCHHPTCSRSEPSASCTTKLTQGTIITLVIVMAVCLLSMSALYVVDWHHRNYGIHSIDGKNRTGIEEVPKGDGGLGMMKKTITMPHHQPGAPPLHDMCLHSGCRSFCCEKKASYSTETRQGGFDIDTMIPLSGSRRAFLAAIAGAQRMNPNAFFRKPLATGTRFEIVGKNMTIDSRYCTERSCNEKKGRYHLFVPISPYMPTTPVMLRVVPPPGMFVQSCGHMLDFEEEECSSEGGSPHFTLSMPTAIEKAYDCKKSSYCDGNQHSV >PPA20272 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:133042:134911:1 gene:PPA20272 transcript:PPA20272 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTSQEKEANVGEKVTFQCEAAGNPTPIIYWSRAGDDQILAKGETYTIDSVEAWQQGEYICTAVVETFEYAKLSHHLFIRGAPVVSVQSVVHGGWGESVELTCKVSGRPKTREIQWTKNGEKLSYASGRHQVHQVPRLYGVESRLIITDLNEDDFGRYNCSANNGLGSDYGEVVLKKKSLVDALSEFQLAEVTVAHD >PPA20394 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:915508:917183:-1 gene:PPA20394 transcript:PPA20394 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFDNKVAIVTGAGGGLGRTYAIELAKRGCKVVGREYANDDIVAVNDLGGDRHGSAGSTSMADKVVGEIKAAGGQAVANYNSVEEGDKIVKTAIDAWGRIDIVINNAGILRDTSFVNMKEVDWDLIFKVHVKGAYSVTKAAWPYMRDQKYGRIVVTSSNAAVYGNFGQVNYSAAKHALIGFSNSLALEGAKYNILSNAVIPTAGSRLTQTVMPEARNERFRSEMSHHRSKYC >PPA20314 pep:known supercontig:P_pacificus-5.0:Ppa_Contig48:420720:421408:-1 gene:PPA20314 transcript:PPA20314 gene_biotype:protein_coding transcript_biotype:protein_coding MRANLPLITKAPHSLPHSGQRLFENSIAERSEVQEFRTSNGRHHSGIDDVKNIVEITKWLLKKGHVIKNEDASTNRIGAT >PPA20376 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig48:826262:828161:-1 gene:PPA20376 transcript:PPA20376 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVAPAPNNQRMVNEAVEEFPEFPTGGGLGIESDLVSQFDETMSVTTDISDDDAAFMPSDMPVDDPFGASAEN >PPA20403 pep:known supercontig:P_pacificus-5.0:Ppa_Contig480:1561:9213:1 gene:PPA20403 transcript:PPA20403 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRQSRPWNDCPNSDIHVFVNQSAVDRSEYTFVDWNAANLQDCIEKCFGNHFCYSIRFDSSLEHNCGLYYFAAYNCSNQALTKASTIDYKGGSITLDCIRCPGRGDFVTAPPFSSVGEQTIVSETAVLARGKNIKGTATEITHNSNHDGLPHAEVEGDTAKNVKGDEGKEGEKGAIEGSGETPAAGSPSETGGEKTGEEPSASTETTLTGQNPSGEQSEAEGSTSVPSAESSDATTGTPEETAEATVVPSTETGEGTTEAGTGAATEAPSADGAAETTAAPTEGEAGSTEAHGTGAKGGSTPAGAENEEPSTVEGSGEEEVEATSPLTGESNGEPESIGEASGEGSPSASESSSRAPGIKPTEGVEGSGVEGSGETPEIGLQEEEEPVKVEIETTTVWNGHTEQPRVCDGNLRFVPSSIVDLDTVQLLVNSTKANSPAECAKICYEHNCGFAYYEPSTFICQFMANTEDLVDSSTCPSDHKDAKYQAEFRTDVASTLTCVVCAKDSEVDKEKPALQPVSSPRRACIISFQVAEGDHSSFPFYKSIQVGGLNECARHCFIGECSQSVYNPKTKECRLGSNPKDTCNNLPIIQKFVAQSDEVLLQCFRCVPEDLIGVKGTVSETTGYREPPTAAESIDESAATANREKEQSAAAAATEATTTPAADTTEAEPEETTTISGTGDEVELSEPLPEGVTEAPEETTTVTESTTTVEETTPIGGEEVELEEASGEEETTTASGEIATSSSGYDSTTVSSAGEKVGGKEGEEATTAGEDEGSGEEGAAPETSANKDYEASTAAGAASKDEEGEAATTAASEETTTGGAEGEATTAEGEESTTSGGEEAATTAGEEEATTGGAEGEETTVATGEEGASTEAPAEGSSTLTPEEAATTEAGAEGTTLSTEEAATSEGPGEMSKAIEQNDKPLILASEDFDFGKSCVIKFQSALFSDRPSSLTAQFEKAFPVDSIELCATRCFQ >PPA20404 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4801:656:927:1 gene:PPA20404 transcript:PPA20404 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLKNEYGRKLRTALKHMGVTSIEALPKVPGPVSPMELKLAKEVSQLKRKLRQKRAPLSSPVAPKLFLAKTSDGYRSEVKYASLKLTTL >PPA20405 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4807:227:1444:-1 gene:PPA20405 transcript:PPA20405 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSVTNSSPPSPKAPRGPNKKCAKCSGERHRSICDKPSNTAVNVVSMQQPERLFTTTATVADPPSNRSISVNTQTSYTHPSEQLFTVDTQIANPDLAVDNSVTASILLDHGAQANLITRDLADRLALVPFDQRELTISGFNDEPVQSSTYDIVKLDVVTDHDHFPIEAVVVDKASLSSIHNQPLDIADLDVINASLGYVPHHLTKHTATHSELLLSVGDTLEMLENSEVTKLPSGFHLIKSSLGPFVVGKTRTRIKRIDPLVSALTSNPHETRPNVHTPSPSSNQPLKLLPIAISTVTIHPINNVHSLPLCPPEDSIVITHCNSNINDVSPESSVPQSLASSKKVGEDNKTLIESDHP >PPA20406 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4808:164:438:1 gene:PPA20406 transcript:PPA20406 gene_biotype:protein_coding transcript_biotype:protein_coding IRTRTSSMARERPWKDRELTRWKDRVTTCARSAPCPSRRAHRRHPLRPPRRL >PPA20409 pep:known supercontig:P_pacificus-5.0:Ppa_Contig481:6166:7509:1 gene:PPA20409 transcript:PPA20409 gene_biotype:protein_coding transcript_biotype:protein_coding MENEGIHSRPHPAFFFLELICNTFKASAQELFLLVFFVLLGIVIFAALVYYAERLVDNPNNQFDSIPIGLWWAVITICTVGFGDLVPKTYLGMVVGSVCALMGVLTIALPVPVIVSNFAMFYSHAQARSKLPKKRRRVLQPHEIKTAPVGGRSTTAVLLNSLGPRTAKSSSNDLGRAAYVPDAKRDTFTYYSFALK >PPA20410 pep:known supercontig:P_pacificus-5.0:Ppa_Contig481:9053:10812:-1 gene:PPA20410 transcript:PPA20410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-bli-5 MKFLPFLLFVLLAGFLSGCSDDEFYHLHKIRSQLIRGMEMNELQTQSIPPESIEEARQLLPMFDSHHHLDHQKIHVIENGQLINPNEHLFKIPQSFTSHDLLNTFISFYIRPIYSASRLTIDVKARELSSDTFYPLASEEIDSPRSMKQIRMKLDVELMKKWSDLSIPSIVLSIEFRQIDSDLIVLKDDVDSNGKSIILEFDVAVAPKRKRRSFLDQQQCEMDESGKDILPNQECCIATRIIDLRSPNDRSELVCWRMQEGKQLYGSLHIIGDNGPRCCHAVESSHVDVMYATKDGAVNNSRIYGVIATKCACA >PPA20408 pep:known supercontig:P_pacificus-5.0:Ppa_Contig481:3745:5410:1 gene:PPA20408 transcript:PPA20408 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTHPTFRIPHIEGAVKHFTVPHSAFFYIDVVCNVWFTIELIIRTIFCPSFPRFVSSPLTIIDFTATTAYYFDLILHTILISTGSILTIDFISILCVLRLFKLAQHFSGLKILIQTFKASAQELLLLVFFVLLAIVIFAALVYYAERSQINPTNQFTSIPAGLWWSLITVSTVGFGDMVPKTYLGMLVGSLCALMGLLTIALPVPVIVSNFSNLYSHSQARAKLPKKRRRVLQAHEVKPPGGNMAPHLKPNHHPHKNRKKSSTATSPVANGPTKINHNGGPNTHLLSNSPPSN >PPA20411 pep:known supercontig:P_pacificus-5.0:Ppa_Contig481:12700:13135:-1 gene:PPA20411 transcript:PPA20411 gene_biotype:protein_coding transcript_biotype:protein_coding MICIFMSLCLIVSSFGGLIVIPEAKYPFCLVILIELAVILSVLAKNKCCISLFIFYKATSFFITLLSGFPMGYALITVEKPAKDLAKYLNDVKPEDL >PPA20407 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig481:2617:3258:1 gene:PPA20407 transcript:PPA20407 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFSISGKLHYPTNVCGPLFEDELEFWGLDSNQVEPCCWMTYTQHRDTQDTLAVIESLDLDGDPPTQEEIAKKMTIIRELSLDGNKLNLECGLYSTNLGLPNTPE >PPA20414 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig482:10574:12595:1 gene:PPA20414 transcript:PPA20414 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVASNKKKGGKSTSVGSAGDDLDAILAELAVADKKASEKKGAKGGKIDEKECGTKGGTKSAWDEEISKMKSVDEQMNEFPHGEEWDYYLPGKDERIASDRVSNEEKKALDKGYEEMWK >PPA20415 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4825:222:610:1 gene:PPA20415 transcript:PPA20415 gene_biotype:protein_coding transcript_biotype:protein_coding MSPITLFSKINRVKLELFLDLPMTHIVAHLRACHLLRSSLSCSKCNVPCVEYQKVAPSWPGCGWRCPSCYCNYSALTGSWFARMRLDIRPLFRMIYSFCWEQASLRSLQHELRTPDGSTLSSKSFVDYH >PPA20416 pep:known supercontig:P_pacificus-5.0:Ppa_Contig483:934:2544:1 gene:PPA20416 transcript:PPA20416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fem-1 MRANLALPVTTPPLRYLVEKGADMELANRHGHTPLMIGAFRKKSQVVEYLLSKGASPLKSSYKGNTALHDAAESDCIVVCKLLIEAGASLVEDDCGLCPLMGAAVMANMAVFPFLVAHSVSKRRIKDAYRLLGCTLVDKKMDLEGAVKMWNSAIDIQLNDEEMEMERTRRMDGASHHVFKAFPEVITKDQLELIKDDPDKIRMQALVVRERILGGAHSDVHYFLRLRGAVYCDMGDVRATD >PPA20419 pep:known supercontig:P_pacificus-5.0:Ppa_Contig484:2902:4234:-1 gene:PPA20419 transcript:PPA20419 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEDLPKEVKKGGSGCCTVIVLVSLAVAGLAVYYAHHNGMLKTVIEQHFPSTREEPVAAPKQQQQQQQAPPPTTTTTQKPTTTTTAPPPTTAKPAATTTTPPTPKPAAATPPPSTTQKPAESPKPAAAATPAPRPAAKPVETPKPAAAAAKPAEAPKPVKLPEPAKEAPKPSATQPPPPAAAKPAEAPKPAAAAPAAPAAQQAKPAAAAAPAAAPAAAQAAKAAAAAAAAAPAPAAAQQPPKPAAPAAPAAVPAAAAPAAAPAAGQQQATQPPPPPGRRGPNVQKPVKAA >PPA20418 pep:known supercontig:P_pacificus-5.0:Ppa_Contig484:341:2079:1 gene:PPA20418 transcript:PPA20418 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAATSDYSNSRSVCLTVRDLAPGRYILMPTTFAPREQTTFLLRGYADFHFKLTPLTKHAPPAGLFSCGTSTTSVTRLQIRKAEYHRRPNDSLDNGLKFYCIIHSDKEKCQTTVKGGSMTPEWNDLFLFHRKDRRQRYSIELWHERFGPDTLIARSELVALMDNECKEVSLPLVPPKCKSAAESVGTISIQMCAYDDPYYI >PPA20420 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4844:125:714:1 gene:PPA20420 transcript:PPA20420 gene_biotype:protein_coding transcript_biotype:protein_coding RQLPAQTRRHGYECAPTHGYGNDATTSSGWRSHVEAGWWNGRTEWNERRRTWWIWRRRR >PPA20422 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig485:4857:5584:1 gene:PPA20422 transcript:PPA20422 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAEKTPASGIQVIIYAEYDGMYQIDHFRNIHSDQEAVIRIVVSRVDMPSSSTSPSRNTWGDDSEGDDDRRRTTHWVSLESYALITLGFDIHTRLLPHQVNLLNSTFAHRRVRTNHLGNNNKELVTPRVEKGSSGNTRVTCKIDIQGVHDTLHGVDYVYSKHGIALRCDYTPIVIIPSGERFALQCLEIWVDI >PPA20423 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig485:7612:8105:1 gene:PPA20423 transcript:PPA20423 gene_biotype:protein_coding transcript_biotype:protein_coding MYACEDSTAGNVPPANSFMDGQHIPNPNMNVFNRQPIARKKIAPDGRSYVKPTANPMDEFFCNGPLESLEKKEEKTVYVFHMAKGAVVNITSK >PPA20421 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig485:72:565:-1 gene:PPA20421 transcript:PPA20421 gene_biotype:protein_coding transcript_biotype:protein_coding MYACEDSTAGNVPPANSFMDGQHIPNPNMNVFNRQPIARKKIAPDGRSYVKPTANPMDEFFCNGPLESLEKKEEKTVYVFHMAKGAVVNITSK >PPA20424 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4850:80:1071:1 gene:PPA20424 transcript:PPA20424 gene_biotype:protein_coding transcript_biotype:protein_coding ILLTEKEQGLIESEEEDEEALVQESGDSDSDYDAEEDEKPEQSSRKPWTKELCDKMLEFYRSKDLEDGRRGASQSFKRMQNRFRAHMKTEYDLTLLRKYEKTGVIPSERYSAMRQLASDVRAKLGEKMKKGVPIHDTDIRKIALDLNKLNAASGNFKIDELRIKFLAV >PPA20425 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4851:119:801:-1 gene:PPA20425 transcript:PPA20425 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVNTVGKSAFVEAVGRASDDAEGYLDFFKGKITESHLGKELLEKVELTEDNASRLEEFSKEWKDASDKWNAMWAVKIEQTKDGKHYVAGIGLSMEDTEEGKLSQFLVAANRIAFIDPANGNETPMFVAQGNQIFMNDVFLKRLTAPTITSGGNPPAFSLTPDGKLTAKNADISGS >PPA20426 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig486:936:2107:-1 gene:PPA20426 transcript:PPA20426 gene_biotype:protein_coding transcript_biotype:protein_coding MCASNGRIRRNTRTLQSTEAIERFLDPTLSRSILALTNFALPHLAKTKGSVVNVASLAAHSSGEQALFHLGENTWMVHFGKVGEGNDIAELVCYLADHTQSQIFIGQTL >PPA20427 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4860:54:1332:-1 gene:PPA20427 transcript:PPA20427 gene_biotype:protein_coding transcript_biotype:protein_coding GWQKMSEQLDELKGAMNLEENERMVDKWKAINDEFQNITAKLQSFQLDGDASGEELQSRIDSLEAKNLELASENGRLKERIDLQIAEMIKIDERNTGQNADGLSDSQRELLNLRMHNDMLQRMKQMRGSGAHSHTHRDSSDIPTTRDQLSSTIATTGRKEDWDDFETGFTIRYGMMENTVAMSLLKDNLNGAARDALRSAPDEEKLKGIKSVLKWLRSRLSNETPFEELEVEKMLRHQKVDGKSVGRVCEELEEWTSKLHRGDEAKKETARKRQLTILYEGKHTEHVRLLTLFGEGASYSKMKSALVELEYLKRTEKESKGYSKGGNFGLKCFRCDEYGHKESQCGRRNINGGGSS >PPA20428 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4866:51:701:-1 gene:PPA20428 transcript:PPA20428 gene_biotype:protein_coding transcript_biotype:protein_coding TSTSTLQPSSTTLQSTQPSTTTLLPTQPSTTTLQSTQPSTTTLLSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQPTQPSTTTLQPTQPSTHTLQPTHPSTPTLQPSTTTLQSTQPSTTTLLPTQPSTTTLQSTQPSTTTLLSTQPSTTTLQPTQPSTTTLQPTQPSTTTLLPTQPSTTTLQSTQPSTTTLQSSQPSTTTLQPSTTTLQSTQPCGIL >PPA20429 pep:known supercontig:P_pacificus-5.0:Ppa_Contig487:1716:8646:-1 gene:PPA20429 transcript:PPA20429 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPLREGAFSPEAAYYIDKLARKEDECNEITAQFNKYKVKTKVRFDQLRHEISNILHEKNVDPEDEQQHPAMLLISLNETQFKLEEAEKLSISLRSDMERQQVHINDQIILIKNLEDQLRVWEESEMVRERRRNEPVDLERVQQQMMYKDERIVELNSVILDKERQILDLQDACRSASEVATSKKEAMRIVQKKFDEMEKRTRREASTETDDRVTGVSFDTAAPATGTTERAQRSTQQPSQQPQLQRAVRTSSPGRAVHVVNKNGLHSPPPLDPSELDDLPGTSKLAKTNVDGDSNLREKKQRKRVTFALPQAASREDISRLTADADTDEITEALVNLTAENDRLRGEVERITRMEEEMDEMAVRVAQAESAVSEAEREARHASLKARAVAQARIKELEDRMATQNALGAKQIDRLVVETETLRSTRDWEVEQNARMREQLAHTKEKLRQLREELDASAQANRVAEKKLDEKESLVTSLVAELEDAESLAVFLEDQKHQILDDVDQLKDIIKLHEEQINLLEADNLIYETRVGHLREELGVSKVNQRDHIKSKAFHTKLQMVNKEKEQIDRKANGGTGGDMG >PPA20430 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig487:9004:11368:1 gene:PPA20430 transcript:PPA20430 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSESAEVAAIVASGDSAGNCRVSRNIRANWYFEHLDKPVQIYSGLNDMSNEMLVYGMTPVDGADEEHVGNEQLLFTRNTKMIFFAKLYRQVYVLDLSPSTLVADDEAGCCLFTLLIEHFKACLYSLTRQMVLPGKQLTLRPAIYLTVLVYTPYLTFEEKTVLVQGTLVNHLNVHLVVEDVEIKFKKIVHSLHKFSQPIVDAWGKKNEEKKRQRTYRSFPSTAAPSTPPTGILKEKTAVFREEIDGTDYEDEDGSEKDDDDPPGSRTRLPSDSEMAMELEEMGYTRQQ >PPA20431 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4876:156:345:1 gene:PPA20431 transcript:PPA20431 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLGKKYSARKDWAKPTKKFPRDNTLTMRSSFVRFEILDNQVNHLPFTHHASDRNPDKCRNT >PPA20433 pep:known supercontig:P_pacificus-5.0:Ppa_Contig488:4376:8571:-1 gene:PPA20433 transcript:PPA20433 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sec-23 MKSFDQEAAAVTIGRLASWRATNENDTPDALRWLDRVLIRLCQKFGEYAKDDPNSFRLSEKFNLFPQFMFHLRRSQFLQVFNNSPDETAYYRHILFSENVLESTTMIQPVLFSYSFNGPPEPVLLDTSSILPDRILLMDDYFHTIAQWRKLNYHEDPQYASFKQLLEAPVGDATSILQERFPMPRYIVTEYEGSQARFLLSKVNPSLTHNNPYATGAESGAAVFTDDVSLQVFMEHLKKLASSSST >PPA20435 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4881:66:988:1 gene:PPA20435 transcript:PPA20435 gene_biotype:protein_coding transcript_biotype:protein_coding CEDHASVRRGAGGTRESATARPECGDFTGSRSNGRSDEGRGKGLTHAREDGTTGENCA >PPA20436 pep:known supercontig:P_pacificus-5.0:Ppa_Contig489:3894:9053:-1 gene:PPA20436 transcript:PPA20436 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEKSRKRSTDSDASSSAEKRSRKESVPHHVRVQQQENLQKNVLQIFAGLLDSTEEGEMDTITDLMTSLQNMKERRQRDLAGTVSSTTSTAVKKESSSASPPQLKKEEADEIIVVDDASTSPLAAPPVLCSVVPSSSSGAPIPTVLLPASISAPPGEETKKSPANLPPSLTPTFRYEVVMREKYGSSSLHFACSLASLTGEGARGMKRRILHTASPLVVIRDEGGSRPTKMTTHFRFPNGMTALGMTAGNLLQPGHSIGTTSDGQHYIVTPQLFQGMPNEQQQQLMMLNAQAVAAVQQQQLQQQQQQSSPKAAAAAIAAPAAAVGVKQQQLQQGQQERAYQQVQEFVQQVQQQQQQQQAAATPQSSQQATTPTPAAVTPVAHIQHVQIVNGVPQLVATPLPPGSLPRMLAAGAAAAQAPPTSGAPPVTSAAQQQMMQNAQQLEHLRRQQVLQEQMALHHMAAAISHQQQQQAQAQAAAHAANNQAAQQKNQTQLQHMQQQQQQQRQLHNAMMQQRAAIERMQQVNAAAGAVPAAGGQAQQGQPLADPIASYAMDTSNSPHIYVNQNGQLMRVSAAPLAHPHAKRPFSVQNPFYNPGASSTCNKDGTPIQQAAPPPPPPPMRPVMQPQQQPQQPGQLRPAAVLQPQPQPPTSLTGGFANITPSQYHALLAQKGIQLQPHPQAVFVQQRPPPTSAAGGEGGPPALVPLLATSSAQPLVVPAPTTAAAATAAAMQQPGAPGAMSQAQLQQLQAQLLSMQQQQLIQQFGVNPAGGQPVLMQMPPGAAPPGLVRPQQTISIADYNNLRLFTNPTS >PPA20437 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4891:33:863:-1 gene:PPA20437 transcript:PPA20437 gene_biotype:protein_coding transcript_biotype:protein_coding PTQPSTTTLQPTQPSTTTLLSTQPSTTTLQPTQPSTTTLQPTQPSITTLQPSTTTLQSTQPSTTTLLPTQPSTTTLQSTQPSTTQPTQPSTTTLQSTQPSTTQPTQPSTTTLQSTQPSTTTLQPTQPSTTTLQSTQPSTTQPTQPSTTTLQSTQPSTTQPTQPSTTTLQSTQPSTTTLLPTQPSTTTLQPTQPSTTTLLSTQPSTTTLQRGIPGYRALKSTQPSTTQPTQTSTTTLPSTQTSTTTLLPTQTSNTTLQSTQPSTTTLKSTQPSTTTL >PPA20440 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig490:5731:6160:-1 gene:PPA20440 transcript:PPA20440 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLQYNWYETLPPQGEHELSIASYYLVFSWKTILLLIFADYVFRSIPVIRHILLPRREEGILPNLCYGAARVLFLMFCTLVAFYYGAKFRGDTFALGNSILTYI >PPA20439 pep:known supercontig:P_pacificus-5.0:Ppa_Contig490:1561:2775:-1 gene:PPA20439 transcript:PPA20439 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWNDVNLLVAPNTEVAMVDYRGVAEVELYKDIFAGTIDSTVHPTFILPMDVKDFNVPVPVAYYRLRFYESTRPGLESFSFTPLSAFIVFPAFILLYITIASLAVAAIDAILDMMKNPSLRSSSHGSVNKTNSLSRQACASSVRESKLPVQVLLGSIHFSRRAAFLYGNVFLVQIWSGYFNGNAMLSEPVQSTAISMVQQLIREGRRELVVDATTEIFDYKDSRERSLLQQLALFGGNSSFVYGDSAESRFALLCEKPIDSSTPRVGVFQASHPP >PPA20442 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4904:306:972:-1 gene:PPA20442 transcript:PPA20442 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRFEKSALGSVLREGSVDVVDQLRGHTAGCRRTPADAAAAAAAERTGC >PPA20443 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4908:660:1375:1 gene:PPA20443 transcript:PPA20443 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKEEKEQKKFPPSVDQEKAAECLCQHCPPRSTQETADYCCSSLFTFTPLQKGILLRDGLASKMKEFGSHPCIILDPLFVNFIMTEVAAKSSAQTYSMLMGEPITDIP >PPA20445 pep:known supercontig:P_pacificus-5.0:Ppa_Contig491:9878:13535:-1 gene:PPA20445 transcript:PPA20445 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-eor-1 MTLPSCNEGPSLNPMSFTDAQGMVVLERLRYQRETGRFCDAVLQVNGHTFAAHRNILASGSPYFDAILKKNVVIKEQIKVNCPNVTAFELFLSYIYSGNVCIDRSTVSELLALANNFLVPKLKNYCAEYLDRNLDSANCIAIQKLAKKFNLPVLRNSASEFLELNINRCLIDSIDILSYNYTQIQSILNDPKHRPVISADVHLRLACRWASEDVVARFDSFRLLIFSCQVRKVNKQMIDYVMDYSEIMKDPRARLTLLNQLAKSGAELFKYEEEFNRLMGEIEIDLPTRVYESNEEYDVYDEDAPVVGERTAGEILAADQRAYSISSEDNGGVKLKLKLGGKEKKKESRKRKRQSIMGDGPGTSTESNVDEDGLDGVYCVSEEAILYPDAEGIDPSTVEEGDEVENVPGGQAMCVHCKYAADETEDLHRHMALRHDRNTVFVCTLCDEGFESRWSASFYEHISTHFHSTDSIDCEECGYSTQEGVQAFLNHRLMHHPEDSPFKCFTCGWRCSTRTQLWSHERVHTGSAACSCQICGRAFRRMEALRAHETSHNDRRTHICVDCGWASKTESDLTNHRALRHQLEVYTCHITGCEYASTKKSQLAAHLRTHLSVCGRGFVEKSHVVRHERIHLTDKPFKCESCDYASTRRDKLKEHILKYHNGANNVPPNAGERSP >PPA20444 pep:known supercontig:P_pacificus-5.0:Ppa_Contig491:4149:7430:1 gene:PPA20444 transcript:PPA20444 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pkd-2 MSGKIPYSSSEGYDNPPPITEALSSPPTALQAEEFVGDSYGLGVRKRNTNPEDAPIKKFAYGAHDSIKKSDDKKVSLKSALKDLISHFIFLVIVCIIAFSTCSSATYYFTNVVNNLFTATTAPSGNNFAAINGMDDVWEYMNNQLMADISLGRNQSSEEAMIFYENRILGKPRIRMLKVTNKSCSVVESFAREIKACYSNYEKGKEDRAPFSPDGYELDAFTYSTADELENSDLWGAMATYGGGGYVQELSETNKNESMDSIAFLKANRWIDRGTRLIVIDFAVYNGNLNLFSVIKLMFELPATGGVIPKAQFNTLRLIRYQSTYDYFIGGCEAAFCVLVIILVIKEVIDIWNTRFSYLNKFWNVIDLVVIGLSITCIILSLRRTNLARSRINKLLDKGLSSSPMDDVVNAENMYNNIAALLLFFAWIKDIGGFAVMFFVFFFAYAQFGYLVFGTQIQDYSTFYDAVFALFRTILGDFDFHALERANRVLGPIFFITYVFFVFFVLLNMFLAIINDTYVEVKAELARQPDDFQVASFVSKSWYRFLRAIRLTKEIPNMESNIDFDAWKTALRRSGYNAAEINEKFTKFEVQSWKEMDNERRDELEEELEMEMREREKRAEQHRSINVISRRVEGIETAIFAIASRIETVAGRLKTVEWDKVRIHEHKNLINSQALGSGVKTPPQDNFKMD >PPA20446 pep:known supercontig:P_pacificus-5.0:Ppa_Contig491:13553:15542:1 gene:PPA20446 transcript:PPA20446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ard-1 MIINTVMASQASSVLRPLSKVVAVVTGGASGLGKATVETLARGGARVAILDLPQSKGAEVAKSLGPNAIFTPANVASDSEVKTAFEQVKSKFGGCNAVVTCAGIAYAFKLYSSKNKTVVDMEKIEKTINVNVLGSFNVIRRGAEVMMAADKEEDGQRGVFVMTASVAAFDGQIGQSAYSASKGAIVGMTLPLARDFSDDGIRFVTIAPGLFGTPLLAGLPSKVQNFLSSLVPNPKRLGNPDEYGALVQHIIQNSYINGETIRLDGALRMPP >PPA20449 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4913:75:562:1 gene:PPA20449 transcript:PPA20449 gene_biotype:protein_coding transcript_biotype:protein_coding QKLLKHRNKKAHIMEVQLNGGSIADKVERAKERLEKQVLIDQVFAQDEMVDTIGVTRGKGFKGVTSRWHTKKLPRKTRKGLCKL >PPA20451 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4916:51:821:-1 gene:PPA20451 transcript:PPA20451 gene_biotype:protein_coding transcript_biotype:protein_coding MPMTGWHQKTRRNDVMVQETCGGFGVQYVEINEHFDVNCGGFGGAKAADVIENCGGFGAKTNELVEKCGGFGAKPNELVEKCGGFGERSYVDRSSGEGGTNAGGEHRGGGWRGGPPLEAVTQTQQGGDASAFPMMSFGMQGMQGGSGGWRGGMRGGNNGYRGGGGGVHM >PPA20452 pep:known supercontig:P_pacificus-5.0:Ppa_Contig492:45:2282:-1 gene:PPA20452 transcript:PPA20452 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-daf-2 MDDTSAKCSDECDDSASRCVHRPSEMGGPPIISCWNATTCQESCPFDRKNDTKELGSGCLIGSEDNEECHPQCIGGCYKKGHSGQCVACAGYLSEGRCVTHCPPGMLKYLSRCVTREECISSPDVRVDDEWRMYKPVNGYCVYDCPAGYEQVKRPRKLPDNTTREQEYCEVCNGSCPKKCKGKAIDTIAMAKDLAGCNIVEGTLDIQLRDSSKMTLLSDYLKDIEVIEGSLLIRFSPSLTSLSVFQKLREINPGKDLFQTRYALVIYENQNLNSLFSSEVTKKLRIIGDGQVQVQNNRMLCFKHISNLMTGLKMELNIDDQSSFSNGDKAICDETNLVISIEKMMSEGFILKWTPFNTSDIDHRKFLGYQVFYKRVSSPDLADVQIDQDRSVCSDTWSMHFEPEKEKEKKEDNGTASIQEEKKIVYNVENPSEAQLENAAHANKKTYNGVGPYVHAVITNELIIPNTFYAAYAQTKMVNHLGAKNARSNVIIVQTQYSNPTPPRILNIQPIGKRREED >PPA20455 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4928:75:1074:1 gene:PPA20455 transcript:PPA20455 gene_biotype:protein_coding transcript_biotype:protein_coding KKSCPNIHHWRNKIINHAYYCHYKYGRDRTLCMNYWIAALPHVTGKHRGFRKIPFLKGIRKCKHAALGKDNMHLIKRDSEEYQELKAVIMKPTFLSGLLRASPKTNTSPNESYNSIINMYAPKSRAWRCNKNHTETSELHLQFAQMVWKEVPCVLEGRLVEQFLRKNQAPTDREYILTLQQEEKEAVSNEEGGREWKNWGK >PPA20457 pep:known supercontig:P_pacificus-5.0:Ppa_Contig493:1975:8462:1 gene:PPA20457 transcript:PPA20457 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPWETTGRQQQPPQSSSVQAASGGYPAYHEYTLNRRGEKRTAGRIGRGGRSPFTMLRMGGGGVMEDAYCDEYDYEEAPPPYTVFSGYLHVKSLTLAKLVLLVLWLARECQLQMMDQICRAGNTTATCSRAPCAWLHMLLGGLPWALQYDALCNALLLGTIMSALLFLGALWLRERQYSSLHPPASTLLNLILISFETVIELCFTFGLLFQIYTNLCRRSGGELVWSSVKGVIVLAIALASGWLHSATALYICDRGGHSFDYVNGPLRYKDEQQQRRGRRMDDYEDNMDVVIAGRRDEHEQAFGRQIKAALHGMPLLGAVPPNHMTQLPPGSNGLYHVSQHSGTASPAYYNEPCQTKTNSSEESGVSSSVGYGRLRQALRQQARAGARAAAAAGGGGGGHHMQHMHK >PPA20458 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4933:145:828:1 gene:PPA20458 transcript:PPA20458 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVNTVGKSAFVEAVGRASDDAEGYLDFFKGKITESHLGKELLEKVELTEDNASRLEEFSKEWKDASDKWNAMWAVKIEQTKDGKHYVAGIGLSMEDTEEGKLSQFLVAANRIAFIDPANGNETPMFVAQGNQIFMNDVFLKRLTAPTITSGGNPPAFSLTPDGKLTAKNADISGS >PPA20459 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4939:85:695:-1 gene:PPA20459 transcript:PPA20459 gene_biotype:protein_coding transcript_biotype:protein_coding SPLPVPVAAPSPVYAPAPMYAPAPLPVAAPAPVYAAPAAFPPVAAAPVPVPAAVPVAPALAPVAPVAPVVAAAPIAPVAPVAPVAPVAPAPVVPVGCAPGCIGGA >PPA20460 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig494:1367:2068:-1 gene:PPA20460 transcript:PPA20460 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLLLLSAAIGLSYSMVKHSDSTLYDVYDFEEAASIYLTNCDGGCYIYASTVGNTDPNDHDTRDPYSRNLMIRENNKGRDLIDIADLSDKVNEDLQKTPMIIEVRIELK >PPA20461 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig494:3198:4355:-1 gene:PPA20461 transcript:PPA20461 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTKSPKYWSMCVVDLVVTNMFSVNFTMLLKAILSIPSTRGALTVGRGENTNRDASVLVSMQDEERRSLTRNTIWIRRNCGFN >PPA20462 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4941:336:1323:1 gene:PPA20462 transcript:PPA20462 gene_biotype:protein_coding transcript_biotype:protein_coding VLSSSLNSAFNGSAANGHAFSHVRLSAPTKCHHCTSILVGLDRQGLSCSKCQYACHVHCLQRISPACPVPVEARRPIGIDPYK >PPA20463 pep:known supercontig:P_pacificus-5.0:Ppa_Contig4944:114:427:-1 gene:PPA20463 transcript:PPA20463 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSNCGLRNMDGLVNIAHTRFGIGLQFPENTTPNTRRRASRMGGHFRHESGPAYGGIPSPFDPGENNEAKRSGSLEQCS >PPA20464 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4948:327:579:1 gene:PPA20464 transcript:PPA20464 gene_biotype:protein_coding transcript_biotype:protein_coding LDRRKVFRELARAGIEHPRHGVVIRDGKNELEGQLIEHSDHIEVNGMVFNKPFVE >PPA20465 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4949:544:1380:1 gene:PPA20465 transcript:PPA20465 gene_biotype:protein_coding transcript_biotype:protein_coding LCSILADLASFGPIPKKEIVVLHRCLRMVDEERKEEKGEGREEEEELRIHEATARARLYAILAALRLVDQRGEEAMVGLVGAIRTQIAEADKSSS >PPA20466 pep:known supercontig:P_pacificus-5.0:Ppa_Contig495:3682:4509:-1 gene:PPA20466 transcript:PPA20466 gene_biotype:protein_coding transcript_biotype:protein_coding MSIREASTGILGTHVTWEEFEANLTKALNTTARFGPAKSATDIGEGNGFASRCGLIACDWQGEGAEKLPKRVVLKMGSALALVAMSEMLPPEQNMFKDATPELWDMVMNGMKSVSIFFPP >PPA20467 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig495:5850:6257:1 gene:PPA20467 transcript:PPA20467 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPYPSSTKAVNKSSLVKSNETTVSTRVSKPIVSGRVTRKRSHNPTRVAEAAIMEIVFKQKTCKSVKP >PPA20469 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4952:14:950:-1 gene:PPA20469 transcript:PPA20469 gene_biotype:protein_coding transcript_biotype:protein_coding STQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTQPTQPSTTTLQSTQPSTTTLQSTQPSTTTFSRRSRLPPLFSRRSRRPPLSVDAAVDHPLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTQPTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSTQPSTTTLQSKQPSTTQPTQPSTTTLQSTQPSTTTLQSTQPSTTCTLESTCRRRARLFSRRSRLPPLFSRRSRRPPLFSRRSRRPPLFSRRSRRPPSRRSRRPPLFSRRSRRPPLFSRSSRLPPLF >PPA20470 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4953:244:1008:-1 gene:PPA20470 transcript:PPA20470 gene_biotype:protein_coding transcript_biotype:protein_coding KQPTPAEGDAANGEAKKKKRKNRKKKEAKEEKAEAEPAAVAAEEGEGGEKSEKKKRNRKRAKVEGEPAAAEEPEKKKPKEEGGEEENGKKKRNRKRAKVRA >PPA20471 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig496:143:1469:1 gene:PPA20471 transcript:PPA20471 gene_biotype:protein_coding transcript_biotype:protein_coding VIDVIKRLLSGNRYARSDSYPDDVRVCVRLPGTGEGSNKKEDTFPGAIKDQWEGIQSDLGMDLDECPPEEPPSVVVVAFSIAVWEDSIRYSRGADGPPPDSLQEMKHEDKREQFMPRFPNDTRRSYIPRLRFVTVTLTGKKVTLYSYNLRHDLHTMMVDDLRTAVQWHEAKFGFEKTATLQSPSLSFNQNRARETICNYGEETSLIGVLK >PPA20472 pep:known supercontig:P_pacificus-5.0:Ppa_Contig496:2079:9066:1 gene:PPA20472 transcript:PPA20472 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRQIGLHKMGITHLAPTQDLPYDEGGTYATRIWRCTESYLESDFPPGHSCEITPKECILSMSRLYRGIEPAFVTAGIETCFIDDQFFQLKQIRQAARSQLLPHHYLSALHARMMVGKDKITYADLCELVNASRETHFVMTPILLFPKWRRKIAGIRAPEKERERVRNATAKTRNASTASGFGMKGTSSARGRTVSTLSVSNSHASGLSLPPSGKSPSLRVVQLGEEDDPLHRQLEVKLLSDYVEYLISLGMKPVTIDRRTARTGRQPTGGSIVRWNLHPEQWMVTAVDGGLLLAHLCFTPPYFHVRFRMWHLLGVFSTVEDDHEKLKMRNLERAKDELVAACHVHSFTYDFHLRILSKYLLGRDHILFMPGYNTSAFLIDFLQYYGCRPPCARNCIYEERTSFSLQHGVHAEDVWAHFLKHDKLYGWRVVKMKQSEDDFYHHQAEFMLVQTAVDMHGERDEVKIVIHERSLLSPHSLNLAIYMVVVNKSHKTPLSDERRREDSRASEGEFKHVAPFNLPPAVPSKEGSERAEERTEDGDSGSRDSGAGSSAVALPGLVSEIERMVNLVNEGLLPSVVDGSLSRRRRFSSDGNVAGGLTLPTDQNIPIVLTPRTGDSDSLGSIGSLTSPPSPSVKVPPAENQLAIQVNAPRRHRRREESRVDGAGLPIAQERAIYVHFLSARQRKLQKIVEDATTKYRLQLQKCVQDAEFACRRDTLWQRIVAMPRHPVKTSSVGFFRTVNTDPWQVARTAAVRGKDPSKDLDTLISIVHKEKMADREPGLAQLTRGLKAAGLCRHLVARFGVERCRFFENKHAVNKKGLLITNPNCLLDAAILVSCTKETSPELIMMIKEYDDDETVNEQVKKFKQAAIDTAFDE >PPA20473 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4965:449:1116:-1 gene:PPA20473 transcript:PPA20473 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEKKGVIVPSDDEMPEGLKIKKEPPEEKVIKEERPGLLPVSPSSSEDDE >PPA20474 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4967:445:805:1 gene:PPA20474 transcript:PPA20474 gene_biotype:protein_coding transcript_biotype:protein_coding RVDDAIRGGDWNEAAELVHRFLTLDKAVFQLRDTKAGQSIRTSYEILEKYRNQACMPA >PPA20475 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4968:232:630:1 gene:PPA20475 transcript:PPA20475 gene_biotype:protein_coding transcript_biotype:protein_coding VDSRDCAVGGTKAWAIVAAVHRDIKSSSLQVPGGAEKEEQMEADYDKLMREIAEQDAEKEASC >PPA20476 pep:known supercontig:P_pacificus-5.0:Ppa_Contig497:2765:6539:-1 gene:PPA20476 transcript:PPA20476 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLDNLQHDLGLVERVELQVAGYGRTWLGSSGSPQDPQVDPQGDPHLDLDGRLFGEQEGDVVASLIVKRRRPLERRGRKAIRGISEDATTLMQHVAGLPTAALVGKKNDDQVATRLRNLDRVPGLELFAIGVLYKGVGQTTEADFLKNVYGSERYNKFIKNLGDVRSLINNPGGLIKGKHGKYTYEYKDPISRIVFLIASLMPTTPNDPQCNEKKKLIGNNYVMVLFNESGAPYQLQNNAFVHATIEIIPVDSCNCMVFVHARPEVLCWIGLRKVVLTDSLAARAVRQIVIRANLAVNVYRSTQETPEMPYLAMSIARLRMIRALKDKCQPVAPATNS >PPA20477 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig497:6597:7893:1 gene:PPA20477 transcript:PPA20477 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERGRIVKMEKQLTRLNKSIEDQGDMMKIRVRTAEEEMRGRLGLETEPGWGQIRRMKWGSEMGSISDESSISLTRTERSRSTSGARGSLSASSIERSEGTSVRERSMRETLLQQVPEPPKRRVNPVACSRYDEAIKHLEQAAAHLKQIAVVPIREDDKLLAHGASVRQLMEQLKLSVRYSSPTVSNPNAIQGSTIADQCLQRSSSNTERDAVELA >PPA20478 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4970:728:1150:-1 gene:PPA20478 transcript:PPA20478 gene_biotype:protein_coding transcript_biotype:protein_coding CGVRRLRRLKSGGRRLRRLKSGGRRLRRLKSGRRRLRRLKSGGRRLEEWSSTSASAEEWWSTAASTEEWWSTAALTEEWWSTSASTEEWWSTSASTEEWWSTAASTEEWWSTAASTEEWWSTAASTEEWWSTAASTEEWW >PPA20480 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig498:373:6468:1 gene:PPA20480 transcript:PPA20480 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSEDESDGRSEQMRALERAFFLHVKFEDAVKKWDRLGCSKLHASDVYEYWKQKRAEHGGRPMIADPDHEIVVEVDTPVLKLEDSGDDGQGGLMLSADPAVQRLLTPYNQKIYRLQRFVFQILDKILDKTRTECDRVRRRERTKKAQLDTEYDIFKKLIELDSLPVPISSRELDKIVESLEQDMTPEEIEKASNMIAESDRIAEEMVAWAPSSPSKRKISGVGVPPVTTPASAVRKEKNAEPSTSASPVKRAKNAPAAATPTAPASTSKKEKNAAPSTTPASPTKREKNAAPAATTTPTKASPVKRTGAAAGSAASTRRSTTVGATPATTPASGGGGGRPAATRRVIVSTTVESATARHAASPSKENGVSGAAAATAAREDAAERSPTKSGRRAGTGRVSFAGAAASPPPSTPTLRGAHPTSPSKTKTPGGGGPPKTPASKRLRPQNPPDDPPLPTPESAASKLRERRESRYHAFFRFISDL >PPA20481 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4981:9:584:-1 gene:PPA20481 transcript:PPA20481 gene_biotype:protein_coding transcript_biotype:protein_coding ETDEVTEEEGEEEKGGVELVENEKEEKTEDKEGHVGVVKESEVVFADDVAAAEMVEEQPKEDDVPPEGAEQDGVRLSSDSGGRAAAAAAAA >PPA20482 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig4984:815:1371:-1 gene:PPA20482 transcript:PPA20482 gene_biotype:protein_coding transcript_biotype:protein_coding LLFAVRNFSAVTSSLFVSHRLPRFDDLIFFNLTTLEGDAYCVELTKKGWRIASLCHDSMNGDYRRMEMFIVYYNTMKELLIDVSPRFERMVTE >PPA20485 pep:known supercontig:P_pacificus-5.0:Ppa_Contig499:8124:10343:1 gene:PPA20485 transcript:PPA20485 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSTDKCTTPHVRSKRSDDQHRRKETVSVEVDAAGTLFPSNAVTTAFWPRVAESKDKHRLIFVMRNAERIDRVFGPDWLETELAFGKFLPTDQNIPSSLKHLLPHTSFLFDPPITTMGKYTSQLVARAMTQRGASPKKIVCAPALRCVQTASAIASLLGIKCGIEYGLAEPPSWFSNGDHQLSPDYISPEQFASLGYAVDPEYSAVLNQKSLTRQQKETEKESKDRIDFVLRYLGTEDRSGPLLVIGHALTCQIASDMANEKPCKAGHEWDNAVTSFSDEGGGQINSHDNEEVDTLTLGIKICRNLIPPLTCGRYFNNKPVLD >PPA20484 pep:known supercontig:P_pacificus-5.0:Ppa_Contig499:4707:5546:-1 gene:PPA20484 transcript:PPA20484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-egl-17 MHYLNQSIISLEIEISPLQTEAIDTPDFNVLSVDFGVIRLQHALTKRYLCMSSKKKLTTKLNGGGDRCAFVEHMDEMHYTRLENVQFHGTYLAFNRKGRFLANASTNQWRCVQFNKV >PPA20718 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1316882:1318753:1 gene:PPA20718 transcript:PPA20718 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPAASVEALREQREAAVQSGKFMMQSLLHHLIPFPRTLIQEIRYAAYIIENLVRL >PPA20584 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:575613:576344:-1 gene:PPA20584 transcript:PPA20584 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASSKKKNCEPVRSETLIIVIDSKFVKFGHHAVAIKQAPKRGIISGRCYSATIRKPKTSNLEEEISFMDALKMSKDEAMRVLSEDNQKPPSKYEGEVYGSSSGLTAGLTSGYLAVPYDCKIA >PPA20644 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:873281:873766:-1 gene:PPA20644 transcript:PPA20644 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSHVKCNYVTLVRCFIQILDEWAWTLYELKDNVVTITEDQCVHLRELDKCGS >PPA20650 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:912125:913617:-1 gene:PPA20650 transcript:PPA20650 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTSRNLQTYDSKLIEKIVDQIFPCIPEAAFVKSVHSKNQSKLRPWRHRDGETVKRTEIYSEAVVFIRRCFLAKSQYYIDRAELLKNNLLTDGFDSHWNISILPNKSDTYQTQESDKCDWEDIFIDNNAFPEFNDNYSFSQYLDPNLLGTTDANYIVIDNKEDSMDCEKCFTR >PPA20685 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1119429:1120199:1 gene:PPA20685 transcript:PPA20685 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIDEYETNDDDAEARKRLAKDIAAFFVIPAQSESNDTPSSSHVDTPQWYTAILEEEAIKTVTSSSEIVQNESEPASDLFLAPYKYDSFRKC >PPA20842 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1887659:1887942:-1 gene:PPA20842 transcript:PPA20842 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLMQKRGDTWYLFGLSSTANYADSNCKQETVFTRVEGFCDWFSTTTGIACLN >PPA20824 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1785746:1788894:-1 gene:PPA20824 transcript:PPA20824 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRSLALLLSSLAVATATIGFDAEQPISTSTFTCLKSSGYSFYISRVHRSNGAIDSTGVTNIKNAWSGGLSHVDGYIFPCLSPNCPSATDQAINAVDALKNGGTKIGRMWIDVEVYNWPSIQSNNRQFILDMANKLVELGCKIGIYSNHHYWKSIVGTDWTRMSKYQLWWASYNGHADLNHFKAFGGWSKPSIHQYTVDVAGPCSVGQFDLNYKP >PPA20741 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1450900:1451801:1 gene:PPA20741 transcript:PPA20741 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPQATFWDTQLINKMYKCTDKCAKQMPCWNGGVTDGTSCSKCFCPKGWAGTYCETRPTNAQAAAGKRMEAIVNSFGPHRFSGCRNIGLEILPYGDTRSSGLRFCGQVPYPSKPVYTDGDTMLCWLYRDINLPVTVEVSVRAF >PPA20694 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1198548:1200238:1 gene:PPA20694 transcript:PPA20694 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFFLPTPPSYWSLFGRSPVKKVRKCCWRSSESQTDNLFKRVAMRFSRFARINPHIHQYQFTVLLPLCGIECCPLHIAQKFKMGAQRLTLANAGLAPSHQLNTHPISLNNQYSVNNNNVFSNGHLQFRSFKIIPEKTSLAPISSQNMNMHQNMKQLHPSFIHQQQQYTPPYMQQPQLQHQQLYQANHPLPLPQQTKQHQSDLQQQQLGLYLQGPFNDDGLAEAPVRNQHLPTYTTVIMCRLEPPSNTDTF >PPA20601 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:635696:636056:1 gene:PPA20601 transcript:PPA20601 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEWGETWDDWEAEADDFTFGPNGEFMDMRVKWKQYLLDFARIISPKRC >PPA20835 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1846984:1849495:1 gene:PPA20835 transcript:PPA20835 gene_biotype:protein_coding transcript_biotype:protein_coding MADIFVKNETATASGTLKHRFVWALTMWAVVEGKITSTSTVYDSTSGNTGASEAYMCRLIGVPYVAVQSSPASQVAKELEEEKVRQITQFGGQIMKVDVETRNYWAERMAAERGGCFINQFGNANAAEDDHESGNYPLESTNVFHEIASQLKSRGFGLPHYFVHSAGTGGTISSVGKYITRYALPTRVLLADSQHSLYYDYVVYQKVSHTVHRYGNLSAGDEGRPGWAPPGIAGIGYGYNTAPIVFRRSTSLLRTVIDEVVRMPDTATVAAMRSLRGVLDIDAGPSTALNFLVALFKAHAHSEGSEATSEKDRFSVVLIMGDPGRFYQSTYLNDTWVDSKMARYGGMEAIRCWQAKIDE >PPA20519 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:200720:203796:1 gene:PPA20519 transcript:PPA20519 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tatn-1 MPRKQLQTPAFKSEVRQDQIVCHLKQEPSVSVDEVIDWDPLPVSSHAKNTVNPIRKIVDALSVPPNPEKSLIKLHLGDPTLTGKLPPCEVTTRALVEAATSHKYDGYGPSVGFLEAREAVVERYSNKNAPFTADDVILASGASHALQLAIEAVADAGDNILIPAPGFPLYMTLCRPHGIEDRAYRLDMKRGAMVDLKHMESLIDERTKAIIVNNPSNPTGAVFPKEHLEEILKIANRHHLTVIADEIYGDLVYDGAEFIPIAELSPKVPVITCDGIAKRWLVPGWRLGWVIVHDRFNVLGEVKKGMIALSQKIVGPCALVQGALPTILRETPESFFDNVKEVLSANASVVYNSLRMVPGLHPVRPHGAMYMMVGIDRAVYGDETSFVQGLIKEQSVFCLPGSAFSAPNWFRVVLTYPEEVTLEACHRITEFCGDLLAASPLSSDVLIDGDVSPVLEDEGCPVADEIDFDSGSSTESGEPSVESRAISPV >PPA20682 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1088698:1092541:-1 gene:PPA20682 transcript:PPA20682 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSKVDPKKAEADRLQGLVLALLREEENKYCADCDGKQPRWASWNLGVFICIRCAGIHRNLGVHVSKVKSVNLDSWTAEQCQSMRVMGNAKAKVVYEADLPEHFRRPQTDQQLESFIRAKYEHKRYILRDWVAPRVDVSEIPPAGEESRASNPSAVSSIKPPVGARVTNRPAEVAGNELLDISFPAATQPKATPTPSLLDFDSSGDSVTAPTANNGALTGDIDDIFGSFVSAGPTATNTSCPPSDPAPSAASIELSGITGDLSALSMGSNAFDDKKSNSDILALFGNVPKAVPTSHATPGQQPQHNWGGGLFGGPAAPAYQQKQVNGGMSGLNFNSSFGMQPTQPPVQPTMSGGMGDLSGLLMGGNAMNAQPPKPELPHQQMMSMHQPPQTSAFDSLSLNSLFPGGLSQASFTNPQTAAAFAAASPFASPPSMMGGENGLNFDSLLIRG >PPA20671 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1032940:1034352:-1 gene:PPA20671 transcript:PPA20671 gene_biotype:protein_coding transcript_biotype:protein_coding MHEDFLALFVDAGANIKIDRKLHIPPLPPSSPNEAEMMAPVASTNRRSRMSLSHSKISNEKSDRCSNRLITISYALAFRVKSSGVDVMAMNVPVIIGSMPLHSSKTEAYNDKIELGEDPMNYKQCKTDKAVKLSQEKDTILKCSHFMVFVNPPSDTNEDRDERHKRGKTIGRTSRNV >PPA20804 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1693934:1696501:-1 gene:PPA20804 transcript:PPA20804 gene_biotype:protein_coding transcript_biotype:protein_coding MITTLLSCVLTCFTASVTAQNSRLLQVQIDGLIRAAQLGTAFRNHYMELDLFGGSNIDNEKQSFLFVRSAPIRRILMSATAFSAAFTSSLIPRIRTTKNVDDEKVLIIRESIQHSCEAAISEMENPSERLDDELEKCAGNDGRKLQFRSLSMEAGLGSAFDGQRVRAVIGPLMSIVSKNVDDAIARDVVVGTKLEPAARIYFTDDYILLSAAQALGVISEYDGRSPEFSSAIAIETWSSSEGFEIKIIMKDGLHKPFKCVASDKFLDFRKQIHSYMAMNATSVQWDEKAAKVYTLKQFLTDVGRETASSIHDEMMSKEEEKWIFEVLLIFVLVLAVARFLLCKKNKHSRITVQP >PPA20508 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:124909:129228:1 gene:PPA20508 transcript:PPA20508 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLSTSPLYPAVLNGTILDPDWASNDLPMSDRRFVWTPMMKALSYAGAFGGNVVCVQPMVYVIRRFGVHRAMTFIGLLGAFTCAFTPLALSISFGLFVFFRCVNGLTFSMLFTVAGVVCNDWAPLVERGIFVAVLTGHVEIAAMFTMPVGGAVASKIGWPYAFYLNGIILACITGLFAFLYRDSPSKHPFVCEWEVQKINRGKSKLQASGSSATPPYRAIFSSPVIWASWVAVIGTFFVSQFTITWSPIYLNKVLLYSPAMTGLISVIPLVCQLLIKFFSGLMSDKLHCVDDVTKLRLFNSIALVGGAVFFAVASIITPTTNWIDTFLCLAPVALLGFHAGGYPKCLIMTSRQYSSFVMSVVQMVSCGTMFIGSFAIPLIAPDNSFDEWRKVFILYASMLVVTNTVFVIFARAKAASWTEEHGKRTNRVASIDGNLSQFSQFC >PPA20676 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1054348:1057514:1 gene:PPA20676 transcript:PPA20676 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-asp-3 MHKIELERSAHKPKAIAEFLKQKYIKGYKVNSLIYEEGLSDFSNAQYYGDITIGTPGQKFKALFDTGSSNLWMPCKGCPTSDIACLNHNKLRKYDCGKSPTCTETQIPFEIEYGTGSMTGYVVDDVVCFGNDQSGYCTDKTQGFACAMAEPGLTFVAARFDGILGMGWDSISVDGLSQPMDQIWADKDNCPEEIFAFWLQRDLHGSIGNKNGGEMTLCGTDPNHYKGDIAWEPLTAEDYWRINLGEVKVGGTSYAKGPVSAIVDTGTSLLTGPTDIIEKIQKAIGGHEIGNTGEFMVSCKTMNTLPNITFNLGGQDFELTSEDYILKMTNAGVSECISGFMGMDVPAPAGPLWILGDVFIGKFYSVFDHGNKRVGFAISA >PPA20803 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1691387:1693632:-1 gene:PPA20803 transcript:PPA20803 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDSSRLCCRESAALPANLASMRYKVDGGRPRKALARSCSLTSLHLGNIWANKNNNNNYNNNNRDESNREAIEGAQQTGKCELRPENTILAKSVGCINAKQHFMHRRDRLLISKSWMKAQKTGAEYIGAKIFHRLLTAQPEIKTIFGLEHIPHEMLKQHSLVYTKTIDYVVKNLEFPHYLEQHFELLGRRHVQYQGRGFDPSWWDSFAECMTEAAAEWELHRNRPATSAWRILVSNIIMFMRRGFDDEIGRMKEKVVLIITYR >PPA20666 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1000728:1002339:1 gene:PPA20666 transcript:PPA20666 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nfya-1 MVEFYRAEARARLESSGRVPKIRSKYLHESRHRHACNRVRGEGGKFNSKKGRDANDITGDDHSPIKMKKFEMNSPTQNDKEDIFTADKNDVVPLLPRPIFTRPAKNAPALKARIPTPLTVRSTTTPNQRPTAVITHLPLPPQSKVRPPGFRVARPSEIKKLLPTTYSKPGSATSKVDSLPDKILEESPSNSNSPPYSAEIDDSLKKEPDFSNLNSL >PPA20747 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1465429:1466356:-1 gene:PPA20747 transcript:PPA20747 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLGKRRSSETSFPLDDSSVDSDLLEERRRLLERRAALEDKYNCIEQAVHARYPDVALARAVICDVLRVNGLTPIRRIADLMRIQGRAFKLVEEADREVLMKQWQIKVEMDETHRLINENEEKTKEVFEIPNFRQLYL >PPA20756 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1499664:1500800:1 gene:PPA20756 transcript:PPA20756 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLQLNPLTGVTDCAARSALCSESEYVEVMRLQCPHTCGANNTSSATVCTDQRNPITDISDCPRVRSYCSDAVYESFMRVQCPNACRACAANQHSPSRNESGCVDAVDLYTNVSNCASMNYLSKSTLLSVATRDILQELL >PPA20711 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1289727:1290288:-1 gene:PPA20711 transcript:PPA20711 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWLIVTSIVVAGCVADVQSRDSDDSVAVVTKRMKRQCGCFGQVRPFHWKDPRPGALLLLLLLYSHLSIHLHEILSADLPAVRVPTPRASLQQHLLRSLWSTPFAFPFPVN >PPA20761 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1517697:1518304:-1 gene:PPA20761 transcript:PPA20761 gene_biotype:protein_coding transcript_biotype:protein_coding MNFHLPRSGSWSQLFERAESLAAELQLEDYSLITILNDKRYYENGVFDENERF >PPA20528 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:298905:305638:1 gene:PPA20528 transcript:PPA20528 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVYVPLCTFLMTFRVPTPACSQCTTCNQQPSQIYYNSQVAVQPANNGRPAGQFQPPSSVSRNQQIYVWYGNPQPVQNQLNQALNIPQNNGVQPQYNPNGYNNNNQQPVMYNNINRDQPNYANQPQPYNPNVPQQTPRPNQSIPGFLPPAGVPSTAFPYSTTTPAYNTVGPLAPINQVFDQPPTYLNTGSTSAPAPYYGSTTASPVTTTHVPSSSSASQFVFTSSSTPSSPSSSTPAVPSPYLSEGFTPTPQPNTNDSQLAPLPVVFPFPASAVPSSSVNVVPLPPASPPASNTVIITQSYSGPATAQTGLDQAPSTFSQTIVSSNETSRISLDQSPGQTSISQVVASPNQASQTRIDQNQTQTTLTRTEISANQTLSGPNLIPGGTVTQTVIGGNQVPTTGSQPSSTTTTFTFVGTNQGSDPTFASNHGALGNNQPAGAADSSQFGTGQLPVTSQPGSNTTPLPVIIPSPSASNQVASGSGFGQAGSSPGPAFQPNPSASGRQTATAFVQDSGPANPPPVGSQPAFQPNPSAQEQFRPSSTQVPQQPYNPALVTSQTAPVSNQYDATFAPQQQQGSAAAAAIGGGSAAVAAAALAAAAAAGSNSTRASTTPFPYSTQFNQGTAMPTIQPVPSASQIPLATSTSGSMQPTTSTLAYSLSPSSTPIPTSTLASTSPSTPSTTRVPSSSTPFPVSSFPSTTQQPPLQQPQQQVLQPSQQLQQQPLSSTAQPSTSTTQVYSPSTTAFPYSTQFNQGTPMPTGTASPYYSSTMSPSTTPFYPSTTTMAPATSTFPPTTTSAPMYTGSPSTVQPQFTNTLQPSQQLQQQPYVQQQQQQQPSTFAPYSSTQGPTTTAPVQIGYNPAGQPVYGQPTSTQAPFVSTTPTTPYAQSTTPYGSQYPMTGTPVNQGAIGVINMNNAPGSTPYYPSTTPSPQQPFYSPSTTQQPFVQGPTTTAPGVYQIGQGVPGVPNTANPQFNPPQNVQSSTYSPYAAGGSTLGTQQMYDPSTGLVTANGMNTMYGRYTTMEPGSQMMRDVPINGGATLSLAAGMAALLFLF >PPA20514 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:164194:174422:1 gene:PPA20514 transcript:PPA20514 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hpk-1 MVGVDEHVARTALKRKQQEELARAAPPVATVASTSSKNAATTAVAKISSTKAKAKPSTEGEYQGSSKSDKTVDTEVAKDTGEYKLIKNEVLVSPYRNHYEVLEFLGKGTFGQVVKAWKKGTNEIVAIKILKKHPSYARQGQIEFAKPNHACGIGCKTCAPEVKEAMKTGDWKVSILSRLSNENAEEFNFVRAFECFQHKSHTCLVFEMLEQNLYDFLKQNKFTPLPMNNIRPIVQQVLTALMKLKSLGLIHADLKPENIMLVDPVNQPFRVKVIDFGSASHRSKAVTNTYLQSRYYRAPEIILGLPFKESIDMWSLGCVIAELFLGWPLYPGSSEYDQIRVITKIFLWVKRRGETADRKMTFFQTQGNPPSHMLEAATKVLKFFKEAKPHEAAGVGTSSSWRLKNVDELDATMLHTKSKETRKYIFASLDDIHRFQQVAPIPQYEEDPLNQICERLDKDEFVNLLKKMLVLNQDQRISPYEALQHNFVSVSHLACYNTSNYFQTSLKRMKVSGIPGIPVTNPATATVAPVVPAPPPPPPVPVQSSVPDIMTYYNAMSSGTFPPPPQINVNAAYYNPISLNSLVSYSQLMNQQHMQQAAAVAALPQAPPAALLPPAARPTFAVPPAQSLIPQFVPISMIDPQILAAQANYAALFQPDILNRTAAAQQAAVAQFPFGHNKFQLNLPALQLPAGAPQNANFEDWAQPALQEPSRTQQPQPLMNAPAPATLAAAPHARIIPPFHPQLTPQLYSSEAKHAIPLQHVSVTQLHQLALRHAAGQQAGTATNNLATLNTNIQNLIAAAANGTGNRPMMHAPLSSHLPPVQKQMHQMPEVITIDEDDQPSSSVRSAGAPRPVKTEPEMLSATNPLYHPPSNNNNNINASNRAILANNPRAGKTAVVRPLIEVKPEPPVDNGYGAPRYMAPMGQMMRSPMLTGPSQMLAAAAAVAASNPMANQLHAAVAAAMANPYDTQLLHHLAGAVAAHNAMLPQRQM >PPA20887 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:2058248:2059180:-1 gene:PPA20887 transcript:PPA20887 gene_biotype:protein_coding transcript_biotype:protein_coding MRCWFVFPYPATKQMPFFERANIAHFELDQRLPFHLSSENMQVLKGRGTRTDFHGIIASELAEVGVKEVSITVDTFCDLKLDLGLICELVRAGISKITIEAEFGASDWEQKRDYFQLMEVICLDCLIEYLCN >PPA20879 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:2028544:2029253:1 gene:PPA20879 transcript:PPA20879 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGSSTLWVIDAACPTVACQGYPHIKTRFDTTCVFIAMLNNFGLKLRRKHNFSRCTVKWEENDTGMSQTR >PPA20733 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1388444:1390299:-1 gene:PPA20733 transcript:PPA20733 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLTSSFQKVFEKRTVPEDANYFAMQHTTYLSFETSTIVRRNRRAILKELQIVYSRNSSNGDGARLKEVFGLLSKSFQAIQS >PPA20707 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1265035:1266929:-1 gene:PPA20707 transcript:PPA20707 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGRLMGELCARKIAPSPMCISSAKHPVYPATFGTMHHANRLLIACLAEFAACSFPEFNGLDDSQRWAVLAKFMYAFRTLDNSFRASRHLADWPNRRKEAQAMKAATMGRSVVKTTRNLHTSKKRLLVPDPVSGALSQDATKTAVRAFYEDLYSPSVQLPLAIPLDSEDPLPPFLPDETREALKLLKCGHSPGSDGILPDMLYHAREHLAPILADLLNLLVDDNARVLVEANETRVELQATLRKWKLTPVADLETCQMLNVSLIGCHSCREGASFDYTCQSTAQRAVGHVTCEGSDFTLNIECDPESTPRSARLVVDRAHIDEECNLRCGYREEKFHLKADLSMVAILGKDKLENARQSFPVIV >PPA20578 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:556866:557477:1 gene:PPA20578 transcript:PPA20578 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNHPERDENLQIRPTDIVTYLALSEKHSDEMRRWTKELHSFRTEILARLRNGGCNRIEKEVNFEKVPVQCTPIIG >PPA20841 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1881939:1885094:-1 gene:PPA20841 transcript:PPA20841 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKNGQFVKVREIKRPGDGSDIALLHPETQLCAGGFYVTGGTATCKYDMGGPLMQKRGDTWYLFGLSSTANYAVSNCKQETVFTRVEGFCDWFSTTTGIACLN >PPA20799 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1676609:1676941:1 gene:PPA20799 transcript:PPA20799 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQRNWRVSQSKAKRGSIAIILTCPTPGRMSVFPVSAVSCEDIYLFDATGEFIAKTAFDSALDRKDGGHQ >PPA20557 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:436904:437807:-1 gene:PPA20557 transcript:PPA20557 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKEQHKEPTKEELRAIKHRRRALSPDTEEKRVSWEKVKKEMEAQEEEEQDEEDSEAEVPIATSGLAARLRRDTKGGRKTGRDETYVTQV >PPA20648 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:896529:899328:-1 gene:PPA20648 transcript:PPA20648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ncam-1 MKSLIPCILAAVTSFYAYQDEDNDEEEAPTGNEKDDDEEEETKWKRFVRDITSERVSVKEDDGVLTLTIRRLTASDAGEYQCIVENEAGKTVRSANLSITHAPEITVFNKEPVRAVDGDEFSLECEVSAVPAATWTWKRNDKEIEADGTRIIIASEDSSSTLSIKDAVKEDFGSIECVASNDVGEPATAIMTAVRVVEPKTPSVPNCHEHLFPNYGQCTLNDDDFESDEGDANPTQIIFFVATQDEAREPDFDFETSARNFTVNYNGAKMRLYGLDVNKGYFVRARAVNEAGESDLSPQATMETTDPWTPEAPYEVDVICGTSCEVSWKEPNDHGAEITSYKIEVVEMEANEHNEIVPSGEKEEYDVDEDADSFVLPSMKPNTRYEISVIAANQIGQSSATQIVVDTPETVTSTMSFENFASSQTIIIVIIAIFLVLLVIDGLCCAFNRCGFLACCFSRCRSDGQKKSASIDLESGKS >PPA20656 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:951062:953898:1 gene:PPA20656 transcript:PPA20656 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tyra-3 MLLVYFKIFRVASEREALMRQSVGTGTCRLSNRIVKTQAKNNRRNPRQHVNVRRLDSRIDSSEAEDVAANDRQVVIVHIVTGTTRHGQDFSTFDRVSGSNGGMPSPKRQDTIDVVMSPDAPDGSSRSMERECHSLADLAEKSTINSTAKRKNTEIQTSINEEGETRSNGKGGRSSTTSRKSQLNSSSRLQPQNLMAKAHDHYQMCGPGKAARGSKEKMVYLRERKALKTIGIVVLGFIICWMPFFIYYMLEPFSIIPRHLEVIGDFFLWLGYSNSVLNPIIYTMYNADFSICMSEFAALINSLFGSVMRSHGLQCT >PPA20740 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1431351:1434589:-1 gene:PPA20740 transcript:PPA20740 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDSCRACGVVLRNVKQESRLECNCGGKDVSRKDKMVSCRKCRFDKMKQLVAKATDGQISCVTDRENPIENDVEIAEEVPDLPLQAATENDAAITNEMETDKVIEDPSPPFIDHTLYFACEPSCSKTPLLNKMKEAYSQMRKNMELTNKTTKARFGKLKPSIEEFIALFGMALWNDYKGLLSTEGEDLVSIIRKKILKELQSINTRNLHQGYQFMSTLPTEHGPFTSPEY >PPA20714 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1300282:1301492:-1 gene:PPA20714 transcript:PPA20714 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFSTPFIGKSSSYPPPAHMMIKLGAAHIRIDPLELLKPTPITRPKHRARLHSLTPEEKLARRKIKNRAAAQRARDRRKDLTFGLEYCVKDLVNETKRLKEENKKLKEDAAARRAQCTLTYTTHLSYPPRTVVTPLGSAASICELQQRAQDLGDVNVDEICASSLKETEGAGNFEAPSSSHQLPQFHSSSTPMIEYPTMKLSPLINKQGPFVYNRCHSGSSFDHYMQYPSFDPYLDPSLLARSLFSDDAIAEQR >PPA20699 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1218501:1219003:1 gene:PPA20699 transcript:PPA20699 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPIDCQTVGLADYESPQTVAVRVHGPTGHLAPRRRIVKPRDVDDCGILDREERSCKGACDNRIFLVHPHLNKIA >PPA20806 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1700732:1703254:1 gene:PPA20806 transcript:PPA20806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:H3FEX5] MNLELVKYMRHAMKCQIGRQIALQGAVDDYRPHVVVIFGASGNLAKRRIFPTLWRLFRDDLLPKKVNFVGYAWSSLTMLQLAQSFEKYCEVKYTEKELFVRFMKKCAYIQGSYNETSGYKCLQKIVNVVQADADIPVNRLFYLALPPSMFVEVTAQIKEHCMDEGDSWSRIIVENISGLETKSNVILSDHLAHMFKPNQIYRVNHYLCKDIVPTFLILRFGNALFDASWNRNSIESVAIMHKEKSDMTASSACTGQYDIIRDIMSNHLLQILSLVAIEQPASLCADDVRDEKVKVMKAIGEISTQDVVLGQYQTENGSAKNGFLVNRNMPTFAQAVVRIKNDRWDGVPFILSSGTAMNETSIEVRVLFKPIKEGDKVTRVELVIGVHPNDIVRLKMQTEISGMEAMIEDAELNVNYAREDKITRSQEPYERLFIDVFKGSQINLVREDELNCAWSGGPQAEDEIIAKYGFLST >PPA20567 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:493602:497349:1 gene:PPA20567 transcript:PPA20567 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGKATGEKFAPAKSTIAGVHNQLEKGFLPAVRHVATSAADLYRAHQQLEKAAESHVVALRILAESAKTAQPGAKKHGIALERLTADYEQLLKLHKNTLVKLSFLASKTTIYANGEKDKLKEMQMGHQKKEKDFLRAEKKKEKTEEELGQFYMSEAKSFSSQQEMRYKFFVDKHLEWFDSFVPMLKHAESFLIQEEIQEKKEEIKEEIKEEIKEIIENHQENHHHELAKEIIVEAMIKEEIKEKMRHDIEEKINEAVVRAVEQEVHEHLHEKLHEAEEEAHHHDHGHEFTEPPQKDKNDYIEQLQKRGVAVAVLPVTALADQKPLKPVEHDYDRAPVQSPPAEIHSPYADAQRNLRPAMLPETPPVAAPAVIHHTQPVLIAAPIVSPKPVMKAESPVSVPVQFGASDYGKTLTVLQDYGASSGEQITVAAGDKVVLIKSGTRGWIFVRDSVSQRTGWIPSPFAAL >PPA20606 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:646499:650461:1 gene:PPA20606 transcript:PPA20606 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ssr-2 MSEERFRLVVLGSTRVGKTSVIRRYLYKEFSEKYKETVEDLHSRQFRIRGVPLPLDILDTNFHFPDMRRLAISSASAFMLVFAVDDVQSFKEMSVLWKEVCDRRPDIASLPTVVVGNKSDKPGKKIYESTAMAWTSRLCADVRYIECSAKDSTNIIDVFRSLLELSGFPKCKAGGGSLDENEEENLHIERQHSFRGRPLNRQIFGMEKEKEKEKSENNLGSSLGVPAELKRNRSLRMKSPRREKEGDEENGKLTRSKSLIKRTKHLSLKMRRHGEKVNDDVQDESDCIIS >PPA20536 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:352926:355837:-1 gene:PPA20536 transcript:PPA20536 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLNFTFICMGSKSSSGEPLIFTEQNSTLRGPYDYTEIERSQLQWSVAIGTLLAAWPFHLLYDRYGTRHVFMVAGMISTFATVLIPHFASRLNWHFYVFLGLRFLQGISFGADFAAIGLITVHWASLKQHGFFISLLTSFSQISVMFTMPVSGELCESRWGWPSVYYVHAGLSLCLFLAWFTFYRNDPATHPLMTEVELEKIHRGKGEHIKQHETTPVRAIMTNPVMWSVWLSAFGELMMSQFIVMYGSIYLAKALNFGVAHTGYAVAVPRFLHLMFKIISGVTSDKIKFLSERVKMIIYNTIALMISGAFFCIIGFIPKEMAYYALGALLIIECSTGFICGGFYKCATLVARQHSHFVLSQIQFIKCLSLFIEPLLVYLIIKNEEAVVQWRIVFLIHGILLILGNVVFCFFATAEPADFTYSKEELAARLGPSREMEERQR >PPA20816 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1745710:1746137:1 gene:PPA20816 transcript:PPA20816 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEHERQMYLQRVERTELEIEFAEREMMVELWKIRSELEEIQPHLAADLFYCRNKVKSEPSENMESTC >PPA20870 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1993010:1993476:1 gene:PPA20870 transcript:PPA20870 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSTYTDLNTTCRFLLTGIIDLADALFPEFACFSSEEQWSLSVNFAKRVFLFDSAYRAEKMFPDDMSKCLGAYTSYMSAEAAEHFFDDCQYENSNIEAAKE >PPA20553 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:416977:418750:-1 gene:PPA20553 transcript:PPA20553 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSATEEKTSSSAVEAKKELLVSRSTVRQIERNSEMIFTHTTASKGSLIGRVSMYSNELLRQITQYEIDEDTIKNLDFYLKDHRLVEDGADSLIYLAKAIIAR >PPA20546 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:387476:389042:1 gene:PPA20546 transcript:PPA20546 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNEESEEEESEGDDIGNSDDEQEKEECLDNDEELNADLKLLESVYTIEVLDDLAIASRMIDESFELPKVDIISKKIRFDVESFTPQPHSIRPSINLPLPDCPEYGTLDDNPRIVIHDIKRRDFIEFGIISVVASGSLFILSDEVRFLLIPSSLCQRLNKIGIPIQAGLVAVGWARISRSKMEFRVWRTRAQRRLQHTPGFVFDLQWDSIYVQLRQQSYREPREQDSFSSHCDCDKERRPIHWPETPQNDYLGCLP >PPA20669 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1024615:1026123:1 gene:PPA20669 transcript:PPA20669 gene_biotype:protein_coding transcript_biotype:protein_coding MQNWMSEQNVCTMQSLEQKCGEDAAGLYEQMQVTVFEPHFPIICDRIGRKASDSSDYEEIGYYDVKVVHYHLKFISATSPPSYHASLPTSTVASNSVNEKIVDIVERGMTNNEVDMKPTANRTIADLCRNSNRFCFKWNLNALINKKFNINFYYDSYCVIDRTTNDDDNERSSDLGSQSANDQTTGFVRY >PPA20524 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:256979:261404:-1 gene:PPA20524 transcript:PPA20524 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRRSSEDSCLENQLNATSVSCYNSINNDTLSDSIDLPDINSKSLGPIQSTIAPAGNRVKLLVLCAVLSAATNFPEGYCNSYPNTSYKSFQHMINGRVAIIPAVIAIVTTLPLCDTPKFLLISKNDRAAAMKSIEFYRGVSEENETTLDDMLIEKEMGGAEQKVALIAGIIEVFTTRHLRMAFILGAAALQITVGIWPIVYLSTDFLAANFPAELAQLASFGFILADFVASLLGILFIERYNRRSLLIGFGAANTFSLCLYIIADLLIPVWEPIKWGQIVALVLFGVTYGFAVGPIAFFITSELVPQRNRSIVQSLVFCFSTIMNFAISFVTLPCYTAFGVLSFLPLLVIPAIICEILLFFYLPETRAREIHDVVAELIERHRKHSHGSE >PPA20800 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1678255:1682406:1 gene:PPA20800 transcript:PPA20800 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSKGVDELKLVFVGGKSKDALFRKLCSQSKRWEHHGAYTDVHYLVVAHNGEDITVETICTMSVCCAGIGSGIEVAGAREMAIRDADMAIVYFAQHDLATFERIGALPPLFELRKQPCPILLICDVDEVEDEEDEQPSVNQPTDRESASTGSDSSSSGNGLRLRASMKKMRERMERNEIGIVTSAQGESLSARLGETCRFLRLSTADCANPMEYLMEMATYVSTALEAEDPTSESTALEAEDPTSESTALEAEDPSSESTALEAEDPSSESTALEAEDPSSESTALEAEDPSSESTALEAEDPHWSRLRWKLTTPHRSRLRWKLKTPHRSRRHWKLTTPHRSRRRWKLKTPHRKTNRCIERLGGLPEQFEDQRGTNTQRPLTASSTVRTELESLLK >PPA20785 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1639466:1640915:-1 gene:PPA20785 transcript:PPA20785 gene_biotype:protein_coding transcript_biotype:protein_coding MIALTLIQLQSIPQWHLSITTANMFGHATAGYHKESVQESLRLFPTYIWVFTLCLVRKSCEINGMTHAEMEAQRRDEQMVS >PPA20810 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1714484:1715302:-1 gene:PPA20810 transcript:PPA20810 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQKMGLIVAVDATGGIAKDSTIPWALRKDMSRFYKKTTARNVVLMGRKCWESIPAKFRPLKNRLNVVVSRTMKESTSDDVLVRNDLEKAVEELSEMVERGEIERIWNLGGCEIYSWGLRNDLVNTIEITKISNDFATDLQLPSIEWDNFRNVFTSEELEENDIKFTFNTYERQ >PPA20863 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1962416:1963055:1 gene:PPA20863 transcript:PPA20863 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAHLRFVRIPRRQEACVPEAIRRRTTGTGRMRHLKKIQHRFKNGFREGTVAVSQKKRTQSAASN >PPA20721 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1350058:1350739:-1 gene:PPA20721 transcript:PPA20721 gene_biotype:protein_coding transcript_biotype:protein_coding MCIFGVAADLIHNQCTYERNLVITHLREKANGYDGKFTIYENLSMEELWILIHVALHDTFSGERFLHTLSNWEEEKVFFFYDFAEDFSEVHSLIAVLARPDLRGKSV >PPA20543 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:382736:383848:1 gene:PPA20543 transcript:PPA20543 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKQCEDTSKSSEPPSWKDYNIMINHKPWMLSTAHHNLCLRLLNSEESNESNEEDAIEK >PPA20837 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1851484:1854446:-1 gene:PPA20837 transcript:PPA20837 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEHVRLNAETRSNFKQKRNAVDVEVKSNDTQIIVHNPPSPELVVDRVLANLRRIDEAFDRFRSSTFNPHPASVCCLEDVLSMPSKLSVDYGAETWPTGYPDGYTDSEGSFLDNIFRRIRVGLARKNYEDNRKSWYFCNVLCAIEYFKTSDVCNVLSMNTRRVLAGRMASLISHLSNVFYSMRSGKSYLVFPDGVMLLGGMQRNFPEEMENTAQYIEYVKGLQLDENEFALLKVLLSLSPILDDATDSERALVMSQSECYAKILFSYVMARRGKEQGPRSYQEMLAFIQSVIQRVKVERDIQVFVIGMYMEMCPLLAEIISI >PPA20788 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1649307:1650034:-1 gene:PPA20788 transcript:PPA20788 gene_biotype:protein_coding transcript_biotype:protein_coding MYAPTRKLLQAYPDLCSFHMFKNSGPTKQQMLEASFAYFFYGRGWAQGANMDELKPEKRASAVCRGPDPGYISTSACVVSAALAVLDDKEQLPAQGGVYTTASAFRNTRIFEYLKTFGITFEMQS >PPA20752 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1486322:1488668:-1 gene:PPA20752 transcript:PPA20752 gene_biotype:protein_coding transcript_biotype:protein_coding MTETGWRSLREAYASLVVVAAAGICLNILILLTTICTKSLPSTAHILIGCCAFFDILHEIGHFIQFPILFSEYYIHSFTCSCMQFIPVLGRSAAVICSFCIGIDRMLCMLDAVVYERMKKKHLHAVMTDSFRDAASQTSNNVYTTITIVMIVEVSTWFISSILVDLSRIYYSLVMVKSNPLRPAILKLFRAGIPRHDIVLRLAIPKRTVYDAIARYLELGSEEDRKGRGRPATVSTPRNRERIRKRIGRNRKQSMRAMAKNLHISNTSVRRLIKSQLTLRPYKYLKLHGLNDRQIKLRRDRCRLLLMRCARAEHFSTVFSDEKIFTIEGKMNSQNDRILAHDPEEAYKSGGFIGGDCATGKTPLVFVTPGVKVNKEFYVKHILQDALLPWARSHFGQSHWTYQQDSAPSHKAKKTQDWLKAHVPDYIPTSEWPPNSPDLNPLDFSVWGVLQAKVSTTKYKNRDTLKAALLKAWAELDTNYLRELATAYERRLKACVKAGGGHIEIR >PPA20566 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:491225:493045:1 gene:PPA20566 transcript:PPA20566 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tyra-2 MKSVTSFQQAMNLPRRKRRKMMRHISSGESSEKVENGLKNDPIVEEDESAEDDLSTSSEGGDETATQSTNVHTTVSEPRQQPERNIIKKEIQTTKSLPAEKVEKSVAFSKNKQKTIRSTVSISYEKVQRHKIRKERNYRKSLQRKPKAISAAKERRGVKVLGIILGCFTICWTPFFVMYVVVQFCKESCQVNPHIEMFITWLGYSNSAMNPIIYTVFNRDYQIALKRLFTSDNKNVPTIRPV >PPA20661 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:976160:977001:-1 gene:PPA20661 transcript:PPA20661 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVIRNYSADSIENNRLGGGQGYLIPVQRSTSPDSDAKRWRRRATSSLGSLLTETTTEEYHTFSTSLLRPGRFFNY >PPA20598 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:628700:629415:1 gene:PPA20598 transcript:PPA20598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3E930] MAQSVPPGDIATQPGTKIVFNAPYDDKHTYHIKVTNSSARRIGWAFKTTNMKRLGVDPAAGVLDPKENALIAVSCDAFAYGQEDTNNDRVTIEWTNTPDGAAKQFRREWFQGDGMVRRKNLPIEYNP >PPA20856 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1938798:1942602:1 gene:PPA20856 transcript:PPA20856 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGTFPVSLRIKIPTQARLIDGFVYDAGQKAVKRIAHFLKQTGKFSVASPHCSEDEIEEEGQPSASRPMRIARIKKEKNEKTPLQPLKKRKRGNSLPPVVPPLSSTVIPSTTVTSAPAQSGAVTMDAIFNKLLEIQSSVALISSRQDRLEKRIGDITNDVVGTRYAGPPEPEEVPMKDKRAQHA >PPA20859 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1946905:1950488:1 gene:PPA20859 transcript:PPA20859 gene_biotype:protein_coding transcript_biotype:protein_coding MVNYTLDVFWITFMPVYQHSIGALTVVLSALALYLMSIKTPDQGKPLARYLTLMQICILALDIVWGFLLCPVYLFPVTAFLCFGLLCDTPTTRHIGTAPKNLDKIQHNRGENNAKQLVLLFQGMAQVAASVFLTLHFKYTTIACMAGHRRIGKFEKMTVRLLFCLVLEVPVFAIATSAANQHELHHFLIDQSLCISAAACSYFGCRTLAMLAKKTSSTMCEKTRKMQQRLMYLLLLQLGIPLGIQLVPIFISVTSQAFLIFSPGETNAALCLQLCHASFHTLFLMLTTPSAVTIVLSVFAFYLLAKKTPAQGRGLVPYLVLIQAS >PPA20839 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1872739:1877802:-1 gene:PPA20839 transcript:PPA20839 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVHRLPYFFARNHSRRHRCASSEDNSVQGHEFSSLSELPPLPSLASLFISHGNIETIPENFLYSFPSLRHLSLGYNTLHSLHPIARRPSKLEILELPHNKLSFVSPSAFDNLRSLHTLDLSSNSVRSLSPSIRERAPALQLLRLHANPLHCDCRLKTFVGIISGRGAQETRCASPKRLSDENIDQVAAVDLVCAPARIASSDSATTTLRCAHGESTIWLYKEKELDGSLKVLDNGDLEVPRGTDPADFSCASGSIEHRDRSPRHVSATTSQQGSSRSFTFRSTDNTHREGTPVTLHCEVAGHPRPIVEWFHRNERIVSSRKHTLSNGDQILKVFPFLDTDVGSYDCRASNAHGRVEHTVRVDVLSSFPPTIVDEPASVSANPGEQLTLRCRATGVPRPALSWFYEGSQIPQHGLGRFAISQDGSELTISHVSRQDDGLYTCMAANPVGSIMAEARVTVKGVQAIDASFDDATLRSIVARARANVDKAINSTRSDLSQQGVRSVADLRKLFRFSIPAQATELTKAREIYEESFRLVNEHVDKGLNLRGVDISGKNVSFELLLAPAHVHTIMELTGCQNGLFKVANPCTNMCFHMKYRSYDGQCNNLDHPQWGAAQTANDSDVVAKLRNLYGHPGNMDVWVGGIAERRVVGLVGPLFACIIADQFKRIRDGDRFWYEKADVFTPMQLQQIKKASLSRLLCDNGDDIDRVQRNAFMFPGNSTQLYEKCENLPELNLSVFSSCCDSGCEGSATEDLPGLPVRKRRTTLKGCDDDSTRYDDGHQWDKDQCTKCKCESGSATCN >PPA20627 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:780768:784491:1 gene:PPA20627 transcript:PPA20627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-octr-1 MVEIIVLGNALVVMTVYRDSKLRSQRQNWLIVSLACADLLVGLIVMPITLAYEIADRWILGKFVCEMWLALDVLFVTASILHICAISLDRYFCVTQPLSYPNKRTPLRMMLMIGCSWLVSLLISLPPIFGLRPPDRGENECFLSDEKGYVMYSSFGSFYIPVIILVAVYAKIYSITIQHNRNRLRETERLDKTLKLGLNKGGMMPAPVEVELVEYNSDCNEYEATTTITEKKNSKGIGHVCWHIRKMSDPTPPKHLSDDAPTGPKYSMATTATDISQRTDQALANGQAAEKRRRKLKAKERQATLLLGLILFAFILSWLPFFLMYVLSAFDVKTPEFIFKFFFWLGYYTH >PPA20706 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1248737:1258272:1 gene:PPA20706 transcript:PPA20706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-hum-4 MDGLQTKLGNILVSLNPNEKLPIYDDKVIDLYKHEITNEAHIFTTAEQAYLAIREGAPSHNIVFSGESGSGKSHNAAAALRYLAAISASSRNKICPAVIDALQVVVSSFASAKTMKNDQSTRFGYMVDLMYKSSSLEGLSIKETLPLDLSRLSSRPVGERNFNVFHQLVAGLSESEQNRLGINPDHKFFYLAKGSTDTDRDRVAFGKLLSALETLGFTEEQRQFILTLLAGVLHTGNLYFGKKKVNIGLTRGFQTTQPGVEHVVIANENEAKWIATLLGLDTKKFVPLFTEKKTSYDDNKVSEKTTTTRSFTLDKALDIRDSFASVLYEELFNWMLARISFFFKCNDPTATISVLDYYGVERYNQNNGIEQLLVNTANEVVENFILAEIFQKETETYTAEHIKCAIEDQKIPNNVKTLDVLTKRPYGVLPLLDDECKFPKEKPEFSIQHFHGTTWYSVHNFLAGNRRSINRSFLELLSESSNVFVSTVFRAMFISRCKDEYTQLAATNLLKSCATLVDKLKSSPCQFVRCIRSNSDKTKWKWDEPTIARQLRAYALTETLEFRTKGYPVKMPIATFVAKYRCLLSYMITSCQKEREILTDILDGQGSLFQDEFQIGTSHVFLRERLAERLKRQRKGVIGKSALILQKTVRSNPLRPAILKLFRAGIPRHDIVLRLAIPKRTVYDAIARYLELGSEEDRKGRGRPATVSTPRNRERIRKRIGRNRKQSMRAMAKNLHISNTSVRRLIKSQVTLRPYKYLKLHGLNDRQAILRGWMARRDLQRKKSDVIRTLENCTKNTGTLRTYHRTMKDDELGRKKEVTKSLLGPTRYLAEDNYGVRTEEEFETKKVNYYLTIPADMQRPTIEAVPIEQFAKKHFKGHLLEVRREPIQTPFLPKETEMEFVLSVEMFKLVLKYTNDASMSEGDLHALARKIVQLGSIQQ >PPA20687 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1125979:1140199:-1 gene:PPA20687 transcript:PPA20687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-plc-1 MGLKSEKLRPFWLSLRQEEKAHFEQMCDILLPAHQALPSTEYMNAVGRALRMPQCHLVPFFGLFLRDLYAIVNDMPNIVVIGQEGQKEKLEYLNDANGEDHFSSRIGVGGLLNADKINLVAIVLDNLEAFHRHSRNMIKHMDTLAAAEKNETDDDTMKELNLKLRVCVYSMEGFEPVVTVKDMAHGVTLIPLDSHKFDLDVIQRLLHGTTVIHYDPDSGRSVLCKLRLDPSCAVISWKKICYASMRDPKASHYKIIFGWQNDRFNSNIIQDGTAPKGTSMAQQQFAGETGRQGTTTLQSKMLGSTGICLEEGEIKLTTVKSVEPVDSYDLDIEAIYRRHSVEEMSVPVCCWRVSYGQLISDNDFLYFLAPAQIAQLWTTGLKKASWQVVLCLEVQMNYPDRRMLWLKMLYLQLYKESLADHSSSIGHDGLIRLVGPRPYDALSSFGGKMDTWRGFGMHLSEWIDGGGPSTITSSTMRNAEIGSSTDLGGTRNRFKLKNFKNVMKNKLRGASRDQSRSQSPQPQSPLVRPPSIKSQMSSQSGPPGPNSPGDLFNDSLNLNQSSMSTKREREKHSPRMQSRIDSTSSPIGPEFLSNDFLTRNTQVAATYIGEKQVKIYNALAVACVSRLIGGGGIDTSRSAVFTASTLKNFVCTQQMEIIDEQQALQIIMDHEPDAIFRQKGQMTFEGFSRWICDPCNFAFVPETIKMDESTLHHPLSYYYINSSHNTYLTGHQLKGESSAEMYRQVLLTGCRCVELDCWDGDDGLPLIYHGHTFTSKIGFRQVVEIIKKSAFVVSDLPVILSIENHCSLQQQARMAQMFKTVLGDLLVTSFLFEADYSDCPHLPSPFQLKRKILIKNKKVENDAQLAGCSSTADDVEEDDLDEFLDDEEIEEDEADVEHDRTEADSPKVAHKRSGGAKNTYGDKKKTSSTTKSDSPDMRQKQEKDKLPKVAMGIQSALILQLPPSFENMKTTDDEHTTAKRKVQSNFGLAPELSDIVIYLQANKFKGFASVYDGHMKHEGDYIPSSSLSSRTRTSSNLLSASSSPRRGKSGSHLGSELDVSGFSRANSSATCYQVTSLNEAAARKLCRKHPFKSITYCKEHVVRTYPGAMRIDSSNFNPIHYWAYGMQMVALNFQTQDVMMAVNAAMFEQSGNCGYTLKPRLLWDDSHALYKKFNPLNKELTTHSALLMNLWVMSGQHVCATNNQANVYVEIEVIGVPADSFKDKTKPSQRNAVNPLWNHHVQIRIAFVEMAFLRLAICDSAQNGRVIYQRVVPVKCLRPGYRHLPLRTPSNQPMDSATLFIRTKFEQEEHIYLHDEDSTMHSNVEHPLSYLNDTTIRTSPPLKRQIFVLRINGLTNDDSVTVVNAESSSSVHALVNAGKANENAEDYFLIEELVVTDGVLNPDELPEQRILPPQEPIMDAVACWNGKARRFVVRKKGSDPSSRAWISSIIKSGGSSSIQPPSQPLPIERRLDQHQKSQSSTQIHTRSLDTEACSAQTDLLDTPGMHPRTHSMAETFLVCVHNVFLKARRPDADETDFVLVEEIGEEENSMRGGSSSLSSSGGVLGALREPLSLTRKRSNEMSVKTGVPLKTVTRVLRPDENVYKAQTKWKQFGRFVLENRKDTYTSALEKVRSLISVLDKEKERHEKELQRVLLSSNEDTKKKIARATQSTRM >PPA20882 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:2043402:2044641:1 gene:PPA20882 transcript:PPA20882 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCDASNDLKAQYLASIPLATVWEGQILPGGITTPEKMKAMQDMVFDENDIVIVSYPKSGVFSMHDMGRSGTTWVSEVLSAIAYGGDTERLKKIRLDERVPWMEMDYRCLDPNSPAQSSAKGPIREGKKQIWFTHLHLDYLPPSVREGKCKIVYVARNPKDNAVSYFHFHRLTTFMGLQKGEFEIWSGL >PPA20809 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1712960:1713911:-1 gene:PPA20809 transcript:PPA20809 gene_biotype:protein_coding transcript_biotype:protein_coding MSAETVRLIKKSLESAKVGTDEEKLQNGKDFYKFFFTNYPDLRVYFKGAETFTAEDVQKSEGFEKQGQRILLAVHILAETYSNQEVFKAYVRETINRHRVYKMDPALWLAFFTVFTGYLGTKTTLDDATKAAWEELGKAFNEEAQAHLKNSELPHV >PPA20782 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1628624:1634481:-1 gene:PPA20782 transcript:PPA20782 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFCADSFWDDNFTASASLPHLTTCFQHTILVYLPSVYIVLLPVLFVQAHRISRRFAPFPVTALFLARLILNIYLFLNAAAVLVLNTFFVDGTHNVDIVYPCVWMLFFILQLAIEWNRSRCGQISSGIQHLSFVLFVICGVPELAYHIEHNTYEQSLPIFALYMTFWPIVVLQTVLYCWADSRAPKEQTSMELDSSFLNRLTIWWFTSVQIAGARKYLEMEDLFELNPGSTSDHLGALFEKYWIPPMRDYMAKTHEGQRKGEVKMPAEPSIIFTLFRMFEYEFISAFILKAVSDTLQFANPFLLNELLTFVSTPNAPFWMGISYALLMFVASEMRSLVLNAYHSIMIRMGMKIQTALTTAVYRKTLRLASTSRRKKTVGEIINLMTIDVEMIQAITPQVQQYWSCPYQITFALVYLALTLGYSAAPGIVIMLIFIPINMFSSVFFKRWQFRIWLRRRPFQTAQMKLKDERVKMVNEVLNGIKVIKLYAWEEPMEAHIADIRKRELTLVQKPGLVRSLLDALNSASPFLVAAASFGAFILSSDAHVLTPQIAFVSLTLFNMLKMPMIILAYLINTTVQAMVSNRRLRNFLVSEEIDENNVTRNTNVEASPYSIEFRDVDATWDAEGTVETPPVLQSISAIVPHGTLVAVVGTVGSGKSSFLSAMLGELNRLRGEICVTGTLAYVPQQAWIQNLSVRDNITFGRAFDKQWYERVVSACALNPDLAILSEGDATEIGEKGINLSGGQRARISLARAVYQQVYPQDDVYLLDDPLSAVEAHFGRHIYNQVLGTKGLLADKTRILVTHSLLRTREADQVLVFHEGKIIEKGAYDQLIMQKGTFAKLMEEYAASKKASEEEADSESSDEDTEMNTKPHHDEFGVKMDAAEEKKLIKKEEVEQGRVKFSVHMEYLRAASITFCAMFLIMYGIYEALTMLRSFWLSASSNSVRYLLLIIVSLFITYLEMASFGMWELLSARRSNSDAPTVAITEVQ >PPA20574 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:524111:531348:-1 gene:PPA20574 transcript:PPA20574 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLSFIHQLVCDDENGTLRILESDWRNASSVADLPQATQCAQHIDFSWAPFLFLVLGSPVVMYDLMKSENDSARACSPSSIRVFGTFALIFAHAFSLFMNLMNGSPNIRIYGDIAQTAGSIIAFALTIACRNRGVGASGVMFCFWALATIFGFPELCHALGIVMNANERSTLVYTSCFFIASYALYCLNFLSCCFADNYFKNITKRECPELKTSFLNQITFHWFTDLASLGNRKALEMEDLYDLNERDKARTLIPDFDKNFLPGVRGYLRRKASSKSLLSDKFHPSVLIPILRTHKWMFISGAAYKFIFDLLQFAAPMLLNSLISFIQDEHQPMYVGVTIAMMMFLVAAFQSMILHQYFHNMFRVGMNIRTVLTNAVYRKALNLSNTARKNRSTGEIVNLMANDVQRLQDITTFIMLFWSAPLQVTLSIYFLWRLLGWPIIAGLLILIATLPFNAWISLIMRRQQVQQMKFKDERLKLMSEVLNGMKVLKLYAWEESMAKKILDIRQKEINVLKRIAYLNALTSLSWACAPFLVAVLTFGVYVKIDPKENVLTPQLTFVALSLFNILRFPLAIFAMIFSQAIQCSVSNKRLKAFFADDEIDPNAILKTKKEDIAVELEDASFGWDSTSAEFLKNINLSVKKGELVAIVGKVGSGKSSVLSALLGEMTKKSGKVAVNGEVAYIPQQPWIQNLTLRDNVLFGKPLDPELYEKVLYACALQQDLASLPAGDTTEIGEKGINLSGGQKQRVSMARAVYSGHGLIFLDDPLSAVDAHVGKHIFENVISSTTGMLKGTTRVLVTHGIHFLKHCDRVIVMKEGEVSESGTYKELLERQGPFSEFIEEFLIEEATKRGRSVSFGEDGEDMEEILSAIERVDPGKKAKLQTQLSQAIDEQRESTETSPPPSARTPPPPRKIEAPGPIAQGMPTSDSVHFAKGGDKVPLINGIDKANGGKLINKEVAATGKVSWSVYQTYFTAIGCGLVLFFCSIYVFSSILGVLSNLWLAGWSDDASKIQSQEDGAYQTNLRLIIYTALGMGQALTICAASIIMALGMVRASRILHEGMLETTLHSPMAFLDVTPIGRIINRFGKVRSISFSTLPHLLSLSLSSLFALVYRSPPVTFAYHVSR >PPA20831 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1821426:1823496:1 gene:PPA20831 transcript:PPA20831 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAENVEPTAIGYSTSGGAIAVALDRIRNESLLNGYNFTFFVETVDCAAPKTVGALIDFIKEKNVHAVIGPPCGGLYAGTMSTAYNLLMFMWGYTFFSELTSDDRFPYVSTITATSLSLGYGFLKLAEYFNWDRIAILYSRDSVGYCDSITAINDKNTYQTKLAYKAVLDESANSTYYARMQSVKERARIIVVCFPTGPLKRRFFARAVQLGMATNEYVYVMLEVKSIGFVFL >PPA20864 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1965131:1966607:-1 gene:PPA20864 transcript:PPA20864 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRLLLSLAVVAVAVLAAPAAKKSDAPKEQLSKAAKKHLEDFKAITDAYTNGMTVLQKKFTKEIFAEVKKENLEQKKAEKEAAAGKKKGVEVTVPPVRLSWPGWALKNAWDKLEQTKAKKMADFKKNTDPNVVQEIIEYNKARAAGKPAAKTTPKPAGKAKKQ >PPA20703 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1231649:1233589:1 gene:PPA20703 transcript:PPA20703 gene_biotype:protein_coding transcript_biotype:protein_coding MDFINQAIDEFFAGIQEGQEYAEKMWAESTDPSSSSSVKTSSQSKSPLDTFLESITRPDDLFTPKQRLAIITVVFTLFYTMKAIVLAPPRVQRRLRRLASRIGLLLLKFVGLFILVFVNTIHSGHLSQNRIRDVSE >PPA20852 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1922585:1924580:1 gene:PPA20852 transcript:PPA20852 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPKSVSAALATHFGNALVSPAPSPLTDEERRMFSRLKILLTEKEQGLIESEEEDEEALVQESGDSDSDYDAEEDEKPEQRSRKPWTKELCDKMLEFYRSKDLEDGRRGASQSFKRMQNRFRAHMKTEYDLTLLRKYEKTGVIPSERYSAMRQLASDVRAKLGEKMKKGVPIHDTDIRKIALDLNKLNAASGNFKASATWVSKWKVHHRIVSRKVTKFVTRKATKDREKALKQIDELRIKFLAVVRKNPGIVIINADQTGQVKEMHSTRTLAEEGSKDVVVEIESKSATTHSVTVLPTIYLDGRQHPIVYVHLGEPTGSLPAKKAVYGNKNLVIGASKSHIMNREAAARYFKEGLVPKGHKVTILNIPKGGTSLAQPLDLCYNQQWKCVMRRLNDAILVHDIDFVLHTRDNLLRCISQVYWAFGAPMFKEYRKYGWYRGGFLTTHPAPFVTPPKYMFGEGSEADCPCSEPGLIRCPYCKKAHCFSCFVINSHRCA >PPA20614 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:690547:692125:-1 gene:PPA20614 transcript:PPA20614 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRSSSAVRASSSEADGPSSSDLSIAIDREMENMRRLSLPSTHKVVSAKPRPSIVDTAEACGLLDMSPSDLVAETNVEQSGFRYYHFVLSSAFHSNGSATIFLAKFDTNTNLESLDSTKIPAQVFEDATYPQTELAMAVLGLLLYRTEVFGKRVLCLLRATAPHEEDFSTSLEPLKKAATKLRVTHHVQWVPPKEKKENPRLSINAESHQCPNNLTVFHL >PPA20738 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1424494:1426377:1 gene:PPA20738 transcript:PPA20738 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAAVCLLVASVSAIRFPSDREKIEEWAKWTMVRQLEEATPVGLDLAHVYRHGDRSPTSAMPGDKTTEEFWTFGGGGWGELSPIGMRQLYKLGKKLMFVHCTDKNRTVVSAMSNLAGMYSRPKAQVNNDYPDGGYPVYSAAILTEFFIDRRAGGTDEVFRVLYHDSENSDFRVITPYVEGCTDDYCPVEVLERLAAKYAPPGGIDAFCQQRIAM >PPA20622 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:739743:740551:-1 gene:PPA20622 transcript:PPA20622 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAYDAPKTMPSKKAMRNSLVRFGKRSAAAPSDFSAEAAPSYARWEYLNRLAALDGADNGYNL >PPA20563 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:463756:467865:1 gene:PPA20563 transcript:PPA20563 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSCKQDGAEYFGFPVGEIAHVQSSTSGMLFLADPQTLIITNFTHMPEEDTGCYSIILGPAPTQGDAMKGEKMTKDGILLRYSPLNALRRKRSVEMGASNEAADTIREKRQQQASGRIGLAVTPPGVDRVQMDSLIERAADEKAAGSGESSAREQEKDVSAQATLVAFAVDMGRRDKNKRSSKRKEINGDIHSLETIHDIESVENYDSAEDDFKKVLEDVNDEKLIVDKLPVDVESFEDSTEGDTATREELRKVETIDDEEKEKEIELARMEEMMMEEAAMMVNSTSEAAANSTVTEEEEVEDDGTSIIKEHAKRAAEHHELEGSGMEAEGSKKKHPGRHDRHMKGGKKESESSEEDKKGKKNRGEDKSGNRTHTASARQAGENQLSETNEKDTEDPAQPLKPTEFSLPKLEGGRASFSLREHGKLSDFHWLGIYDQCTKKAYQLHEISGSEIPDLESVSPLTGVAANVSSDSVQIVNCNTIVIQNFYFLKDQKRPSDDTILESVNGSDLVVKLPDGIRTFDVDFLMVFNEDTNRSYGHTFIPSLLVPPCDNL >PPA20533 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:342139:344397:1 gene:PPA20533 transcript:PPA20533 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEEVKQLSPLTSTEMLMNKYSLGQHLGPGSVLGHNSHAHEYDSDSDDSALHGVNDKIRKNKDGKPRKRSQHSKGNKLWEFIRDALKDPATCPSIVRWEDPHEGVFRIVESERLARLWGERKNNQKMTYEKLSRAMRTYYEKQILVPVPKTGLYPKKLVYKYYYNTKILLPVSGRRLVYKFGPSAYSWNAEGGPAAPSGVLVDEDDDDDEAIDEDEGVVEAPPHPPPPPADHVNHVSVIAYGPAFLSHH >PPA20550 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:397784:398651:-1 gene:PPA20550 transcript:PPA20550 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDTPTSFTSEEKPTYKKKGKLWIDVWIERKNKRLLDIGNDVRNNTCRKLQIDEIFRCSARCNRKVPVVHVPAVPIGTCISE >PPA20796 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1673591:1673986:-1 gene:PPA20796 transcript:PPA20796 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLIAFLFGMADNCINTSRTVLCALSSPDQNTQIFSIAKFFEVKMACILMVIAQWMSLYSHFVLVALTCTIVGSYFCAT >PPA20702 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1229061:1229834:1 gene:PPA20702 transcript:PPA20702 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIYACSNMSNMEKGQLIEGRSKVPTPSTSTTSIPPMSTCCKVMSALHTIISFILVVLVVVSDNIQSK >PPA20673 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1040841:1045397:-1 gene:PPA20673 transcript:PPA20673 gene_biotype:protein_coding transcript_biotype:protein_coding METRQSLGMKGKFFVHTLHSATFFSQAVPVEWKATLKGKPLLPVWMSLIKSKHDAIAYLVGTPVGRQRQLTIHVIAKRLDTFKIAEQFVVITLQDDSRFVRSTQESLEIFVRNYNVEDLISDHSGIVGRLENAARETFKGKNVNPYIHSLSPAYDDFCINRYSKKILLERSQLDCEHKTGQEELQQEKYGDDRPTLLSFKKITLIRDLADEETEREFKNQKSVDLGIAPEDKIMPNKKTASIVEGGYSFFESVLVFPFIAVLCILLIFCLSYIFFGCREGQQWRDYKTPNLRKLEEYASVREGQQKLRDLSVQRQVLLRSEEKDNSMAPSDIHTFLQPKTLPRYPGNSLRSSRNIINKSRSQLNDKVEISPPPIDSIPLGKQTVAEAAKLKSGPEGKTVYLNDKSNCHLPVIHSFPLLRYTKKTTVHHHSDKNYRVNEPIDLEACDKFELRIEVEERIVQLIR >PPA20658 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:958564:961204:-1 gene:PPA20658 transcript:PPA20658 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRKIAHIEEDVARIKKDNHRLIGELQRARNELDQETLNRIDHQNQVQTLLEEIDFVRRVHDQEIKELQSMVSRDTTPENREFFKNELASAIRDIRQEYDQRMNTNRTDIESWYKLKVQEIATASNRQTMEHGYQKEEIRRLRTQLSDLRGKLADLEGRNALLEKQTQELNYQLEDDQRSYEAALNDRDASIRKMREECQALMVELQMLLDTKQTLDAEIAIYRKMLEGEENRAGLRHLVEQVVKTHGISQSDEHESMRVLKGETATRTSFQRSAKGNVSIHETSPDGKFVVVENTHRSKDEPIGDWKLKRKIDGKKEIVFTFPADFVLRPGKNVKVFAHGHGINSPPETLVIDSEDSWGTGHNVHTILFNAAGEERATHIQRSSHS >PPA20549 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:396462:397035:-1 gene:PPA20549 transcript:PPA20549 gene_biotype:protein_coding transcript_biotype:protein_coding MENYVALLIALASIITIILLTSCCKRLAYIEDLDDLELSEVHVSSPSEVPAQEDLCEQQIAPSMGDGDETKESF >PPA20641 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:867686:868541:-1 gene:PPA20641 transcript:PPA20641 gene_biotype:protein_coding transcript_biotype:protein_coding MNALQRYMIAKLMYFHSASSSFTTHPLEFTEIDLGPISESTVAPLANEDALIEIEPAQQAADIPEDAPSVIWDLPPPDFNGPSPDAAPPAYDEALTCGTECPPLPRHPYQDDFAFDLEDSRRNAEMRLELALIKGEILHDI >PPA20730 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1378737:1380654:-1 gene:PPA20730 transcript:PPA20730 gene_biotype:protein_coding transcript_biotype:protein_coding MLILDTTVLNNDDTLHLSYGAFNYPYFYQRTTGLRTPIGFLPDVWKVLTEQLEYHQYPYEDSSFPVCDGILLPVQEGRTLTTAGAYTPTVGRTGMFRQSAVTYYTSFNFYEADRIPDEPKSSLTYLIASFLHFLIESLRNNNENAGVQRNPLVDAIRFISHIVFAIGVFLIAYYHSAGFRGNSVLFTNTVHTSFADLVGGLHDGSRLLMTKSATTLRSDSLYALVGNRTQQSDVVEADQTQLMQKLCDNPNLVAMMEVNAVYSMSILERPCQLSKISIPQPWKSLERYDTQLPQVYLMSWNHTRKRTEEAVNQVLLRIFQQDMIESFWTNRYLLSMKDKPSIKYTKKTADTFVPMSLTRLQILFYFTGPGWFLSIIVFLMELSPRVITPFMRYLQYRTMIKI >PPA20869 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1988123:1991161:1 gene:PPA20869 transcript:PPA20869 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLESPFPLPFLGNLHQVDFGPRQFSLFAKKFSGLYTVFVPIPMLEITDYALIKEAFIDHGEDYVERNQLPVLDDILTYCKNGGVINSSGDNWREQRRAALSILRDFGMGRNVMEEKVQRSIEQYLCNLRAQEGKASINMRWPIQIMVANIINEVLFGYLYTVDDCDGLVKFVEQFDDLLQGIASSALLPLATVFPFIRRIPILGYYAVQKHADKMRKVYQYVIDNMDRAMKTYDPEAEPTNFVHAYTQRMSGNSYLDRDNLIQTCTDFFSAGQETTSTTLRWAMLFLAENQPQQDRLREEIHRVIGKERLPAMTDKHNMLYTQATLHEIQRLANILRYNVFRKTHKSTELAGHTIPENMSIHADIHYVMWNDRHFVNPKEYRPERYISEDGASLRKDLVDRTIPFSIGKRSCAGEGLARVELFLALTATIQHYRILPVPGHTIDLTQQPLFIGGPIDQELRVVPV >PPA20720 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1329030:1329682:-1 gene:PPA20720 transcript:PPA20720 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFFLVVLLLEFHHRVAAQCTPSQHPNCVHWVRNGFCENRGYTIPQRQSYCGISCGLCDSDGGPLCILDADPYCPYWAANGFCNSTKYPHSTKKEICCKSCA >PPA20823 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1784019:1784926:1 gene:PPA20823 transcript:PPA20823 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRAEVARQILHLAGVPFEDVRLSEEKWAGLKNKTPYGQLPILEFRGKVLAQSNAINRYLANEFGFVGKSAFDKAYIDSLADQFKDYLNKVEPALWVLLEYEKGDSAKVVKEIAIPERDKLFPILEKIAKAKSKNGGYFVGDSLTWVDLLIADTLTTLLGCMPGHLDGFPTVLNTVNNINAIPELKKWIKKRADSTY >PPA20494 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:28623:28939:-1 gene:PPA20494 transcript:PPA20494 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSIILAAISRYLCTQDSATDGGPPAPYAPAAPSSNPSPVSSPAPATSAASSSFRGIQSQFTFAFVF >PPA20624 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:749829:751891:-1 gene:PPA20624 transcript:PPA20624 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lgc-28 MGTLRRFFLMTVFLFKSIPAANDGKVDFDLSDVMAFAGMLGVSESSMLQDSSVTFHKETQLLYTIAKKYQEREGHRKKPPPGDGRFALKIDTTYTIDHVEPLDEDEETMTAHGSVTLKWTDPLYTWQPDKFDNIKLISRSFNEYITSFRPWTPSVYFKTADPGESRKQESGEGYTTILTVKYTGEIIMHKKFSVKSSCDFNYRDFPYDTQRCTIIMSTHHNLREVWFSDDRNQKKHSARQLKQERNTPMIGDFVLDYIHAFNTVMKADAKPEQLKGPWKEGEPTIENAKMASVVTLSFTRINDKYFYGFGLPLVACSLLVQATLIFDTKRSFIFLALPLLLITKQISSISQGFPSDADGTPLLDK >PPA20510 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:131511:140861:1 gene:PPA20510 transcript:PPA20510 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTNKAIRQKIGRMKTNLGLPMRDDINDNMSEKRDELASVAGIDQRNQKIIASIKVYRNNLSSCMKTGLKEEVPEKRKKKCEEYVMASDLATDAKELRLNGSTCLHKVLDSLSGALHTVMDERVRAEMNTEKRVIEGMGRYLEMEKALNKSKERLTNTSLDVDIARKALNAKQHDEGRQSELQEEFDTNLSKLENRRREIAVLFQDSTITDIFTLAAKEQEIALVFAAYAEELLEYHRFALRTFENILPEIRRDIANARPRPMFGVDLEEHLRHANRPISVVLEKCCTILRATAMKERGVFRVNGNNTKIRRIKAAFDAGQMLDDRTDTFAMDPHSVCSVLKSYLRELPDPLLTNRLHGDWVAATRVEGEERLLLVESCLAQLPPAYHNNLAYLVNFLCELLQYESSTMMSAGNLAIVFGPNLIGNGNETDNVTGSKIVESLLQNEARFFHRSPFYREQSPQPVTASPQPERAINLLIDHPIARVNSTPTGGRTTFTRAMARRNEVGTPQTSSSTLSIDTHGSFRRNETPALTPQTFTNATFDSTNSSGSNGIRRPRVTAPLPPTTPRDNREEAMMTRSMYTDRTEGTANTRDQASLSEVVDQLNALRGDRSTEYEREKYFLRECKSRNFQFDSIDEIDDDAGSRSLDRPLRPPPPTLRSSGHGPPKTRPVSYNIAVGGLTAAAEPTNGKHFLSTYKPTILTFTRESPPQLIEIVLNRCITGRDRSETTPSSRRDDRPPPEMVAAQSTIISTVTSQLSTGPIHRSIVSIEGEIRHVEGE >PPA20734 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1390910:1392146:-1 gene:PPA20734 transcript:PPA20734 gene_biotype:protein_coding transcript_biotype:protein_coding MRVESRQLKSTKTSFCLLQHNYFDCYDSHTDTPLLDTMKRAYSTLCLVRKSCEINGLKQQEMHAQLRNEKMHSLVQSNFQLMQTLDGSYRALHNFPNDDTIMASYTTFLKNGVLEEFFCGCPQEFKSEGMFERKDWRNC >PPA20812 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1718893:1721321:-1 gene:PPA20812 transcript:PPA20812 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYGRPQTFFFMETLIAKVAQRVGKPLNEDPRRLFEGNALLRRLVRIGVFVEDRMKPDYVLGLRTEDYLERRLQSQVFKLGLAKSIHHARVLIRQKDIRYCPSPFGGGRPGRVKRRNAKRGGGDDAGSDADE >PPA20712 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1295042:1296147:-1 gene:PPA20712 transcript:PPA20712 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNDGCRRATSQSSSQIGCCYDEGVDESIVDEELLQEWDRLARREDEFERGLEEVEDRVLHESHLDIDTRKKYPEFEIAGMVVSDNLKHDRRTSLYRRSIMRRMEEYAWSVPSETTPCSSRKREC >PPA20643 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:870384:871365:-1 gene:PPA20643 transcript:PPA20643 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIRDDFQGTGQSHKCSHTEVVEASNTVSDLLTHRKNSGSFLKSYYLLTYACSPEGQNVLANHRECLRKEKIGEMTLAAGTYLTEKFLDEGDDEVCDNVQAKLNNLRSRKGVRIVV >PPA20620 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:727023:730870:1 gene:PPA20620 transcript:PPA20620 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pkc-2 MVPDEDASSSKTQPPHPAHKKSLAPWVHRSLTHTLSAPVHLCHAVGATTAELSPKLMPRFNRRSTRNTSLLAWLRKSQRIEGRAFVRRGALRQKNVHEVKAHKFIARFFKQPTFCSHCKDFLWGLNKQGFQCQVCTLVVHKRCHEFVNFNCPGADKGVDTDDPRQQHRWKVHTYSSPTFCDHCGSLLYGLLHQGIKCTSCDSNVHHRCQRYVPNMCGMDHTEKRGRIMLNIYVEKGNLVIEVKQARNLIPMDPNGLSDPYVKLKLIPAESGCKSKQKTKTLRATLNPEWNEKFTYKLESGDKDRRLSVEVWDWDRTSRNDFMGSLSFGISELIKEPANGWFKLLNQEEGEYYNIPISPEMDEEEMNTLKNTLSDMDVKNKEDTKSGSSRSSSIKQDVIKASDFSFLTVLGKGSFGKVLLAEHKATRELFAVKILKKDVIVQTWSLCFHISMGELFYTSTSAEIERRLLYN >PPA20762 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1519194:1519424:-1 gene:PPA20762 transcript:PPA20762 gene_biotype:protein_coding transcript_biotype:protein_coding MHASSVREAGCAIVLTSHSMDECEALCTNLAIMVAGQFRCFGTIQHVKASSNSGLIP >PPA20618 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:712214:713115:-1 gene:PPA20618 transcript:PPA20618 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSLVLSVILVLSTTVAAAADYEPSQSRLAFGGYSNWASGASGPDRWIDSDDVIDEEKRAPMRLGKRAAFRMGKRAPMRLGKRAPMRMGKRGPLRLASTGLTTDTLGIDH >PPA20690 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1146452:1148856:-1 gene:PPA20690 transcript:PPA20690 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-frm-9 MPGSIDETATGHCTMFVDRRPSSPQAIPGLPLIEIRRPSALSQFEFGYFVNSPELTGVTVSPGMGETVSDCAPLLVTSNGTQLGSRKSSDGKIIDGRMVLTRIIRYVSKVFPFVSWTQIVYIFSRNQHCIGQLGSFPVLVRVEEKSVDYWNKNSITKKSHLTISPILLTMSEMSPDFQCIRQLVLNMLNVYTRKNSNQFQEPEVLEPGAETTDHVNDEYLDFQDQVISGSLPCPKEEAAYLASIQLCVEEQWPSNKRTQTIRRHLLKGQFGRIRDLAQKIMVTPWEVDQTLYCTPARAG >PPA20886 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:2055382:2055617:-1 gene:PPA20886 transcript:PPA20886 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLREACVRVAAGDGGPFGAAIVKDGKIVAVGHNMVLINIDPTCDAEMTAIAMLR >PPA20704 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1241880:1242210:1 gene:PPA20704 transcript:PPA20704 gene_biotype:protein_coding transcript_biotype:protein_coding MSITLARYQRSEQSVVIDLPEDSSGEQKRRDRESKAFTCLLFLIVCGLLVR >PPA20672 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1037801:1040023:1 gene:PPA20672 transcript:PPA20672 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNLDFRRLNMNNQNMHHSKDSFTFPSEGRWVRLNVGGQDESGAFLIDRDAQYFAPILNYLRHGKLVFNDSLSLEGTYCEFKGVLEEADFYNLPGLSHLCAERILERDAMKKSTAETKHVYRVLQCHEDELTTVVSDVSDGWKFEQLIPVGTSFNYSTDVPPEYLCIVSRECPDVVNGNASESHDRAKFLQQKARNN >PPA20744 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1456025:1458626:1 gene:PPA20744 transcript:PPA20744 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTLMGKSAGAASVDLLHLSPHSTNLFHKAITMSGTAECRWALLAKLRLLPAEAFGVKMFQQEKEDNADFEVGPCLDGDLFPEPLDVLRARATAKPFITGVTKEEGLLMMVGRQSTPEGLEETLMDATRDCQEIDAMKCELRGRFIGDTKQDDPAYMRAQAGNYPSAYTTHACEIFYLFKKGAFGDPEINVTEKRVMNIFTSAFTNFAKFGNPNGSDDATSALPVQWDSITEENPALSYVFTSEEPKMCTDLFEGRTAFFIDIRNKYK >PPA20807 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1705520:1706798:-1 gene:PPA20807 transcript:PPA20807 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSHDRFLRQCRTNADFSFIQCCNSCHFADGVKLRNIDGRPFATHESLYDHDVVQLLQSTGAHWADRRGARYCEALATQNLIANPKSNSVLDMYMRMPSEHKDLRSVAGGIDLLREAPCEPTVLAFRVCKRTCGYCARRWVGYNLKS >PPA20867 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1978086:1981154:-1 gene:PPA20867 transcript:PPA20867 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gst-36 MPTYKLYYFPLRGRGEPIRQLLTLAGQPFEDYRINPEEWSAVKADMPLGQVPVLEVDGSTKLAQTMTILRYIANRHGLAGRTPEENAKLDMIAECVQEMINSPQIFNWALIVLDKCTEITTEEQKADFFKYKVTPDLESYAPKIERFLLSNGNNGLLQGDTETWVDIFAAEVFSKFVEFGDPLCLDAFPHIKELIARVHSNPVIRKYIEERPDSKF >PPA20748 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1469943:1471614:1 gene:PPA20748 transcript:PPA20748 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSKYTFKSIKPAVASPSFPMLPTAPSYVSSQFPHHSSPAGTSAALESTTSAAASKQYIDNRFQQSTVAKHTFKSIKPTAPFTSSTTTPPVSTPSYASPLVPQGSYARESPAPMPAALQSTTRATTFKHWIDSRFAQPKHTFKSIKAPAAPLHPTALTNSLIVPRVAYDSSVRSSGCLCAYADVADVDQRITMDKGPYFYLFVNGLPQNEQRRKRACGLCSGGNQLVRQRDDVQRNAYGTNNRSSRDSVPYQHTPALSVESYLAPYMRRPSPITPLVPAQAFGTTAAQTLQQFPVIRDWLTHHIRPLLEHEIAYAPLPGSHQ >PPA20495 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:29272:36702:-1 gene:PPA20495 transcript:PPA20495 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPFDDDEDFLLQNDEMAPNVVNSMTTLNEDEEEDEKLEVPQDDPDKWFSFRTLWAYTGPGFLMSIAYLDPGNIESDLQSGAKASYKLLWVLLYAHIIGLFLQRMSARLGVVTGKDMAEIAYNFYPVIPRCALWLMIEVAIVCSDMQEVIGTAIALHLLTATWLPLWVGVLVTLADTLTFLSIERQVIILDRRALCGYRKLELFFGLLITTMAVSFGVEYFIVEPNYLEVAKGVLIPWCKDCGREQLLLAVSVVGAVIMPHNLYLHSALVKTRRIDRRKQGTIEQANKYYVIESAVALTVSFIINLFVVSVFAHGLYGKTNHDVHMQCNATAHIPDRGAFPDNDEPAESDIYKGGIFLGCQFGIGAMYIWAVGILAAGQSSTMTGTYAGQYVMEGFIRVKWPKWLRVMVTRSIAIGPTILLTLLSGDVHSLTGMNDLLNCVQMVQLPFALIPIITFTSSERIMHNFKSSKAFQFSALLTSLLVIAINIYFVQDTILEHFGRSWYVFVLLIAPTGVYFAFIVYLLSICLFECEMISKNPNHAVQYIADAPWLGLNDMNATGSLNDALSSKNILTSSELMY >PPA20498 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:48153:50149:-1 gene:PPA20498 transcript:PPA20498 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFLTQTSVKVRPREFPRDSTVEIPDQNWRVASRGAPQCSLNLVHEVVVLLVIVGRRGSVCADQEESLVGEEEGCQTRGEATKHSSACQVWPEQEGHAMGRSGPARGELQFGLLVPGGERPGVDGADVEMATHRGGDATPAGQRFWERDAGLCREQHHHHPVAEAVAAHIIHEHIERRVEEEIAKEIIHHHLEHQSTIEYEHQKPVSALLSKFGGGERKVYTKEEAAILRREREAEEAVRAAAEHAAREAERRIAEEAAALAAATRAAEEARLAVIRAAEEEAARHAAQVAAAAAIHHHEQQQAAHHGHSRTASVVSHRSAASYHVPPTPVSEYQDSITMVLARNHHH >PPA20845 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1901273:1902036:-1 gene:PPA20845 transcript:PPA20845 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNKQSIESSSNFCRFGLMHNFSLNLWIWHRYSTAKQLDHEAKNHSTAKDVTVFKFPGEYHEIIDVLEYYGAFAGLLDTFLVEYSVVGAAVMFVHWRHVITSFSCFSINDN >PPA20881 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:2038308:2039417:1 gene:PPA20881 transcript:PPA20881 gene_biotype:protein_coding transcript_biotype:protein_coding MNMFFPSLIIFARPRRFTVHRQRRSSVRTQSLQFGCVSWAKNGFCGNIGYTMDMRKQYCGVKCGYCNTDGTQTAAGGGSTYTACSDKNANCASWNANGFCANQTISNSMKLLYCCGTCRPSLQSGSTTTITGTTTVTATTTATTTTATTTTTAA >PPA20532 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:339338:341674:1 gene:PPA20532 transcript:PPA20532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-97 MTCISRDLALPTDIGDNSLNPHIMEDDDYLDSDDDDNLRMFTPYVGDDIRGPPPVYEQRSLAQQVRSVFRDQPMNADPKLSNVCSPIDQQRSQAIPLMSLPQDASMEHPLSNKVVSPVDSVIDVVGGVVEDFVLPSVRSDIAEAGDEADYFTDEDMDRAMPTLNNETLQVGEEDSVYLDRASAPPSCLPDIVDPIRPLIPLRRMPSPDTRTDLSRYKLREPREWTAQDVVSWMLDTSRRMQIPFEDVNMTKFAGLPGMTLMNSSEADWIANDPVYGVTFFREFQALITGSAGDRALEEYMRKLREEELQVTVFFSYIFGASAAASAASLAEANPASSRQGTAKIRAKMVDRAAAVAVHLEQSLPVADESAQQPAATDEHSDPRSP >PPA20777 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1605502:1607283:1 gene:PPA20777 transcript:PPA20777 gene_biotype:protein_coding transcript_biotype:protein_coding MVILIFHISLPAFGFLMSMLLLVAIFRGTSKTIKNYSVLLFWCAFNDLVAIVSEFMGMERLAILPPSLVLIATGPCTLISADFCNYCDSFFCGTIIQSVIIQCISFWYRGPKKV >PPA20518 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:192819:197134:1 gene:PPA20518 transcript:PPA20518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-3 MEILPKYFLKFFLKCNQNCLKNAGNPRDMRRFQVVLGTSPRVDGPLLAISDNMFVHNNSKHGRRAKRVHASDGENDVDASDDYSEAVEMAAGSAPVLKAIVPTEGWVQGGTQVVLIGENFFDGLHVTFGSTPIWSDVSLQYKNKALTRSGPVRFTYTCERLNVPDALTEPSIDFAFHRLAKLLPKYPGDPERLPKELILKRAAELAEALYNRPTDLHTHYANYVNFENSAGYGTTTATNGAGSLATSVYSNYPSVSVAGSTTSFLNSQPGFGFSAVNPFAATLHSTTSRLA >PPA20647 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:892667:895380:1 gene:PPA20647 transcript:PPA20647 gene_biotype:protein_coding transcript_biotype:protein_coding MKITDEETSLTQSDFDQARLRIRAKRDTEETVDDSSMYNAERFEGDIMNPGLTSKSIRGFVGDDPVVSVPGVMRNAVRQSYLKWPGGRIPYTISTQYSAISRQRIAEAIDDYSASTCISFVPKAARDSDYIHIMPDDGCYSLVGRNGGRQVLSLGDGCIQKGIIVHEMMHTVGFFHEQSRADRDDYVTIKWENVENGLQDQFDKYSLSMIDHLGTAYDYGSIMHYAKTAFSKNGKPTIVPKKDGAVIGQRRGFSSNDLFKINKLYACPSSAGTKEGETFTSSSTTNRITTTSSSLPTKGIAILSKCKDKRSDCAFLARQGHCESAYASRFMSNNCPATCGIFYVEITADENRSSHGDYR >PPA20709 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1277606:1279125:-1 gene:PPA20709 transcript:PPA20709 gene_biotype:protein_coding transcript_biotype:protein_coding MLLILFCSYISYCSSQVHNYYIYPSNSSSALYTFSYQQVNGEYNEPPSKLFTREISPTIQAQRNQGSSILSDPVLRTNNQTTPSSMRTDSAAQYATVFGNSASFAPSTTVLLACAMPDAVRRVGFACNVGNVCCPCSVGAAQGSCSAGACASPSLRCEAASGMCCPRPPLTNSSNVNDTARVAPLLAMDTARNESGSTQLLNAQGPIYSSNATQSSANSGLMTSQQWTTAPTMSPING >PPA20651 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:919063:919828:-1 gene:PPA20651 transcript:PPA20651 gene_biotype:protein_coding transcript_biotype:protein_coding MANKNVATQPEGVVVSDQEILARAQAQLLGAMALLETLDNKQELTEGEPITAEKRRNKFEFIRFGRK >PPA20595 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:618704:619636:-1 gene:PPA20595 transcript:PPA20595 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFRFAIELSMIEPLLVLEREWDFEVVRVFVSHSIFAHPDLSTYEKMVYASRWPLPELTSQLIEILQKCGSCCLQVRAHGQLRELSDELRRKVIEWQWVHLDRYERHGEKMYFVHEECRHCKSHKTEILDRFRLHLSERELVD >PPA20792 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1658655:1661206:1 gene:PPA20792 transcript:PPA20792 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAIVPLLAVCASSLADQDIQPIEVIEIEKELSREVEEEFAGKANHDELGLLKKQFASSHFGNATSLISISTELDFNLNNTDLFEGDIDLTPEQWKVALDIDPATRQRRQALYSVTQMWQPMGGPVIPYTFAAGFRVLIIFNHRSNGCSSSVGRRRGGQTINLEAPGCMTVTIIAHELSHAFGTLHVQSRVDRDTYVQIDTSNIVSGTEHNFAREPNSFSTYGLPYEFGSMQHYFSYAFAIDKNRPTIYAKPAYQRFQGSMDGPRATFWDTVLINKMYKCTDKCPKQMGCWAGGITDGGDCYKCFCPRGWTGTNCEKRPADAQIINVGETPQSVKIDGRIQAPAGKRIEATLRTFGPNAFRMCRSIGLEILPLTDTRTSGIRFCATPNPLTPIYSEANTTLLWLYRDTKYPVAVDVTVRAF >PPA20783 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1636679:1637028:1 gene:PPA20783 transcript:PPA20783 gene_biotype:protein_coding transcript_biotype:protein_coding MELFLEDLLKSADGTASLSQPKATRTSTTEIFTSDKSQNDLSMTDTATEYSSSHFIIKSIPFLCITVSVFLSSP >PPA20768 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1567086:1569668:-1 gene:PPA20768 transcript:PPA20768 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQSRPALTPSLSKDGVEGTSNFDFQSSVIENQANDAIVTLYTKGIETMSRVLSQSYPEAKVALAELNSTRERLRSHEIGRRYPIRYLLFGFIQTLHITLNQLVDDRNEMKTALESWKPPEEILHSPSDLPSTEEILVSVEGTPQEPKQNVLTTPCAKLEAVDVVEDVKPVFGSSVRRVLKKSRKWLEKHGRQNVLQCPECTAGLVFKCECGNEAQSRNNACSCDGHEFKIIRLRSKWKVKLENVAD >PPA20573 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:520065:523099:-1 gene:PPA20573 transcript:PPA20573 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVDVAVPRASSMFIRTALASVEIIGMICWATPGSIIAVLPMLILYFYMLVSCLVCPHPLTVRQTIPNVIVRFYVSTSRQLKRLDSTTRSPIFSHFQESIQGASSIRAYHATDKFIEESIRRVDTNLMAYYPSIVANRWLAVRLELVGNLIVLFAAMAAAWFKGSDGLSAGLVGLSVSYALNITQTLNWAVRMTSELETNIVAVERINEYAGQDIEGLPGSRAPADPEWPRDGAISLVDYRMRYREGLDLVLKGVTAHIEPGKKVGIVGRTGAGKSSLTLALFRIVEADGGFVEIDRERIDQLDLQILRSRLTIVPQDPVLFSGTLRENLDPFAKHSDEAVWEAIKESHLETFVRSLNDGLNHIVSESGENLSVGQRQLLCLARALLRKTKVLILDEAAAAVDMETDSLIQRAIREHFKECTVLTIAHRLNTIMDYDSLLVMEEGRIAESGTPQDLMHRHGGIFRSMAKDAGLLNE >PPA20559 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:447777:449314:-1 gene:PPA20559 transcript:PPA20559 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKYSLAVLERPWANVLTRYCALVARHPRIFIIVPVILTAILSTGVLFKFKVVRGVHYLYSPLDARWKVEEGVFNTHWASSDNLFYPGKDVLRRKGIYIILTAKDGGSVLRRDHASEFLAVLDWIESVNVTGPDGETYSYNYANSQLSLHDTQTETTVSTIRGSCQWSRDKLSASVTLIECTEVYGR >PPA20523 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:246122:247686:-1 gene:PPA20523 transcript:PPA20523 gene_biotype:protein_coding transcript_biotype:protein_coding MYTAPTCEHRQSCLFMMDDFRFELCGCPNDTQCSGKYSAVHQGTKYSFCTDPQLDQCASGDLSVTVEGLQTTLHCACAKPLVERKIIDTESAATKFVCESPPNTLPISAKMNKLMNFWKYRTAKYQERGAMYRLRRKQMQSVRCQSKDDLC >PPA20834 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1838845:1839986:1 gene:PPA20834 transcript:PPA20834 gene_biotype:protein_coding transcript_biotype:protein_coding MKCPECEYCTGSTDCFMTHLRRVHSTTPALAGIVFVCKCGHESQSNGHEKVCKYPTEILKSDEPIRRLADPKITPKCFLCEEYPSTVYGYVQHLRIQNRTTLAKNGRFLLCTFKCGYKVTSDSRCFKDHNKRVAVSPNPLVSANDSTRSESPSKPDWSPCDGTRAQRRLQHTPGFVFDLQWDSICVQLRQQFYREPREQDSFSSHCDCDKERRPIHWPETPQNDCLERRTAF >PPA20612 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:672111:675621:1 gene:PPA20612 transcript:PPA20612 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDVGSGTGILSMLTSSSRSGWDTASSTSACSNTVLYARDKWLTPEGDVFPDKAKLFIAAIEDRQYKEDKINLSKLALMWDNVNGFNMSSIRKVAITEPLVDVVDNNQVVAGNYCVKEIDLYTVQIADLAWTSEFVLRMNRNDYVQALVTYFTVEFSNCHKRTGFSTGPDSQYTHWKQTVFYLQDALTVKKGEELKGVFTCSANARNERDLDFKIMVSFHGEFEPSIQMYDSHYYYLHRVVKRAAAYMIATFTRVSMTLRLACGCGDKSLCASHALLHLSIVTVFEAIIYCVLIEERWR >PPA20684 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1109609:1115990:-1 gene:PPA20684 transcript:PPA20684 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKEERGTGETDTRCSAVEIISTDDPHHNPLFVEADKNDVLPKGLNGAGEFNNRVYGCNGVLHVVTDAIKSEHQNVLNVDIVRTFGRETRNILLTEAVKSRVIEAAGRQFGMHRVGVNLPEQIAYIARQRPDLLSSAVTEYSAVKRKHEDAELIVEASTRVMVHVNLCDRDWKVMNQIAEIHEPLDIVSHRLSLAMIDFDRKYSNCENGVTTPHDNTFLHVGDEFERERLTSLVSQLKGKKFSLAHLYQSAKGLITEKHVNLCYNLYQEGVYSSDGGRSSISEDDRSKRAVRKKKTTTKKRTLAAIPLQGIQPIDSPVVSEEERDPRSGHLNSFERAVNGYDAYKASSPERSLGEDEEMSMFAARSKNNQKKKKPAHKVRRSQSLNLTTMDSISLIC >PPA20708 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1273572:1276604:-1 gene:PPA20708 transcript:PPA20708 gene_biotype:protein_coding transcript_biotype:protein_coding MHDRGQNGYISAYFITSVQVLACPACATFFYRRVMDNGKWLRCMSEGTLNIRECFTDFRCRACRLQLCFAAGMTDEIIRFNAVARRITKEIMKSEKLAIEKMQTIVQNPPSAAVIVDRVIADLMRIEIAFNNIRESTFNPIPRSVASIYEALEGTSKLALKFEKMEGWPLVPSEEYAAVCSGSLVRILAGKMAMLCSNFVNHYYSMKMNKQCLEDASSHERAILTNHSENFAKILFSYVLARRGTEMDCQLLYGAVVMYHELCPLLEEIILT >PPA20750 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1478965:1479229:-1 gene:PPA20750 transcript:PPA20750 gene_biotype:protein_coding transcript_biotype:protein_coding MFVAVNGETTDRSHHLVNSMQGIRKDDSTRRHQRSQSKFITKRYEVMDQVHDRKVAS >PPA20876 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:2013103:2013428:-1 gene:PPA20876 transcript:PPA20876 gene_biotype:protein_coding transcript_biotype:protein_coding MPILQGILPTGNCEGGEIGIPCRPCRFERCIKGGMNRQFVSCTETSSPSPVTSRKSSSMDEALVSLHY >PPA20605 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:641414:642485:1 gene:PPA20605 transcript:PPA20605 gene_biotype:protein_coding transcript_biotype:protein_coding MISFTVLFFAYSAGFQQNTLARKMPEPMNFGAVRQSLESGSLEWIAAETSEVQAPWLAPHPLHIEPDFRKKITRVCEKSGSSVVSFFRSEWPEMYKIDDIMDSCSLNRVQLAPPSSYVDVVGISEVPFPYFFILHRDTPRKQFDTLNQILLRIYSAEFRSGFMIRRYLTKLPK >PPA20827 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1802425:1806123:1 gene:PPA20827 transcript:PPA20827 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASILLLVFLFHVVPSYKILVFNPRFSQSITNFLGNIADTLVDAGHNVTTLTSIINPNLRDSTQKSTKIYVHQTEKVKKITASMNLEKADFFHYEDLNAFSGIPFGHSFTAWFNAQCEGVLDEPGLIERLRRHKFDVMIVENFETCGIGLSHVLKPKSLITTAGSVPMAQQGEDFGMDSALSYNPNPLITHVDVHSFWSRAWNLYATLAFRAMWYPTRTEINVRDSRKSARTLYIHQSRAAHRFCDASFEPDLERIFSLRNNTVLISFGSIVQSHALPLQVKRNILKTVARFPEITFLWKYERPEDAFAKAAIAATPNLHMLKWTPQNHILAHKRLHAFITHGRMASTQETAVRGKPGLFIPFFVDQPRNSGMMERNGLGKVYHKRDLYDAEKFYTAVKDLIENESYHKNMERIATMIAAKPFSSRDQLVKTVEFAARFGPSPALRPQSFDMSWIEYYNLDIIAIVGVISTVAAIMALKITVYVIAQLSSVVKFKLE >PPA20507 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:113495:123256:1 gene:PPA20507 transcript:PPA20507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-atg-11 MDWRDGKTLKRAIRDETGIGTDEQLLLTWNGTRMDSEQRVSSYEQSFTRAKPVYLYARSTKNEKETMTISQQREVVSREILSTIDLVAENTVTSTATIVQEHHNLNGGWNALVASINDSVIKLEKKHKRFDLHVVKLAELTEKTTDLMSGFEECIEQLKTIILPASMQASGSDCTLYEWISASDPNHSMQELLEQVQEQLHLINSTDVETIRGELKWLQELSEKSDNREIRGINKRISQIEHSLSTLSDFKEHIVKHARTIRQDNPKGMDEYVLSEMVDKHRTMVINMHEILKKMNESSILFYKSKEEVLDNVRNRLFGWVVTCCEKLNAVNSMIVVFEEKFHGLKQRLDLIRQVKETPLMFATCVTEVLRRKQLQKEFRSWHQSHTERAHTFTGEENQLRTVFAAKLEKHFLRVIFQGMFDKLPVFFVKHVPEFDQQLPEIDTEYYKELRKTVGSLESVLRVNSPQVHMRLSGRPSSLVREESFISYEQASNLTTNLQNAFPSSAWLSHDNDTDMSPCPEPPILMTAASSSRDTNPFSTGHRNASLHDIHENELSHYGHSVKSAPICIPSASRSPRDDKPERVSCSSTEDPLVDSKEDREQFAIDDISTRSQMMEVLRPMIDELRALKEELEDTKSTLDVYKGAMEGDGQDILDKVTACHVNIVATIKEHHEKELEEEKDMIAALEEKIATLEKRIDDQDEQIAFRDGQIDGLREIDEDKDEKIKELTEQLAGADDRLKEATDEIFKKLIIEYELAKDREASDFRETIEEKEEALRQMQREMDKKQADLEKLKKGPGHEEYRQIVESEIRTAMEKEFKSRIDLLSKGLEQKKEDSIARMRKDVEFEHKKREAEKDMEFRLAMYERDLLRSSSSSELLDDVKKSVDQQKEKLLQDGHFSPFFITSPRASPRKPLSSVTSMSGSLMGSLASLTSPTPESPLNVRADFGPQLLFDIDTHANEFVSPPHVMIPAETEIVLPKIIAPGDITPKKEEKEPKSDGEGKKEDEEKRGMKKSASTPIMEQSFIPGYNSTRVSEKSEDSRLEPVTEAADGQQSVAVQTKIVQRAMDFMVSLNDIREGSTVLVVWDERHKSYILFCMSNYIHFVKETSVRRLGLSNSTTENNPRTGNWVLARVAHVDLCVIKKSTNRYMLPVDTQVYRVDVHPIALDTTAFNTTTIPSLRTAS >PPA20491 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:6960:8034:-1 gene:PPA20491 transcript:PPA20491 gene_biotype:protein_coding transcript_biotype:protein_coding MTYRFLHTLLEKMQKTFPSYAAFPQDRKENYVLITVETFPAASRIEEFAVDENGKFKPTQTLTNIRIEKNRDDNSIVTLEHNGARTQQAFHEWHKMRHGCPRNTMYPLLTCDEGYLLAVEDIILFE >PPA20745 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1459844:1460389:-1 gene:PPA20745 transcript:PPA20745 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGNLIEPAEEIASLQIQLPHAAESMEKDQHCMPWPSINPALSIPYFDREMYKNVNLNVQTSEQDNGLDLPFDDIR >PPA20731 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1381877:1384237:1 gene:PPA20731 transcript:PPA20731 gene_biotype:protein_coding transcript_biotype:protein_coding MYYGCDGNTTDHACRFIAIYTDLTVVETPERKTFFIMMNIIEAIAHIVATFVVTLSMDTVRNCFERLLATIDMEEYEHRRDPTVSIVALSAVVAISTALGLDATCADVVLSLKVKLTIVVVVTVGSGVASLVLHSHNLRVRRAMEQHPHRYRLSTRFQIVENCRAFQLLRNVAVVTTTGISLASVGLIYSSIILTSLSCASIAGAIFDTLNAIVYTTVIVMCCFSQAFWRDEFKAKLGLTNICNRTVVQPSSNSESTQESHFAGLAKAWEAKNRPDELKKY >PPA20885 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:2051496:2051919:1 gene:PPA20885 transcript:PPA20885 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEPLDDQPEQSLQQFVHRAERREQMKSALQFFEFMIDGDHFGTTQYHSRTLSLASNIKTRVENLAEEIRDELARDEFFFKS >PPA20558 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:438721:445830:-1 gene:PPA20558 transcript:PPA20558 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWVAATRDLTGWALELVDAPYANSQLSLHDTQTETTHQRVNITWPIYKNEMSEEKIDLSQGLGNVTTRRDGSIIEATSWMVLYQLKQDSDELARLSSNFEKTIDFLSRSGQVPGSLLNTFTFHSDTFDEELADSNIRLIPRFSVTFGILIFFSVVCTFNLHWVWEETDYVRFGECGRYGQTSIHERIPAAVLVRFFCFYSAAAIVFIFLYSISIFVAILALQGTREENCQNSVTGVKAITDSSAVNITTFERHFNTGSRVDQPNVKPDLIDCIPSDTRLWYQRFFEDVYSPFIWKPEIRLMSLLLFGLYILGSVYGIQRLVVGFDLVNIIQEDSPAHKFFEVKSASYAETMARAEIAVLNPPNLANETVRTSFMNVLTEFEQTACSEGPTSTEFWLYGFREYLNQLGFSEMMEAVLNDDESFTSALDTFLLSSDRFSFDVRRHPNGTLSAFRFSTALNTVQTDYRIVDCCRQFRTEYTIMFQPGQDIAGRHPEYNLTTYTPFWNLADQFEIMWPQTMQDIYISIGVMIPISLLFIEQPLCAITIGLNIASVAFGVLGFMALWSVNLDATSMITIAMSVGFSVDFAAHVTYAYIMEARSDKENMTACERLGVTLGIVGWPVTQASTSVLLGISTLATVEAYVVQTCFKTVTLVVLFGSIHALLFLPLFLSSCHLLFERIFKQGRFRVADGTKTMTRL >PPA20535 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:350071:351751:1 gene:PPA20535 transcript:PPA20535 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRKGNGWTRKKKTKNNPVEELIIDNNILKFIKANASSPTFDSISLTRSEFDNEDDTIKERSAFVLIGRIPTIP >PPA20815 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1743445:1744315:1 gene:PPA20815 transcript:PPA20815 gene_biotype:protein_coding transcript_biotype:protein_coding MFIDHSYFNEQEFVDTPLLNRMKNMYSMASYTTFLNENLIDQFFTECPEELAREERDSPSKY >PPA20753 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1490990:1492013:-1 gene:PPA20753 transcript:PPA20753 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIRSPTRFTRQCRTSADFSFIQCCASCHFANGAQLKSSNSRLLLKRESSLYDRDVHQLLQSGACSDRKSRVFCEGLVTQNHLSKPNSRMTDIYKRLPIGYDEHIMSAIDRGGDAPCDSSALAFRVCRKTCGYCSKFRGKAVIKFDADVARNPRLCKTIY >PPA20577 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:555187:558271:-1 gene:PPA20577 transcript:PPA20577 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTWECVVNVQDEEKDPKYFNYLVRDCGSHTNNGETVLYIADRFGFDRARSQVEALLLHEKMLTQISDWEVFAIQILHLINRFKDRRSRMIRERLISTLEKHHVNVGLSIQKGRVMYQQP >PPA20628 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:784800:786448:-1 gene:PPA20628 transcript:PPA20628 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRESGRAMAKYQLTIRTRQANAMMNMSDNGCNRGPSVRPPRSQVSKKEMRLFIQFFFVSIVFLMTWSTWQWLPHVSDSKWAYFIMTSLFFINNSVNPTVYLLFNTQLRRELHYLLCRHRVISENKKRRTLIFNNANGDENGASNHNGGSGEESHGRSNVKVTGSTNSRRGFFVRSSICSTSSATSSAFLNFNGAAKHVIVEHHDECDNESSVSAV >PPA20488 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:770:2160:-1 gene:PPA20488 transcript:PPA20488 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVLVGLVGTSSAFLFGGGGCGGGGCGGGCGGGCGPTPPLCGGVCGGGGGYPPPPLPCGPPGGGCGGGYPPPQPYPPPQPYPPPQPYPPPAPYPGGGGYAVAPVGPSIPIGGGYSQQYQINSAPAPVGPLAQSGAYATGGVRQLITQQEKISPYRPKAKPEYASGFVEQTREETTEDPFGPLKDNEEAFARRRHRKSRQEYKKEEPLSVSRSGLATSS >PPA20774 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1593561:1595048:1 gene:PPA20774 transcript:PPA20774 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFSGSTCNFQGKSVIITESSTIIGRETARIFAEKGARVAITSKDAASLAEIKKLCIEAGANVDNVLEIINVIIERPNSPVDANTSVDRGNREILRSILALTNLALPHLEKTNGSVVNMASLAAHASDEQEFCYAISKSALDQLIVQTATKLIKKGPRFDRGWSIVRKINAPKNFGKALFHLGDNMWMVHFGKVGESNDIAELICYLADHTQSEIFIGQTLVVD >PPA20727 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1366115:1367390:1 gene:PPA20727 transcript:PPA20727 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGETRFRTTGADHIGSKIFLRVLTVQPDIKAIFGLEKIQQGRLKYDPHFRQHALVYTKTFDFIVQNLEFATKLEEHFVSLGRRHVQYQGRGFDPSYWDTFAECMTQASAHILLLNHLSLIIRDNKKDQRR >PPA20503 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:75428:76878:-1 gene:PPA20503 transcript:PPA20503 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVFLLCLFGLVAVAFAQVEDLDISAPSTDDEADISSLTENDDTIVDNEAALAAEGNDDSLDVNDEVVDDIEAKADDLVADAEDLKTDMEENIEASADAVPIVPEVAKPDDIVKHFPHFHHHDCGCSLPRRRLGCRCAIKMVVGHKKGRFEGIVIGKKEEIKIGVRKGWEAGKKKGIHVGYLKGFQARLRKGKAGCKCKLESLKHKMIHRCHRLSHHHFHPHY >PPA20638 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:850841:857769:1 gene:PPA20638 transcript:PPA20638 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQSQWIHIMPTTAGAVGTTTDNSSHPTTVYIPSLGGSLQPSTNTSNPTPVWIVSPTPPVSSPTLVPSIPTVSSLPPGYEPQSPPTVYPSFPVSPPYSNSPFQPQAAPIVPIVKMQSYLLTTPFIPTRPPPLVPIPASGLIPLTHTSLTPTPHTTPRNPFEEPDTTSVPTASEEQFFRSSEVPSLHPLDYPEDYTDKEADGTVVPHYGVPATTVEPVGAASGSQFSLYPIFVGQTQPPPSAIQPVQPVQKPIFRPVPLPYSPTPGQPKTISPGLTQPPLGQFPYAPTMFPDRQPTPISAATPAPSPPYPQPPPYRPFSVIPFAVTGVAGPTASSSVQSGPYHPEQPTQQQNRPPWQHQGAIPGPPLVAIHPISPLTQPGATPPVHPSPIAGQTRVPYPVNQTPGPYQPIGPIPAPYQPGGPVRGGPYYPGGQIPSGLTPTPYPPGVYRPSFGTPYPFTPSGVVSPIVPSHPTWPPATAPPTVTASVTEPTAETTTSSPTTSTTEQVFIPVGTETRTSTESTLAPEGSSTTTEKPMETSSVWTDEASHEAFRNDCESGEIERVREKHGHDIDCPCGPGMMKKGGGEDEECEGVGTSSIVVDVVHLCDSDQVLNNDDRALVTLRELRRVFDYPMCVRDASIAEGKILLDVSCGGSCTLDQMTYDYAKSPESRAGRTLQFEEMRLDVSVADIQPPHVGPECKLKIRARIFILPYLSSWRCRCPKGYNDTSEGRGRSCEWAEEGMKIECIQLLGICLIWWIAILILTLLLLLGICCLAWCLAKRHCCKDRGMEAGYTQTRIKVPKTVKIKPAEGELSNVRNLMVKNAKGSVLRGTVAMAQSKSLAAKKKSNLILPGDKEAVGSTVRSVIDIVSSDEENMGIPKPGSSGMTIDSPAVHETPPSLVAPAQTEVNPAVPHRSESIISMREISATPPPTATPPPVQPTAFAAAQAASVPILTAKPPTPPSSKKSINGEAIETPIMEQRASIVSKPGTPPPRKESEPGRKTPTPPVAIGEKCKRAKVKKAVADSPQSISLSVPSIPTVDTHPDAHLTVTAVDVHQNNEPDEATAMGANRDRSSSIASVAGLPTIWDSFQVLGEQYANAEGSARRESSHSLDNALNRRYPEHFYAPILDHAGHTVNREENVPPTSEDNGPLAGLAVETIMQ >PPA20855 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1937455:1938622:-1 gene:PPA20855 transcript:PPA20855 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLYGLVFEDNSMVKEQRKFALKSLHDIGFGSSSLEDTVYNYAMEVVARWKESGSAAVDVSENVMISRNHVCSAEPEWITMKFCMVAQFLFPIKLS >PPA20695 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1201268:1201738:1 gene:PPA20695 transcript:PPA20695 gene_biotype:protein_coding transcript_biotype:protein_coding MPFMIRTKRCCECCMPDCNQGCIRNGGCGLCKALTGSQHINTNGISRKVRTKKKKKKLATRQHTTHAQTNSAISLPKPS >PPA20529 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:326241:327305:1 gene:PPA20529 transcript:PPA20529 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDTCVTVCDNPSVACASDAPSVLSSASAGGVSSLLSSTNTTSSLVSSTSLLSSVTTAGQAEATTTFNPPTPAEVHVPSIPQVNTSQFPAVPSAGTLAAASHLVNLHQLSSSFVNQLPSSLLLALLTNQQLLTSIQTSNPTLASVLLQKGMRFFGL >PPA20548 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:393133:395126:-1 gene:PPA20548 transcript:PPA20548 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRARGSKRNSNGISKRVAKKAKREEELGADGFGVNDLFSRLPDDCLLGVFKLLTRNQIEVVKNINSRALCISNHSGLNNIKWAGGILDIFQESSTSTRPHDWPIPDQLFVALADIGRLHGIEEIDTTTIPYTSDLWAKLEEVLRGNSCRKIVSGRLCGEPVTDEIRQSYAYDSEGGMDRQTVLGDF >PPA20698 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1214853:1217548:1 gene:PPA20698 transcript:PPA20698 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGSHSRNYSLWKIGEPSSDGMKEIAEWGNTYAAERDAREKASELRTLMKFKGLWYPEVQGRTKSAFTVNKYHHFVSFAAMIGPSPDWCVGLSSVNLCLPDCSWVGVASFDLLPFDAGTDDGETATNGFQSPAQPASPRRPIRWITTRDHANSPFYDESTQSIAPLARVVLRRTKIIHARCLSDAEYQTEAANNTVTSEDEEYRDRQGVLCAFDRSTNACNHRGVLGVRVGAMVALFGYVRKGTKNAIESLPLPNQESDTFNSECATSSWGEWTSCSVECGHGHRSRNRTFLSTEARENSCKVQLSMKELCVDV >PPA20860 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1955149:1956696:1 gene:PPA20860 transcript:PPA20860 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDGHAYQYDYRIWMEKETCSLYGAGGLAITAVSCKVRPYEIDECLGQVGSPPGLTGHCRFGTCWLYCQDKSKQLSFETYIDGEGGRIRADFLACEGGFSQFGQVSAFDAECN >PPA20642 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:868912:870331:-1 gene:PPA20642 transcript:PPA20642 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLCKNEAADIMCQSLIHMFKGLHADKLSDCDLKCPTIENPTDEPVSNVPQEIPSEPDAQQGPAKAANSAALASVVSIINFHSNIGKYNI >PPA20871 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1994243:1994699:1 gene:PPA20871 transcript:PPA20871 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYAGRIGELFMLILNFDRSVEVDEQFEMFHLLGVFADDTFVYRLSTRFDNASSR >PPA20760 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1515288:1517577:1 gene:PPA20760 transcript:PPA20760 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQGTYAHIYYVLCVCTVERTCATLLVADYEKNTRMHISIILNVFVTIVSHSTGYLVVTGVLNAFAVTALAMLANLGCAMKIELGIYMLAGGIGANMVLVFAPIYVLTSPAQFEQLQWFVCAANLAYALSLLLTAPWLEVAIAIHTGRVPRILRALLDEIFFKKMSVRCSNIDSHFKQLDNQWTQVFTTRKLLDAQE >PPA20608 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:655909:660585:-1 gene:PPA20608 transcript:PPA20608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mbk-1 MRKNRREGGAEGPSSAMPPANPVNVPGMSQSGSGSNTNTDASKYESYPPLPANAPISDERCTSRAGIFNNGYDNIGFDYIIKAGEYLGNRYVVVSPIGKGSFGQVIKAYDTVAKEHVAVKIIKNKKTFYDQAQIEIKLLQMMNEMDVEGKYNVVQLKTHFIHRNHLCLVFELLSYNLYDLLRNTNFHGVSLNLTRKFGQQLTKTLLFLSSPQLSIIHCDLKPENVLLCSSKRSTIKIIDFGSSCQIGHRIYQYIQSRFYRSPEILLGIAYDTKIDMWSLGCILVEMHTGEPLFAGGSEYDQMMKIVEVLGIPPAELLDKAPKARKYFEKREDGSYTIFRNKDSTYRPPGARSLAEILGITTGGPRGRRMNEPGHTVEDYSKFKDLIKRMLRYDPKQRIPPHYAIRHPFLRKSKEEEHQRTSGQFASNTNLASSPSLLMNENNLSSTFDQWATKEAIEAIPSGSRHQPYSSTASTSNSQPNYDSILPPYAHKQRGKSIDEGDWRVSQQRTMNPNSDPYPNLGGYQHPSEDPWTMRSQHQPADSGFGHRYDR >PPA20555 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:432644:433481:-1 gene:PPA20555 transcript:PPA20555 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTSNHRRRMELFRDRHRIVQDLLVKKADASREVSKIAEDSRTIVDRIALMGVDHPKYGKWLTSLEDEVPPGGTILEIAIGACIAEPNFKKLSGDLRGLNDEVNGVTRTQFSELRKHILDSVHDEEMSSNEFDTFVETCERQISEMRKKIENDFLGLSNKFRRYCKLYEAMKSSDTPRPKGDLKMGKH >PPA20522 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:239355:244078:-1 gene:PPA20522 transcript:PPA20522 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEVEEPVAVAASPEPTTAPAREGRTLGQMSTASRSLLDASHELLGMSPYSASGAVPLPSVTMPRERGLKSRSSSPDSGLYSSHSNPVVPRLNPMPTFKRIDFDDRTFDSVEERKPAWKQLESSIKDSYHTAKRSADKTKEESDFLESTFLARPRAKRAESPFGELDRDTFLPRSRLSYSSSSIGLGPCVGSSASIGSYYGGSGAYAAPAVNRSQGIESRYDKRVDEMEKRIMRSTCLPSASMRSISTKEFRNAPAPSAGSASEADDYDFSAYAPRPYYSRPDRSDPDYFDFDLAHSVDLYRKPEGTYVPKRPQEWESKLLAESRCKGAAPLSGHMFKSGESDWRNANASLLSAALRTPKFWEQRFESIGQQVRDSNPISLESINSGVLAQSILHTVWTEFVLFGPTDF >PPA20489 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:4232:5091:-1 gene:PPA20489 transcript:PPA20489 gene_biotype:protein_coding transcript_biotype:protein_coding MLADILRSQEVTAFQPVEFNGSQYYIVPRESRHEIRSGMCPFSSRNEEWNKLWEQMWDYLKIMCMAQMINMDQIMNEDEDGVIDERILDLVRTPITPSEVDRKN >PPA20765 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1543559:1544041:1 gene:PPA20765 transcript:PPA20765 gene_biotype:protein_coding transcript_biotype:protein_coding MTANVLRLSIEDTHLVRAPGSRMLSDHQEPDLNPGDMNNPCGQATSAQSRRVLKFMVLPAQKAAILLQKGNLHCYDACDT >PPA20657 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:955199:957113:1 gene:PPA20657 transcript:PPA20657 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVWVDNAERSISGVSENTTCTQLIYALAHATGQKGKFVMVEIVRGKERSLSPSDRPVEMLRKWNGPKGDVTYILRRVEGDSESFNEDEPKSNSVPLERSSHGYNRECSTSSINSICLETAHYTKIIHQRRVCLPTLMHLCKDEDLRRLLDNRPKEVVSMTRIYANTIFSDYNSTMERKMAGIVRTSDAARAVSYEESPNIAELHLTQKDLLNLIQKQE >PPA20769 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1571232:1572334:1 gene:PPA20769 transcript:PPA20769 gene_biotype:protein_coding transcript_biotype:protein_coding MFNISTHNSLIFLHKQEYEARRMLFCRKCKAHGVQILLKDHVAQCPFNDCSCDKCAHVMSQRAKSIIRRYRTLPATTDRLVLKPVRIKN >PPA20872 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1994971:1996281:-1 gene:PPA20872 transcript:PPA20872 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVILLMAALFYLPWLITTISKFLYQYPYVRKLPGPKGLPLIGNVLDLAGDSTAPLKFFSEEAAKARARGDGIFTMTVFGRVMTFPLNGDAVKAICESTEEITKGKDYDFLHPWMGNGIVFA >PPA20722 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1352681:1353344:1 gene:PPA20722 transcript:PPA20722 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGCREQTCASCGYPAAKKCVYQWSIKAIRRSTTGTGRMRHLKKIQHRFKNGFREGTVAVSQKKSTQSAASN >PPA20836 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1849985:1850360:-1 gene:PPA20836 transcript:PPA20836 gene_biotype:protein_coding transcript_biotype:protein_coding MWQTSNWSKSISVIGNRYCTVKQIVKTRFCCKTCGAASGASSTTAATTTTTAA >PPA20630 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:797201:799993:1 gene:PPA20630 transcript:PPA20630 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLNLIIASGTVLRSDTERWTPESYPDPRVNFTQCNVASESFVCDPDHILTDNWRETIDVNVKRQIQRLAESPVFYTDSAPSECYSNETQPVEIFVLLAKRIHTANNQTVNETDMTTFVDGLADSFGLANLTCANFVVLVGVEQANAYTGRHLKLPSDFVEGLSKYTNLFNEKTYMEGLNKVIDEIGDQMISFFNPTTTEAVLETTEEMSESSTETPTLSDAMPISQVRKIGDRIEIRIPLWVFILITTLIVLLIVLCVAAAFFLHQHGIPSFGQRLQVFGDCYHSTGPNREA >PPA20588 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:595294:597128:-1 gene:PPA20588 transcript:PPA20588 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMICLATVLLSALSVRAQKDIRCYSCTTMDAEQLLQDVQDPNWRRWLENVRYVPHTNDCNDPFLVSDMEVCTCDSHLCNSSPLQLSFITALVFLSRFLL >PPA20735 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1395249:1396240:-1 gene:PPA20735 transcript:PPA20735 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHQGAMIYVFLGPCSLLGEWPFKRHSSINRRSFCCSRSPIVCTCSDHVTSGDPRAPVALVRTHSTPNDCLCGSVLQSVAPPEALSRLNVTGYTASRYFFWSTVGVILNGTVIVVSPVVFAIIFIIRHKLIAQIKKVQSIERRQHVLIARALTYQMLLPCGVSISALAIHHDGTGPRV >PPA20891 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:2077130:2077859:1 gene:PPA20891 transcript:PPA20891 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRQTKNGDCCSYERTCWDGLQPVGVVSTCEEKVRVRATSVNRQGSGTCACSDHHGWQYEDGRSHASPSSIAVVENEGTQRQWIEMIDRDVAIEQCKNKKEEDAMNNLHFENEAMIKL >PPA20653 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:927386:929791:-1 gene:PPA20653 transcript:PPA20653 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFTELDIPKCNESERYPRVVHNEETYYIPCAATEILLLRWVFPILMLLCTGGNECHTSTALRQFTSFERKYSRSYIMAISNISGTMATWLTLLVTIETVKMTWTMLAITFFFSCCLNLSLIPVQIIRKAFMSLIFYSGEESTVPCWYTPEFFYADNDPEMRIVQTTIYWTTMFAVVMLPTVAMLVCSIIIVRQFSLKAMGETFSQRRKCVIRMTVSTTLSHLLLEGPAVITYSVAALTSGQGVDYLWCVVNHAINLASAVNATIPFFVFLLCSEQFRHMAGVYIKARMEKDKVV >PPA20677 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1057735:1061381:-1 gene:PPA20677 transcript:PPA20677 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIFDMTRRVTMHDPSNKDLLAEFMEEDEDMEVTVHKRASTVAMSVDKLPDNILTSIFSYLPARQVLVVSSVCRRWRNVAWNPNLWRFVSFRPSYGGLQIANVDHFTHLIGTRFVDMRNVELATDLITPNVLYEMSNKCPKLQHLTLDFSTAMQLHDFTDLQAFPSRLRSLTICLSENIFLEGFLRKVYTFIASVEILHIIGTYEKVEDEEEEVYETVNIFKLKQFLPNLRVVNLWGVPFITDDHVDAISSNCAHLECLSVNYCAKVTGSCLKLVLQRCKKLRCLFLAHTKLDNNIVKTVEWEKTRIEELDIKSTELNSDSIIYILSRLTHLRWLDASWLEHFNDAVLESWMNSGSFGKLEYLNLDTCDSLNESSLVEMVNRHGSQLQGLNLGGHHKLLEYFWMNMIPQLKNIRVLVMGIAEDCCPKVVAKIHVDQFIDCIAQNCPKLSRLEIRWDDETLRFSDKSSKFIDVLRMKCLKLHSIVLSDGQYYELVRSNFERADRMSVVRTTEMCRTGHLHCSKYYNQLLFN >PPA20576 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:544599:552459:-1 gene:PPA20576 transcript:PPA20576 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-slt-1 MFTEELAKKDLQENKISVIRKADFANLPSLKILQISDNEIHLIEAGSFDNLHSLERIRLNNNQLRELPLSLFHNNRLLYRIFETSILNDWPNLEVLTLNNNNLTTIEEFDYVSSLRLLKLSENPWLCDCRLKWVKNLDETISKNVACYRPALLNGKTLDAVDESSIKCSGMEKRAASSCVNQGSCPASCTCVDSVVDCRDRDLTHIPAFLPASTTELRLEQNRISYIPENALSHMKNLRRLDMSKNAISEIAPGAFRGLDNLNTLVLYGNELVDIPLGSFDGIENLQLLLLNANKLKCIRHDAFRNLTKLALLSLYDNNIQSLSNETFHHLSSLQTLHLAKNPLICDCNLRWLAELLTTRMIETSGARCEAPKRVSKRRLSTLPSTKFNCRGSEMFMTRRADECIIDHECPSTCSCIGTSVDCSHRGLTEVPKNIPSFATDLRLNNNKISDLALLSNQSLHNVKMLDLSHNELAVIPFTVTAALPNVSTIFLKGNPLECFPDSVIESLSNITLDLPTEVECSCSIKGLVKYAQIHKLPFPTCSSPPELAGARLIDITDEVMKCTGDDDCDQLNACPKQCLCDNNIVRCSNKGLSEFPHGIPAETTELFLDSNEISTIPIDKIKALPKLVKLDLSHNQITTVEDNTFVGLEKLSTLILSYNKVMCIAPFAFSSLRSLRILSLHGNDISTLPETAFTDLHNITHIALGSNSLHCDCELSWFAKWIKTRFIEAGIARCESPTLMRNQLLLSARDADLTCSGSKPLSVQSKCNACAESPCKNGASCSSLPGRQFTCECALGFHGKQCEKEIDACYGHPCMNNASCKPFHVLTLNAENVSNVHVIEEGRFTCNCRKGFTGHLCEKNNDDCVNNKCQNGAKCIDMINSYRCDCGERFSGRYCEEKVAFCSRSLQPCKNGGSCLAENKGESYQCKCLAGFSGQNCTTNIDDCKKNVCQNGGVCIDGINSFSCECPPNFTGSSCEIPLMGYSIHQSAATCDKATCLNGMCIPSASGSSCKCNSGWTGDHCSVLRSIGFTGESSYASLDDWNPVHSQLNFTLTTQDKSGVLAYIGDDSSHLSVELFDGRIKISFHIGNPPASHLYSYSIVSDGVPHNISIYVMGEKLTLSVDNASTQHIENSGKSKQFHSTAKLPLFLGGVPSEIAERAMNNHQLRSNKTISGCLSDAFVDGSLIDFANIPMDSKIQASISDTLSTTCYG >PPA20663 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:988285:990707:-1 gene:PPA20663 transcript:PPA20663 gene_biotype:protein_coding transcript_biotype:protein_coding MNTEQAAPKRGRPRKRKSSSDEDATQNGKKKTSGSDDEYEPERHHQQRAPVVSSLRSTRSSGIIVDDHPLVGEFRKKRQDAGRRRGGMDQPVAESLDPVPAYQDDVEGEILEEEVLVEEEDIDVEKLEEEFVEEVEEEDTDEEIENLHEPDLDDVANSSLSETVVHRPRRQHQSEDDEDVYVDVVGDFEDEEDIEYEEVASLMPNESNSPLFNEENYTYRGMGSSSLSLSLSQLNSIPNEPTDVGEQIGERSSFALDDFDYNEELPQDDMLGSQINRYANDGTGPSTSNYFDDNIISHNDGMSYDPSSSALINPDNSTLKLNFAKKNQTALTSATLPIRYQRGPRRKKAPDQNSVEFFLRQINGSRPTETTTSIGAIYEQAKQIVNPEENEQQFQTTLISAVRDRLGVQPRYDPPEIKTPKKASYKSRKGVSAALVDEAVTDAV >PPA20757 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1504101:1505137:1 gene:PPA20757 transcript:PPA20757 gene_biotype:protein_coding transcript_biotype:protein_coding MHLIRILQIMALLGLLSAAFAAQLQRKTKATARFSQQVSRSQSAPPSSGNSTAILKNSKGVAKRCCCCCCPCCCCCPCCCCGCGCGCCGCGCCGCGCGCCGCGCCKKRRRRDHSGNAKGVKKNANKRLARIKRDLGLEEVDETEREKEDEDCETICKDKKDGECEKISEECEKSCDDETSAMDKEEMKEDECECTCKDKEDTTKENEECKEISKDEDVEKMEGDMEATTTSSPEYLNRFKEESA >PPA20875 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:2009980:2012277:-1 gene:PPA20875 transcript:PPA20875 gene_biotype:protein_coding transcript_biotype:protein_coding MRMPIVISTLKNPETQRSLQHTMTPTTSRLTNFQISTYTPRPSPGLTIDDFVNGPSKLAFRWESSACFDASYSIGGTAAIVIRNRMPIDLTNFDYTKKKRWQFQDAVYSIEFIKALPVYHLMDYCSKADLDMNEYALLKTIIVCNPFRFFDYVTATKGIKIEFEQYRESSVLEGLHPCDVTLLQHEKERYTKMLFSYVLARRGPNKSQCILLMALGLFKNQSPFSDTIHHSR >PPA20596 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:620564:622848:-1 gene:PPA20596 transcript:PPA20596 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTFLRKLNVEWREIDRGGDSIVIFAKIVVEQVNVSHDQSFVTLKLADLQWWHFFRFNSAFATHHVGNINLQYEQNVVPKFTYSVQFEFKVIASASLQSSIGGVLEPVPSNDVVFIFPHARAKAIGSMRSLLAIHSDVLHNMFLEHPNRPDFYVFHLKFEAVKIAFIIISGQPFMIKAAMINPLMRVFRSWRIEAVLTAVENAVDNFPVSLCAKLQMVGKWKIDLLQDTLLNHLRICPLCCLLVHNDNKFQELSESSKESVIASLTDHLDNWKTYGKRMHRFPGICPRCETDE >PPA20637 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:843320:845523:-1 gene:PPA20637 transcript:PPA20637 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVECIGWLLLSSPFILFVYNRIKLYLETSFYDKQSLTSLKDDVGSLAHSMQTIKLDLSDDDSVDEFVAYIKKFLKDNNLNLHGLVNNAGIFAAGASEWISKETMRRVFAVNTIAPMDLSNRMAPLLHRPFNQPGGRMVSISSVSALVHGPMLSLYGASKAAMDNFQSAMRVETQRNFSVHLILPGGFKTPLLRPDLLRRNLQSHWDSSPENVRRDYGETFFRTFANNWTTGVVKFADNDPSKVVETIAHALFAVYPRDRYYTGNDARTIFSILSFAPTWFQDKFLRFANARFFKSENGRVQMERPGGE >PPA20600 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:633525:633920:1 gene:PPA20600 transcript:PPA20600 gene_biotype:protein_coding transcript_biotype:protein_coding MQVISDSQNEIESFDIIQYLTKTGDAQNILITSGFLDGVPSTCNSFSSVKLP >PPA20554 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:421735:432409:1 gene:PPA20554 transcript:PPA20554 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKGVGIAFKLTALVLLLSILGVSIGILIVSLNVRDDVEATPAAPTYPTTVEPASTKAPPPPPPPTTTASAPLPPCTNAPPEDPKTDTPDVKTTEAPDTKTTTEEPMDPVDPVDPEEETKNIPEGEIIKEKDPRFNKFSEISNLYEKWMDVKVDPCNDFYHYVCGKGQKNGEQSPFDISQIKDNNVEAAMWQKDQKYWDEAPLPVKQIKWFRDKCHQDDSYTMKDRQDKIKEMLQQLLDMVETPVPFFKPHDAFVMDATALSKLAGYAKGQFGAFALLTTYVSQGYTDPDTSSIFVDQPLPIFIDSVYADDTYPDKKAELVGDISSTISTVARMLGVTLDADSLQKMSEGVVEFDKVISQTMQQDPIVRRQVARNNNPYTLADLNVQADQFEWVTYMQSALVLLGGKEQVVDGTWTAIIMEKDFTLDLLNNLIKTTEPATVANYVFFKAFSQILNAVPLPRRAKPESSELDKYRAEFHLEKKTLTGMLRKPIPDLGIKSAVCGQIAEALLPWPASRLYVDQDIPDVAARKDLKDNVAEIAKWIFFGFRSQLDQLNWMDKESKVGAFEKLDDLQLNVAYPDWVTDDAQLTAYYKDLDITEDDSFMNIVLKLTTYFRIQQAPNHFSVPHCSLWLYLLPETEETSRPSLESLTHGKYQPQLNSITFPEGILQEPFYSPDYPLATIFGGLGAISGHELTHGFDDEGVQWDGFGALKAWMSDDSQKSFNKMAQCVIDEYSSFCPFKPNECVNGANTQGENIADNGGIQAAYKALKAYESLNGADPRLPGFGSTFTSDQLFFLTFAQTWCDKEQDENHFVKQTISDVHSPALYRVLGTIQNFPAFKNAFNCPADTPYTPTKHCDRERSVADKKVRYKEDNGGIYPLLYVTSSLGMSNKGVGIAFKLTALVLLLGILGVSIGILIVSLNVRDDVEATSAVPTYPTTVPPATTASAPLQPCAPPDDTKTTTEEPVDPVDPVDPEEETKNIPEGKIIKKGEPRFDKFSEISNLFEQWMDVKVDPCNDFYHYVCGKGQKNNAQSPFLISTLKDNQIENAMWQKDQAYWDAAPLPVKQINWFRQKCHSDDSYTVKDQQDKTKEMLQQYLGMVATPVPFFTPNVDFVMDATALSKLAGYAKGQFGAYALLTTYVSQGYTDPDTSSIFVDEPLPIFVASVYADNKYPLLKGSLVGDISSTITTAAQMLGMPNLDAKSLKKMSTDVVEFDKIISTTMKQDPIVRRQVDRNNNPHTLDDLNVKADQFDWVTYVKAALVLLGGKEEVVDGSWKVIIMEEDFTLKSLNELIKTTEPATVANYVFYKAFSKILSAVPDPPATPPKSSELDQYRAEFHLEKKTLTRMLRKPSDPDQKANGDQKCRAIAELVLPWPASRLYVDQGIPNPVDRKALKDNVAEIANWIFFGFRSQLDQLNWMDKDSKKGAFEKLDDLQLNIAYPDWVTDNDQLTAYYKDLDITVDDNFMNIVLKLNTYSRTQEVLPLVVPTPRDRGDFSSFIGITNAWYQPQLNSITFPEGILQEPFYSPDYPLATIFGGLGAISGHELTHGFDDEGVQWDGIGALKAWMSDDSQKSFNKMAQCVIDEYSSFCPFKPNECVNGANTQGENIADNGGIQAAYKALKAYESLNGADPRLPGFGSTFNSDQLFFLTFAQTWCDAELNEFEFVIDTLADVHSPAYYRVLGTIQNFPAFKNAFNCPADTPYTPTKHCDVWTSKPF >PPA20780 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1617887:1620575:-1 gene:PPA20780 transcript:PPA20780 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQLGIDEGTRKQFHKIVACRKCRYERFKEIYERASSAGENCDEEMAGGSGDENTENKTPQPEKSPSQSEESPLVKSLGSTPQRCDDPEEFIEHTSYFDCEPSGSDTPLLDKMKRAYSTLCLVRKSGEISGLHHLMMHAQLRDGKMHALAERNFQLIQALDGSYRGHHNFPNEDTVMTTYATYLNDEVLKTFFDDCPHEVDKEQAIKLSSANMHQTVMNAKKEMVKVNPTIDEFVALFGLALWNDYMGDFESDMTALIKKNREAILKELRTIYLRKGISEFAPRMGKLLGFVI >PPA20513 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:162088:162485:-1 gene:PPA20513 transcript:PPA20513 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEERIRCTYLGHLVRLESLGRYGQLLLLLQPDHRLDERRCSCGCCGGRHRGHVDDIDGR >PPA20787 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1648447:1648871:-1 gene:PPA20787 transcript:PPA20787 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPFSAWYDTPLNGDDLLAGVSLLVLLAVFLPLYALVVRVFIRAEREIIGFRYLLSAAVADILCMVQYAGLNGIAILTKRRLVSVEARPRMQVIPIIQV >PPA20634 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:825141:833371:1 gene:PPA20634 transcript:PPA20634 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEEHERERSRWINKMEEVENKKIVELEKNQRPMIDQNRKLNERNKNLTSEIKRLESKIAHHMDDFLTLKDSHERVVKENTSLKRERTFPEKLEELDRYRNQVLEYSKCITALRQAGLEKDRRYESLVMKLKRLRRAAKGEDDRQSVIGSDCSAESHISLDTITEDLEETVSKELQSNYEALYTENEELARALHAMEADDFSLREQLAAARATIAQLEHTIECSNERALQMDNASQYKATIAQQVSKMQQMEDEIEKLQREMLVNEEQRDLLEFQVMELRLISEHSHQGSSGNVEVEEIDYGLIKLTYQEICDMKADMRTMKRAGNFTADQRRALAKAYAYLESLEAQQMIVRDNDDITKQWIEHEATCPGRVRMDLNGNSAQLLEEVCDLSKELGLVRYQLQTTEEELRAERSRTDALSNRLTVERDGVISELEAALKKQMDDLIAMREALNVNKKRLEASEAERIKLQGDFNVLNAEYERFKAEQRPSIRTELERRFEETRYRLTEALSKIEKYEHVIDAARRVDENRSTYADELQKELEECKEYNEHIERQFKTQTEIIDALKQRLVSIKGASDFLVSLTSSPAVEVSSRINDYIKESRDAEAKKTVSLVGDIVEHCTRDVELVAICDPLKLRECRSVDSGGDSLSNGSESSSRHSDDEDWASNRSDYFMMNPWLCTKSYLIF >PPA20552 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:407287:415894:-1 gene:PPA20552 transcript:PPA20552 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-clc-4 MIPSIRALAVDIGKIQETVVPPALKIKPPLDFGDDSDGMENMASALTSVKKAPIVRIVKKEYNPNSALFRRPDDPEEEGDVVRSKDDITYRHGPTAEFRPFTPEEERMDYDELSDPSPPPSPIRLARRTNIKKRKRSKQTTSSEEEEEEEDDYYDDAAMEEPEPEPEPVTCSMCDMIFYAQHQLDSHLVSYHRMKATGPHMGSGDTQSSSAIARQFHHTVTSKPIINGVAKAIPGQPARYTATTVTSPGGTVRTYSNPSNAARAAAKAAAAAANGTYRPTVPPKPYTCRHCGVLMHSQQMYASHVRYAHPKNKPNGETEGTPPKRKSSGTSTAIVHLQMEDGTKIFQCRRCEKTFDSAQKVAGHSRHCLLVFEGKARPSNQGPIPTEDRPYTVYRCGLSSVLARTCPYCGELLPSIRKVDKHVRMEHDAGRHEVYGCTTCDRRFVTLGGIENHWLHYGDCPNGVLTVHSGDTVTCADIGPMPSSLNKPKPIKYSPSKDDVDPSEEIVNSILGQYGFRVQKPFAPHPTANGIPDNGNNDESPEPDDEDDVMPKLAQQRTTARTAMRQHSGADDDASDVDEVDGPGPSTHRSNGCSASKTKQSGVIRRILKDFPCELFTIRSRRRIIEIRRPSTFEMSDNSNPCEQPVSFLPRLSSQPILLAHLRSLPPSTFTLQPLHEQEERFVYQGEFEVELDDEVDDEAKSSERRFKEEAIQRLEEEQDSRPCPPMSRACAKCSTVPAEHRKVFACGHAICQSCAEGCESCPVCEKEGIPVKLFEELDNDGPQTDQRSHVFTRKCWNCYKEPLRRAVYTKCGHIVCRVCAERWELATLAPSCPHYGNNCNRISSVSVM >PPA20609 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:662303:663623:-1 gene:PPA20609 transcript:PPA20609 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGGRRYDFVLEMTPAQLTSLASSSGADRSGGPNSSQFQHQFSNHGSSHNHNLLRPSQIAAEKPKPTYRSSSEAPLRKLTVDLIKTYKNINETEQKKTLHYLDDRPSHALN >PPA20820 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1761560:1764560:1 gene:PPA20820 transcript:PPA20820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulase [Source:UniProtKB/TrEMBL;Acc:F1CZN9] MKLRSLLAITFLIHSSMCQQCVNKLQWTTAGAQIQVFMKMALQENKAKSSQNNNFNAIRVPFSLEMVKNNPSNLNINCGSNPGLCGKTALQLLDAFIDRAAERGLLIMLDNHRITPGGGISELWYNNEYPESQVISLWQWNVFAIDLKNEPHDSASWGNSNAATDWNKAAERIINSLSSFPGLFFVEGIEWGNRLENVAQFPINTGNASLNNRVIYSPHCYGPSVYDRPEFNTQDFPNNLDGIYMAKYGFIVNQTGHPVVVGEWGGRAEVGSRDMAWNQWYVEWLRSKCITNNLLIILEYTIHIYQFYWCLNPNSADTGGLLEDDWLTPTPRKINLTNRAQPNPTKFQPQNGQICITAGAFPEAHCRVGGGQVTTGAPGSTTTTVHAGATSTTTKAPTTTVHAGPTTSPPGPSTTTTVVQGENGSPVKQYTLRISNGSPSTVCSVQFKPNASIKDKWNLEEVSSGLYRTPSWMTIAPGAVSDQAGYIAIGDSVPTVSSVQNC >PPA20545 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:386463:386751:-1 gene:PPA20545 transcript:PPA20545 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSEAQVVLPAYSSVVSPYYGAYYGWPRLGYSAWGYPGYAAWWGANKSKDGAPVAPVDGPAGPSGLTGNQ >PPA20759 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1507025:1508154:-1 gene:PPA20759 transcript:PPA20759 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVGVTTSMGITMLFDDWTNTYYPTTTWYIQFDFVVTLTTIGIIIVSYAGVFWRLRTSTNKGRDQRKIALQVGLMCVVYIINSILWNVIPYIAASKWVNVAFVSTTSIQCGIHPTIAFAFNRRIRKELSAKMRSTIRRPPRTTPYTTTPSLVTTAISSRDHYLFHSDR >PPA20868 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1984918:1985962:1 gene:PPA20868 transcript:PPA20868 gene_biotype:protein_coding transcript_biotype:protein_coding MENRKTVKAKFREAELSLRIKIPTQARLIDGFVYDAGQKAIKRIAHFLKQTGKAITWCKVKATPFKLFPIAHLSV >PPA20858 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1945193:1945734:-1 gene:PPA20858 transcript:PPA20858 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNEELRLLSHAGLQDSDKHVLCAFGLVNDFIVITINGGVQNLSNSGSTSRSQSSASIAVQFDPTNTRNPDQFAFQFDVTSKAPVETSVFAITYYYVKIYRDTIHATE >PPA20592 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:608840:613776:1 gene:PPA20592 transcript:PPA20592 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHPDLAIEKEERERREAENEEREMEERRRVADARDAREDDQDDDTDSVSSALTAQEQKDLVRALRESLGQVSTDDMEPRYERMVTHLRRAIDENGVISREEIMLYRLEERNIEDRARQNPDRNSPYSVSSVVISRMSLGEIGARADAIRALASKVEVTVVPLAGRHVMMGDNVYTHDEINHVLGANSPVVPAGADSDDFHQLMRKRALTAASLIGRAYGRKRGSEGFRYQPPRLPDQGVYHLLGDPSVILSAIEGDMEPLREAINRIPMTMPDVMRLDVRTEQTLGSHLRHFRDYLDSRARMVGYELREDTYQDVVPPEPAAKISLNV >PPA20621 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:734003:737725:1 gene:PPA20621 transcript:PPA20621 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKRVLALPDKPPFLVALHSCFQTMDRLYFVMEFVNGGDLMYQIQQVGKFKEPVAVFYAAEIAVGLFFLHSKGIIYRDLKLDNVMLERDGHIKITDFGMCKEGIIGDATTKTFCGTPDYIAPEIILYQPYGKTVDWWAFGVLLFEMLAGQPPFDGEDEDELFTAITEHNVSYPKSLSKEAVAVCKGFLQKQPSKRLGCSASGNRDIIDHPFFRRIDWQKIERREIQPPFKPKLKTPTSTENFDVGFLKLPLRLTPPDWMVLENLKGDEFGEFTFVNPFFNPTVDDADPFVERTTF >PPA20688 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1143411:1144107:-1 gene:PPA20688 transcript:PPA20688 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHASTLQQWRVGGGVSKHQLLLEFRGTKWQLIAPSYNALKSISMTLWEIMQNSASAVVQKTLNAPMQRRSLGETASKTRDLPERLETIARAVFILFFR >PPA20681 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1079912:1087155:-1 gene:PPA20681 transcript:PPA20681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acs-17 MEKVEKEIDEGSGGKPVYITIVLSILKVIFFVYDVIVFLPFQIFANPSEKLARSDRTKARPIVDGDPTSPFRHVDTIDGDLDVEYYKGVDTLAKLWMENVRRYSTNDCLGTREVLQVHKEKQPSGKIFEKWELGVYHFRSYNQVNERVNMAGSALASLGQKEKEHIVIFADTREEWMTTALACFKWNFPIATVYATLGEEAVEFAMNEVGADTIITTAPLLPKVYKAISRGVNIKNIVYFESQDPIEKLNKVQKHSTATVLSFEELLNLGTSDEPTKMTAKANDVAMTMYTSGTTGNPKGVMIAHSNIVAATCGQGKVLAVGTTDTYIGYLPLAHILEVCGEIVCQTKGTRIGYSSAQTLFDRAPKIMKGTKGDCYALKPTLMACVPAVMDRIYKAVIQEVNSGSLLFREMFLACYERKRSRYEDGYQSMVLNKLVFNKIGKMLGGKIRIILSGGAPLSAETQRFMNICFCCPVVQGYGLTETCGGGTIADGDDLSTGSVGPPLRCAEILLREWPEAGYSPHNEVPQGEILIGGGQVALGYYKNEEKTKEDFVTVNGKRYFATGDIGEFRPDGSLKIIDRKKDLLKLAHGEYISLGKVETTLLTCPLVENICVYGDSQSDFLVALVVPNQKNVMEIAEKLGAATSKWESVCSNKDVNKAVLAELVSYIGSKLQRVEVPAKIHLCHDVWTPQSGLLTEALKLKRKAIETEFKKQLNAIDNKTRPEDQYINCRRTDVGEIVKQNCPTHHPCDYEGSVKVPAYKDSAHTKAYESRERDANFKPIFSKRMKNSVRKFWLKNAYMSGLRHAEHMATTWHEAKTGTENESTQSNVLNWN >PPA20604 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:640512:641075:1 gene:PPA20604 transcript:PPA20604 gene_biotype:protein_coding transcript_biotype:protein_coding MFREVAEPHKSFFTSRVMPVNQTNFACPEGVLRLGYVRNYYPAFYFDGLYRFRGYYIDYWRTAAPHLGSELSLPPFDGSLASIYQKEVYTDVSTDDLDSLQWPHFKGLQPIEFDYLDFYQAIPHNYA >PPA20889 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:2065567:2066178:-1 gene:PPA20889 transcript:PPA20889 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNDVAGQRFLRSVYGTFLRIRLGYVDLGPHCESYAHWHIEQRKEKIVLRAFCYPTKYLRANPDGFVDLADEAFEWEEWMPVRNDENGTWSFLSYHGTWLRAQMDGDVVLTDSLETICKGETQFALGSI >PPA20583 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:570559:574716:-1 gene:PPA20583 transcript:PPA20583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nas-38 MQPPFFLLSFLIVAASERVKKASRSSLNKVRELINDETARRHSSIQSDSIDFFADIRRDPREGRHHDELTVNNVEDYFQGDVDLSENQVEVILRNLESTQPQLESDIIKRTKRKVGKTPLYKRWKRRQPISFEFASEIPPTTRNKIRNAMRLWQANTCIRFEENGPSVDRLEFFDGGGCSSFVGRIGGTQGISISTPGCDVVGIISHEIGHALGIFHEQARPDQERHVSVNYNNIPISRWNNFQPVDDNHADLLGLPYDTGSVMHYGPYGFSSDPYVPTIRTLDRNLQKTIGQRAGPSFLDFQARGGYVHPNNCTTCLCPDGLAGTECANIRLSNGPCGGVLRATQVHGFIASPNYPNDYLVNTECYWMIQAPPGGRVFLEIDAEIEFALCEDTCDKSYVEVKYHSDLRLTGARFCCPGAPRKPFVSFGNEMLVIMRGYGGSAKGFQARYWTDSVIDGNAPNVMCSYDDYIVIDNDNPSPASSTPINKCCDDCSAASAPFHTSDSGASDGCNDDDNETTTRDGHDQSEHTPYTTRVSYSL >PPA20662 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:982221:987021:-1 gene:PPA20662 transcript:PPA20662 gene_biotype:protein_coding transcript_biotype:protein_coding MATDPSEYELVRTFASLPRTTRGLPAAITASPKGDKLFYCNGHSVYIVDVNNLNDVDIYSEHPTLTTVAKMSPSGYYCASGDQQGNIRIWDTTQKTHILKGTYPIIAGPIRDISWSDDSKRIAAVGEGKDRFGHVFLFDTGTSNGNLSGQSRPMSSIDFRPTRPYRLVSGSEDNTVALFEGPPFKFKTTFHEHTRFVHVVKYNPDGSMFASAGADGKVILFEGSDGVKQGELVDEACKGAAHTGGVFGLSWSPCGNKIATSSGDKTVKIWNVGARSLERTITFGTAVEDQQLAIIWSSTALVSVALSGYLNFIDPELGQVSKSVHGHNKPITSMAVSKDKSFFCTADFEGNITRWNLATGESKRLSPLLHKAQITGMSITSSGSLLSVGWDDTLQFSDNISANIDSIRPASTKLSSQPLGLAASADGSVAFAGCLKAIAIFNGGKHTADHTVNFPVTCIAYSEQKKLVAVGGQDSKVHVYQFNNAALLEKKVLTHSAPIVSLAFSDGGEFLAATDGARKVVPYDVEKDFAVASDKEWTFHSGRVTCVAWAPGARYLATGSIDTNVIVWDLQKSGEHPIIFKGHFSCINQIAWLDASNLITAGQDSVIKHWKIKTA >PPA20564 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:469090:469959:1 gene:PPA20564 transcript:PPA20564 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHSLKKEYNFFREIHDVPALTMDRKLTRSAQLWAEYLSTAPGKICLHHSLYGGENIYFYYSSKNITPEELAQKAVTAFYEEIKFYDFRNPGMVWPAAHFTQMTWKSAERMGVGVYTATVPP >PPA20597 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:623814:628215:-1 gene:PPA20597 transcript:PPA20597 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-iffb-1 MPPKKNKGGKGKTDDWDDDAAEKKLAQLTVKDESGKEAASESEEEAPKPKAKSKKAKNRMAAFQMLSDSEPEPDSDAKQPSDDEEEAPMKEEKVKKEEPKKEKKDKKGKKDKKKEKKDDDDDLDELLANLDKKEEKVGKKGKKKDKAAAAAAEEDEGAAAPAAAAADEATPPPEAAAAEKPEAAEGDDDGKKKKKKKKKINDKCIPQGGKGDDEGEAEEKKEEDKEDDEAAEKLTLRCTEVCTLDCELQQAHQYAENCTTAYARMDFNKYDYYCTLLDTSM >PPA20639 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:858893:863015:1 gene:PPA20639 transcript:PPA20639 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTRFYGDEITTTSSVETPPSLDEQKPSVESVLENMKETAQAQKNVTDTIETAVPLPVEVFLDAKDSPETNPLYRKTSRDEQRKSKLAEMLGVSESEPTVRKTSGFMDEHDSEALEELSRRGMQIEIPLLDTSQLDTASTTPRSTARGIRSSQEPLRGGRAAVRSQSRVYLTYLRERKGVHGLSKDRDRLVKAMETSGRKERERSETLQRRGPVKVTASKAPRKMTSAEERLSNFRRQQQEVETTESSSSGYRQRTAMGTSKSPARSSTDRLYRQSGREVIPAFHTATGLVSARSPEPANPSFTRPSRGVIRRGRVDGISKQSRSVDPPRQTAVKKAAIRPRGKSSPPGTASEQKRPIPTRVEAGLESAFFSRLAQPKIKEQREPKLEIKGKQQLRFRRPVRQLSSISEKSAEVPSEFALSGSGDIAMSAPSTTRRIEAAIGRASKRLLLSPPSKRRQSPADKITSPMGTTIIEKKLILETIFSNEGTDVDMPLTSRHESVADLKGIVLVEKTTEYSSDSMDPVPKKIAPVKKPSKIHTGRSDSFLPPISSDRNSFRSPAKSLRASNTSLPTLGHRHRREGHNSNTHERSSTHTLSDM >PPA20492 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:19513:20503:-1 gene:PPA20492 transcript:PPA20492 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVEYSCNECAMEFAFFRDLAKHKRAAQCREEEFNFDDEEPWQQPQQQHQYDVVEEEVKRRQGRPHKKAGSHQCPHCEKTVRFRSMLKQHMVVHTGERPFVCEECDYTCAHKQQLNTHRLRVHGLNPAQGQGRRGRPVKERPTLPCPQCPRTFQFQSKLDSHLRYHNGEHINLLRFPMLPLH >PPA20683 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1095802:1099091:1 gene:PPA20683 transcript:PPA20683 gene_biotype:protein_coding transcript_biotype:protein_coding MLILSRRRGKSNEDESANSLHDLDVAIQNNRVDLVRQVLANREKNSEASKASALHKAVRNSNIEIAHFLISRGADPNRTATDDGKLETPLGTAVRMHNDEIVRLLLKCGASPNLADQWSRTPLYQAVFYSSFNQARMLIENGANVHAADRQGHTPLHIACRTHGNHDNHRLVSLLLNHGANPNRSTVGGLLPIDLAVQKSDHNTVDMLLEAGSIEGMDARTLMNDIDNETDPIRKMLLQKDACYLAIMKHRTRKVQSLSTIAMRRLRNLLILNERRSGRSIILTARALPIPEDLLKSCEYFIGPNSEC >PPA20581 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:566394:566707:1 gene:PPA20581 transcript:PPA20581 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVQSCYSFTYQRQLGINERTADERGDGGILYQPYVAGRTRTPNTFGSPFCSTRKPEWITTQSSQRL >PPA20844 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1899494:1900318:-1 gene:PPA20844 transcript:PPA20844 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHVLAHSDEAEDVDRILLYIVFVGELIWCSADLARFIDGQDQHEMAGKQHWFIFAVTLLRLVHVFSQTWFILIASKFHSTVSLTKIWTKSFALPNMNNVHYNE >PPA20511 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:147097:147821:1 gene:PPA20511 transcript:PPA20511 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGDSCTGKTCVLVRFKDGTFLNNNFISTVGIDYRNKIIPVDGKQVKLQVTFYYDVCVYT >PPA20579 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:560441:563345:1 gene:PPA20579 transcript:PPA20579 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQAVPTRMGSILMVFVLNRRGETGFSAVLSVNGVRRMEDSCPQEDEEEKHEGTGKKLTLDLGGVATIYQGTNIKVKCPRKKEDVRKMYWLKDGEKLSNNGHIKVSSNGNLRIGNSRMEDAGLYECFTPSGLRGNVTIRFKLREKQEKLKGGKAMKKGRAKFKTGEWGECHQSNCQLRGIQASIFSERKLECFVSEGKSHRNVSVSICDTLNVTRPLISRPCSRIDCAKWNATHWSPCNSSPCVRKWTSEQTRKVECLASNGRKLDPSQCEYSSKPAVTTLCANPSCIPEWRTNDWNACSSTCGTGGVQLRLLYCVWSGTGEPAGKSCDGLQQPRTTRACSRTIPLPACAPRMKEEETPNCEDLSRFCDIIKLFHSCESEHIIIK >PPA20541 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:377946:378619:1 gene:PPA20541 transcript:PPA20541 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSLAARVLVNLTNTAIKNNQISQQTVNYTITNNKASLETPNNSRVRKCFTLSRCFSRSRRREFPRRE >PPA20544 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:384239:385730:1 gene:PPA20544 transcript:PPA20544 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVDIVKTQSQHDDFLEMSGCLDQISCNCNIDWDGKRNGVASIVAATLFFSAWWLMLDTAAVYDKKDWTNVYIIVTVASSIAMFMVNAISNSQVRGEALQESVLGTKGARLWLMGAFCLSFACLVAATWLLFSDYVLVPGDHPVWPGVALFIHNLMIFAASLVYKFGRTEDLWG >PPA20833 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1828708:1830289:-1 gene:PPA20833 transcript:PPA20833 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLVLLFLPAPITTTAFLRSSHSVDAAKVNGPLTSNFQDWLKTNGYASDNFARSDLGTQGSYGGKSDDKDKVAYIRNTPVVFIHGNSDSALAAGMYSGWTNSIQYFLDKGYTTAVQNYTKAAKVHIVAHSMGVTLGRKIILGGKIAASDGETQLEPAGNCDIGTPLRFVDVFVGLSGAIYGMCNCAGNIHQPTCNHDDGFWPGDSCGDNKNCGASTMRFPCDRAVYAKFLTDLNQDFTQLAKTVVSAWSKADDVLQYGDNTWGKPTSLIPRSTTQKTYNSYTHMQTKESPESVADQYNWVNRRA >PPA20691 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1150215:1151197:-1 gene:PPA20691 transcript:PPA20691 gene_biotype:protein_coding transcript_biotype:protein_coding MESSFADKKTAYPNEDEYICETCSTPRSTHTFSKSALSVEGFLKKLLDEMLKQNQHISMLSEPIKEESPGGGSPPPPLEISTHIPPHSGGNFCYTLFCSQYFTWLQFFNGSCPNQHVDCVQYADCECIIVWAARASASGANDKAIVSTSHITREHRDASEQTWPCARFCLAAAAIATQTQLGSGTETFRDSGIGP >PPA20680 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1076788:1081848:1 gene:PPA20680 transcript:PPA20680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-npr-11 MSLNNSSCETFRDAFTKINLYFRDDAVLNGTEYSSSEIAKVNAQTGNRTRGFRLPGRSSTTELPVHMWTIDGWTAGSVIVESLDAGSPFLDNPHSHSSRHPDPKKFAEQLIIRLAILHNKRELLVAASGIDISVNLVTQDHGGEAPAQHPELEAEMNDLFQWGYAITTAYVFIIFFGAFGNALTITVCTVTAPMTLYTVRYIFWPFGTVLCKVAGSLQAFNVFLSTFSIAAIALDRYVLVIFPTKRAEQRTLSLIFFIVIWVVSLILALPLLIASDLNILFHDQNCGITIQICQEQNEIWQGMVLSKQAYTLSVLITQYAFPLFSIVFAYSSIAHRMKMRLVNRGPSIMNSTNRQRRQSVVERQRRTHLLLLSVVVLFAVAWFPLNVFHVFNTFDWVDSFSVPVFASCHVVAMCSACLNPLIYAFFNQNFRTEFFILFEKIGLKFNWLTKKKKKSPRNHDNSLHLLPM >PPA20754 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1493800:1495176:-1 gene:PPA20754 transcript:PPA20754 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIAIFLNVVFFLVNPIMFYAVFGELIKVAQASNIFILVNCPGVHLSRDSLYVYYDVKEQEHECNYWSGAKNLLQLEAWGMFDRARANILF >PPA20611 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:668917:672032:-1 gene:PPA20611 transcript:PPA20611 gene_biotype:protein_coding transcript_biotype:protein_coding MYYTIRRSITLFSLLTSGEVVYAGAALMADRSQATYERVIDVLKGALPGTWAPSRIAADFELAPRNAWRSRYNNVAIDSCYFHFTQSMRAKAKELKFLPFLDAADVPNAFVEIKQAANPSMNTFLDYVSKNYINGTPNGTGPRFNIDEWTVTGRFGAARTNCTAEAFNSVFNRKVGPNDCKLGSHLIDILIEEESRVIKAMPAFYLNPQYRIGRPSCSHTNKRNDAIRRASLNVNALTVLAEDKVLVSPPRASEAESGKIIHKPLDCHSKRKKQGKPFVDHTTHEYYETKKNADGSSVLGATHGHNEPVTAAIHFVR >PPA20531 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:335553:336348:1 gene:PPA20531 transcript:PPA20531 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFLESSKCNQNVSRTDVGTLRRLKIYYSSMTGTASLLGAAASPSSSFLQSSGGASAFSAFASVASPASQQQLLHNFSNLSNPAATALHGPWLQQLNLRRYLSFSPHYL >PPA20701 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1225078:1226023:1 gene:PPA20701 transcript:PPA20701 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKDETYPKYNHLNNERSNDELQFLVIEAQIRHKNIKSFRFRVRTEQFRDLQEKVNAPIGMARNVILHQSLNERFVTAFINCIDKNPRVVYSRIGELEPCFGCSSELPNVKLEKRCRESVST >PPA20737 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1415758:1416206:1 gene:PPA20737 transcript:PPA20737 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPCMLPTNSSPWIYHLLVLLFGMSAWLSIDSIYVQLPILSLATEKIETPLIIALLIIDIIGLLLTTFTYTIVVDIFGAEVEHLKT >PPA20763 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1523585:1537716:-1 gene:PPA20763 transcript:PPA20763 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVDAEFVAKQLIEWLQSGAIVEAKAGEELAVFPLTVAKNDTIEELARGVGWIRHDLGQAGMTVNEEKSKFLPSQSGEESSLHSRYLQQTVARMEDEGIRSTAMSQPELDGRHQGQQEKMDCVQGKNGGETIFSDTDRVVVFLPPPPPKREGTMENEDLRYGNSGERGAMMDELEEELRRAGRLDLIETARFAMEKSVAPSTLKAYSTADRARRRLASACGLEEEADFSLCLYVLDAMRKRRSKSTVATALAAFGFVSGEDPRASRFGPLLGAALKTAARTVPTSNHDKATRESVEKLIEWGSREGASKADLRIAVLSLISFGALLRPSEAVDIKRDSVAIRMGEEESLIIGVTVPKAKNDQEGKGRTTFFSLNSGSTGRIAWNKYFQTVITAISSPFFFPSFTESTKGMTTDFVRKEMKRACAEAGVTPFTPHCLRGGGATTSIEEGTPIEQIGRTPYPAYSSQVIEMGVFFLPVVLIFSFMTSVIYIVRSVVMEKENRLKEYMRVMGLSQWVHWIAYFIVNYLKLLVAVVFLSVLLFFVMEKSNPTVAFVLFLLYAFNAVYFAFAASTFVQSGQMGTLLATIGWLALYFWSVFFTSFNAQAPYAFSTRLANCINPDIALTFGIQLMAQYETQGDGLHWSNVFESVTPDEQLTFGHLLIMLAVDGVILMLITWFVEAVNPGGEGVPQKPWFFLLKSYWFPSASKSQVAVADQLSAYRNAAAHQHAKTEDVDDTLSTAVSIAGLSKTYGSSIFKKLFDCKFGKNSEKVAVDNLCLNLYKGQITALLGHNGAGKSTTFSMLTGVTPPTQGTAYVDSLDIRSSLSQCFSLCSLEHRLFKIRRSLGLCPQYNILFITLTVWEHLDFFSNLKGRGFDPSEATDILARLKLDAKKIREPPPFLASGQKRKLSLAIALIGGSEIVMLDEPTSGMDPGARRDTWTLLQDEKESRTILLTTHFMEEADVLGDRIAIMAHGKLECCGSGMFLKKHYGAGYHLKIVYDNLNEIQLTKALITRVGKLADAARGRSNSEASMDENDDFANNDASKENGQSADLRNLRASRRLTGFSLFTAQFHAMFAKRAAYYLRRWMQFIPMLIIPVGYLAMNMYLTEVIPTAKEVDPLVVDLKPYSTPDKAAVILVEEPEQDVSLVGRVVHAMDSQPTITDSTNLTADVFGLIQTIGSREYGVHYPVAFTAHGLPKSNPLAVLFNNFGYATPVLAIALADSLLGVQVHGDVDPYVFTAVNHPLPPSTADMMKNKANSQATSFMIGYSTIVSLAMIVSGYCIFLIRERKKNSKHMQLLSGLPLWVYWLTSFLWDLIYFLIPLACYIGIFFAFGIDEFIGRATSIVDVVVMSLLFVWTAIPFVYSFSFVFTSAPKGYLSIMLYNMISAMIGTIAVPMIQQTTNEDVGYVWSIVFSFLFPLYNVSNMFQTLYNNEFFRKRVYADDVLTDFTKRGISIGAIFLVAQGFLYWLLIVAIENGWIGGGSCCKSAAKPSLEEYGSVEDSDVIEEKTTVRGLQPATTSVVVRDLQKRYGGFDAVRGVNFHANKGDCFGLLGECVNGAGKTSTFRMLTAEATVTEGDAFLAGHSVRKEWRRAGQHVGYCPQFDAVLKELSGEETLRMFARIRGVPRAEVERIVKGVIEAIGIQQYAKRQIKSYSGGNKRRLSLGVALVGMPDVLLLDRSGSDTLSQQLN >PPA20854 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1929817:1931648:1 gene:PPA20854 transcript:PPA20854 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAEAAVIITCASFSAVALTINISLLIIMKKYTPKSFANFGIVMKFQVLVDIHTNFTAAAVINRTILLSDSFVYIAHGPCTLLSSSVCFASYGIALLGGLMSIYIVLVSFIVRLQIMSNRNPSNRSIVALLIVLPLPVPAAITMLTLQCVIPPIVFFATFVPIELEYLDLLRHPMTEALINISHASGPCFDGSGADINNHGQA >PPA20819 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1756322:1757011:-1 gene:PPA20819 transcript:PPA20819 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAKQRAFFTRAKRQNREDGCRCYSQPVKGRDAHDIFNSRDSNPYDAPQGDDSSRPFFDFKRTFAPSTSFTSDVDDARRRRDFITSDFPPFARRTSRDNSSFTNASSQWQASAPRRRFNPFPGYAPPPPSPPSAGVPYRATTTPLLERDPASNFNPLARLQKGGPMRGTGTFARALQMKSPISWIRNWQTGSSRPRVQSMNIGRASALFNY >PPA20635 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:835431:839053:1 gene:PPA20635 transcript:PPA20635 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLLLFAFLLHYSHSQLHHVQGINHPAAGPDFGSIGIARGLGKDGLELESSHSDGLIDPDGRKIIAPLKKHQLRREEQLKEENEKIRKIIEDSKKEIDHPTSTLSTWLWTLFGCSLLISCGIVPAFILPANASEYFHSKEGKAKLNLMLSFAVGSLLGDVFLHLLPETFAAPDADLVQIGMYTIFGLVSCLVVEKVVANTEDSQHRVCAIMNLIGNLVDNFTHGLAIGAAFQMGPKSGLLVTFAILLHEIPHEVSDFAILLRADYNRRDAIKAQLLTATGGVMGACCALFINTDALTSSGVSWILPFTAGGFINIALVQILPELMEETNPRQNMLQTVLIFAGVFTMGALNTLLH >PPA20615 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:694662:708313:-1 gene:PPA20615 transcript:PPA20615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-apa-2 MAPGYIEEVVRKRSASGNSNGSDKNIEEIEKTRTNWIIQHKKVTAGIDLIAGAFRTISANKDHNADLVQYASDAYGVLVVVEAVLEWIRQGHISELTEPRERRWTTPEYFGEPWHIFFSFVDNVAYSMLPLSHPHSLIFGHRDTSPMHAVMHIRGLKSQYYADWQPSLRRTMAEDEADSMQSLETYKEKFMKPFLEWSEKHCFVAEEETMTGRVPIASICGVLNILDYYEHHRKLEEERMNSEEEDLTSPAWLPPLPTDPTVLHFSSFMDIRVKDETDIHVAKRLIQTLAVVLAYDGAVGLYTCCLPSERDKVSLLLSLNFIELSSTHTDQILLGIRLVIPDDDAFNEDEEENDEKEEEGERLLKPIPPRVTECLNIMHGRPAGSVTMPAVKGDGMRGLAVFISDIRNCKSKEAELKRINKELANIRSKFKGDKTLDGYQKKKYVCKLLFIFLLGNDIDFGHMEAVNLLSSNKYTEKQIGYLFISVLIEQNSELMKLIIQGIKNDLVSRNPVHVNLALQCIANIGSREMAEAFAPELPKLLISGDTIDFVKQSAALCLLKLFRTMPECINCAEYASRIVHLLNDSHMGVVMSASSLIEALSKKSPEDFKGCVSLSISRLSRIVTSTYTDLQDYTYYFVPAPWLCVKLLRLLQNYPPPEDPSNKSRLLECLEGILNRAQDAPKSKKVQHSNAKNSVLFEAISLIIHMDSEPNLLVRACNQLGTFLSHRETNLRYLALESMCLLATSEFSHEAVKKHQDTIINALKTERDVSVRQRAVDLLYAMCDRTNASEIVAEMLTYLETADYSIREEMVLKVAILAEKYATDYTWYVDVILKLIRIAGDYVSEEVWYRVIQIVVNREDVQGYAAKTCFEALQKPACHENMVKVGGYILGEFGNLIAGDQRSSPSIQFHMLHSKYHLCSISTRCLLLTAYVKFCNLFPEIKPMIQDVLNTDHNLRNPDAELQQRAVEYLAMSKIASADVLATVLEEMPPFAEKESSLLAKLKKSKPQQEEENAEKEKKARPSAVMANDSSSSTGVVSGSLVDVDTAQKEHTLSDVFQPSPAAASSDRSSVEMRPASTGGDPDVFNAVDYLKFVTKNNGILYEDDNIQIGCKLETRSNLARLGMFYGNKTGGAFTNFNPSILAGGSLGVQLQVQAKPLDAVVGAGAQVQQLINIVCVQEFTKQLIMHLQFSYHDKNSVQQSFDKCLYLPIFINKFFEPTEMASEQFFNRWKALSQTVQEAQKIFPAKNPMEKEAIRTKLAGLGSKLLDDVDPNPENYVCAGIVHTQSQQIGALVRLEPNTQAKMYRLTIRSSKDTVSKQLVELISTQF >PPA20679 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1070221:1073698:-1 gene:PPA20679 transcript:PPA20679 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKYAVELEEYRRLLSNELDRIAQKVEAKRADSTTGKSEKRTLPSPLSASIPNRESTYVLERMRSLSSKEQENSILEDEGLEPVRKETKEPRIEEKLITLLNSLDDYSLDNSTVEGSGETADESTTSEPILTKEHSTTTPISIVETTTQNSTESTYNSTELLTSDGEHTTSLPMSTSTTIPPEKAPRRSPAHGVIISVASPHSPSLSPILSNFSSMSKMRMMSATTVDTSLNTKRASEEAMEGLAKREERREQPASPRRVAFNPTGTYNPDLMAWDVFGILRFLDRTIAEQYEMRNHTRGVDAVERNIDALSRILGELDVSCDIRSDIVRQRMQNMSM >PPA20631 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:801658:804208:1 gene:PPA20631 transcript:PPA20631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tsp-17 MAIRREGGDVARMCLIGFNIFSWAAGIALIIIGIWMLVDPTRSYILDLVNFSEDDPLLVFASYTCIFTGIGTLFVAFVSCCGAMKRIRCILVTLMTAMVILFCCGIAIGVLGLLFQNKLECCGVASHRDWKTSNWAVDGGGGDEDGRTLYREQPSEYLAVPHTCCKQVIGSSALNPVPRSIARCQYKDATRLWRHQSQKCCGGDGPTDYYNSFWFITNTDRGTRSFVPTSCCHQTQSARAWSINPIDPLCTIYHYQAKVFNDVVYTEGCGPKLYRWFSDQTTIFAVVGFSFAALQVIGIIIALCMCSQVKYYHYVKSEVYD >PPA20880 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:2036339:2037641:-1 gene:PPA20880 transcript:PPA20880 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHEFVGTAFIIGSALGFVLNSLTVWSILTQRKLKQIHSAVYILFLQPIITDIVFELIYICYYARQFVVLVQLRFFTAKRAILLSIVQHLLALAITIGHKFVLPCCRPHKYEPNVKLVGSHTLEFSYELFGYRAVTIPGVRDYASAYLTLPVKIARTSPQIFLTVRSIALKLETQSFERRRRRQQELRFATQFAVIALIFIFAAFAFPVLRLGNGTSNVKWIQA >PPA20758 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1506018:1506534:1 gene:PPA20758 transcript:PPA20758 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRTIPQLTREINEEEVDAELLIEWERLTEREEILEFHYDRLDHAEMEVGTSNNILLELVEINLELEQIRHLIMESAAKILEQVRKHRDLENEKISEYVESK >PPA20877 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:2016249:2018993:-1 gene:PPA20877 transcript:PPA20877 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRQTTKGDALSTKTSPYDRFGLPRCGNVEFLEAAVEIEPGVVHCKDVFDYAITGIIVAGCTPVFYAVQGRWLSTLRFLVEKANASMSVINNKGRSLLHVACIAGDADVVSYLLDRMGKSITAKTKDKSNAIHCAAYHGKAQIVAQLLARLSKKKRKEGLSTRDACGNSALHLAAIGNHVETALYLVEAGADATQLNRQRQTAREVALSHGHDAFARAFTDANEVSGNTLEKRREYVPASEREDKCQQVDSKTSNDRRAPHSISPIDVHAACHKSFAKDNNPLENHLTASTSRSFDVPNGLLQTAKHTLNDDGIDGSFNNEELTNMSTAERLDRFLKEMADVLKE >PPA20526 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:265860:266630:-1 gene:PPA20526 transcript:PPA20526 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMASAAAAKHAGGDYKPNAGDEFKKHVLTVTMRIIIQQDEIEAVSMELIKTKTVLHEERRMREEMMNVMVAKEKNEKEERGKREIEKVKRRGCVQREDTQVAKCMTGTEIGVP >PPA20619 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:725688:726361:1 gene:PPA20619 transcript:PPA20619 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRFSEGVVHASPPTGMNLDSRGSLDATSTANPTPTVNHEEIHLSIAGDPFVESNLALRKLSEAFGLQLN >PPA20674 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1046731:1049757:1 gene:PPA20674 transcript:PPA20674 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDNKKKNVAEDFDPEIEAEKEFEIHSNGSGGSGRKKATVSNGVEKKEEPAREESKNGDDVSISSQGKPTIQYKEAKASRKKRCRGVSGSCIKKDGGRFDFGLDNRDDFDDVSDDDMGDMARYVKENYTGHTFDSESDDFSNDGVRENSPLPENALRLQNCLVEALKDPSAQEALYSITENLMEFFDEKARLETIEKAMEDMVIRDEWTTSGAVDRMAEYKTVSLLAKIIDQGLISVDAFNIHFNQNPGDSQTLRLMHSAVNFYQSNAPELRGDMLSAPPKEFADIADDLCRSGEVCNNAANSMNMDVIFNASKTFKDLISEKVMTVAAINAGVSRFLTELRDTESLVE >PPA20590 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:601169:605279:-1 gene:PPA20590 transcript:PPA20590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-twk-23 MRAKHVVRIAIAHICLYIFVILYIMFGAFVFHYMEGEKETVKHQVQRSRITVLKKDLLDSLTKTNDESEIEELLFYYMKNVSSLNVTLENYFSFIDPQKEPQKRWTYPASVLFSFTILTTIGYGNVAPTTYECKVFTMIYGAIGIPLFLITIADIGRFSKTGIIFIIKKIFRREIRKEGEQHLFREILEVLLVIGILFGFIAAGSAVLPMWERELSYFDSVYFSYMSLTTIGLGDIVPRRMDFLLPTLLYITIGLWLTTAFVEQLADVFRLVHYAGRQVKNVKGITVWLGGRRLSMGALIQTVCRKVGMSDHLIGSINWDRTMQAALDGIQPALVPIFPWHFADFVERDPPLIDVSMDLEEMEREQQMNGGSFFCSTVNSKRAPFLIPRGLARSPFMTVRRHSLDAPFMLSPRDPPDRIITHILCQTGCLGHGYVQRAHVHQNVYHNRENKERTTHILRVPFNGDEIAVQLEGSP >PPA20736 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1411684:1414707:1 gene:PPA20736 transcript:PPA20736 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSRFARINPYIQQYQFTVLMLLVHLLFIVLFGVYVSFDELYAMPTAANDNTYLNSKYPYYMGTHVMIFIGFAYLMAFLKRLLRADFSSACVLISMGVVLGKLSPIQYVIMTLIEVPASTATEHLVMDAFQINDAGGSIVVHVFGAYFGIALSLAFSSKAQRGHEHDCSIYHTDMFAMLGTLFIFVNWPSLNAATAVTAEEHHRTLTRAFEEHTSEADTLLEIELQIDAWAIINTHLSLVGCTVATFLVCPIFEPRKKFNMVQIANSTIAGGVAIGTCANVVLDPILSLALGSIAGVISVLGYIYLTPYLSERLRLHDTCGLHNLHVNLQYCF >PPA20654 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:934154:935044:1 gene:PPA20654 transcript:PPA20654 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPLTILCLAAFSSVFHTVHSCAPTDPITSPTRPPCCRDDIFDNALPGMNGRALFDPVLVRCPMATRFICSVRDDLVKDPTMIILNGNTTIATGPDGTNAFVNLTCRNSDKIWITPDGQVVNKIGCRNNQMAK >PPA20786 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1644087:1646462:1 gene:PPA20786 transcript:PPA20786 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNTVGKGVSTTTTGCVDVSDECTVKNELCDINEYDGLMNYYCKETCARACTLFTTTTTIKPCADVTPDCVAKSELCVMDEFKALTSEIKKISSIL >PPA20884 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:2049954:2050894:1 gene:PPA20884 transcript:PPA20884 gene_biotype:protein_coding transcript_biotype:protein_coding MGANQAVRVGDLIYLSGSIGMHPESKALPEVVEDQTHQALKNIGEILKEAGVGFENVVKTTVLLKSISDWPSVNNVYKEYFVEGKYPARTAYEVANLPLNALVEIESIAIVPSKQ >PPA20572 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:519144:519803:1 gene:PPA20572 transcript:PPA20572 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHPMMSNALHAGHQRRRIESARDDSAICSKTSSTSFTQSSDAAHSNGGSTNRITHHNTPHHSVHHGKSLYTTRIEHTQIHWI >PPA20587 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:591948:594689:1 gene:PPA20587 transcript:PPA20587 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sma-5 MDLMEGSLHHVIHGSIDAIEDDLVAHFLYQVIRGLRYLHTAGIAHRDLKPSNLLVNSDCHLRIADFGMAKLAMRNEVDELEEHCFYMTQHVATLPYRAPELLFVMPEHSTAVDMWAVGCIFAEMILSRELFPGRSVSGQIKIVCNMLGTPSQKILSSIRCDRTRRLIEGYGALEQRPWSEICAPPDREVSELALDLLGSLCKLDAEERLSVEQAIDHSFIKLYHPTKSSERACPFKVKMDMAAVENLSHPELTDALMADVRSAERVSSSPEFENRDCSSSMTSDGMKDSATTTTASVTTSSGNSSGDANYSLNSTPSSKDDTLNIDREVVSSGMASR >PPA20700 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1220459:1221617:1 gene:PPA20700 transcript:PPA20700 gene_biotype:protein_coding transcript_biotype:protein_coding MIVYLIFIDTVRVLTDTICRCFTRDGAAQCTMSLEKAAKTLKRRRKVVGNACKRSFHSSSLVVSGSLPPRSLPFSSMAAPDHPKPPLPSRSAMASAKSSGAATDTAKIVALLESLHAKTLDKTTDKHPPPLPAPTQDTYSVVKRALNDASTYAEKAKRAVWVGREELSTPEETTSADQKAIQSLCEELNDPHISDALKNGQIQHHRHPHQKGDRKRRILKISFTDEKTRDKFLSLCRSSRPDTVTKAPGNFVRRDLCPYELDLERKARIDAYTMNCKLGALVYGIRDEKLIKFNGSNPRPLPSDYANRPPLVDSTIPL >PPA20664 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:991425:995917:-1 gene:PPA20664 transcript:PPA20664 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTRTVRNMKEDDGDEEITSGDEAEREYERHADEPIDSDEVITEKVETLVELMKTCNTKMIYTGAGISTAANIPDYRGPGGVYTLAKKGIAVTCHNINCDGMHLRSGIPQCALSEIHGNMHIEVCSACPDRQFIRKFDVTEDKRIQLAECAHVRGKARFPLNWEAAQELVPHADLIICIGSSLQVLKDYKFLWPAPGSNAKIVIINLQWTPKDADASLVIRGDCEKILTKLAEGLEIDIKHYCSFQRMRSNIDFKTQYKKRRAFDENFGLHDEEQYCPGWWREGMRAIYIDADRARRSNTKEDSGYEEQNRDLSSNTPSPPDDMDGKMNFKDIDSGFSKALARESCIATLMSRHLYIGTINGRTLASRDKQTELELALDNIKCDVLAVQEARIVGCASFNLTSSGTLVFHSGRPTATHGAAFLHRPHLAGGAVFRGLSPRLATLLLPNQRLFMVCAYAPTSSYGDKEYDDFMDQVKAPLRSAPRGHTPVLVGDLNCRVSREPGNERFVGESASPTPNSRGRTFTEVCVRNRLRIWNTFPKRRHGRIWTWRSPNGSTYHQMDFIAAPPSARVVNCGVVGRFDFNSDHRLVRMCLSLFPTRDLDRSDFTVNANLLASVPLVRPNTAADAYRTIRAFTETAATDCWRVRRTPPWISPATRNLLKSRSQLQSNPQAAVQYSIACKAARSSLVTDIKNRKEAQARHAATMGRSVTRVMQNLQSSKKRLLVPDPATGELSQEVTKAAVQRFYEDLYTPAVQIPLTVPSGVPEPHAMSLLKCGHFPGSDGNLPEMLFHSRDHIAPIIALLLNRLVAGDLVPSELTEAVVSLLHKKGDPTNIGNFRPISLLTVTLKVMTRFILKRFEVVLEETESSTQTGFRRGHSTLDNLHSIKQVAEKASEYGIPVYLAFVDFRKAFDTNACWQSFGTYGAHPTLISLLRTLYESSSTLIRVNEDLGPATVKRGVRQGDTLSNRLFNVVLRAAMDTIDWEMDGIRIDGRNLCHLEYADDVTLIAKTRPELERML >PPA20565 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:472427:476935:1 gene:PPA20565 transcript:PPA20565 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRRRGEVCAPAKPSRIPVLTTFASNLLTHAYNGTNAIATGEERKHARKSVTHHRQEEQQRKRFPIINEILFWNARLTASQETEFEISLRQSRRILIRDNHRRLVNDREMETGVHSYIYGNAVPGAPMRVTIRKQPHARTYTLVKPIHPSKWNLGIMLHHGKNRTSSQIEAIEEDSIAYRRGVPAEVPSMKDPTKLTPAAITDVNGKRLGLWSRNDELLRVLESVRDGSEFTLTLQPYDFVKAVKLAMKAQTKLYKAYC >PPA20767 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1564494:1566797:1 gene:PPA20767 transcript:PPA20767 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTEVDAALLQEWNRLAERKDALEAHLFQIKTLAQQPKQFNEEKVAKRVRWMPNSSQEEITV >PPA20506 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:91828:110816:1 gene:PPA20506 transcript:PPA20506 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSAEQLRAAQERERARAPPQDTYSDDSSVQILENNVHVVRDQYVHADDEEMNEGEDDNEDIANASHEDKEAQKKNDEEIVADEQVDEEINDEEALEEQAEMHNYEETGIEDIVEEEAEKPHDNDKDVDPAEAFEGMAEEVNEEEAEPENIIDEVIGAQEDRAEQIIAKRSSTRQKTLYDSADTDEDEDKEPPPRRPFSLGGTPRRSHKGTRSAFRRKRFSSGSSSDDKRKVFRDADPSPEPQPPAHHPSLDKIPLKSAMKKTPVVSPPPTAPPQANDDAISPYDRPPSTPYPPPASIEDDDDLPHSPLSQRTDMDDMDAASHASPISPRSVEDADEMPAAYVPAPEPAATSREDEAREGPNLFEMPGTLITVLAPKVVEEQTVIPVDEEKESVRIDLLRQRERKKRQEEKQRLEHERLKRIREANNQKKRERERKKAADERRERRERDEQTSEFISNLMPDLFARAPSPDESAARDRPVPQEAVKTKTVLSSIPLIEISSSSDSMTPPPKLTKQVELFKHPLPPLATTHHSKSILPTPSHEPSQSSPQRILLHKETPPSAMQPADESQTSQTAKLYSTSPPLPQSPQTVRRIAAQLHPVTSALPSKTIHPVKLRLMLEARRSTESVQEKQLADDVPKSSNIRKVPSPLELLSPTAPHTSQLPAPMDNLTLELDEPACELEKRPTEPQVQHPADSSVAHQKEKTAPLPKAALPPRPLTSKERSRNDDSEIQVLEAPQEHPMTPRARPVEARRKPHTVPQSVHQYRPIPKLTDNFRAPASKMGKPAPLSPSQSMQPAMPSRAPAVPVHPPTLLDAPALPLPLQQLQTSRGASAPDSEIEVLEAPQPPAQPFLQRPATQPADAAREPMLHEILSGIQETIEPILAPLPPPPLDPTDSDILIMLLPPSSREEESVVVIEQVEEPQAEQEPVFAELLTPAELKLQQLQKQRQEQQQQPQPQDPEGPRCPQGPLEPQEPRPASPVVAAAPAAHHRRPRTQWRKMTAREKVEALQMDGYEDPTKRKSKVDLVRSDGTPSLVLPEGEEMTLGDYVKNHKGMIDKIKFAQFMAAMKAKQSAPAQPPAPTHPIVGQPAQSPPPSPRQESSVEPEAHTQPGRSSSPPRPSSPRTDDDEDDMDEGEHSSFTASESDESEHGERERNVYDLSTRYIRQHKTNLALPGTGRLDPLLKAPDDISLREEERVKRQPAPLEAPVQPVPPTPARVSYLLKKYPNFHGGDPIVHEAKERRSLKRQFATKEKHKEARLAREEEVVDEQPPQAGLKPTAQKRINVDAPATDENVAAALSAIYSAAGHTPVQPIERVLSSQEVAALQPIEYIGEDGSQHFIVPRKKKQDIQVVYDPADIAFDDVPESAEMDRAREFALYEKTMNKKKRKRIYKMLNVPIPKGRPRNEEWVQLREQMWNHLKSIGMAQLDMDQIMKDMDADGVVDERMIALSMHAPPSVAEAAERIGSPASYSVWGIDNVDVDAGGYSEDEGGRTDYFPTMEQFEMQEAQAEPISQRSSIDEEDFLFDEDFEQYDLDEEEEEEEELSFTSSSDDEEDFVTIEEYEEAERTEATTTRSHDNEDENDESKLRRMSEGRWMKDIKKEAKRKDPQEGSFNAAEDHEVEEVMAVASPMPNERPEPPVRTFARVEGLRMHAASLSPVQQPISDRRSPIAHPIDKALLDPVFAQRVDKLLEDSRIDEPKKILEIYDEGTKNIVELLDVMMYSESDSDEEDEVADGVDHEGNEVEASEESGEEDVGDEGEVDAEEEQEKMGRPRIHPKEDEADVEKRKWRLEAHQTLHPELYKSANANLGAIVARRRKGRNRYPGGVGWLKGIDTYQTIRDNHARLDDFLVWPEEDLQEWEEDKQRKRMDAKRAAYDDDIMIIDEDFDDIEILDSFDGSSRPRRKVDYGDEVQFLGEVHPSSPTEYHNHRRSKPMTESEKKDFLKKRKEAEESTSAYDLNTLMKAEEREEEEREMRMRRFLPIYGAELPKEKSGNPELDLDAIIANRYIPTGDLTIPHRHISTREEVYEQVCKNNGSTLPAYMHEKMTGEKHQVLLRSKSNSLARLHMRILTVDWLTNFRDNHCQDIRKKLEKNKEKAGRILKTELFKRLPILLKTVNTFEKSYIEDLLDTTKEALWMEVFSLVAASLEHLYKRPLEMEASAAREEIVFLLGTCPFPQGATAAETAALLEKAKNAQLRAITVRREACRNRKTAQKQVDVIEIEEREDGPAVRSSDMIEMEETPEEAPSLSELCYPKRPLSYLRDKNFGKTVQSSKNPMIPIKILLDVRPWPMEDARLNDGGPLKVNQFWNIPPSFGPHPKGIKKIDFNLAVRFLVNTMRKEEYYKNEHTHKFEHFLSLDPISYFNKDLYGKGGLGYLVKREYDERQYAARQGYPESLYSGPVEMFRMATKGTAASKNWCVPQSSFPVKDFVELELDIVGSKQYRIEKNCYIHVLVLTCSMRLYFKRGVVRASILCQEDLLALGEMAAIHYDYTINRTKLEDSMDRDERVLVHMQRHAQERFVKIAELSQEHLPVDKEVLLDKSSLEEMNGEQLSAVTDSLVNKAIGIALLVIFKATTVLIFDPSHRIFDKPNPIMLKKVIDENAISLEGLIHKIRSNLANLLCIQADLDRRFTKRATDIKNGIFEVDQFNDLPATTVDAKLIFACLSRLNNFEFLYDLGVDKPNLSQSEEHFVRWKTKNAVVDQRRNAVFDRLPPKKKSVRKFRKDMSMRTVYSIHAQSERELDDLKWDMRMLMLEIRQTRQEIGMLTKDHSNWPVIAIEPVLRVHHNPRSTLFTFSLRQRIFDEYSPVTGREMDVQWELRRLVYATQFETKMKKPKAEVPVAATSPKIMTPKITTPNKTPVVTPHKTPDARVNADEADHSMVVPKKTGRPTTEEQEELKQLLEEAQKLRAIIKPLRSEDPMGWQKNEWAKFEKAMTAWDGKEEEEVYEVEENQDDVDKTSEETPLWNRTELFRNQHEFGDDDILASSSDIRKIVPKDLRQFIIHEDVPLPKGAAIKETYTNEICGQSKRVGMCKLPKLPLDAHLESSRVLIERWWSDLDVSTFVDFSVEEEPENERAVFSRTKVEIKSTQVAAVPPWGEAAMEDGEDVITELDRVDFTEDVLTYPRLSSPPPEDVEGSSYVSKLPAVDSPPIEIDKDMMFELLPPKEQINVNVSSAQHNSYYFVEEESEEERNAQLMEEHNLNAISRPKLLAKVVSSADQPSRKRVRFGDDMEPVDDEAFDASGMREDPSTSTEARHEKSILRVKEAVPIDIKKKAPWHCSRRKIFVDEIAFDKGPDWCANFDKEEDYQLLHQSIKSREVTDALPPDEMIDIDKFWKPNETRFRPTEGRNLNQITMKTRRMMSRKN >PPA20751 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1480227:1483155:1 gene:PPA20751 transcript:PPA20751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lgc-27 MTALTVLVVVVASVAATAYDPDCKWRADVIDASDIAHHKYTVLEDCLYYKLSREADAMQGQQNSLSVYPPSIAAGETLEVDVHKVAIRQMWMSEAFQEMYINGYVDLSWKDRRLRWNHSDWKTDALNIKNFGRLWVPDLNSDKYQTSAQTVDYINYEHLKTTMTGNVTARLEYRVQARCEIDYADYPNANGISSLDVALIRASWHIDNSWVRKVAADDDFKAEELEMCVTARGKSSTLSIELTIPLIISAPLVLLAPFFGTFQKQIHVKMFSILLQFLCFQFLASKTPQAGFGESVPRIYLFYAFTLCMSVVSLIITVIISAAARVVRKVPPAHRFTLLASVMNANVCCGMETKPVIDGTSSKDASSDWLQIHVALNDLSSLVIVVAYVIGLIIIAF >PPA20500 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:63690:63958:-1 gene:PPA20500 transcript:PPA20500 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKLSPEAQETKDKREDVKSRGGDPTVITAEIPVLKNVVVVVVFKKDTDNRYNYVN >PPA20502 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:70448:74543:-1 gene:PPA20502 transcript:PPA20502 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVICLLILLALTVSLCLRTFISMLIVVMTMPPNFNSTIGINSTIEDDGLLEWNQFEKSVVLSAPLLGCLFSLPFYFVLSSKLTPKVLVLTIISFQAFLSFVSPIIARSSFIAFVLVRTVLGVAEGCMMPALNSIAAAWFPTEERVSKVAIYTCGFQLAFGGIQYMVSYLSSMGVAWPFIFYIAGAIALIFCAVWGLFASDSPSACRFIKDEERTYIQERIPKLSGLSGAFTFVPFFFQIFSKFIAGFIVDLLKKSKGVGHTTAAKWCQSIGSMGSALSLFALPFCHFNYWVAFFALVSYGATFSLCVCGFYTSMTMLSPRHTGSISSLVNEVGFLFALIALGVFQVFIKTQLDNKFFFLFGIAGVLQMIGGAAYLLWGTADVQPWATSSSPPSEVVMKPLLNCTESKATIRT >PPA20697 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1210351:1210965:1 gene:PPA20697 transcript:PPA20697 gene_biotype:protein_coding transcript_biotype:protein_coding MCMPDTMCFTPKSDGGRSVLEKTLNKKTQRACEVACGDRADCEAYSYRASNCTLLGAIVPSMMCTAPAEILVKQATGCPVRSDLVAEVNKPKDTCVTAFFASETKLDVEGICPLDANNYVVRGIDEFGIRVTLDNNKANVSACTA >PPA20499 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:52422:61638:-1 gene:PPA20499 transcript:PPA20499 gene_biotype:protein_coding transcript_biotype:protein_coding MALVFGGATVEKTTTISSSHDIDSTHIERTITVTKSFTHDADGDHVTVEVHREVGAEDHGEEFYKHGSATFQAHVETDANGERHLQLDGIDLHRDIELPNGHDIGVEVHLREAPAAELGDRSPSRLSTATDATSILAETYHVHHDEQEVSLHLDEEHHDRHPIPFRTAQQDALVAQFKELHAPPLPTSAPPSEHVSRRGTYIEETSILDDPIEIDREHELERIRIEAERVAELKRTLSKASEHEHHHNHHRAVEDYSSDEEGSVVPLSATHYEFDDRASIARTESFISQQSKRDSIDVYHEHEHHAHPVAEKIAEFEIAAEHVERKLSAEHHNMNSAPAIGHGASVTSLNVIAPVTSPITESVFMSPVSPVPAEGHEYDSQHSSLNPRFSGPHIRDSIKAVEVLEHELEHHHHHHHHHEHVARHDSITSAGAVVRALEEMDNALQHHSSASIRNESSSVPPSPAPSRDEGAYSLNGSVRHKHHLYERLDSYSRASTPSGPPPPVPLWDLIKETHQYLVKMIKKEEIAREENVRDAPAPLHRAAPLSHLEEISSKNSVTTGSVHHHEEAVEAVALAHHIHHHHHDSASLQGSVRSVGSRYGSKHSLAPSNGIGGGAAPAAVVVGAGVAPARKYTADSNHSSCKSRGTSITLVEDGARSRGSTLTEANVNGVHVHELCNSPYPINNMI >PPA20826 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1796932:1798501:1 gene:PPA20826 transcript:PPA20826 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKRKTECAGLKCLICGADTLHAHSGIDSCRACSVFYKRTIDRESELVCISGNGTCGTKGNIVSCRKCRLERFKEVLNRASSVQISCDVERRLEEESTEELANEIPDFPNAEAQEDDGYSDSDGNTHNKDNPGFIDHTSAFSNEPTRNNTPLLAGMKVAYRKLCQARKSGEMGGLHHMRMHEQMKMRSAPLRPTKYSDMVPYSQIFFGAMIDFAEEAFPDFNKLSAEDGHALLRGNFQLILAIDSAYRSHHNFPNDETV >PPA20888 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:2061171:2061697:-1 gene:PPA20888 transcript:PPA20888 gene_biotype:protein_coding transcript_biotype:protein_coding MDESDGSSRMEALAPELLKEILEKCSLNDQLRLRSTCRRIKTCLTPHISHLSAPEECTITRMSLRKLHNSIHISVDIDDVAVAQHFIALGDRAIRQWKRKDNEKIQIYSIFRDCAC >PPA20629 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:787869:789134:-1 gene:PPA20629 transcript:PPA20629 gene_biotype:protein_coding transcript_biotype:protein_coding MNETCGNRSLYIDWRRDPECLTVWALLTAEERRDDLIAVSIWSVMLSFALISNILILIGIARSATMRSATRLWHKQRFVLVEI >PPA20551 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:399545:407006:1 gene:PPA20551 transcript:PPA20551 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKDKNDDYEYDDGGLSDDQWSDDTVYNNDVYWDPVLKRRFKTRHEHDANASNRGTPEPSNEVPKAAGSDDSWGEPNISKNSRESPKDTSQPPRNDGKKKKSAPGNNEIAVAEERGRSVCDGDGSDKNDNFIDPATQYHYRSRTNNHGRDAQYENSNNTDTRGYGFDSRDTRQHSAAPPIFDNIMPNTSRYDQTAEPGVRRNEEPQARQPVEYRYDQHQQMGQAGYPPPNPHYGNYEPHHSTAPPQNQAPPYYHQGDILSREMSGMTIGRGDQRSRVPMEPSSLVEAEYEGWNRADLNKELKRIEERTRALKNVMHRNERIPIMFHLRMFLLSRLTIILNLRILESTSHSSHIKNLVSLRQFIHLNRVKDMICMRHPLNSLNIINIPFSLPMLPRRRTIIPNLRILDPTSLSRKIKILFNLRIPRRLTIIPNLGILDPSSHNRNIKILVNLWELLTHFNKLDLLCMRPPSSLNINNILFLRRILQCSLKIILRMWEATSRIKILATKFPHLNIIMDRQIIRSPCTSLNRINILISLRESLTHLSRIPDMVCIPTSRLNRNKAPQPWHPPLNFNRQVLSRSFTTIPLSLRNWQTISSSTIKILVNLRDKFPKLSNNIQYILRVPITSSPHSIMILANLRDKFPQLNNSIQHILRVRIIHSLNSNPMQLFNIRTFPRSLTTVEKMLRLQATLNSLWQPSNRLLKKCLRLLTPINFLNIIKYHAASPEWSAAVPSDPIRAEATPSSGTDPAQPRYNKMGKLIIEPSEEVRQWHMKRRQEEKAEKAARVRESPAYSKRLIMIRPQRPRSFPKKFAVYHLGHIPKQQHGKDKTENPKDKKQAPQVLDPPTRRELRRGCAIKDEEEKKLSGILATQKRHRTRSNAENVEREDAMKSSHATKRRGRVEKNEVTNASPMQKKTRRSDVEKEHTGSPGSSSSTRRRSTRRINSKKRKLRKSKLRQMAPNCQVDEDVWEKINPPPTPMNPREIVAQAAFRPKVDMSAQIAELDSSDEEFMSEYQQPQSPTEDNDMDEDYDELDLEELVNRASRRMGTRKS >PPA20607 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:651203:653132:-1 gene:PPA20607 transcript:PPA20607 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGCGNKCIKYFFFLVNFILFALGGIVCGLALWVRFDNDFQNKVFNALNLGTDSNGSAKVLQLDTLYIILYVIAALGLIIFILGFFGCCGSFIESTCVIGIYFVFIAILFIALLAGGIYVFVNKGNIRQEFINVWQQEFVNKYNTLPLAISTNVNNIQSQLKCCGANGCQDFSVPPTSCNCTGNGATFVRKGCAVQIYEYIDSNILIILIIGIAILVVELVAMIFACILCHALKEKSSMNF >PPA20821 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1769307:1770517:-1 gene:PPA20821 transcript:PPA20821 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWPVLCIAVVAIEGFIGFAINVLALIYLFDGRLQTKATYKLSLCHLFHNQIMFLVYFGLLPILPQIASDVALVTLVLLVFGIWEMAPAPCILQYLALCKPHFSTPKRLLMAYSVCIVLHYCSLFFTDVEYRAECAEIGRHVFNVSDDEGVEVHCASLRFEDVIASKIWSIFIELLTYTRWIRNLLKLSNCKNAFSKRYYFR >PPA20603 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:639491:640348:1 gene:PPA20603 transcript:PPA20603 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKLSAAKINSIAAKSSWNAHYINCYMVGGKLISIDTAETNAEITTFAGQDVFIGGAAYGPFGPEWVWADGRPFAFTNWKEESFSVPDIAKPCIRLDAAGFWYPECCGPEASLAAVCLRSF >PPA20779 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1611359:1613448:-1 gene:PPA20779 transcript:PPA20779 gene_biotype:protein_coding transcript_biotype:protein_coding MIFYYSLPFGWSASTVISSQLVQADVWWQWGLRVVPPFCLATIILLIFLLEEPERTEKVVDKTKSIWTDIKRIVTVPSFLLIVLAVSAMNIVTGAQGWWNPTMTQLAMNSTNDDESIFHGESYGLIMSIVGIDNTDGGIVGTTLSVWISERWTSHGLFCLSPSRRATPLVGAIGDILAFPSTMFVQHLYLIDINWNYVLGFYGSIMSFGTYPICMNILLRVIPPTCRATATAIYNIFGSVFGDAPSPYVIGAIADSFRDYSAPDQAGDHLNCLLAAMHYSNAFMAVCRRETLRGVQAIVSGRKRADNGHY >PPA20568 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:498621:500502:-1 gene:PPA20568 transcript:PPA20568 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDRRMKYHTLWEKHPPTWEHFRVFQGDSRKMVLALNQRMGPFPDNANIGVIEMQWGIPPLNPVVRSPRGRPGAGRGGGRGGAASGGRVNAPPANRSAPIARGSVGGAGRGGRGGQGSRGGATSRNAVSARITSSTVAVARGSGPAGRGGRGGRTSTTAAVTNGTGASTRRSVSSGAAAASTTVVAAGGSGTGRSASARRVATAPAAPAAPAAPAAVAVVAAGSPRRVASAPTTSVVVSAIRSGGTRAASARGAAGRAVANGTGESTSAARGRPAANRNGVRERKAPATNGIITGHSGKHPVWKIERILERKKWSTHKMKYLIDWGKGVTTWEYFGCFRGKEAKEMVKAFNKKQGPFPDDAILTPTEEKHGIAPLNPKKRRSKKAKSCI >PPA20797 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1674222:1674611:-1 gene:PPA20797 transcript:PPA20797 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALTDTRILQMAPLFCLVGMTTFFTLSIYPTTLIFNLNLSKNVYLPACYLIVVGIGNVIMGILIMAVSKRLPYFGQIPSLFIGELIVG >PPA20775 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1595857:1596609:1 gene:PPA20775 transcript:PPA20775 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTSKRRSVNLPEDIDISDVDNSLLEEWDQLMERERTLELQVEKITESVHLKYPDLDLSHIVVSDVLKIDENTFLRRRSYQLKMRRSDFLMVDTADLQLLSEHWKVEMELDEIKKLRIENECKIRE >PPA20861 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1956825:1958113:-1 gene:PPA20861 transcript:PPA20861 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRLEALLIIQNKQDVELYRTTLDGEGNVLNTIQSLREIIDKFDEMDEEANAQALEDSNKKGTIEAECNKTDELQPGKEFGEKFTRAFIKFQANLVSINRNMELSWDINEDAEKLNNCRKGRGTDQPVNV >PPA20505 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:89186:91153:1 gene:PPA20505 transcript:PPA20505 gene_biotype:protein_coding transcript_biotype:protein_coding MIPANGSSTDGSFFDQHRKDEVSSTTGEHSHSAQQQAAVDVPITTTPGGPLAGYAAHELPSSSSHGSDQTRNAPQPPPALHQQSPFPSFQPQPMQQPPPFGQHYVMWPGTHRPPMVYNFGAGPMVTDYNTDYQGATQYHPFTRTPHGIPVVITPHIEMLPLPQPQQQPQMLQQPAHQPSAPLSARAAAALQHH >PPA20561 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:455965:458373:-1 gene:PPA20561 transcript:PPA20561 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGRNAAFDFFDENKDGCITKEVGDRDDKSTRASPRFAFSLELHLAMNKCGQRPTKLDIALIMTQGDHDDNGVITFDEFTALMKGKCSRGRYTYSQLREQFELFDKDKDGFIEKLEMIEIVRELALGSSFPREVIEQLFREADVDGDGKISFEEFILAVN >PPA20616 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:697227:698179:1 gene:PPA20616 transcript:PPA20616 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLSLLALPLVAASYGQNSYPQAAPAYPQPAAAAPAYGAYPQPVAAAPVFFPVIRPWGRPTLDDAFQGPKIRAPISNPFFVPRFRGEHHLHRDDYGHRWGSEFPRFRHHHHHHHDDDFFGGHRHHHHHFVHQHQPDFVGVPTFVQAAAPAYPQASYPQPAYPQPAAAAPAGNYY >PPA20517 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:189629:191178:1 gene:PPA20517 transcript:PPA20517 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-3 MSSTEPIAIAISTYSATINRAHFEKHPPSNLRKSNFFHFVIALYDRSNQPVEIERTQFAGFVENEKEIDGQETKNGIHYKLYVAFQNGIRAEQDLYVRLIDSATKQEGRRVEEVSGKRERERIEAVVYGRSMEGEEKEVDENRQVLRGEFGEFIADSLQAIAYEGQDKNPEMCRVLLTHEV >PPA20717 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1313797:1316734:1 gene:PPA20717 transcript:PPA20717 gene_biotype:protein_coding transcript_biotype:protein_coding MECQMRAIQPLQSGRITRKVNEGDGHSVSQCLGTSRRAQLQWAPYWTHLGLVELEAVLSKTAGTYCVRDSVSIADTCVPSVVYKGRTFGLDVAEFRTLLRIEDNLKALKPLISAFPFDSVVSAIQPLQSGRITRKVNEGDGHSVSQCLGTSRRAQLQWAPYWTHLGLVELEAVLSKTAGTYCVRDSVSIADTCVPSVVYKGRTFGLDVAEFRTLLRIEDNLKALKPFACLI >PPA20857 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1943018:1943370:-1 gene:PPA20857 transcript:PPA20857 gene_biotype:protein_coding transcript_biotype:protein_coding MQSISCIPAIATTFPACDINPILFGNALLINDTFQCLPRTSWSYFESDRHYTTLPT >PPA20625 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:760485:762785:1 gene:PPA20625 transcript:PPA20625 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLGLLSLLATAEALKCHSCLTQCKTTGSGTISAEKCDCVSAPKDMCEGNACFAKIELFTDERTAIMQKGCITDVPGGTPGCQYASNSDTIHCFCQGEKCNNRMALNDFVPARLPSVECCACSEKHGEHCSHDECPRKCTGHYCVVDFDGLEQGCGLGYPRLQSFLRMPKYTEWQGQSICAR >PPA20678 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1062146:1062956:-1 gene:PPA20678 transcript:PPA20678 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIFKQRRTQANVEASSATETWLTKKKHFRDTEEWKKKKARIMSKLRALSKLNLALVLSRMPYD >PPA20814 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1741374:1743381:-1 gene:PPA20814 transcript:PPA20814 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIDEDKHNEKNAHLTNRGHFDYLHDHTNALQHGYMYMIIRSRDMCVSLLNKPIAQAIALSQRGRETNQPGSPHSGVNTSQQQTVLLSTMRFVLCAIVAAVIYGVPSLADRQQTIRAIFGDDTNVTRAEAILAEEAQKLGITVDDYFNSCAADAEAIELTKEEGAELKPEMESLANFLKDRAPKTYAIVMKRKEIFDKYFAKLDATAQQFVRNAGNALFESAKDVPIAEKNLKNIVNFITVIGKKVKQVKTEYDALPQSSKDSLERVFCIRGALRIADEYGLLKIAYAVVEAVA >PPA20723 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1354819:1355813:-1 gene:PPA20723 transcript:PPA20723 gene_biotype:protein_coding transcript_biotype:protein_coding MNDIGWLSLHAIYAVLVVVAAAGICLNALLLLTTALTTSLRSAAHILIGCCALFDTLHEFIPSLGRSAGVVCAFCIGIDRMLYMFDVAAYDRMKKKHMLAANIIQRLKRIRRLLQYHFLSIALFCLWTVFLMIANWTPRQQICTMLAPFHGDSRVLWWNTISGIYIITSLFYSVAWQTVKWRGGLNHSFLSTGVVFFIQFAGIE >PPA20840 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1880233:1881266:-1 gene:PPA20840 transcript:PPA20840 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSNPLRPAILKLFRAGIPRHDIVLRLAIPKRTVYDAIARYLELGSEEDRKGRGRPATVSTPRNRERIRKRIGRNRKQSMRAMAKNLHISNTSVRRLIKSQLTLRPYKYLKLHDRQIKLRRDRCRLLLMRCARAEHFSTVFSDEKIFTIEGKMNSQNDRILAHDLEEAYKSGGFIGQTSHPLYVMVWGGVCATGKTPLVFVTPGVKVNKEFYVKHILQDALLPWARSHFGQSHWTYQQDSAPSHKAKKTQDWLKAHVPDYIPTSEWPPNSPDLNPLDFSYKNRDTLKAALLKAWADLDTNYLRELATAYERRLKACVKAGGGHIEIR >PPA20652 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:921528:924619:-1 gene:PPA20652 transcript:PPA20652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-npr-9 MPPSSTEQSQPDSIAIGNSIEGSFDMSTSTYSPQYEKLYEESIAFENFLGVIMVAIGRQMRNSTNTLIIGLAVSDLMFLLICIPITALDYATSTWILPNFMCSVINYCQHISAYMSVWTLSLMAFDRFLAIPVAFLHGIYTYEFLIETRSACAYVSIVTEQASKTETMIYFCTFNFFGYVLPLAITVVFYAFMLRRLWHSPRPGNSSSVSSSVRSRPETIKNKRKGFFRIFARLTNRLTRGFLCGSEARTVSRIEVTNANFLKKE >PPA20893 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:2082351:2086176:-1 gene:PPA20893 transcript:PPA20893 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTLFLGGFGVLVYFIFRYYRYVSLYPAGDDFIDRPVNKSMQETLTFAPYKGVTFSNGDNWIVQRRTALSILRDFGMGKNVMEEKISIIFRVHVRLSIADYIDNLNSIADTDNVDFHKPIQVMVGNIINEVLFGFRYKDAECAPLMEYISAFVVANVDKTLENYHSDDEPTCFVQAYKQRMESNEFLDTQMIFARACVLELQRVANIISMNGPKVTSRDVVIRT >PPA20589 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:598670:602471:1 gene:PPA20589 transcript:PPA20589 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acl-7 MDTDYVDFIKEINKQGGPFQFVRNAREFPTCSQFGRKATNRSPKDIIESVLNSDKVMEAVRQYAAQNNTVFDEILVNAKQLRALRETFKDTPVIFIPTHRTYIDFLLLSVLCFDQEMTLPAIAAAMDFMNSKMIGEILRQCGAFFIRRAVGDDILYWAIFTEYVQTHIVEGDRPVEFFIEGTRSRTNKSLPPKYGLLQILLEPYLRGNIYDMVVVPVAMNYDKILEEQLYAYELLGFPKPKESTSGLLKARSILDKSFGRVQVTFGKPISIREHLGVSIKRATFVCQPDSQWKMSEVEKRHIRAFGHHIIKNHYEMSSVSVWSIACSSIILLTSDAKDNTVKCGDFLKLTHNLIDLLRSLEIQVHIRKTVAQDLERAFKLHSDFITIEGDAKDSMHVLSTPSIFSLALLSSSSIDKLKECYKWLRTVLYSEFVHDPLDVDNEFDRIVQSFTLAGLIESKEESPYLLILSVMIDVLMDVSPLHITMSEATSLAQNRLIQLHDRDSSVLLSSLSADILKNAFTTLDQRGGLQKLARNYSIHPEQLNNFKKQLTTYVELPGRSKTKISVKMWHGKKQSSLDVLVHWKEGERS >PPA20509 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:129827:130578:-1 gene:PPA20509 transcript:PPA20509 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRLQRKEITGILSRAETRKELWFGTMTLNRSNEVLAFSEKHIGNWQHNDITFTMSNNVYRLKAFPWKRDDLSYLNLFLLRFKTCTNDWEART >PPA20512 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:148469:151330:1 gene:PPA20512 transcript:PPA20512 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rab-37 MIDDPNGDGARRDGVEDRLIKIRIGDMGGNAFEEKIFDTAGQERFRSVTSAYYRDADALLLVFDVANRLSFENIRNWLGQIKDFAKDNVMVTLIGNKCDLAPQRKVTIKEAQELAQC >PPA20849 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1915056:1915289:-1 gene:PPA20849 transcript:PPA20849 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAEQLIIFQFLYMDACNVLSTPICTVVVKFVADYCDDKRQIMEETIEAFDKIC >PPA20802 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1688153:1690123:1 gene:PPA20802 transcript:PPA20802 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVSTTLIATLLTMLRSVDVEEFARVCMDYSRDCERRAALCDTNVVVMHRSCPKTCQLCEDERDGATAVAAAHPAKSIAKSHSPPSVLFPLKPLPREIRETSSATKSRRPSKKCRDIADDCEETLDLCDDPSFKKTMKKQCAKSCGYCRPSSSASRKSGSKKGGDRISGLAGEFGTLQNRKLSKGSKAKEIDGISISQLVKMIRKAEEKIENPACADMAYDCPIKAGLCGNARYSKLMLKMCRRTCNLCTTSSSTATTT >PPA20793 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1661535:1663791:-1 gene:PPA20793 transcript:PPA20793 gene_biotype:protein_coding transcript_biotype:protein_coding MRLILAALALLCCASADFEESLARNFMLPLAAAAYSDAPQQCLDKTIHGAKLRKQVTVKCDSFHDKCSGFTFVDDKHSIIGISFRGSNNPAQMAVEIQETAFQPKVDFVEGGQVSKYFNDAFRSVWDGGLEEEVSYLIMTYPDYDLWITGHSLGGALASLATAEIISMDLFDKSRIFLYTFGQPRIGDQMYADVHDKLVKESYRVVHGRDLIVHAPAPVYKYVHHKNEIFMCSTTTKEDDSYQVCTRQEDQNCSDEYTFGLTLADHTNYYGKNVSDFGQSGCK >PPA20689 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1145116:1145525:-1 gene:PPA20689 transcript:PPA20689 gene_biotype:protein_coding transcript_biotype:protein_coding MQANCLPVDLRGDRRTIKLVKTVKKLAAYGCKVFQVKELLHGRTLRKASTYIL >PPA20830 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1818258:1820458:1 gene:PPA20830 transcript:PPA20830 gene_biotype:protein_coding transcript_biotype:protein_coding MDISNFQSADAYGIHSIIIGTTTVVSFFSNSLLIYIVITTKAAEFGSYRYLLCFFAICNITTTIGHASFDWYCHMTLSGFYFFPRYTGSYISGVSWATVFCWMFIITYYQVFLILAFHFVYRFKIVTSLGSSITDNWSRAHWIAAGAHVYIFYVSAFVATVAIEMSPSEETRRDAPPEILADYGVDLADPRTGFIVLAMRRFDGASNSTRWSASSLIAIMNHMILFGGTGAVVVFCMMRTNAAIKSAETLLTPTMRRLHKQLFRALLVQTTIPCVFSYTPLAIILLFGGVTGMSALNGALIIVTVRHITCTSRRDTYLSSVARNGNRINLAGIALGSFGNVLFLMTAIFPSVDALFVLFFIVKFRLAVIRLFRFPCGNATADERRRFKGTVRSMKVAQKKSISLSVYAIVIG >PPA20829 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1813092:1813853:1 gene:PPA20829 transcript:PPA20829 gene_biotype:protein_coding transcript_biotype:protein_coding MENCVVLARSSRCIICYRRYLSGGRETVDLARCGSCVHAFHAHRLREGALGVDGPTCPKCEKFFEETIPLLGHRELTRAEVSEARAAVEHTAEYEALTSIGAELMYAYQVALRRLYAEHEKESSARMLAAVDAANAAVQADLAVERDWEAAVLSGNAHGRELHSLIRLTHQKKECEGVGR >PPA20646 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:883893:890680:-1 gene:PPA20646 transcript:PPA20646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-pek-1 MRLAPWLLLFSSAVLSSSETPNETPYDGIVAEEDLDADYQFSPPFEASRCCTDADPVDLLVVSTMDGKVQALDVANDGRLVWQSDLDGAALLGGNLGKMEPLSAEGDRFVLVPSLDGSLYMFSMEQRVLEPIPLSTDMLLHSVKIGKEAVAGGKSITTTGVDPVTGKIRYHCTSAHCEQDDNGQKPTSTLVFRRVSHNIRAVDALNGAEKWNLSVSEYDASIVRQQATVNDNTSTKKNVKFVISPPKGTITALDSCGRTLWTTSLGVHIARSWHLEDYSLSEVSLFDSNIHSISSYSKQDMALARPESLFYLGTIENDPFILCSEKLRKEMKRLSTQIDYVDHGRRVDANSGAIIKAGGETYQVAEDEVLGRAFKQSISRLKERRRLRDINSPELIEQVDEMQIALPAPDNVNSGSLSINSCEVPDDHVLMIGEDNIRNAEPHGDSKGDQGWFILRPVSSTKSFDGILHPPSQCTAHFVKKSLHLEHDVNPLWRLLAIFLLFVTGVIGMFKFVKLRKRWRAAEIGTLTRTFNPRPCLHNLLNCQSPHQFGKRFLRDYDPVKVLGRGGFGVVFEAINKLDQCHYAVKRIAVGNNESSIERVLREKESDKAMLLRIQKKRKTIVEAFEPSVSAANEASSLGIVAPPSVSMQTDDESWADDPNDSDDEATTTSNDSSDEDESSMGKIVRFHPSEKSESIVFDKHSGRSKHLSNKSDVSEILQIDSKLALLCVDKTLYAWLLANSTRESRDPERMKKWMRQLVSAVDYIHDKGLIHRDIKLANRPYTSKVDVFSLGLIFTELNVPFTTLMERSKDSFIEWLTKKDPEERPTCKEILVSQEINRLKILRETVMDGILPTYSFSAVRILGIATTFNHLHHFWATALRILVPASSQIVLIAEARNIRRSRRADVKIKASESAYQT >PPA20713 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1297082:1299267:1 gene:PPA20713 transcript:PPA20713 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGLDCSFNDMTPFTQQVYVDPLLNIVAYVFQIVVAILAYVFNCILILISSASPVFQDVSIGNVFCNGDQSRILVNEFRFSIFYVLFHPDQEVLDALTPVFNGTITLPVNHTMDTAEKYSQALYWPMVLSATQFFGYGNI >PPA20726 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1362038:1362740:1 gene:PPA20726 transcript:PPA20726 gene_biotype:protein_coding transcript_biotype:protein_coding MCENVITSFSPAYIKALVRRDYSTVSLRQSSNQLSMSMARVLIILFLYIAVTTATNESETSPTPAFPQAKDLKIRSLFCKSCMIIVGAMNDVVSEDSFRKKQVIEDKCNGQFGLSSPAGMACSQWLDGELENIQNKLKNGWSPSTICSQFKLCN >PPA20790 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1653378:1654581:-1 gene:PPA20790 transcript:PPA20790 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFACVLAKGFCQTNECREVALNCDASSLIEASIMKFLTAFLAFLIVSIACAQEAVESTTEEVQSTTDGWEGIAGACVDAKNAFSGVSDCPFRISLCKLADHKKEMEKQCPKTCGFC >PPA20667 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1004703:1015306:-1 gene:PPA20667 transcript:PPA20667 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLDFVVLVTTMVAVSAYGILKSRSKVENNAKDFVVGSDMSAWSVALSVCSGFISSISLLGFPSEVFYNGPMIIWYAIMYVIAFPIVAYVFLPYFELRFNRTCRSIAAGLFCTEILLYNAVALYAPSVAISALLDVHILISILLTAALAATYISAGGAKAGIHTSAAQMVLIVTSMTLIVAISMWKEDTNKIVDNVIDGNRARLMEFRPDPRIRHSAYSLVFGGTGTILALFAANQMSLQKYMSMPTLKMAQKVVLLNIPCNFFILFVYVIIGLIIFGVYHDCVPVLNSKNELLPYYVLDRMSDIPGFTGMFAVAIYSAAIALAIFAIGLALVCSILDSLVLQLAFSIFGVVGGPVLGLFILGVLVPYVKERAATIAFIISIIASFGTAIGAFYNKVTPIALHLNTYCNTSFSYSIANNSMYNTVTEIDGWWGLQYLRISYQYYSLVGVITSLLILIDTTNIVTLFDVFTEIAGVSAASISSKGSPSPLILQKYPEDYNEESILKSICQFAFPLGIAPDDPGDAAVQLFSFVLTDENSQLTFGYCRYTPRTNTVICLLSGYSWTTVFYKLLNHISIVMNKGTNLDVEIPDLTRLPTLKDDKFMLEFYNAVTPRQMMSIYASLLRERRIIFVGSKLGQLSSCVYAAATLLYPMAWQSLFIPVLPASLGDMLMAPMPFIIGAPKQINNPTTLKDIGDVMVIDLDERSLQGAHDDFTSLPNEVQSSLKSSLKVGVDMGDSLSRVFLRANLILFGGYRAGLTRSEDTQVVAWDKERFLSEQRPSLQSFLASLLGAEGVQYLERFIDERLKALNSGSPISDEFEKEANKMDSKRSQVRENATDVIGVLKDKIRDRIGRLTPKDIRRGNKEEKRKRSKSVKRDEAPTGVEPLSFDNIQWRTEHDAHSSSSPSVVSGNQDSSSFDLIDFGDTPVNERPKTIIGERPMGVDDFSDLIAAPSTMALSEPTLSILGQHNVTQNNIYPVLPVNGEYTRVS >PPA20771 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1575875:1578781:-1 gene:PPA20771 transcript:PPA20771 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nhr-34 MDMRAVQSERDTRKKVRPSVHTSPPHSWSTPSKSTSNAPPQSAALSPFQAGGAVNRAFAGTLSAVPTERIVVTASCAHPHLSVSKKAPVETTTTEFCPVVAHLMQLEAKCDSLVNPTCEFDEKFHKLCRVDVNIETAFRQPGIVAKRTPPRWLALDRLTTLEDVQIAWCRSFVLCVDWATLLKDYTDLSTPDQYVLLRNRIVSVNWLVHTYKTYQSGVDGVALVNGSYYPRDKQLQAMLHPGCNQYFQNIAEHLMCDLVFPMRELKMDEGEFCILKALILFTEDMRLSEVGRSHLLSQQEDDTVQFLALFNIANLNGLPYELHSHHSMSLQSEDADPKKLQLSLLDHSSPSSDLPSTIMSSVSMLC >PPA20743 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1454164:1454387:1 gene:PPA20743 transcript:PPA20743 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIVYPPSRIVKTAYGEVRGRRLIYEGERQVDAFQEYPSPLHQLAIFDSK >PPA20716 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1308000:1309156:-1 gene:PPA20716 transcript:PPA20716 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNVKQESRLECHCGGKDVSRKDKVTSCRKCRYDKMKKLVAKATAGQISCVDDGDGPNENDVEIAEEIPDLPLQAAIQNDSSLSNEVEMSIDETTQDPSRSFIDHTSYFTCEPSCCDTPLLNKMKEAYSTLCLVRKSCEMNCLHHLEMHSQLKKETMTLRPAKFTNMVQFSQIFFVGLMDFATSSFPEFRDLSAENREHQSQVFQKVN >PPA20538 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:365159:368740:-1 gene:PPA20538 transcript:PPA20538 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDQRRIHQLVRSILQSGRLRCFSSETGEMVLDIVLNAGTKLARTSRNDEIILTTSTSAYGEGKRYYIKTAEDAINSWHAAFEQQISDCVAWGVFAYSTHMLTKEKRPGPETLSRTAGKRFYDQVDINDKPKCFGNLSSAPKGSTIAFPIGQVSTNVHHTAPARRTVLRPSVINVFNEHTESDMMPRSTAIGPPQQQQPTPNHYLHPQQQPALQQRPSQKSPLVSSPSPKPYKPKEGESSSPLLPTARAVYYEPAVHSIYGTDARRYTPVYERRKIEHAARLFEHPSPQVSPLRTVTEETPADNDDKFVIRLTVGDEDVAGNPARHDSPHDSRKSALLRDVPTIVRSPSPAAAYVPQPKPRAKYALRHSASERDARVNFLNYIF >PPA20732 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1385245:1385684:-1 gene:PPA20732 transcript:PPA20732 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSTADWMEKFNDGGKAPKKNPGPLDALFAKLSAAAQEPARKFRDLILSDEQDLVRIHAKICIIVTREAEGRGKRCDEE >PPA20520 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:204415:206507:-1 gene:PPA20520 transcript:PPA20520 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sprr-2 MWLTVLLAGQRFISISYPMYSRSLCNVANVRICTFVIGAISLVCGLPKSFDYNINLYDGWVITYENQTEPHHLSTYYWTRALFFVAIPCTLLIGLNAGLIRGIRKAQRRKERLLREKRSRDAQRQTDSNSTSLMLVVIVTIFTAVNLPQAIFMVIMCMEQTFYFSVLNMEHATVFLASNNMAVMATYPINFAIYCFMSSSFRQTFRAMFCSQCLRGEQDSAAFAERKLENSAVPLVKFMPEDHTLNTCDENSIYRRDRRNDSVFL >PPA20890 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:2071255:2072121:1 gene:PPA20890 transcript:PPA20890 gene_biotype:protein_coding transcript_biotype:protein_coding MWHWRMLSACVLLCCLISIAPIWFLLDNTTTFVQYTEYGTDFYVVRAISPTLQGSIWFNMAMVTLCCTGLSTVMYLACAVRLCTSLGTRNRTAELNLFLAGFFAMLCSLPHMIAMARQAYLTPGPMLLITNKSIRNKILKMSSTIPYVTVTVIKVETSSPRTQQSSTNASK >PPA20873 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1998726:1999511:-1 gene:PPA20873 transcript:PPA20873 gene_biotype:protein_coding transcript_biotype:protein_coding MASYTTFVSEDKIEQFFKDCPPEVNREEAVEIFRRNMEQLIKIAKLRLRKLNPVMEEFVALFALALWNDYSGSLSTEISDLIASQRKIEEIVL >PPA20866 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1972131:1973407:-1 gene:PPA20866 transcript:PPA20866 gene_biotype:protein_coding transcript_biotype:protein_coding MIGECIQDLSSDYGPNWFGRCLLGRDPEYPTKVRQRLYFKQKVVPAIEKFAPFVEKFLIENGNNGLFLGETETWADVFAAEQFAKFIDYGEAECLDAFPAIKWCSKEAFDAFRAVSLIQLL >PPA20582 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:568567:569552:-1 gene:PPA20582 transcript:PPA20582 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLLTTTPMRPMITPARITPTTTATTEEKMIPVHDCGCDVWTEWQGKCSQQCGGCGKRQRKRVCIKDETCQTVEKRPCNFDACPEGTNFLLNNGEHSNDHMFRKLI >PPA20847 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1907904:1912021:1 gene:PPA20847 transcript:PPA20847 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSFQTSSTIFALTAPSMKASEEEEGEGWTTLPIRPPYHPKTTQHELQAVCRTEKELYPQNINQALRKPSIVSSQRSTRRHRKKKSRFLRSIHYIGSRHHLYGFRHIVIVLILIVAWMLGTLMFWAIEAPAEKVAVAGTYTSLQDAFDVIAEDLQTTSATNASVETLKEHVKNAYIKLLGIEGKWKWSAIYKTETSVEGKYQWTFGSAFFFTFTLFTTVGYGTIAPGTDLGRICVIWYSCIFYPFSLVVVRDLGQMILIAMTRAYGKILIKIRTARGYLTTDKDTISLPLPINIAFSALFIALLGVFFHYYDSGPEEGLNHFHAFYFSYLSYTMIGFGDLNPVNVPYDVLIAMLVTAGLPLMRVVTKGNDIFKGIVIAMENGYFGTMLYVEAKLEGKVYGEEKKMEKQICTKSKNILACDTANDTL >PPA20729 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1374217:1375621:1 gene:PPA20729 transcript:PPA20729 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQVIVDILTNLAATAVMNRTIIAGNSYVYIAHGPCTLLSSSVCFYSYGIMVMGASMTIYIVLVSFIVRLQIMRNRQPSNRLIILHILVITVPVPSTVKRDSKTIVQNKSKIIRCLQDMGEFISGKTKKMNAQFEKMLTLQCIVPPVVFVLTMLPIQLEYLELIRHPIIEAIINIFARLSTLLSPLIVLFHIVPYRKFDQH >PPA20686 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1121376:1124166:1 gene:PPA20686 transcript:PPA20686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-grk-1 MYALKKLEKKRVKVSLAYAYETKDALCLVLTLMNGGDLKFHLYNLMPGGFDEKRVQFCAAEITLGLQHLHRERILYRDLKPENILLDDFGHVRISDLGLAVELKENEPIKGRVGTVGYMAPEIVKNERYSYGVDWWGLGCLIYEMIEGKAPFRQRKEKVKREEVERRVKEDQEKYSEKFTEAARTLCRGLLHKEPGFRLGCRRVGKAEEGAEELKVHPFFTHGDTTTGREPVPWKKLEAGKVNPPFCPDPRAVYAKDVLDIEQFSTVKGVRLDANDNHFYEKFNTGSVSIPWQNEKDMMIETECYAELNEIYLPTGELVPDLNPDYKPGTAPSKSRANQKAGFFSRLFRRKPAGANGAGCTGCGQLRCVCVKETTKSLHDINDEIRRNSRLSMNKPTLTPSAPSPNQP >PPA20591 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:607665:608229:-1 gene:PPA20591 transcript:PPA20591 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEERKNLIGGFGADKDIPCIDSSNCSETSSSTSDLSSVKDDISPQASRGERFYRRPCFIEHFDDDEEPEILKEMQ >PPA20832 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1827047:1828404:1 gene:PPA20832 transcript:PPA20832 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWPITLPEDLTFRSESLLENIVMRIEREARASRNEQKVVRILAGKMAFLCSTFVNHYYSMKKNKRCIVSPDETEPFGGLEKVFTREFQASTALLDLLKEMDVDEREYVILKLLIILSPSLEDASSYERAILTRHSENYATILFSYVLARRGTEQGPSTYQRMLSIIGTINQMVEREKGLQLLYGAVKIIDIL >PPA20602 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:637295:638758:1 gene:PPA20602 transcript:PPA20602 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGWWEPLDWAEGDPAGQPSNNQSDDDSDRSTPPPPIAPPAKETTPQPKEMINEYYFVRRIIRHFRYIALVDAVKAHLNNCFENPPPGYEANYFLEEMKKWKEFADIADRMKGDMPISQQDGKVACRNTKSADRDYMEAAEYAEMFKAHEDQLARDVVHFGQHYAEFFKDISPTFKSSHDYVLEAVGPELPLLIPYEKNAFRYFPRGGGNPTLLKFRDRIRDKPHVRKHLDKFCDLAKYGYDVAKDSCACYL >PPA20805 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1698310:1699229:-1 gene:PPA20805 transcript:PPA20805 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRPMRRIAEVATDIGGTNIDFRLLEERYKLAERECALEGKLKCIENGVYAILMMSCVQKYPDAHMARLVVGDILRIDKCTTLQRRSTLMRMKERALKVLDIVDREVVAEQFEMKLEMYEIKKSLIVNEAKIREQVRKRRSTDTQEISPKLARK >PPA20530 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:330728:330987:-1 gene:PPA20530 transcript:PPA20530 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCVKVTSAECGERGGDIDAAWRGRRGRGRRQAAAPERRPVRQSTARC >PPA20586 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:583019:587030:1 gene:PPA20586 transcript:PPA20586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ced-8 MLFAKHRPKLIYLSRDAEQRRQNDAEEEAATSDDTDSIPDVVQLRTFDLVFYVISVVTYIADIVSDVIVAYTHYTENRIWPAILIFLFAFVPSLILNIVALIWMADEDVERKKRKKCNKLRVIICLLLQGGPIIYYSRAFWAGWKTKRSEGKDKRKHYLKMIAYERDATLLRFFEAFMESCPQLLIQGFLLASMVWGHDPMREISPKGTLLWRFGTILSRTYWIVPFFAIHYMISLFHIVALQSVSIGVDGYPTVELGLILINGCVHFFAPFNMAEGNTRWRYATAYVVEIIEAVFEFLYLSAISFAIGFTFMIIYYSIFHPTKRKYRGGKAETDESVRLNDEGHETRAADEPRPIVPDSSLISSSSDVIASVTAIASSS >PPA20490 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:6270:8592:1 gene:PPA20490 transcript:PPA20490 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVINSNITSFSPIGFEFNITKKKALQEEGTMFLFVFFGIFALMMLVILVLVCKICQPMALTERRVEGERFAHFVEQSFLICKESNSEREEEHSLFDCQHSIKSERTESTDL >PPA20818 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1749426:1752689:-1 gene:PPA20818 transcript:PPA20818 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLPSANHPCTYGHRSMPTMRRILQVSNVILQIRSIKHKYKLTCIDGNNNYSSGDQLTSCRKCRYERFKEIYERASSCEIERDSDDEMARGSGDERVNGKIPNPETSPQSEYSPLSKAVGSASPSSDFPEHKFIDHTSYFDSDPSGSATPLLDKMKRAYSTLCLVRKSGEMSALHHLLMHAQPRDGKMSIRPAKYSNMIPYSHIFFSGVIDFARSSFADFNEMTTEWKHTLVERNFQLIQSLDGSYRGHHYFPNDDTVMATYATYLNDSTLRQFFEDCPPEVDKDEAVKQFTTNMKLTIKNTKLELGKVNPTIDEFIALFGLTLRNDYSGNFESEMTARIKTNREAILKELRTRVLDITNEHVQMYRMMNIFNEAYEEEKK >PPA20715 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1302612:1303906:1 gene:PPA20715 transcript:PPA20715 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTNENRVFLGPEDSLKYLATIDCRLKKLDEGIFPTYLSIPFAKNSDYSTIRVLVERGFIIKWINDYTSFMASKTGNMKHCGRSFNDADKYLNLSKAQGAFWVLCGGFCIGIVLCLTEILCKGIRSIWKWLKEKIEHEALSRKMEHEGEIESAPSVLNRLLSENDCSEDVKW >PPA20649 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:901460:904015:-1 gene:PPA20649 transcript:PPA20649 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSHPTFRRATAKQSDYDGPASNVQVNWIRDNEVIRAGGRIMTIEKPLSSQLMIVRARKEDSGDYMCQVKLDGQIKVEPAKITFIKAPKFVEPKTEQHPEDGTTAEIECKVDAEPGVEIFWQFNGDTLGESTSRPYEFKDNKQILVIPRFDSKKDDGLYLCNAAQFSSFETLHINVTAYSRPSITIFESPAEDRGLEGQAAQFKCAATGKPAPDYKWFKVAIAREYFL >PPA20808 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1708296:1711882:1 gene:PPA20808 transcript:PPA20808 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEFLDEVPRSVGEARARFNFIADSALARRLQDEEFRGHFSANKQERQLIGRVDNSMIQGRSKDGSDAKASHDELLDEILKQEALRMENIKQTLMLDEEMAGRLQRKFDGEAILSKLLAKNQDESDARLARELHKKERNRQVLYSRRPYPPNASSHYDSDEERPPTPPPHGRRIVQKEGRGNDRNNAMKTNFVVTEIGEAREKIIDDTVKAFGGIDILSQVINVGQSESATDCNGLSVEMFEMKTLKSMLVLTNLAVPHLVKSSGAVLNVSSIATLPCTMQESSFITTRSAIDNLIVQTATMLMNTGIRVNSVNHGLLKTGMVSKLDLPKEYEGALIYLGQNVWILPFGRVADSSDIAKLIVFLSDHTQSQLIVGQRVIVE >PPA20813 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1736612:1738865:-1 gene:PPA20813 transcript:PPA20813 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNPTPTADEIRSALVGNLCRCTGYRPILEALEKFAKPADGCCMGGRGGCSCKEGDDESAGPEQTVELACGLVDYEQMQKFDETAEIIFPPKLSRQRTKSIEHQRKTHYALLPYYTGGTVFQVRIASENHSQLPSSSAYSIWLGIQRIDTLKRVDIVDGEILIGSGLSISECLSAIRANCTMSQYVDTIAELFAKYSSDQVKNMVSWSGALATASANSDLSASARSS >PPA20675 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1051543:1052213:1 gene:PPA20675 transcript:PPA20675 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTILCLLAVVAVEAIYRVPMHKIELERSAHKPKAIAEFLKQKYIKGYKVNSLIYEEGLSDFSNAQYYGDITIGTPGQKFKALFDTGSSNLWMPCCWKDKK >PPA20537 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:359588:364028:-1 gene:PPA20537 transcript:PPA20537 gene_biotype:protein_coding transcript_biotype:protein_coding MISRVILALGLLHTTAAIQCQNQYNFDVPWFAAYKFPQMAGEPSDSAEGYGFYYLDSSSKATFKPSPVSLKLPHNAIGYTLAPYYDRMDDNDVLHVFYNDEPAINGTELKKRLLKMKLNGKISEAESVEVGHTKGVLLFDKDSGSGIWLIHSVPKFPQADTYVYPKTGTEYGQQFLCLTLDTQTLAQLGTVLYYNHPDVYSYRLPDWARQIAPDLVKVLESKQYNKDPDSTNLKQPIFVKGAEGTKMDVFAKTHLFNDDLWAGAVAPVYGSLEVETWRRGYLIDTVCDSPNPVYDAQQIKVGGSDQFKYTKDHSKYGRTLDAKDKVVCIGDINRMTSQFKRGGGTVCITDDDLWTAYDTIKEVTITWSPNDFMSFWGQDTKRELF >PPA20822 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1780040:1782923:-1 gene:PPA20822 transcript:PPA20822 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQILPADSILETAVTWGEFEKHIRSALKTDAKLGSSKSVVNIGDGAGLASFCALITCDWICADKDEELPKKVILKIPSVLPMRRMSEAVPKEERVFDSEEGWSYMAQQINKAHNIEIAAYHFFGQFSHLALPKMFYAFRSEKGSEYHGQLCMEFVENTTMMSYTEAHPLKQLKQIARALGKLQAASLNEEPTSPEFNDDIFGDFASIIPKESYCAAFKPLLQFDSSPRMVKAIEDIEKILPDYYGSTLPATIHKQMNYRPCTRHGVAVEDLLRIQLFGQLPQERRDSRKGLLAEMYNSMLADLDDASAVPYTLEEMDELYDLLMPHCGLYAAFLMPNMMKVGKLQNASIKPLTPQANLAMPGISDEEREKFRTVQMDKVLGILEDIVAYDDLNKKSAHKLTFITD >PPA20585 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:578113:580740:-1 gene:PPA20585 transcript:PPA20585 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDSGEESAAQETRVVEPRSPVRNDKKGQSTVTCMREAAGSEEEEDAPTSSTSSEKTNGATDNASSAITFDMNHVRRFPPSDATPDEQVERIREYNYILQTEIRRLLGGDSDRTRLVSENLEFRMKLEEMNEYMSKVDDEAEEEFSSIIRELEQVKNEAAQERASVIELETHLREIEEENNKLRMSVDALQAAHMKQKEIIENMSQKLDSQTELQDQIARLKIQLKDERENFKRKVLIIMGREAVENAFTCHI >PPA20580 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:563677:565885:1 gene:PPA20580 transcript:PPA20580 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGESRRLAAARIRRPQNAPDSVKTLSTLSWDEFAACVRSVNAKCRGLVNEQKEYFVFCIRHNAVRDDGWKDHLQLICIAKHVETGDYRFVRTYSLQRFLNIYHSFAMIPEVANVPAPLPIMTDSILVECSPAPETSQPVKETDAKSVDEKSCCICFDREADTILSCCHSFCTL >PPA20794 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1665405:1666466:1 gene:PPA20794 transcript:PPA20794 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTIIPHLIYAHLFLCFIAQVLKRFTTGQVILVHFILPALYAGQVQQIAYRSLRSDMCCSGKAGATTARSTVAWFAWFAWALTIRIALLTIGNENNEMDDPFDSNLPLPDSAILHPHLQINSVVLCVVGLAGSSLSAVCYVRISIALRMRPFRVWRSDLHILATSFVLFCALCTLTAYFVVSLYTLSFNMSLYFPIRKHFYAFTFFLSLANPWSGKCKPI >PPA20693 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1160460:1163543:-1 gene:PPA20693 transcript:PPA20693 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMKYFSFFLILLAVEVIAQSKDDEEKVDSTVQRGVEGSGNAPSDDEDTVEGSGLPPSQVYTKQTTIIHARPAVNVKPTTSIPEGIVPPKKKDPLPAIINMDLVEKEQREKQQEKEKQTVSIAPAIPRITTNRPNEASQLSNYVLGAVLGGMILLIIAVIIIILVCRRQHKSEYTPARQD >PPA20515 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:177931:180429:1 gene:PPA20515 transcript:PPA20515 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sto-3 MSANDAECLVAREVPAKEVESPSDDIANILSDKISALDINHEEKKEKKKEAASKTVMQPANFMAMQSLRSSALARKTNTSQKFGKGSQSLMADLLNEEKKEEKKEDVNPKKEDEKKEEGEEKSDKKEEKDKKEKKGGDEGGLCHPKPTKINKPTVLTHYRNPAQEDEEEDVLISRGPVRAARQTVAPHHPYGGVSGSRYANYGSTPTTDIGDYTSYSAFGQGYECGTTTWQNLGDSPDMAGYISSSSTPDTVQSSSAESGYR >PPA20645 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:878684:885040:1 gene:PPA20645 transcript:PPA20645 gene_biotype:protein_coding transcript_biotype:protein_coding MCFNLILREAPAKDMRRARAYSVMPEPPATSTSDDFVFAVPMVPNRHSRSTSIPNFVLRSSSMEPSFANGGSRKNSITTGTPSRESSVARESRKNSKINGTPSRESSVARESRKNSKTNGTPSRETSVARESRKNSGTTGTPSRETAGARGSLKNSGPTPTPSHETSVPRGSRKKSTSTGTPPRETSVTRGSRKNSVTTVTPSRETSVARGSRMDSGTEGIAKSSLRGSRLSSILRDDKARYNRALSVSFDIDHAETGDGSTESESPSGSKQHLGSAIPVSSVRQSRKSPGPGLSRFSPRLSAKRPHSPENGKSDAPAKRNNVRYCGHRSKMNQDRREQMKDSECIVDECPSCTVPLITNPLTRTIDIDDYEDRHNVSRPHINKRLCLAGLIANQLVYNDEGKLIRRTDLLGVITRRIRDKFDIEKDTEIPDNFFITGLEGIAFNENYLTPDIVLNPEHFTKATSDMPTRLKMMICSFPVWAKDMYFSMRRMYEQQIQAIINDRGINSTRFDLDIRPPRPSNVPCFCDKYNLRRCGDKNSKLADLQKLGN >PPA20772 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1586540:1587824:-1 gene:PPA20772 transcript:PPA20772 gene_biotype:protein_coding transcript_biotype:protein_coding MADEWAVDEVNEAVDVAPAFELESDRLEAKLFGKWNRREVNVADISLVVYIAVKEERFRKASCPIIERLACSLMMHGRSNGKKLMTVRIVKHSFEIINLLTGEAMWLCTGARDAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDELERAAKFNG >PPA20719 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1320249:1323323:-1 gene:PPA20719 transcript:PPA20719 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSGFARRYLPFVQSVHGSYLRVWLGYVGKYRNTCEHWYIEDKNGKAAYNIENLVRL >PPA20569 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:501275:502654:-1 gene:PPA20569 transcript:PPA20569 gene_biotype:protein_coding transcript_biotype:protein_coding MVKALNLREGPFPAGVRVGAREMAYGRSQRLPRKPAAVRRLAGGAGTSGNASRAPVNGALNSGTGGGATRSAAAASPPPTYRRPPTAPECTMHRIFVYPGSRQAPASATGSSPAAVPAAGSSSTRATTSRQAPAYFSWEKGIFPVTGLSSAAFPAAGSSSTRATTSRQAPAYATGDSTDTVAASGSASTRRQASSAVISFDLSDKCSIFCCIWQLANSLGIDSQKNRSYCIRSNPTVKTKSTDLRTTIDLKFL >PPA20766 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1561625:1562436:-1 gene:PPA20766 transcript:PPA20766 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFANQHERIRTIHSHIKDAKKTIDSLIESSYSVASLEDYDSKRIELRRSLTHFQTTIGTYSTTIASLRRQVDKMRSDTPEQQDSKKKEQKLFEELRTGDDEGIDNDDTLAFAKSDAMTKMEIEARKESIHQAMSIQSQPVHDSTTTQFTPILTQNEHMSTVISTLIERLNAPPLPPITLIPFDGESTQWESFYSQYSSEIGAMSHLSDHAKLVYLRNALTGAALRSVEGIPIEGKNLKSTIDRLKSE >PPA20655 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:936638:943965:1 gene:PPA20655 transcript:PPA20655 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDVTEDEKEIKKKPPLRTIPTVTISEPPSPEKSSRMGDDGKTEQNGALNSPEDNESIGDSVDQSQTLQIINQDLDMSTYSLNLLNADGTGLAENLLPTPPDGGYGWVIVLAAFMSNLIVDGICNSFSEVKGYFGNEFKSSETTTALIGSLLIAVYLLVGPIVGGLVNKYGSRKVVVAGACISGVAFIISTFATNVYMFMAVYGVLGGVGFGMIYLPAIVVVGYYFETKRAIATGIAVAGSGVGTIIMPKLTNYLALSIGWQGTVYVYGGLIFICALFGLLYRPLEAAAAPAVFDQTKSDIEMEQMKAKLNLSDSEGTPEPEEDQPATQPLSHSTRTSEWIDRSTKQIAEDAGVDDETMAKLRSALSECDEADGKHLSPILENKSALKDRSHTTSNPPPNVNATSRNRKLTMTSMNSEMLSTTDLKSHASSRHNLNSGPSRMSARSIAQSMSRLSLAKGATSSLSIAMSGLDHEEFTRPMNRKDIFYTGSIRNLKEFESEGKNLHAYRESMLSIPTAVLSRAASKLSVANGDLMDTGNRFGGSRMSRVTGGLAPEEELDEFYDDSKCKWIPLSIRTAFADMIDLELLKDPVMMLLCISNILGMMGFYIPFMFLKDLALADKMDSDLAGYLIPIIGVFNTGGRIFFGWLADRGYISALAINNFSLCSCGVLCCICPFWTSFTGLAIYSAVFGFIISAYICLTSIVLSDLLGLEKLTNSFGLLVVARGVASLVGAPFAGDLEKDADAVSGKLSVLTERSEEDEYQRTIQSLRQQHQLLREIEDEKRRINSENDKRGAIGEADNENDPDEETVHSALLKEKKPDEPL >PPA20878 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:2022771:2026148:-1 gene:PPA20878 transcript:PPA20878 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKEYKKVLKLHESWYAQAVHSLLGAMSRRIVKDSKDDADIRKAFIICLDEVDEVDAVRESAKCLAHAFDGTIVASYRKKMNAPRYAFLDKTLVVTEVNKFLFKFPNVVPPLKSINNTKASFVTEKPMQKLHMNNLNAKKPQKKKIKDPKKHLLSKKMQPKKSWYRTKTQLKRNLQARRKHFLLKKYGKTAIRLSMRPKRSIAGDFSYKSTVKRVRKVAGMPNLLNAEGSTVQQATKMLKNILKIVKNKPVDNVPLKFLMGRVEKLRSTIHEQSTEKGYRRRMLDSVLGHDHPLKEPIPRRKTMVNELRRLLPKELHPVADLLKHVPGIEKRGRNRLLSPRFFPLFSMDETNDKSALMSPEILRLYRLPALLNGTGMALRDRDSILSLVLETSGVLDVVENTISGLGKGRDAGLGDDLAQITALMTSTFNDLKGMLTKEQHKAMKENDFIMATGPQLKKLFGRDVYNVSAFPFDIDEYDGWSERQKEESLRNAVRLLAHDDPGAMLKRRSKRAIEDIVFPNGYKIAFLHHVTLSPYAFSPTINTLAVLGPAILSSSLFSPNIASPLLLSPPVMSPQIGNPLILSPYVLGPNVMSPAILNAYVLSPYVLSPNVVNPYVMSPLILSPFVLCPDVLSPTVLSGAVLSPSVLSPAIFTKNAMSISVLSPSFLS >PPA20525 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:261804:264855:-1 gene:PPA20525 transcript:PPA20525 gene_biotype:protein_coding transcript_biotype:protein_coding MHRNVEDILLKTSKDALKELKVALIIVDYQVDFATGSLAISDRCFVIHCQNGEVVLRLNHARQGTAGDAGEDPVARIPNVNRLLELPFNTIIITKDWHPADHISFLSAARNGDRRLAANSTAEMFGKAYFVQPKREQVLYPDHCVANTHGAELVPEMKVPERAIIVLKGLDTLVDSYSAFMDNEGQGRSELFEVLKREGIDAVVVCGLAYDICVFHTTKDARNLGFYAATVRDASAAFSSAGATEAAKYHIDERIKEMTTDDVELMMKTRDWPEFWLQCAVKEGKINSNNNLMESLKSSS >PPA20640 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:865580:866078:-1 gene:PPA20640 transcript:PPA20640 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLLLALCVAVAFTLDCRKFSFAPACRGLLLKRASSAQEFELATKTCTTPSDVLSKVIEAAELAGYGEVVDMAFLRSFHNKALTETIAVQHMNTKA >PPA20770 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1573559:1574064:-1 gene:PPA20770 transcript:PPA20770 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKMQTFFGSSTKSYKSSYAWKIRTKGAPWWLLMRKCLPNARLWSNRYCETF >PPA20742 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1452159:1452888:-1 gene:PPA20742 transcript:PPA20742 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLFLFFALLLLGIHSPVASDCTPSQHPNCVHWVRNGFCENIAYTITQRQSYCGIACGLCNSDGGPICIVDANPKCTYWAANGFCNSTKYTQAKKIATCCKSCGSQPATSSFSGTQDNDLTTIFDNDFYYFGVPVNVHSLINGANALSLNRL >PPA20493 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:21515:23328:-1 gene:PPA20493 transcript:PPA20493 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKATLFGNPNCYLISAKNCVNGHDVYDVQKFLKIRLTVEGDAEFLVKWVGFKTPTWEPRNNLDTSAPMYQEFLRKEISSGKTPRVYTPRSSKGSTKNPSDKASTPKQLKAQREMEEALSEDQYEQEEEDVEDEQEDEEEEEEVEEIARRCQKRQRSVEDDEEDEEEGDEVEKKIARVDQENNNRASMCWFL >PPA20610 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:666334:668699:-1 gene:PPA20610 transcript:PPA20610 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMSDPRHSEMIGSSLDLEDALGLIPHHPDQDVNPLDPHNLHHSYAPQHHHQLDNHHQPQHSYIPDYASYHQQEMQNELLVPSQRQQPSADMLLPSQMQQPIGSHLTQPLGSQPPPFGTSHPPIGHHLQQQIGSQMQAPIGTKSQAPIGTRTQPPIGPPTAVVQPMQPVPPLQPKTILQQAQKRAERPMPIPPPPLPLSVIEESLMARMKLEDPDMKVREKFKEHVKEGPMIVVGKKALQEFAGLSIPNALSPRSSLITLYV >PPA20789 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1650996:1652635:-1 gene:PPA20789 transcript:PPA20789 gene_biotype:protein_coding transcript_biotype:protein_coding MADRYDVVVYGASGFTGAYIVRAIATSSIFKGKTLAVAGRSEAKLRATLDEVASDIGVAAVSHYPIIIADSSDEQSLAAMAKRARVIINAVGPYRLHGEAVVKAAVENGESHLDLSGEPAFLEKMEMKYAELAREKGVYVVGACGWDSIPCDLGTDFLKRNFEGTLGYVETFVSNHRGPSGYSFNAGTYQSLILGISFAKEDNLGKLRRSIMPKRLPDAKCKPPKRANLWNVQEKQLEGWALPFLGSDKVLPFLFSL >PPA20556 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:434326:436173:1 gene:PPA20556 transcript:PPA20556 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFQCLLLIFKLNQPNPEVPVFSTKRVGLHVELLVEAHRDLERRVARMKARNQDNKYYWADELDLVSSLLESTRTTIAKARAHENGDESFIQKESILVNLLSSQKDLIERKSEQLQQLEKNARYA >PPA20540 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:373577:374692:-1 gene:PPA20540 transcript:PPA20540 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTIRCGEEMIDSKLITGVDRTHTDVNFNESFVFTSKSDDFCLEIDLFAARTDDIDASGGSIAQRITRSLGRKLGQSTKQKLTVGEEALKASLHTAPHALGSTQFNLLARAQLAISDAGDESKVHDLKPRAFVTANKVYFIHEH >PPA20497 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:46049:48051:-1 gene:PPA20497 transcript:PPA20497 gene_biotype:protein_coding transcript_biotype:protein_coding MHELKDDALFLFQHDVAPIASRRDSIASVRTTRSVIGHRAAASRDPFATLTRPPPQHYVMAGYTRNPMTSPSLVKKSPLHAAVDANKFSSVRVVRNVRGFIKEWGQKDFVPPARSPLSPTLAVWHEEGNASRRSSIISEGGQSLIGRPAHMEAAPRSSVWQTQIGVPPTPTDVEPALTPLTERRREYEQTAAITGLVEGKHGLPPMVNLLA >PPA20593 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:614457:617858:1 gene:PPA20593 transcript:PPA20593 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEEVKFAVVRVKGKQKAVGLLNILLSNGYPDVSFVSNLDHLGFKLETLGEASSSKVTSSSAASVAPTTASAVSTTAEPPRPSTPLQSSIASSDSKEQELKDEVEPLTPQPANGSAASNEEPKAEATDLEPNAQMPPSSDHLPSTSSVPYGLLVQPPMIATPQKRQMQQQQQQSSAQLPQQQSVQQHGQQQKRYGQQPGCSNQSPDTLAPATATNSLSNLASLAEQPYRLPVRLNHYLSTGSPTGTHVRYPQKWNQQVSSLSANHPFPPQHQPPNPWLQKPKKVFDKEPKSIGERPIIFDPHKFTRARELEEEPQTGMPPNNPPTGFTSKMQGLQRLVHPSTGSPNLAPVPQLTPSQTKILSEALNYTLGQLNPELKEACTRHGITESQV >PPA20501 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:65013:68202:1 gene:PPA20501 transcript:PPA20501 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVFLLCLFGPVAVAFAQDEDLDTTLPAIADDADIGSLAEGDGEIHADGIALSASEGDGVSLDDSIEDIDSNADIGEIEDTKIDEHFHPRVCGCSLHRRRVGCLCAIKKAFHLGFTKGHTLGYERGFDDGKKKGEEIGFGRFTTGTEIASQGKLVGFDEGFKDGRGKGFAEGIVVGHKKGRFEGIAIGKKEGIKIGGEKPILPRSLGWEAGKKKGIHVGYLKGFHAGLRKGKAGCEYKLESLKNKMIHLSPKH >PPA20850 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1916033:1916804:-1 gene:PPA20850 transcript:PPA20850 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAALFAASAGLRTASACHPTLQAAERITEFLEGSGEGSGEGIGEGSGQEESGEYAWLEMMMTGDVAEDDCVLLHYDCPTGEDCVEPTQEMPGVWTCGGLRTTYCALERVAVRTTSSFAELEPGTWTSISTGHFTNALVLSKSEQTVPSGVTLDDVIAESPNFYCQRMQPNAI >PPA20542 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:379395:382147:-1 gene:PPA20542 transcript:PPA20542 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLYTRHNGRGKFDRNCSYSAVRIPKSDRRRPAIAVDNGQKQNGQLLDQQLRMLKLENRALQNELETVARTTEHVQQSHRKTMEAVLRGEVPSREPGGGWERHPLEKMLSTDGRKCEVAKHTPSEEQEHLRVFAYAVKQIARDAEAKFYGQRDLTERAAFENFVMRNLLENRGKNVDYKELVRVTPTDVPKSEEKTVAEFTHEDVKKASEHFEHEFWAEHEKDRVEMEEKAIVKEEKRRKEQEREDGASVVDGLLRQYQRQK >PPA20504 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:79162:84087:1 gene:PPA20504 transcript:PPA20504 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFLRIVATTDSFQLAVVSMAPRTKAQQKSAKPVKKGTKKRRSAKAVKQVQKNEVVREEETVEEMQEEVEEEAVEEEERCGICFDGISKMRKIRFSPCNHQLHRKCGMDYLEKLPHPRAQICPYCRMHVEIIHIDASYSIPISNVMCYGDSGMPTKRLVLEHAQWTATANEDIGHLIWLLISRSVCVRNDLVSEGDGGIGLSSRDRIQEKREYRAKCETMEKRITMLRQMEQLWIAGGMNVEELLMKNIDEEFNFKKEMDRCKRLKGAIKLSESRRIV >PPA20665 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:996683:998744:1 gene:PPA20665 transcript:PPA20665 gene_biotype:protein_coding transcript_biotype:protein_coding MYISAPDEYQLVQNFFDITELEDQACTSTGRTKFKVVINGQEMIIDGTVTPANEYTPQQQQTPGELEEELEEPVEYNMMHLPEAVMSGGQSMQMITLPDGQLALQVTQMEQKPQMAQVVTVDEEGNIISTDGTFAIGMPSSAQSQGAVMMTMQTDEFGNMYLQEESAPSTSGVGNFHCMEVTGEE >PPA20623 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:743155:747711:-1 gene:PPA20623 transcript:PPA20623 gene_biotype:protein_coding transcript_biotype:protein_coding MLWMKCNVTKCEMLTTSLSFSNFGMDKPCYLLMCLVVIVLSGAIPEALLYSDWITEQAHKNGIILPLANNTHPAMHDMSIIEQWKETDDDSLVRDFILAAVKLEAFCDAEYYGEACMEHCPQGCPHGTCSNGICSKCEYPYHGEACDRCGQCECATYYEGAQCDTLINNCTIVPCSPNGRCDETSHKCVCYGLYEGNFCEIHYSYWRSKDFITKCIVYGAFFIIFILGSIKLKCSEWPKAICSSDMDEPNDNISLGAKDGNENLREN >PPA20851 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1917434:1920826:-1 gene:PPA20851 transcript:PPA20851 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVFVLLALLGIAFAHVHQMRLRKKDSLRKMLIREGQWEAYMATKSELRASRTSFAAGFPQKVNDYDDAEYVGNITVGTPGQPFEVILDTGSANLWIPDSTCAGGLTNPCEKKNKFQSSQSSTWVKNGRSFTISYGTGSAKGFLGQDTVRFGTDDTDLTVPKCTFGQATSIAPFFKNEVIDGILGLAFQALAVDNVKPPFIEAIDQKLVEQPLFTVWLEHEFNLENVPGGIYTYGAVDTTNCGPVIAYQPLSSATYFEFKLTSVSIGSYSNSKGWQVISDTGTSLMSAPKDIADKVAAQVGAKFEVQFVYNRTYSSLDMQSQSHWFQFDRTYGLYMLPDCKTPFADLQLVIGSQTYTLNYENMIFPATETKCALAMDSFNGGGFGPSWILGDPFIRQYCQIYDVGNKRMGFAPSLQALDM >PPA20795 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1672523:1673097:1 gene:PPA20795 transcript:PPA20795 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSDLHILATSFVLFCALCTLTAYFIVSLYTLLADMSLYFPVRKHFYAFTFFLSLANPWCLIISSCELKAQGTVSDRIVYT >PPA20728 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1368618:1372745:1 gene:PPA20728 transcript:PPA20728 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLIGLATALIYGLYRYYTMVSRYPRGPMPWPIIGNMFQQDFARQHLTSIKFAPSFDGVFTLYTPGPVVYLTDYVALREAFVERGDDFAGRPDNIVMEELLMYSPNSDFGMGKNLMEEQVLSSVREYLDALDKIENKEKVDLCWYIQLMVGNIINETLFGYRYKYDDCAQLINYVEDFQKWIGDLAKSPEFVVGFVAPVLLKIPFIGYHCLYKHRDNMRKICQYIVDNVQRCMDGYKSDDEPTCFVHAYKQRMPNNSFLDDDNLVSTCNDFFLAGHETTTTTLRWAVLHLAMNQETQENLRREVHAVVGRDRLPRMADKSKMIYTQATVLEVQRMANILGLNLAHRTTRDTVVKGHRIPKDTMVNGDIHYVMARDPHFVNPERLDLADRVVAFSLGKRACAALARVELFLGLTATVQNYHILPRDGEPIDFEPLPMNILQPKDQYIRLEKV >PPA20692 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1151460:1156996:-1 gene:PPA20692 transcript:PPA20692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-frm-9 MATEGYSGKVANEIYFRTLRPSVHGTRLLSGVTGREEAIRDPESIGDDARGSTNTLTELAQRRHGNQHRRSNASSTVSTHSGGRSTCAVMNTLHMAVAHKQKEIVELLLKFPSSEWLRPKFSRTMPLQGELHRNWKHSSDCNHAETLHTLGRTGNVLYMYSIEGDFDCRSVADDDGNTPLMLAVRDSPISWQCLHTLIFFGAQIEQKNMRGICPLDLAPELRKIQQSCVEELFKAACSEDKAELKVNTKEIKKDPNWVRLQVDNLSQGERSMNKAPLSPKPSAAPSMSTTSMLETSSAKETARRKSLISLQLRQKAKAPKELIDTITWQQAWELLKKMASNPECIETIQARFGKTAVTQYEQSTPTYKKSNKLSLISLLSVLTTFSYQFLARTGTLRQFSALNTLNKNEESRSPAFDGNAQFDQTSTVDHVFVYGANFKIRSPKTFKTDKFQETSRLPSPAPVAPKSRTDLMVAFAKMDPIIVCLHNAITMQNREAGNKRFRAKLSERQQLKLIVQLLESTLEPQLLCLVLQSLALVALDSSTHEAFIDVQIDDALIQMLLPSDDWYYTRHSTKFGNFVKYHAARILVYVGMGDRVGSRVNFSLPENGARSELPPFPSTFKPSFWKICDSKHFT >PPA20613 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:678963:687284:1 gene:PPA20613 transcript:PPA20613 gene_biotype:protein_coding transcript_biotype:protein_coding MGATWLCLLLASTVYAAKNHFASSPFDNFRIECPKGAGATGLGVKMVESVGAARQDVVFDLSCDDVNEIYPWINMPGGVKSVEREDCYYSKMFDPIIDDDIDLSCRAREYMAGITRISETRIQVLCCRLRSRDEFNCGELTFNKPLGLTRSSIIENENMLINGLSIQGMTYKVRFCDLAPRSIEAIMEDVPPNRRKVVHIATTKAPARFATNPYLSQAYVSLYHHGDDYSTVYDHSTAPQSNPASYETDDVPTTQPEELEPLTDGDLLSISSELEYENPIDGNAVPLRSRARGVAQVQTKALVNADGVLKASSFSIDEPTSVFDKKPVVDVVPSTSSTSISAELEEATTKNEESSEASEEEFEEETTTVASTTTTTIPSTTTTVTIPTTQTTTTTTTTTSTTTKAPTTTTVTTTTAGPSTTEASFTPNFAGAVPLEEEVKPAVAAPSPVVEPRPIVPNPTHILPVKNTARIHEAEGKNGPQMNEEISRLIKRIQDAQSEREQVALFQQSISTLLSKDDAAKTPDLLKSYTIAELELPIDAIDHLTVANLDFPQKPSRNQQMSLRGNRISKADLPLRSSAQETKAHADASYLLLKSVDDQRRAPIRSGVHPYVRGISLDDDKKEGSKDGEVTPSKPKGGWRIQIGTTPESAFPMPVPTVSPVVPARSIVPIAPKIVRPKFVAPVTTTTTTTTTTTTTTTAKPLPVDPLLFKQRVVLSNRDLDRISDQADYVDPESDDERSGHGLQQRRDIIGKTHERSNRRYRVKNKKMDNALLIDEDTRVSPFEESLTPGKEGKRMLSPLPPLRVFGKKDKRLKAEIKAKLNAGRFGAIKSTTAVASTVPTTRAAPTSEETTTTSAASTTTTIKAPKTTEAITLETSSASKTTAATNITVLADKITVTSTTPSEESGEIAQDEELSMELPEMAVAEIDEETLSNSLSKDIRRAPARYNRLQPEMMGDKTELEPPVIGDSIDGPVVSIEKTARRMAKKMKMSKDAVKTHQPVDGEAMVMTGMMSMAAAQPAVVPSGAVVDEKGSSLLVSSPEESDSPAASQEFTTTEDPSTTTAYDSDKFFVTRKPIPYIWRPKVLTFCTKEDAVRDTDGMVVACGGEVTWDPPR >PPA20527 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:294666:295969:-1 gene:PPA20527 transcript:PPA20527 gene_biotype:protein_coding transcript_biotype:protein_coding MRHKGISEIRVPSSEEDVDLDKFMQDMLASQATQLRELQHVIGFIDKFISNYKHSLRKRVPVESLIGSVDSDDELPVSPASSSDEPLNSFTAPVIDQEAPSLAMMHTPQGMISIPLRLPPSEAQQAAARRLIGGPPPMDETFRIRMLMIQTALAKPEPHRRQYA >PPA20724 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1357958:1358992:1 gene:PPA20724 transcript:PPA20724 gene_biotype:protein_coding transcript_biotype:protein_coding MNARIGISSSSHAHNLSSKKYSSIPGPAIPQLCPSCADNQCIDFPGGIDDSEVDEELLNEWDQLTEREYELEKRLERIEDAVHEKHLDIKFARAVILDIRRINARTPLRRQLTLLRMKEHAGKMKTSCDSLRGAVCKENESPLVTCTAGLAALMCNSKA >PPA20838 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1856373:1857393:1 gene:PPA20838 transcript:PPA20838 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDLCLLTVTSVFSLIPIEWAPADSSFLSIVITYIAEISYHYSSAMHVLFAINRFIYIVFPTLQQAWRKATPKILVLSAIVTAFHTIMMSILDLNLYWVYDRVTYIWHMTHTEWTDFYVKYFEVLWSTCEISLILILDTVTFGFILFKKFKVSRNDVHMKRRVESRLVLQSFCQCIPTTTVNVVYFFILPHTISPHLKIVFSSIWIVTNLLDA >PPA20660 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:969869:973247:-1 gene:PPA20660 transcript:PPA20660 gene_biotype:protein_coding transcript_biotype:protein_coding MHNCVLRTITTFDGKKNRTMSPPTVRELDNRHRAVSRESIRETREEELMRAEMEMQRSRASDKVSEWRTDPISPPIRSPPTPRIAEELTTSDVTTTVTHIVRSRSPFDGTHIAREVSALSPTRELDPIRTIDETDEERIRTETILKERKEQERIKNEEMLRIERERRIIEESERRKREEELLVIRRREENDQIAKELKENEEREQRRKEEEERRRREQIRYEEELRKKEELERETRERQRKEMEDSKKKEQAEKDAAEKKRKVEEEEEERRRTEELIEIRIREERERQMIASREEAERQARLERELKNAEERQKRIEKERREVEALEEKARREQIEMEERRRQMERELKTREEELRSREEERRIAERERLENEKQAMQARIDRAERERIEKERLLAEMEETRKREREKIEIMHKEEQERKLEEARKRKEIEDAERLKEKEERDRRDTEMRTRREEAERLMREARVTVVEETTNRWTTRVP >PPA20696 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1201787:1202333:-1 gene:PPA20696 transcript:PPA20696 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNRGTSESERGRIHRSPYPLVLDYDWSYCNDGLSSSDELTRLSDMYRGQIFQELHTYYRDLQRIDCYAGRFGELC >PPA20781 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1626853:1627990:-1 gene:PPA20781 transcript:PPA20781 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFIALTLCSLLLPKSCGLGQPSIVSHNSTHRPGLDLVLRGINATIRPGEKIGIVGRNGAGKSSFALALFRMIEPAGGAIIIDGKTTTEMGLHELRKRLTIIPQEPVLFSGTLRFNLDPFGEYSDDQLWRALKLAHLETFTSALTAGLDHTISEGGENISVGQRQLVCLARATLRNSKILATAAVDLQTDNLIQATIRCHFKSTLHGVHDCTQAEHNSRL >PPA20539 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:370637:373182:1 gene:PPA20539 transcript:PPA20539 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPTKRQKKDSDDFHSEQLANTGADYIFKVVEYEYEELMHIWSNEKDWITENAEGHWKKLKKKRPIIIGKPLLRLLSKILSKYARHDEICFAKFDDEDCGLSDVMFDDNVDSDDITALFPHFFCIRSVPPRYWDEHAFNEWVLAEKRSDYLFEEDYSSEDGFENRNGKIKNYPISLENFSRVHIFTSDFAETSINEIMEAAKARMMDDQEGEQGINWHMAIAEMNVNGVGILMQEAGERHNIQNLSVVAGYAMIMGPHTPRQLI >PPA20547 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:389674:391086:1 gene:PPA20547 transcript:PPA20547 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTEDSTVIVPKKSLIYSETISLAAVVAAKGVMKRSKEDRLSFRPTKRCSRDSSSTTNIGASRTVVAAKGVMKRSKEDRLSFRPTKRCSRDSSSTTNIGASRTVVAAKGVMKRSKEDRLSFRPTKRCSRDSSSTTNIGASRTENAT >PPA20534 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:346040:349516:-1 gene:PPA20534 transcript:PPA20534 gene_biotype:protein_coding transcript_biotype:protein_coding MLYAGHLPIIFAIIFTVNLGITYCFAVANHHVDSIFPYVSAAGNNQPESCIFSFLLNLSSVFSIMIVCMRCALVSSILRERERERLERTNIISSYIGIISGFAMMLVASVQETAIITVHLSAACVTFSFGCVYMVMQAWLTMQMHPDYNNGRIGWIRMGLAVGSCVCLVMAITFGVAASLVFHSYYPDLPTPRPWNRKIWQPGYTLHVISAVCEWLLAVLHVSYILSYQRDFEKLRIKFVSETVVFHLDTSPDASMYNA >PPA20496 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:40861:44370:-1 gene:PPA20496 transcript:PPA20496 gene_biotype:protein_coding transcript_biotype:protein_coding MERSKRHKHANTTKKEFVFGPEQPQPYRKTILLIGPKGSGKTALVNSFANFVNNVTTTAPYRLHITPVNEKANSNSSMNYAPTHSVSTYVFQNTPLGFPIALVDTPSIGVVEEQSPSVEHIKTWIETDYQRPIEDLEIWFVISAVDSDFSSEAEEDLQVVLDAVEWKSRVIPVITFAKKRKPILAQRELTRLGFRCEHEGVDYFTINNGSILGLSTELLEINAVPYDIGMENLRSLVLSHREPELMPLHISTNEGSLKFSTGSTDSDQSNETLSTPSTSPVKVVTYDESPEVDRSTPLNTPEPVYDAPQPILSAHTRFKSCDSEKASLVKKREVVAPLQKEKMRSTDCIVDEKKTLPSYEKFLKEIRKDKSRIDPLKRCQSEDGLESDIVRRKFGFVSISQYETLV >PPA20571 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:503646:515223:-1 gene:PPA20571 transcript:PPA20571 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVDGSLKDNDAAAAGPQPPPQASPSTQDAAAAAAAAARPTARPPSIKKRVADKASRYRFPPTHVASVAELLEGNEDNFHVEFANDAIRIFQGIATGQCIPGPLISNLNNLCFLHVDDVDAGTQALLLLGRALRKAAESRNEEITVSEGLKVGKYGLPELLPPKPPMARTSSESAESGEQSAVIRRERRGKPFLFDDRKIKIEEPDVIIKEEEVEFVNGCITYGSYIGPNASYVDIANLPPISFPIKQINHVSELRMTERRMPVEKHRAANAPFKPFELQFDDAGNPLVKSEMMGDDDFFDHQINQRKIALKYEQVARKGLYEERFIMRHIASGYKKHRYECDFCGIICQNQHKYASHVRHAHPKTKAERAEIAKLKAERAAELKEEKRIRDELNPRVPREKRERRTALARKQSGTNLTATTAAPAADKICKEKEEKREEKKTEKPLEKKVEKQRPLFSFMLLSSCALLANVCPICNEEVASQHVFASHMRYNHPKDNTNTSMVGRRATVKRVAKEDVFDAPVKIDTPESALHALSSVLSATKPAAPTVRRTKTNPDGTGAYKCRVCGRVFDNLNACAGHTRHCIAAHNAAPSPPKPAPQKTTAARPPPAAPVVVEERMDEGATEDDDEDAEGTYECRVCHKLFNNPNSVAGHTRHCLALNGGDPSPRALARRKAKTQPMPTFIIKTEPLPSTSSSTGAKVAPAASSSSAEPEVSRVSRASKSAVPSQPAAAVPAKIVKVEPADETAKPIGRTTRNSAATALEPAPSAIELPASLSGRRATRSSIGPAATAAPAAPATPATPAALDGIVTSTPSTSRLSTRSSRSSAPLRPETPSDAPPIASKAFLDLLPPVKRSRTALGHNDRDIKREPTEDDDTKTSIKQESVDDDQSGSPKKRLSKGDKKAAMVANRGFDTEAARTCYYCHIRLNTFHAGDRHIRMKHSGREQIYACSSCKAPFLTLGGLENHWTKGEHCPQGTVVVAGRCIFDLEKEVLLRPEEVKLKGLDLSEDGEIAVKEAAEVGHTKVDQPVSKSQEEKTKKVVEHKEEKKVEKPSEKKEAEKFEKTTERKEEKKIEKTTEKKVEKPAEKEKRMEQPSQKKEDKPVENITEKKEEAKVATTIEKKDDKRMEKAVEKIQEKKDNPVEKMEKKLEKKDEKMEKPLEKKVEKKEEKKVEKIVEKKEEKKEEKKIEKPVEKKEERKVEKKEEKKVEKPDETIERKEEKKVDKKMEKPVEKKEEKREEKKTEKPLEKKVEKKDEKKVDKPVEKKGEKHVEKKEDKKVEKKEEKKEEKKVEKTVEKKEEKKIEKKEEKKEEKKVEKTVEKKEEKKIEKKEEKKEDKKATEKRVEKKEVEKSPRTKEDPKKDERKSEKEKKEPEEKKSPAVASKPAKRSRTRDSDESESRPGSSAAPAVISLAVGRSGANRQRKPADRFDPTPITNSTPK >PPA20632 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:807193:811348:-1 gene:PPA20632 transcript:PPA20632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-acr-25 MNLFEWQQLLLVFCCAIITNLSQASKREAELYESILEKHEALVRPVENPDDPIVVKMGVILQQLIDVDEKNQVADLNAYLRMEWIDYSVQWDPKEYEGISDLRFRKEQLWTPDVLLYNSADPQYDRSYASNLVVYHTGLVNWMPPGVFRLTCQINIVYFPFDVQTCWMKFGSWTFDGTKLDLQVDDNGFDISSFIPNGEWKLENTSVKRNVQSYECCPEPYYDLVFTFTIRRLALYYAFNLILPCVLITLLTLVGFTLPPDAGEKMSLQITIMLSICVFQNQIAEMSPPTSEAVPFLGLFFAMCMFTCAGSVVGTALVLNFHHRNRRTHKMSMTMKLIMMNWLPWLLLMKRPGCIATKRQLIRRKKQVKERLDICLAALLEDVPAPDEDEVLSMDVESIKTTPAMLAQLLVLQQIYKELNIMNQKVEKAEIEKASEDDWKFCAMINLFSPSMDKYSVVYTLAYPTAGFCSTGASYAAGAGAEVTGACGSWD >PPA20862 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1960861:1964132:-1 gene:PPA20862 transcript:PPA20862 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEASTDQFSPSRMNRLLLVSLAALTVAISAKELSKAAKETITKSKVYSEIVKELKEQGKLKKEAEAASKKKGSEVKPPPMRLSWAAWGLQNALVNLEKAQDKRKKAFAKSIDPKVTQEIKDYYAEIKAKTAQAADYIKNAPVEAPKLPGGKPAGKPAAKPTANGKKQ >PPA20746 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1463455:1464406:-1 gene:PPA20746 transcript:PPA20746 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAHFLLLLSLGGLFSAVQAATVTQHHRDLRAQEEIKIAPTAVIKYNATAVAKPGKFAVALKNGKVAKRCCCCCCPCCCNNCCCNNCCNNCCCNNCCCKKCCECCYHKKCCCCHNCCNNRKCCNNCCKNNCCCCKPCCCGCCGCGCGCCRRRRRDHSNVVKRNNKKTARFSRLKRVLGKKTLKEQAIETADKMCGKKCETLKEKVESQRKDLY >PPA20521 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:215433:216213:-1 gene:PPA20521 transcript:PPA20521 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLGDALCVPILANILLAEGQTNGSVFPVVMAVDSFIRFVLAHLRIAMNIFENA >PPA20846 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1905044:1905498:-1 gene:PPA20846 transcript:PPA20846 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPTPEMIEKFKAGRAYLKANPTLLDASIGQLSAAAQVPAKKFRDMLLSAEEDPAKLQALSVSIKNSIPVHLEKELQAHKAEVDKILGFPA >PPA20828 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1807971:1808289:1 gene:PPA20828 transcript:PPA20828 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLANVIASFLWFLDFAEIMHSNVAQRSIFMLCSLFALASPLINMFYIPPYRKYLKSIFVQATIGPVATSFIYSG >PPA20710 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1285948:1287129:-1 gene:PPA20710 transcript:PPA20710 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRLAPVRVLHRRRRLSRSSCSSANPTVTTIAPQRDPQTTPTPHKDQMKQPTTTATLQQITQSTERPFTCANCQTSCAANCTTPICMDSCMPACYVFCQSNAYCLSSCPTACSSSSTPTLNVACVKACSVSVTNRVVYDRMFLDNFTPSQSSCTTAAPQQQEQLTTTYAPMLRQNEQELRPVKAQPISCAACQQSCASGCSTPDCMGRCIPACTVYCPRTASRRVLYRVPLRQQH >PPA20633 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:813943:819476:-1 gene:PPA20633 transcript:PPA20633 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSTTKQLLSIVGCANGVAGRQLGCEKAANVLQESEMLQRCRIQHNWRSIVQEAPSGRHLGALPGVTIVQKALAEQTAAAIRNNEELLVLGGDHSCAIGTWSGVAVALRPVGDVGLIWVDAHMDAHTPESSDTGNIHGMPVAHLLGQGDKGLNRILDRLPKIRPSNLCLVGIRSYEPPEKELLQRMGVKVFYMDEVNKRGIEDVMGEARERVSRSTVGFGMSIDIDGFEVSDAPANMKVYAHSRSGRNWGIRCHRPVDIREEFLEHRGCKMAVEVFFCDNNVLVGRFHFHFEMLIVHVLMFHLSSPSSHLRKLRRCHRLSRSDDVIVSRIWLNIEHGGDLMRKKPEGIDATAFLHALPTLDMRNLVATEIVEFLPRFDDDNRTSERLVVNLIETIYATKSFQRLTSRNIEDRRCLPIDELVDETRRPMYLNSSEQFGEDVSEVCDWSRSTAFGPIRVVNGILTAN >PPA20801 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1686080:1687590:1 gene:PPA20801 transcript:PPA20801 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIITIYVCFSSTALSLNISLFILMLRHTPRSFANYDIVMKFQVFVDIATNLAAAISMTRHRRRSNNYHVHLPFTSRQYEYPYVFHHLGAKIMKCLNDTGAFLSDKTRKMHSQFAKMLTQQCIIPPFVFLATLVPAQLEYMNLVRHPILEAMINIFACLSTLLSPLLVLYHIVPYRRAMVRWIEGREKPSVSTNFDSSVFLARMQGVKL >PPA20798 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1675871:1676130:-1 gene:PPA20798 transcript:PPA20798 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAHYGLMCVLMLGIGQMCLFTGYYTQSFIVDSVLKSVAEGEPKAIDPNAGFYGYCFI >PPA20791 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1656326:1657117:1 gene:PPA20791 transcript:PPA20791 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSHQYAGQRFIRSVHGDFLRVRLGDENRCEWSVCLTPSSKGSEQWHIDKRIGKVVLRTGCSPVKFLRANPDGSVDLADRAHAWEEWTLVRNGNDTYSFLSHHGSWLSARKNGKLAVVESLRAHSTADEQFTLGQS >PPA20764 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1541353:1542932:1 gene:PPA20764 transcript:PPA20764 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMRDVSIAKSEFKAGEKAITKLQDFQNDEVLFDYCRYPAVVDTIKDLIGVPTSNLTAMHTMLINKPPDTGSLTSRHPMHQDLHYFPFRPADFIACAWTAMEVINRANGCLVVVPGTHKGHLLPHGYPKWEGGVNKAYHGIQDYDTSTPRTYVEMEAGDTVFFHPLLIHGSGANRTAGFRKAISCHYANADLCKYIDIKGTTQEELSYEIADITRKKMQRAGIDADSAKTIELDYADIWRARARAVTGSRANL >PPA20817 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1747386:1748095:1 gene:PPA20817 transcript:PPA20817 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGTKPSAPRITNGACEESMVTVFNAIDIFSYALCVFSFSVLLGIHYSIRDEDTRFIPSLDNAIEVTYSYLLPFPSSGVATSFTMV >PPA20865 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1967447:1969566:1 gene:PPA20865 transcript:PPA20865 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRLLLSLAVVAVAVLAAPAAKKADAPKEHSKAAKKHLEDFKAITDAYTNGMTVLHKKFTKEVFAEVKKENAEQKKATNEAAAAKKKGVEVTVPPMRLTQSGWALKNALDKLENTKAKKMADFKKNTDPNVVQEIIEYNKTRAAGKPASKTTPKPAGKAKKQ >PPA20599 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:632339:633406:-1 gene:PPA20599 transcript:PPA20599 gene_biotype:protein_coding transcript_biotype:protein_coding MCDEEIAALVVDNGSGMCKAGFAGDDAPRAQSRSDSSDDSYSGCSRKESPTWNLPIPLMTTPSHNGKCSSLHSPPSSTTSTSSSSSIPPSTLPNLMDEFLRLTMNDPWNEIFPQYNPYHNGNTTPSGWSTPLAPLQTTSIPSQSMMWTGVSGGANGMNGVNGTSRRVNHINYHLDECTEEYRQLEKERKQTEAELARHYLGKKISSSNVMPIPRLPSAPSRVDRLVVDFFREHARLVTLLSKMEELRGIPSSPRAIDALSQLHSAVSLLQQCRLQERAAILQQLTLRGDAPRMTEDGQL >PPA20784 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1637248:1639134:-1 gene:PPA20784 transcript:PPA20784 gene_biotype:protein_coding transcript_biotype:protein_coding MASYTTYVNEEILDQFFSGCPEEYENDEVVRNFRKNVEVIKAVKLQFRKVKPSIEEFIAIFGLALWNGYTADLSVETARIANPTLLDESIAKLSTATQEPAKKFRDLMLSSEEDVAKIYDASQAIMEDLPGKVIEELEAYKMEVARIFGLTEDSF >PPA20749 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1472707:1477770:1 gene:PPA20749 transcript:PPA20749 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPEIARTPLVPSTRFSLAIACFLGSAVVYMLRTSLSMAIVCMVNKQPNNDTNNACAPNGVSTAVDLFNATTAEPKKQYEFDWSTKEEGYVLGGMVAAKFGGASPLARAHRYAVEVSRMSVMTVVVAPPRIKVKPHYRIFEGKRVVLGAMLLAAVFTLMSPVAARTHIVALMGMRATIGFTQGAVFPAMHSMWSQWAPPQESTVLTSISYAGTQFGNIIVLPLGGFLCMHGPDGGWPSIFYVLGLVGLLWCAVWMYMAADRPTTHPRISTAERAYILTSREATMGKASSKPVSTPWAQLLTSRAVWACWLGHFAGDWGAYTMLVCMPSFLSDVLGLELQSLGLLSAVPYIAYFIMINVGGIAADYIRARNIMTTLNMRRTAILIALIGQAVFLVLSTFCSCGQDGLVIFFLTVGMALSGLQYIVNYIDIASTHSGTVMGIGNTMSCMGGIISPMITAAIVEKKTQAEWQIVMMITAAILFAGSLLFCLLAKDESTMQ >PPA20562 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:462383:462924:1 gene:PPA20562 transcript:PPA20562 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLFLLCLLVAVIAVAASAMDMGDEEAYNRLANAYRAILFKSKRSPSMGLSLAEYMASPKGGENFHFIPSGRK >PPA20560 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:450275:455512:1 gene:PPA20560 transcript:PPA20560 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVPLLLLIGIAVVSINAAEGFDDYEYQDEPRKEIKKEIESRISKRIQEHRKNKANSEDEKNKDDKDDKEESKNRSKDKEENEEEPLVICDGSSEETCSCGGSEVDCVNVIFDDDTTLNSLDVALSTENKKLEKFKPIIANFADNVITRIQRNRMPEGMEESLASLILTRNKISKIDPRAFDGFKNISKLILERNRLRTIRSDWFAGDIEKTLHVLDLSFNGIKETEKAPFRRLKNLTKLNLDGNLLKLESDFFEGLDNLVTLSMDDCNLNDDSFPKGLFAPLKKLRKLSLRSNKFTDVPEALEDIPHLQDLDLSETNIAELHRQSFVGEPEMSSIYFEYMPFLASIQECAFCDLKKLKEHRSCPTVFATALQLVTFHNSSKLSTIDADAFGFEQYTDSRATSLVELRLSKTNISTMPQHLLEYDTMEKLDLTGAPLNCTCHQLFLKNIKFASGQSSNARCAYPKEMKGKYIDQAMTKEFCGYRYARGYRFFFALFGVISSAIIVTVGYILFNKGYRLLGIEWIIVTLKKTVLRFTNIRNIPMPSLPGANSAYTNLNRQEENELTDPTTPDNTPDFQPRPQMV >PPA20575 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:537340:538709:1 gene:PPA20575 transcript:PPA20575 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQGVELGAVSSAPVIVAKKQRSGSTPTTSEPESQLKTMSTLQKHATSDSLVEKEEKKKKEGGVLGWLSKTFSKKSRSEDEPGTSKDSAEAGSTSSSSKSVTGDESSPAKKASAKKKLVS >PPA20773 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1590629:1592485:-1 gene:PPA20773 transcript:PPA20773 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLWILLLFGSQIAARQLDRRVARQSWGPPPQQRGGYNRPSYSPSYSQPGPPDPPSPASYDRYAQHDRGPRDDRGRDDRRDDRRDEGRDDRDYRRDERRNDRRDDRRDDRRDDRRDDRRDDRRDDKSAERDRDDRKDGEKKKKKDDDDFDMMGDFGNFGGDDAFKDIEKKIEEEEKKRQAKEREKEMEERRKRIRDPYYKPEDKKDENNNNNNNNGNGNNGNNGNNNYNNNGNNNNGNVSSPETQYDNNNRFASGRTVSIRVLRVSEDMEKRVYSINKRSSNAYSSILCSGKYRDSSFCRTTEATMVEVARHPINLHRSSKVATALLPLLRPLHPHMDRQARHNHRIREGTVLLLRHPTDRRLLLPVMATTHRLPLHRLLRSSDGDHHHLRKGTGTAADDTRHNSN >PPA20892 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:2078488:2079062:-1 gene:PPA20892 transcript:PPA20892 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSVLSLTLAYIAATASVENLQSDTTHQEASYLSTTDQLSEKGLCQNDDSCSCYVAQQTELGLLSEAKEIKACAQTCP >PPA20739 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1430136:1430574:1 gene:PPA20739 transcript:PPA20739 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSVLVFLALEVAYSTATLGIDTISVRLLRKELTMRSRLRASSVCTATTTPSTLAELDRVTERSTRPASRTSRMPTLEASGFFINSSGS >PPA20776 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1600898:1603585:1 gene:PPA20776 transcript:PPA20776 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLAVADSTDLLFASLAFGVMSITGEVYCSNPRFQLLFSLGLEFFFFCSGTGCLILAFNRFGEMLRIRPIIWLFKGSRAYWVLGACTIPVCLLVLFTPLMLFNSTHHMLFFDPMIFDGKFSYESPVHFAFVIFLPTASLTCYVLLLLGLVCRYGSVRELRESQALSKATLPILVQSGAIIAIHMTALMTFQLVQFMPAEAVDAALYLAHVGWMLVHVRLWETKPLILDNSRLATDRLSRTERNDSEAVRRYIMDRHAKVVHLSHIHTNYVFPEDSKKEIKICERSSGGDGEYIFPYQPTPFSSVVDLTYFLLHLYINDSPEEANIHNIFSLRICILFIAFVFACDAMVGQYFYGGNRVMASNPYYKYYRPYPWVLAYQGPYMSADTIYGK >PPA20811 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1716068:1718073:-1 gene:PPA20811 transcript:PPA20811 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGTVRVNVGTVEMGQGLNTKMIQIAAATLKVPFEKVLIIEMATDKTANTTESACEKLLAGIQPHMDQCNGDYTKARALMSAWMAKVPLQASEVVSIERKAHGLPDHDHPYFTSGAACVLVEVDCWTGEHKLTSVDIVMDVGDSINPAVDIGQIEGGFMQGYGLATSEELEYDNAGRITNGSISGYKIPTVHMVPKHFRVKLLENGRNYPGQIYRSKGIGEPPLLLATAVHSALRMAIDSYRGKFDFIRLDSPLTAKRILAACQEK >PPA20848 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1913704:1914008:1 gene:PPA20848 transcript:PPA20848 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMISDVLKVVLSAAFALFPVPAHQSRFALAVIVVRLAVLLMAKSDRINYNSY >PPA20570 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:502941:503272:-1 gene:PPA20570 transcript:PPA20570 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSASAIPSARRVSTRPRSTVQSGGSIGDSSSVQGSSPVKHRRSLANCAPRKSSSLIPRQTGSRNTVYQIVAITA >PPA20853 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1925506:1927157:-1 gene:PPA20853 transcript:PPA20853 gene_biotype:protein_coding transcript_biotype:protein_coding MRALMMRPVVVLLLLIAVVTAQQHAAFQAKFEKLVTTFLSPNTDKAIDVVAQDMLTQKPIGDLLQHLTEQIIGLVPQSKLESAIGMLTTFQSCLESAGSSLEQAMTAIGNAFQAKLAPVYKKVMTKVKKMRKNKKEDKAILNQAFKIATNGLTKKVVQGVINLCMAKSSKVEFECSVPALKMIMQTAQYNMVYDAKRG >PPA20755 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1496748:1497413:1 gene:PPA20755 transcript:PPA20755 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIEMLNSGRHALRAHPAMLDAFIMKLSIAARVPAMMFRDLMLSDVHDLAAIHTISKLVQISEGLAKDVLKEYETYKHEVARIIFVPTVHSTFT >PPA20883 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:2044773:2045624:1 gene:PPA20883 transcript:PPA20883 gene_biotype:protein_coding transcript_biotype:protein_coding MKWNDYFPLFCSGDICVGNWFKHTLDYWKFSQNNPNAKFVVYEDLKRDLMGEMKSLEEFVGISLTVDQRTEVVKHCSFDSMKNNKMTNKEGLVLFDHGETKWVKGIVGDWKNYFTVAQNEAFDELYKKKMEGSGLNFEFE >PPA20843 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1889953:1891882:1 gene:PPA20843 transcript:PPA20843 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVPLLFVAIATAAAVQKCGLVQTGKEVANAVQRAKFLVGGTQADYGTWPWTQSEHYWFGTCTYQGAGAIIDNNWVVTTYSAISLAVGTTSLRVRAGTITHDKNGQFVKVREIKRPGDGSDIALLHLDAPLIFGDFTQPICLPSYDADVVQAGGRGWFTSWGHSSPTSITTETYLQEGELNISDNSVCDEFIVGKTKPETQLCAGGFYVTGGTATCKYDMGGPLMQKRGDTWYLFGLSSTVNYEFSTCKQNTVFTRVEGFCDWFSTTTGIACLN >PPA20516 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:182777:186554:1 gene:PPA20516 transcript:PPA20516 gene_biotype:protein_coding transcript_biotype:protein_coding MPDNVSEFILAYSTMLETESKENMIYCRPPSTDSSCVDSPMSAGSAPHFSPAGNPFQAYAIIEQQLKQERKHAEFPPWDLRNRFDESPLFIAVQNRQTLFVDYLLELNADPNVQSNRNQRETALMHAATRGMNDIVKTLVRDPRTKKEMENEDRMSALHLAVWNNGIFDEATQSIVDNLEVVKTLLEAGSDCSKVDARGRNVYHMAVQKCDATMLEQLARYIPDDMAVDLANRMDSDGQKPINILEKYTGKIDQQLYQSCFFALLTCNANVERHSPIPGEQAK >PPA20659 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:961717:963008:-1 gene:PPA20659 transcript:PPA20659 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLAGTRTLKIVNEMGSSTLSGMSPYGQHAASSIRDAREREKKEMSDLNDRLANYIEKVRFLEAQNRKLGGDLDMLRSRWGKASGNFPHSIIQQGNFLKLEYYFGLPDTSSMRVMYESELKEARNLIDETHRTRADLESQIKKAIDDLSEYRRKYEEALRSRESDRLLLDELLEKLSKLEAETDLFQTERHQKVLIATLSTESVEMLVGDATGQTK >PPA20725 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1360007:1360721:-1 gene:PPA20725 transcript:PPA20725 gene_biotype:protein_coding transcript_biotype:protein_coding MSECQFSEFSEGIDDSDVDGDLLDEWDDLTQRERAIESCLNSIEDAIYQKYPDLKSAVIALRDIRAVNDRTPLSRRACLFRMKERAIDLVNIAKLELTCKQWFLKMKLAEIKARIFVNEEKIQQQARKHRAVKEEQKRISGMECIDD >PPA20874 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:2000264:2003557:-1 gene:PPA20874 transcript:PPA20874 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFNVTLGILHTTFHCLICRAVITHTNMGIESCRACGMFYRRTIKLKYRLECHCDGTDASKKENITACRKCRFEKMKDLMNRATADDISCVVDHRSRSKSPLIDRASPSGPKEKDIEIDNKRPESPVKDSKDDTVQETPDDSPGISAIFEAPASFIDHRYNCEPSCSNTPFLNKMLKAYSTLCAVRKSFEVSCLHQREMFTELSNGTLNLRTAKYSDMASFSKIFFIGLTDFAKSSFPEFNEISAEDRHALVQDF >PPA20670 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1027365:1030081:-1 gene:PPA20670 transcript:PPA20670 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPYDQCSFEGIEMGTDIPRNEYQRPSSSTKAPTGALIIGCVHVVMILFFFIHSVFIFIQYDSVGQAKKGIKTDYVFPCFVAEMIGLGVATAAAIAIICFFLLLICGIVALSTDSTVFYRLLNAAPFHEHPNRSTVALDTTNLVHIYAMLVIYAFSFILECWWIVVIYNCNRYLDERSSSSRIEVVMYGNVVENAS >PPA20594 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:617975:618369:1 gene:PPA20594 transcript:PPA20594 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKELYKTQTSQLVYFMSSRQHSVPANSGSSPSATVKEEKGGDSDDVEVLKIVPRKKRKNED >PPA20617 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:708840:711349:-1 gene:PPA20617 transcript:PPA20617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-oga-1 MGATIGFYGTPWSQSQRRDLLRVLSQQGLTTYVYAPKDDVKHRKEWREKYGTEEAAELQTLIEYAKSLNINFVYALSPGMDIEYSKVKDISAVKAKLEQLKSIGCESFALLFDDIEYKLTDADELQFGSPANAQVAVVNDCYTQLNSPAHFYFCPTGPLVVPENISEDNCRAIGMVLKRKPLIWDNLYANDYDTKRMFLGPFKGRAQSLKKECAGILLNPNCQFDLNRPAILSFTEWYNAEEEVSYMDDSSMATEPPSNRFAPSVSYERAMTKWIKELFLPFIPTRLRMTENEFGSSESSQHTTFSQATDLSPHEGVMLLEEEKANEEPMDRSPSCASDISMADSGRFTTILER >PPA20668 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1017693:1021897:1 gene:PPA20668 transcript:PPA20668 gene_biotype:protein_coding transcript_biotype:protein_coding MSADAAEPLKGRPTGDAEENEGEGGRGLAKSLTLFNGVSIIVGCIIGSGIFVSPTGVQEKAGSVGLSLFVWVSCGIFAAIGAYCYAELGTLIKKSGGDYAYIMEAFGPFLAFVRLWIEAMVVRPCTAAIVAITFAIYMMRPFYPDCEPPPGMVQLLAALLLLLLTAINSMSVRLATFIQDFFTVAKVFALVLIIFTGAVLLCTGNPVYRESFENVWEGTSKDFKTASLAFYSGLFAYQGWNYLNFIVEELQNPKRNLPLSIAISCTAVTVIYTLTNVALYTAISPDEMLASPAVAVLFANKLYGPFAFVMPIFVACSTIGSANGVIFTSSRLFYVGAREGHMPMVLTMINKSTRTPLTAVVFTGFLSLCYLLLSDDIFKLINYIQISYWLAIGMAIAALFYLRRTMPDAPRPIKVHLIWPIIFFIGCCALVIVPILGSPKDTAIGLLIMLTGVPVYFIFVAWKNKPQFINKVSNYARK >PPA20705 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:1244902:1245412:1 gene:PPA20705 transcript:PPA20705 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYVDFTQCPLPFPDDYVRPSRYVDFRSFGKILPDLERTKNYHDLPLAVEKELKRNDYWSWCFCGTAIFFFFSIFVVVF >PPA20626 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:763246:764248:1 gene:PPA20626 transcript:PPA20626 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCTCTNPSGHCNDFNATRQYQIKNVIERKLEEQNYCYSLVHKSMKPFGPEVFKSSSMIMPITTNLWVRLGPDSRYSRDVSKWMMTR >PPA20636 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5:840087:841551:-1 gene:PPA20636 transcript:PPA20636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:H3FEF5] MRPLAGAKIKDQFQVETLQGNYVDLTQYRGQVLLVINVATFCAYTQQYTDFNPMIEQNARSGFTILAFPCNQFYLQEPAENHELLNGIMYVRPGNGWRPHQNLHIYGKLETNGENHHPLYEFLKDTCPQTVDRIGKSNELMYNPIRPNDITWNFEKFLIDRQGRPRFRFHPTAWSHGDVVQPFLQQLLAEPR >PPA20778 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1610056:1610912:1 gene:PPA20778 transcript:PPA20778 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPPGYDYANQQCVHENMAHVMLSGDHGIRAMASTNDGHTYMQFNDSGKMGKSYPTHDIGPAAAIAQPINGAAMVMADKPVRKSRKNMKYSEDNLKQAVQAVCSGRQTQKSAAATHEIPYSTLSPEMD >PPA20825 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5:1791084:1793208:1 gene:PPA20825 transcript:PPA20825 gene_biotype:protein_coding transcript_biotype:protein_coding MHFCPFIPDLDCSLSQYNISSNLKKIQAQAPSPSMIYISMNPNIQREFKKMLRMKPGNAQSNTATSTAATPISVMNVVK >PPA21011 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:608423:618100:-1 gene:PPA21011 transcript:PPA21011 gene_biotype:protein_coding transcript_biotype:protein_coding MASREAAEKEPLKGEAPKIPDGKLTGSLLFAVFAVTLSSFQFGYHIGCINAPMDVIIKFVNASHHGMFSKRLDEGEIKMVWSIAVSMFAVGGMAGGLLSGTAADRFGRKGALLLNNILAFIAAVLMTGSYYVNVYHLIILGRLIIGFNCGLSSGLVPMYLTEVSPTNYRGMLGSVHQLLVTIAILFSQILGLNFIFGDEFRWPYIFAFTVVPSAIQLLTLPACVESPKYSLIVKGKSDQAEHDLKKLRGTDEVVAEINEMKEEATIAANQPKVSIADMFGPALRKPLIIAVMMMLSQQLSGINVAMFYSNEVFKGAGLAPMARTWATIAMGSVNVIMTVISVWLVDHPKFGRRSLHLTGLTGMLISSLLLTGALTIFQKGAEGPPGHVNPYQSASYASIAFVLIFVISFATGPGAIPWFFVSELFHSNERGTANSIAVAVNWTANLFVGLCFLPLNNAIGQYSFLVFCAFLAFFIFYTYKFVPETKGKTVDEVLADVNGRTERSSKLEEVEMDTREVGDNDRQCIY >PPA20966 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:375072:377018:1 gene:PPA20966 transcript:PPA20966 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTRRRGSQAEEEDEETLLEAFRIFDRDNKGYIDRWDILRVVRMLGERLSRREIQAMMAEADRDNNGRVEFAEFAAMLQMEKNEIEAEGGEDRRSSMSFADAEIVYTDGACSKNGRMNAKAGWGVWWGDGSPDNSFGSVSGEQTNNRAELMAVIKAIQTLCRCNCRNEYK >PPA20901 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:32814:34762:1 gene:PPA20901 transcript:PPA20901 gene_biotype:protein_coding transcript_biotype:protein_coding MARAKHVTAKNKQVATASHRCSGGVMKPHPYQKGVVCLREIRRYQKSVELLIKKAPFERLVREILLDFCPTARIAKDAVEALQEACEAAIIRLLNLTGKNAIHRKSVTIAPKDLRFAAVVLGLIHENSEIRRIAALIAPPVKEISNSVYGATTLIDLQ >PPA20946 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:258450:266232:1 gene:PPA20946 transcript:PPA20946 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRVGTALRSLGSRLTRTKHIPKGDLTTNLKRCLNTFDITMIGVGHMIGAGIFVTTGEVMRNLAGPSTTLSYIISGLAALLSALCYAEFGARYPKAGSAYTYAYVGCGEIWAFIIGWNIVLEHVLSAAAVGRTFAGYLDDLVNLSVRNWTIEHARPWPWNTVPLPDTSFNGTHEICVGEEASMVAGSLIGIYPDFISVAIILFSALFVGIGSKAASNFNSVFSLANLLVIVFVVGYGITFANFANWNNFFPCGVNGVLAGASKCFFAYVGFDGLATAGEEAKNPTKMIPRATYYSMAIVTVCYVLMSGTLSLMVPYYELPATSVYSAVFNQLGAASWISYVIAIGALLGILTSLVGALFSLPRSVYAMAEDGLIFGWWARVNPWTKTPLNATITFTILAAIIAMTFDLDALVDFLSIGTILAYTIVAAALIMLRYRPHPDEGNPDVMDQGGQIRPGIPILSDRLAKMKPGSSILYTLIVMIAAFTGIGVLISTSYFNKPLGMGLTAICIIVAVCCVLFIEAHQQNRMDLDYKVFLVPYLPSVSLLINILMMTQLTLMTWIRLIVWMALGLAIYLIYGMSHSKEEVNWRARRRLQAETTGKSNYRENYWCVMDISMADTSPRQYKQMPTH >PPA20956 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:308296:308887:1 gene:PPA20956 transcript:PPA20956 gene_biotype:protein_coding transcript_biotype:protein_coding MWWIGNPIRSFAFMMSEQNFLTIDSEGPRYSGLKNINTTEEFIEFMKKVAPKSYDIVNKCIFSFKCINAYKALSRSSKENIDKLFCTRTVLRLFEVEGDCSEWINVVKNTPTY >PPA20922 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:132942:136565:-1 gene:PPA20922 transcript:PPA20922 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-bath-36 MHDEGSSPSTLMHSSVPSGQPTSLFSTLPPPSLSAAAAVAAPPKRLFSTTGSSSTLSTHRHSTFSDCGSEMARSLDDLTLSSLARLDDKSEGTIRLQVPNVSSLRQKTNTSFHMIANLPWRLAAKTECSKRTSQLKFFSVYIDCNPESESTLWHCDAVVEFRLVNQRDEKHHFSRHFTNKFNYNSNNWGFPSFIEWNELLAPEKGFTKADRVIVEARITVNKVVGVRQKPRFHFLSPTMDMSDTALVINGVKLHISRQYLALYSPVFTAMFYGRFQEREKTEIPIEDVILEEFMELLEVVYPSHKAISADNVEYLLDLGDKFQIQFVMDEAEKFLMTTDEIPVVTKLLWADQYCLARLQDSCIRTFKTPCEIKTVKSSEEYKNLSDKTKAALRFLN >PPA21004 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:567934:572639:1 gene:PPA21004 transcript:PPA21004 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMRKNKNGTAPFQAQCQPQCQQQCSQQCPPQLNQNQCNQACSSNCQAACAPQQLQQQCNSQCTQSCQAQSMPNCDQTCNSACASPYVQTPVDAQFAQQQINQQMYNVPGQLPPITSSTMAPIMSTTPYQYSPQYSPSTTYAPMTTYGPTSYAPTTYSPTTYGPAPVNPGQQIMRDQQCGQCQQQCQQQCQPQQQQNQCANQCQQSCQPACAPVQQVPFSLKMLTTPFGLGSLMGAHLGAQFSASIYDQYQPSYQSPCVQACRPACSQACIDMANEQLLIVHAAPLLRQPQQYSSSNYPYLQRFMQQPQQSYQMGYPQQQQYPMAYQTQPQYNNNNCAPACQPACQPACTQQTQYQQPIYQNPTTQQYLPPITTPQPMYQNPQCAPACQPACQPQCVAATTPAPVYQPACVPACMPVCAPQCIEATTTTTTTTPAPTPAPVQYQTICVSVCMPACSPQCVVASTPAPTTTTTTTAAPIVYQCVPVCMPACTPQCVAASTPASVVYTQQPACVPACQPACTPQCIAVTTTPAPRPVYQQPQQPQCAPACQPACQPQCIAATTPAPVYQQPQQSQCAPACQPACQPQCIAATTPAPVYQQPQQSQCAPACQPACQPQCIAATTPAPVYQAPAQQLPVVVRDQQCAPSCQPACQPQCTQQNPVNTGSMNAPIIIRVSIPLSTASASPSQCNPACQQTCNQQCSQQNYAQSQCQPACQTSCSAQCSPNTYTPTLAPITSTMAPMYQPQPSVVTCNPQQQYQQPQLQQQFRQPVQQQQSCGCPQSYSPCGNGSLCCRRRR >PPA20929 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:158453:160422:-1 gene:PPA20929 transcript:PPA20929 gene_biotype:protein_coding transcript_biotype:protein_coding MDGREKKPIPVPTALIETISFRVCTKQRLGFNIRQRDNRVMRIHWSSPLHNKMCVGDKILKMDDVAFDTKAHLRVIMKITIERAVYSWSRLEMTTLEQFELQNNKKIFTGRPLKRYTVVLRRPRLPGLEMAPIGISLRYDSTERDTVVLRRPRLPGLEMAPIGISLRYDSTERVTVAAVAAGSLGSAHLRPWDIIKRVNGDEVRSKTMCAFMMVRSLKDSGQVVLDVEVLKKCSSRDTMEMPVDVVNICANQISVIKSGTLKPPRPIIIVRPFHVNPKKDAATSPISSTSTGKSAKEKRLTHADKPRTEEIVYAPQAVQVR >PPA20962 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:328615:337053:-1 gene:PPA20962 transcript:PPA20962 gene_biotype:protein_coding transcript_biotype:protein_coding MRLADDQREAIATIREIMGERNEEMNRALDAALAPLTLQDMNAVLFKCEGEERESTEGRGGYHIPKHGTPVYCGLQGLIPILHHIRLNNDLGHPLCGNLRDGCWLVDYVHQRMRRYERLTELADKTSSLFAPLYSIPFFLRPAYFEALYAYLYRRVKVATLEKMDSSLLSADSLEQSLALSSVSLSGHVPNAGLPPLSDKIKMKDVFPSSLSAGSPHFSTGIWRNWGRDTFIAIFGCYLALRRYEDAKATILAYAGTLRHGLIPNLLAEGKSPRYNCRDAVWFWLYAVVRYVREAPGGVEILKAPVRRLYPLDSSSFGDGSEREEELRETMREAVERHWKGIEFRERNSGRGIDEHMRERGFDVSIRVDRETGLMHGGNEFNCGTWMDKMGSSEKAGNKGLPATPRDGAPVELQGLAYTVLSEMQKWSEKGVIEGKEIEGGDASISYDEWAKLIEKSFEREFYVDEETQGPHVNRRRILKDTVGSSAGYTDFQLRCNFPIALAVAPRLVSPIKAWAALDTARDALMGPLGMKTLDPSDWAYHGDYNNDDDGCDRATAKGWNYHQGPEWLWVAAYYLQARLAVAKELGGEKMEEARREVLSRLGAYRIALNRSPWRSLPELTNADGKHCAHSCEAQAWSVGCLLEVCQTLRGWSIPQRSNVGGESDEDEEEEGDNVAIQLNYRTCI >PPA20911 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:73643:74075:1 gene:PPA20911 transcript:PPA20911 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPEMIERAKKLREALKANPSIADDLIGKLSAGAQAPARQILAVFTGDDSNPAGMKEQIDKIHASLPADINKELEKHKNDLSDKLGLPRMP >PPA20964 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:351114:353898:1 gene:PPA20964 transcript:PPA20964 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSDIKKLIARLPPSVSSQLSKNGVASGRLTTFNEYLIDFYTFEKVPLFFEFTEDKSRTLFPTVYATWMSQEAWPIFLVHRNVFSYLENGADLMLPGVICNEHFGLPEFSIGAPVVICTIQGDTITGPVAVGKAVMSSSEMRACGMKGRGVQILHIDKDLFWELGNRKPAPTISVADVIRSMGSEEEPKLKEAVENLEIDEKKEEGEEKNGEGEESGEAVEEKEDEEKPEELLLRCFLAGLKHRMTKSAQLPFDVGQFYARCLLPCVPANRRLDMKKTKYKKFATFLDEVNKMESAPIVKIQGKGKGNDVIAAVSFIC >PPA20982 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:478258:478610:-1 gene:PPA20982 transcript:PPA20982 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSFLLLFLAALLVAAFANPLERVKRGGYGRPSGYGNGGFNQGGGFDEGFNGGNFNNGGGFNSGGGFNNGGGFPGNGGFQNGGGFNGGFNG >PPA21030 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:734679:739194:-1 gene:PPA21030 transcript:PPA21030 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKGQNHYYPPDFDYKKHRNLNNYHGTHALRERAAKIKEGILIIRFEMPFNIWCLGCNNHVGMGVRYNAEKKKVGMFYTTPLYEFRMKCHLCDNYYVIRTDPKNFDYELVEGCRRQEKRFDPSTVDGSAPIDRGEHLKLAADSMYKAENAEDDRQKGTKDDKKIDHLEWLQERMRDDFAANSALRRSFRTEKKSLNEQRALDDDLRKRASLSIKLMPEHPEDKKVAGMITRYKNVKSYEDRQREDRESIECSRIFKKGEEMDDDEPSSSKERLVKSLQVQKNRKLNEEFERKRRIGEASGLTASALGIVKKKQVKVEPDGDDEDAVEVKEDVSDVKEETTSLFDDVKEIKPEMGSSLSALVQYSSSSDEE >PPA21000 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:546242:546751:1 gene:PPA21000 transcript:PPA21000 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRAWAIVFIAAVAECIVLDCPCEWWRPPECSCTLVPSDNATQGGRETKKMKLECKVK >PPA21045 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:811264:813036:1 gene:PPA21045 transcript:PPA21045 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-xrn-1 MSLDNSTRMACEAKDEFADQPAALQFRDMDDAEFENKVDKCWSRSINNDFKRHKKRYYNEKLKMGNISKGQLREQAEDYVRAIQWNLHYYYHGCCSWNWYFRHHYAPYISDVLDFTEMSMGFEMSTPFLPFEQLLAVLPAASSDCLPRPLRGCCRSIDDNQRVNKRYCNCCIVM >PPA20952 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:292483:296116:-1 gene:PPA20952 transcript:PPA20952 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nmgp-1 MREQHWHLDDATRRRAGAPPGNRLVCVNSAVSWEIIDPDFRRVNIDHNKFNQVTREVIRYPEVVGPIPIKNGTMGNDGCIERVPYASLMATLSCVIGVILFAIMMTWGFNSSVEQVRRILQVDDWPWLDRIQIFFVCVAVLFALVTIFLLIVGIASTGSTRENMFRAKEARMGGRIACAIALTLCIALLGFWLVLLSLSSILLSLFAVFTSLCNTMPAFTDADCLDFSVFRPLVEAFSQSSLRVCGGDAQQFCALSTTSLTWFIVGWIGAAIVCVGIAQFLITHAANYSHVSNANKYVELRDVAYESYSDPIARPYRGGRGEMIEQWSPPPPSTYAPSSSRLAQVHSESVSQYQYKGRGY >PPA21015 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:655067:657352:-1 gene:PPA21015 transcript:PPA21015 gene_biotype:protein_coding transcript_biotype:protein_coding MISFLLLVAFLPLIRSVDVSTSVFCKSECKEYIETPDALIPYCDDAFMRASTSCGTVISCDLSEANKERIPRAQTNSSCCVHTVILVKLGSNKVFRYRRSMVTATSDRSIVRPDRRLRLIANPKAELPRMCSLS >PPA20960 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:315908:322420:-1 gene:PPA20960 transcript:PPA20960 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTPKNVIVTGACGFIGSNFMNYIFRAWNTCNFVNVDKLILNSDTQYVRSSPRYKLELSDIKNEQKMLKILEENEIDTIIHFAADCTSTRCYEDTTEAVENNVVAFVAFLETVRRYGKLKRFLHISTDEVYGDSGLGADENGKNEQDLLLPGNPYAATKICGEAYAQVYRTAYGLPIVIARINNIYGPNQWDVKVVPRFIEIAKVRGNFTIQGSGKQLRSWLFVDDAARGIQAIAESGVLGDVYNLGTYFEMNVADLAHAVQKEVDTQLGREHHPPKYRILIVHILSITCGNSQYLLNFISIPDRPYNDLRYLLDISKADQKLGWQPTISFEEGLRRTVVSALRPKEHVQMGVVIFGGRGYVGQELQKILTARKIPYHLATTKPGTSTDEEVEKELVQLGGTHVVCCTGRTHGPGCNTIEYLEGGPEKTSENVRDNMFSVNSLAQISRRLGFHHTYIGTAYIFAYDEQHPVGGKAFKEDELPTFFGSSYSVVKGYTDRQMEYYNRWENINARITLPLTFDTTQPRNLLTKIVNYKELLGLPVSLSILPDCLGALVDLMAQRYQGNLNLVNPGPLSLYEIVKLYKEHVNPAVDPVEIAVGSERCKEILATKGNCALDTTLLQKLCPSIPSTRDSLVAQFKTMKA >PPA20928 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:149691:152818:1 gene:PPA20928 transcript:PPA20928 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIGGFLPRLELVETGALLGPGGVIEGRNGTIVGRDENPARDSLRAVLLLVLFAITWFAVMLSTIFKRRLASSHRLISLLSCVGAGVFLGACLLDLLPDALEHFEKSGVEMSFPLAEASVGAGFLMVLTLEQVALFIQEKRFREHAIDLHGHSHLVEEEDGDGEDSQSHHSPSEPPPALPAIMLNVMHQCVGFKH >PPA20986 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:490119:491508:-1 gene:PPA20986 transcript:PPA20986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-glb-30 MQCIDSPDGLEPLFRNLGQIHGRHQEQLGFRPHYWSVFKECTLYHFRKAMRGDKRNRPLSRYCIRSSHKAKMTPSEIDSAIILWREVLRVMIERMNAGLEENNKIRKANREIIDHLDEEPNHHPTPRDAKHHIDTVVGHLELQA >PPA20915 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:90385:91093:1 gene:PPA20915 transcript:PPA20915 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSFWIFSISYFIDGIAGSGIMLNNLQLVLSTTPAGQRASALSLLRLTTSLGIMPGAQIMAAISDYYRGDSSEPIDRLNALQKTFLYTWFMPALSTAMCLVILRFYLLDMKKNQPL >PPA21038 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:775137:776390:-1 gene:PPA21038 transcript:PPA21038 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAASMQQPGSHPGSASGTPGPVGTPQPLQQPMSNPSMLMQQQQQQQQVQQQVQQQQQQQMQQQQQQQQLPRPPPLDPAKEKLITCIHEYLLANGAPKAAETLKIEMGPSFPNAKWVTSGNDSTGFLQSWWSLFFDLYCAAPERRTDPELMPNPSQEAKYFHDYNMAQSNFPMMNGMPPQLFVSSFNAPSHRSERRESI >PPA21052 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:832948:833829:1 gene:PPA21052 transcript:PPA21052 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFLPNPQDAAKIMARFNAKQVKEQKVFVDDDDLEKASEYSCTVCPSRERLDRHDYCCKSLFLYPLNKRGKMLKDGLDSKLKERGSSPCITMDKLFCERLITEVSAEAAVALHAYQNGHEAKDPNEALRYGCYRVIVATLLGPLGKGVRVRLPACVVDAVRTKWPSANGLYTGFKTSDLFDEE >PPA21013 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:635010:646257:-1 gene:PPA21013 transcript:PPA21013 gene_biotype:protein_coding transcript_biotype:protein_coding MCCLVRVVRVRAPVSPSPPVSANRSPPNHPAGTVSRRTNTMLIAVLAAVVRLRQSDIPNIPSPPQAAQSVCSPSCQSSCLSTCPPTMTVAICQATCSATCQSSCQFNGAIKVILPLQASPSNCGPVCSRACMRSCASVGRPSVCLEQCRQRCEPQCVDTTQLQPVMMTTTQTPLSSCPSACQPSCDAHCVATYAQIDITIQSSIMPSPVQPAQPVQQVQQVQQQQYQQQQQPQPQQQYECPIPQCAPACESACVQAYVQPRPTPQPVQQVQQQQECSVPQCAPSCDPACIVQFVIVPDAPTTTTPAPPQCMPHCLPTCEPACIQIQLQIAQQAVAPTTTPLPPTPPPPQCPVTQCAPACEQACIQAYSQQQPTAQPVQQQQQQQCVPQCQPACDPICITTVYAQPPTTTPVPLQQAQPSCMPQCLPTCDPVCIQIQLQVEQQPTTTAAPAPQCTPQCQPVCDPTCIQVQLQIAQQSAPTTTPAPPPQCIPQCQPTCDPTCIQIQLQIAQQPPTTPPPTVQCVPQCLPTCDPVCVQLQLQMSMMPSPTTPSPPPSTPSCIPQCAPSCEPLCISHFTQAPIQVPVQMPTQQPSCVQACQPACDPSCTAVYTPISPPPLASSSSSASCLPQCQPTCDPVCIQIQLQIQSTPLPPSPPPPPATPACIPQCSPVCDSICIAAYQPVQQVQPVQPVQQQPQCIQACLPACEPACLYAATAPASQQQQQPQCVQPCLPACEPSCIQAYYAPPVPQPLSPQPTAVAPAPVVPQCIPTCQPACEPLCIQQFMPQSCPQPCQPACLPVCIEATPFPTTTTTTLAPACHQACAPACEPACLQAFATVSLEILSQTPPVIAQDATLCASPCLPSCAPSCLSQYSTLGPVPVPVPTVDAPVPLQCVQQCSPSCDPQCVEMYVQLDAPTTAAASPAYPTLLPAGCIQPAECIHECAPRCDSSCLNLYLAPAIPTPSTPPRCIQQCMPACAPACIAANTMQPSMPLLAAVQTTSQPASTYSSPCPVEACVPACDVACLQSYQLQQAQHVASVSVQQQPMPVPLPVTTQLAQCASACQPTCTPDCMAQYQFEIIVPQADSRCVPACEQSCRSECEAQMQPPAQCSVACQSIQPAVKCPVACQETCQTQCMPAACSTCTAEEMQIEIRLDSPLTETVTCAPACAQKKVVKKD >PPA21035 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:762938:763448:-1 gene:PPA21035 transcript:PPA21035 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKGQNHYYPPDFDYKKHRNLNNYHGTHALRERAAKIKEGILIIRDKVRNDKIRGKIGIAPIVERSERRG >PPA20908 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:64228:67326:-1 gene:PPA20908 transcript:PPA20908 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKWQQLQRMVNAELKTTQPDTFVNPFNDTGFLSLDKSDGSVVVLAAKNIVPDLSTMSLFLNTARNLSTDDLCAMYYPPPDGYERDAVPLITIAVMTNITYPQRTGKHDKYLPYLCALKRQTALMIVLDISGSLAFPPLGDICASMTLSTTKRKSGLCRPIAWGNLSPFLQIRREEEDSSKETLVFLGSKGGSINMGNQARNAEKAMTTLAR >PPA21007 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:585257:586530:-1 gene:PPA21007 transcript:PPA21007 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFASQHERIRTIHSHIKDAKKTIDSLIESSYSVASLEDYDSKRIELRRSLTHFQTTIGTYSTTIASFRRQVDKMKSDTPEQQDSKKKEQKLFEELRTGDDGGIDYDDTLAFAKSDAMTKMEIEARRESIHQAMSIQSQPVHDSTTTQFTPILTQNEHMSTVISTLIERLNAPPLPPITLIPFDGESTHWESLYSQYSSEIGAMSHLSDHAKLVYLRNALTGAALRSVEGIPIEGKNLKSTIDRLKSVYGRSKRSNTILINQLFSIRPKSFTLEDQLECTQQLINKIHQLEDQSMVDNFALINQIAGTIHSKHLRKMYQLEPSTMKEALFHIEKDLREELEISKLESTFHSNHHSDYHLIPRETNLYPWSVMCLLWSARVLTLYHHHFTH >PPA20996 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:523630:526145:1 gene:PPA20996 transcript:PPA20996 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSDPFLFCLLLLCISLVTGQQQKTILKEIEKDVHGTVKWFNEIKGFGFIVPDDSKKGKVVFDLELGEKPIAVNEIEKDVRGTVKWFNELKGYGFIVPDDRRKGKEIFVHSSSLNGNIISEDDRVIFDLELGEKVTAINEIKKGVKGTVKWFNESKGFGFINCENASKKQVIFDLVNVKIDTEMTAELYAIKINFLTNYAD >PPA20921 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:125943:130611:-1 gene:PPA20921 transcript:PPA20921 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRVCVPPPTDDLLQISASQAARMIRDKEISSLELVSAYIRRIEEINHLINAVVEENFTDAKEKARAADKEVNEATPEQIAKMEKERPLLGVPFTIKDCMEVEGLKCTYGVHHRKDVIAEKNAVVVQRMLESGAICLCITNVPEVCMWWETVNTIYGRSRNPYDTRRITGGSSGGEASLVAAAGSLIGVGSDIGGSIRMPAFFNGVFGLKPTPGVIPLDGHLPPVTGYRTEMLRIGPICRYAADLPLLFKVMGGEAAEALTIDEPIAMGKLRVFYMEGLRHPLIESPSNEMSYALKRAVRYMEMKYDLAAVRVDFPLAHQATDFFVTSMESKDQPAFNEILADYNGSVNCALEMIKWLFGKSVHTFPALLVGLVDGVNPYPEEYKKRLLYKRDRLIRQMKDLLGDDGVLIFPSFSNPAPFHNQPVLTPFNFCYTGLFNALALPVMQCPMGLSGAGTPLGVQVVGSPKSERNLIAIAKDLEEGFGGWHKTEAY >PPA20945 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:250160:250489:-1 gene:PPA20945 transcript:PPA20945 gene_biotype:protein_coding transcript_biotype:protein_coding MCNDICLKIAGKYDKKIVDDRVKSVKKGQEPLSGVETCKKEFVCASDCGLKNCRVECDYLYPKHFLFGNRKAYEAEFNELLSRFNKH >PPA20904 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:39812:41612:1 gene:PPA20904 transcript:PPA20904 gene_biotype:protein_coding transcript_biotype:protein_coding MSFACNYSFLNAPMESHFAPSVYEDASQCYNCIGHCICTSEFFSNFEEDSFETDNFEVDLKKYNYLDIYQEIDEGNNSDHSTNYETVWPTEITILHDDNEEESNEEFEAVDYDQEENQIESDLENSENSEFQYGANSSCNRYDTDNEEQNEAETYSEYAGTSDCDNEEILSRCITPVQLDEERWESYIKHLEFTQRHFAMEIDAENIAPGQYKETCFTVIKNEMEFFIVVPFGNSPVYQSMTFPVNQELINEIIAKIPSWMSYRLHELDTLEGFKEFAQFFRYDGSGYRMALLMKRAINEAIKGPERRRRVAEMDDDSDSDDDNDDFFATPSKIRERRAKRDAAAKIDGRTPFGQRRPMRQRSLFRDEEEAEGRVVKRRKLDLEMED >PPA21033 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:748037:752260:1 gene:PPA21033 transcript:PPA21033 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMIGAQSTLFKPNKYAVWSKSTFIRLCSLLAGYSACEPKPSTSKRVATKKKIVVEESDEEEEEEIIPKSTLSTTGLKAHVKSCNPSGYAKVDAGDRHASISNQLLISWAVKTSLPLNKLAHPSFNDFVQFLDPLYEPPSRKELGGSLLDRLYNKSKEEVKQAVKGQNVSVVIDHYSDLRSGIGMMGCTAHFIDDSFRDQEDSDDEEGEIQSDEDDIDVDSDDDYGGEISGNDEEMPEEDNVDEEEEEEEEL >PPA20927 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:147254:148239:1 gene:PPA20927 transcript:PPA20927 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lsm-6 MSTAKRLNPSDFLRQMIGKPVVVKLNSGVDYRGILSCLDGFMNIALEQTEEYVDGQLQAKYGDAFLRGNNVLYISTHRR >PPA20940 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:211250:216969:1 gene:PPA20940 transcript:PPA20940 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLLFVIALVSLPFGSWLADEFSPNDVTPISLLPLEQFGTANREVYWPATEENPAGQLIRDHRRIVSFLLSILALLLCIMEASRLKRREWTVILLLAIVIPHCACSPPSTPPKHPLPHYALPTVSSTAKSQRDESPVCSRQVQNTVDSKTQEKAKKRPAEECNSNNDANPPKRTAATVGAAHGDIGKLKRRKEKYLRYADALIEQDRLEEDLKMREEQLQEERERKHELKKEVKSLKKGVETLESALRKVCSAADAQKKKGEEARKRDEEKDNAQGQATTFPSRTTSPQSTVNAHQHQQPPSLLHTAQTTTLMTNSQLAQRLLDMHDGRWDEGASTSSAASTTSRAQNDF >PPA20958 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:311494:313287:-1 gene:PPA20958 transcript:PPA20958 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAFSTVAWDVARAKQHVFTPVEYRYTTRDAIMYALSVGCKAKEDLRYLYEDAPAFMPLPTFIVAPGMKHTGLRRWPGLNVDMRHLLHGEQYVELFAPIPAEGAFLSEKRIVDVLDKGSGALIMTEVTTYDKSSGTKLAKQQICAFQVGSGNFGGDRTSSHTMKGADIPKRPADKIITESTSEEQHALYRLGAGDMNPLHIDPAAARISGFSSPILHGLCTMGFASRHVLKAFANNDASLFKAIKVRFASPVLPGQTLETHMWNEGGRIVFETKVKETGKTVVSNGYMQLHQKE >PPA21025 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:718543:718887:1 gene:PPA21025 transcript:PPA21025 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNYQTVLIDGDVHIADALAVDWIHDRLFWTDEALDTISVMDLTTLKRRVLFADAAAKPTSIVVDPRKGNMQ >PPA20906 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:49074:60954:1 gene:PPA20906 transcript:PPA20906 gene_biotype:protein_coding transcript_biotype:protein_coding MPHYFFYNVESDGRLSISCVSAPLAAVLPKLANRSIDSLRLVNCSVPGLERQLAPLKGLRTLELAHCGIGSVDPKALDSMAASLKELSLANNRLTEVPRLTAFFPALARLTLNRNLISDLPPAVFSFAPSIVELRLEENRVCTLQFASLDEIKSSLALLDLSGNCLSTLSSVGVLRGAPALAYLDLSSNKLTENNLLDSLSALPPLAKLELLDARANRLTKIPSLVDSPLLRQLRLDTNRIAVLESRALVGNPKLQLLSIQDNSLTSLSGAPFEGLSELVVLLLANNKLTKLDGSSLTPLRSLQQLNLHNNSLSALSESSLAPLKQLTTLDLGTNTLQRLPKAIFESLPKLFWVDLSGNELTSFDKGTFAKRISNLLLGDNPLICDEALDWFVQWLVVNRVRTFLPSQPEVVCSAPVAYEGVKLRDLMIKKANETMKVIGLQPEKPKAGQALVSNLLPGMSLMNSLVPAGQQAAQAAAGIGQIPGLGALLNGIPSLRNLPLAPGVGGVPAGGAAGLVAPPAGSVRSMNSALEQFAAPLVRFATGGQPQAADFEQLMQSIPKMIEAAPGGAAAVIDVSKLPPDVIAHVLRGGQIPGIPRETLERLVASHMEKMAEVATAIGRGEKRDDVEKYLPPLDRLPSELISSVMNGQSLQGLTPDQMEPIKQYYLNTLPLASSNGTAGSVPSSSSSSDPSSSPPSSPVLPSLSLTPQSLEMMRLLPAGYNISRIPVEITNAISKGEMPDLRLLPADLQEHLKSNTDKMLQMFQSASGGGDSTTKQDIRAVLEKLPKWERPTGATTYSPYDLNDVRTDVEADKKAAAQTHMYRLMTAGVIGLLALISVIGVVSMCIAQSRKLKEGEMETSSIDQIRANSTPTILSQPRDSSTHNTQRGIVHRRSPTQNL >PPA20916 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:92067:96338:-1 gene:PPA20916 transcript:PPA20916 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDLYPLHKAAFFNDTHSIARLLKEGYDVCGQDLHGNTALHISTMLGHREATALLLAHNAPVKVKNCDGWNPLMEAVSYGDRQIITEMLRKLKFQAREQLSRRKPHLLQVLRSLGDFYLELKWDFHSWIPLLSKMLPSDVCRIHKRGVKLRMDTTLVDFNERSWERGDISFMFNAEAPDEEQLVVIDNNAKVYQRVRYQESEAEIDEEVDVLMSSDIVSAQMSTKPISFTPAYTGWVFRHEREEQIGDYAATFHIVEGMTLVTRKRREHLTNEDIKKNKTFMQSFTQGSAVKDEDFKSLQHRKSLPPPGRMPTTWEEYEGAAPGAPPPLGRTHVLKQNTKQFKALIGMSDDFPLGVDVLLDILEIVAPFKHLNKLRRFCDARLPPGFPVRLEIPLLPTISAKVTFQRFQFVDDISEKMFRVPASYREDPSRFPDL >PPA20913 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:81278:81746:1 gene:PPA20913 transcript:PPA20913 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVATAAVFILAVLAAVPALSSYLGLPSQPLQTLLELQSHLKLQQEEKAKELTLEEKILKAVKLLVSELGLEDDFT >PPA20980 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:474917:475550:-1 gene:PPA20980 transcript:PPA20980 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSFLLLSLASLLVAAFAIPLERVKRGGYGRPSGYGAPSGDNYAFGDQGGFDGGFNNGGFNNGGFNNGGFNNGGWNRVKRGGYGRPYGYGNGGFDNQGGFDGGFNFNNGGFKEFPNNGGFQNGGFQNGGGFNDGFNG >PPA21019 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:685463:686275:1 gene:PPA21019 transcript:PPA21019 gene_biotype:protein_coding transcript_biotype:protein_coding MRADSDEGSDVEYSNRLDIRRIYWMFGGVHDECFLTGKLIEFVEPRKYLWLTSEKRYKDSSLRNESYKEFDEEYGLKSMCAQFTRYLIM >PPA20968 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:412058:415698:1 gene:PPA20968 transcript:PPA20968 gene_biotype:protein_coding transcript_biotype:protein_coding MPATRFSTPLAVLDLAPPTSPSTGTTSSGSSSSPSSRPASSAASALGQTTPAMRRSTETIDSRREIGLIGGVMGNPLWAEGATKLETGLVGVHALLEKTRAAHINAVHVQTSDPVLADIVGNMSEHLRTEFATFAWPRLLTDRVQWILRKISVETIMVDVTIEYVPEKEGLFRRFSHQIKSGPNLI >PPA21044 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:807607:809491:1 gene:PPA21044 transcript:PPA21044 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASDGANSGDTEAAFQSSDDDDSSPALPSSRGGPSQGVLADGGIGGAADLLAQLISYI >PPA21006 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:577320:582142:1 gene:PPA21006 transcript:PPA21006 gene_biotype:protein_coding transcript_biotype:protein_coding MSETVVKKVKKEEPDDDYEIQIVHVNLRVPIVIPPETASQDSTTPILRPLQQQQAATTAATPRLLPDAQSSRAVMDYWMGKRNSNKCPRCSEVLPLSKTTRIEHYKIWHYDVFYASDLSTTRLMTPLTRWMAINLGSTSGIRVCLLCVRMRGHSYFFLREMLVKHIEKTHPSAFVQLLSRYHHLRAINFDSSMGIPQSLMTATTGVDNVTAFPTCTVAGSDSSPVLETTAAAAAVAASPDDVPTEAAATASPTTLALDAPPSPLDEDYWRGKNAPGLGRVSCPRCSYNSASGKGHRKLHYKRHHYNVYYQQTRSNRTTTRLTQWMAVHFGDVLKKAPRVCVYCVGDVRYNSDYRCRADLIRHIQQAHPLAMVDLVREYSVASSNSPIADAEIHGLLVHAQGHQGALRPSVSNDQSSLKPTEADDLTQTVTSAGNVSSSMLLTAAAMPLTSLALDAPPSPLDEEYWRRGNALKCCPRCVYDSADNIGCRKKHYRRHHYDIYYVLAPGHRNLAPLLKFLVTHFGNVRKDMRSCLLCEGERRYWLGYRSRADLIRHMEQKKNEKKRLKLQTQRHSIFLTVFTPSYS >PPA20997 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:527540:529034:-1 gene:PPA20997 transcript:PPA20997 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQKFNGRFLCHSSENFEEYLKECGFGYFLRKAAVNMSVTLDIRNQGKRWTITQESTFKTISQEFELDKEFEETTADGRKFMTLVTFTPNTVQEF >PPA20988 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:498667:502876:1 gene:PPA20988 transcript:PPA20988 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-zfp-2 MNEEPEPFGGCDILEPDHDSKAISASEMKAVKKEEEDEVASSSASPIVRIVKRTQMYLEPHGFSKTVTIDEDHGRKAGFTLHSSATTAEDSDRGTGARPLHHLTLLIGKKQMTFKVVDAQSVTQQSRSYAGDWEPAPTPQWNNRLNQADATVLYDSRRCYQCNRSFNSLEEIRTHITEAHSSRAFACNYKYCTESFPTRGEHMAHMREHLVDDRPHECPVCSCRFRHMSNLNQHIKLHGTGSRH >PPA20930 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:160883:170148:1 gene:PPA20930 transcript:PPA20930 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSTLDDVRLEAFRGPKQWQAECSIRLLQGKALHRSSVIPSPPLAEFSLAASLSIDSSLNAVNLSLQEPVLSISHRALELCSALELPSRREKRKEEGGEEMGDGSMLNNLNVTLSSFAFRYGAEIEGVSRGLSLTTGSTQLTRKDGDCRLQVIDAAVVETGRKTAVRSAAITVDLKLPPTEAATAPVQQPRRLEASVTTAGATFVCSVPDVLQWQSHAGRLMKMRKGKRKDENGCDDRHNSLPVSTSSPLPSFSLSLLVDVTHLDCTLIGLDGREWMAVAKLITLTKNQLSTEIAFDEVWVTRAGRIPAKGVHEWGEVISIGTAIVELSHPPSGRRSLLIAADDAKIEWSEDFLQQLHQLMKRMQGDARGESKETSENRSGLSVEATLRRATIVATAREASMACIAVLELTIRGDGNEWNVVGKETRMVTGARFPAGLHLDEVLTSTKMKLSDWMEMRREYKTWKQKEAEDMWRQIRAAHFERRRLALNAAIPSIEIKLKKGKEASIETDSSAYLAWSPLLHAIGLHVLRTTNGLLSSLRARSSMDKSVPPSTPMRLAISADGDVHIDLELADYHVLWVEVRRHVDDSVWRRGASGEQQVGIRAARENQSMSWYAPVVQVEVTTPREVTVFAPSLNVEFDGVRWLTVTDVCIARRLHDAYMDSLRATTEGLETKSNKVWSWTAERLHFFVPFAFNWAAAFDEFVTVIKWVKIMGGKRAGRPADPTLAADLHVLIQEAILEMEDDPFERKLQSNHELKEDEVFECERRRQMLADHIAAHIKSVPLFSQAKIDELYANLLAKNADIYISRHAQLGENETPLVCSTWTKIELRALADESMRRDEQCIALITEIDTAAPPPSPSVEFTTMWGRSVHISMDEWIVRLRDYPLPYGLAQNLHLFGTVVAAERLSAGGRSLREQKVPLPPPWTTLAVNRNISPLKLFYDLQAESDSMTLNYGCCWESTLSMMSLQWNNISKPSRDPSPLLPFWDKMRFLMHGRLLWLSEKFVTNMLASLDPYNTSETLEWVWTDWGLDWGQGMVRCALDQHWKSSSAQLPDTMTRDYCTFQGIEMAWNCLGQPYDHHAVELCTADKLPHLSTDHDSYRAFRSLSVHVNLSLGVHADEERGGRGKPSILMYANCIRCLDLLLKTISRTNGNTRRGAVFGVPPISKKQLSKHFRNVHVSIKLPLFDITYFMSQSSSQV >PPA20899 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:27645:28333:-1 gene:PPA20899 transcript:PPA20899 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVFLIPFVLLLSLSFTDAARRTKRIDPQPLISPSTTTPESLANGTSKEDVKGGPINRSPVGTGKKGGNRRKTTTKQPPSEKPNAEKSSWQSLLYNPWSYLAPLAALLIIASVIAACMELCRRKEP >PPA21032 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:743134:746490:1 gene:PPA21032 transcript:PPA21032 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEKLCTDNDLGEKLCGKSIADKCFPERCDQICEITDDSYKCSCHRGYQLVRRPGETIASRCCAIGEDPLILLSNRGTISQFNMATNTHVPLIQAAGFVVAMDFHLDNQTLIWSDIAIRKIIMCKIGVSTERYLPVQNRCGKNDQTVLIDGDVHIADALAVDWIHDRLFWTDEALDTISVMDLTTLKRRVLFADAAAKPRSIVGLIFWSDWGRPARIERAGMDGENRRVLITGKNG >PPA21001 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:547503:548644:1 gene:PPA21001 transcript:PPA21001 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAGKLTLNKLISKTPSCEPACQPDCALSCTTLRPALSLMRLLVSTDGEAAEGEMCKARCNSSCLQLCAMSKLSSKCSALCDTACVETCAATEVPTTTTKKPKMCRR >PPA20897 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:23265:25017:-1 gene:PPA20897 transcript:PPA20897 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKYRKMSNHSLATKETPTPHNLKCTLRRLLSGLKSVCKPPPRGLYPPLAPSGCRVPRVLTRLVREMEAHHLRVDGIYKRCANGEEVDTLLRNIDTVDLYTINAHTLAECIKTFLSQIEEPLIPSTQLVAFIDGLSAENREVTLQSCVERLPPANRDTLQFMMRHWRQVLVHSLHNGLTVSSLAIVLAPFVVGFSNERVSIDITEALLRIDC >PPA21039 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:776788:781422:1 gene:PPA21039 transcript:PPA21039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mac-1 MALSLEEHEDVDEIAYALQQKFPREYARKKKAAFEKMVKEALNRLGVEDAEIPDRKTRKRKRASSLNKSMEEVVISSSDNDDVEMVEEHDKKTTNGANNSVRSLYSKPATPASPAPVSAKATPAPTPAGKKKKRESAPRGLAGAAKAAHTFELTESKVTFKEIGGCEEQKLEICRLSMHVARPRTFNLLNVEPPKGCLIHGPPGCGKTMFAQAVAGEFGVPIVQLAVTELVSGVSGETEERIRNLFETAKKNAPCIVILDDIDAIAPKKETATREMERRVNPILQMQEDLPFGRSQSKGAKASRTLLQNN >PPA20942 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:222073:227318:-1 gene:PPA20942 transcript:PPA20942 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSALLAYRIAPNNVRLFSASARPFGFAYDERMLAHDCPYDSTMAEKPERMKLIYERLVNEKQLEKAVNIPARPATDEELALNHPLELVKELEELKTPEQCEDYCRDKEILWLGPRSMEAARLAAGASIDLVKASVEGRIGNGFAIVRPPGHHAYGKTPQGYCVFNNVAVAAKYAVEKLGLEKVAIVDFDIHPANGTLPSVRDDSRLHLTSFHAYHHGAFWPFEREYDYDTKKEQIFFPLNGAMNTEGDYLSAFHHVLLPVLKEWKPDMILISAGFDAGYCDIMLDMGQSVKAHGYGHMARQLAEVAPGRTLAILEGGYFPHNYVESAHMMVKGLQNAPLPAVEYPPLVQGPLRETILNNIHHHARHWQCMRDKLERVQQQQKRVGLAEYTPSPRLFLGHGIRDYWNMVKEMRAVRTREWFPPLDAATTQASIDKIDAVKKAYDYSKPCPTHAEGALMEQLIWDERARADSYIKSAPASLCMYNEFYDFLSGKIPNMMICDRAILAEKARKKLKFK >PPA20912 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:75123:80431:-1 gene:PPA20912 transcript:PPA20912 gene_biotype:protein_coding transcript_biotype:protein_coding MIILNLVLVLHTALAITALVLNTLLMMIHSGVLPFVLDSTQTSRVLRHSDIRLFVEYHAEFVTVLVSFGVRYWILRFGYISIKRIMVAQMGAFIPAAVVLREREREREREREKRGERGRVKDELALLYSKADLPELIPELEAYHPNYIEVGTAIIGTKPARTPAKMTLMILTIQSALPFLVLLGISIVELPALISPIIVVIHIRSYYEAILGLFQFRISRPPSTAMYPNHILDVPMLTIRPVTPLSPNKFPSNILDVPMSSRRPFIEHAICNEVLKSVAAKFNDSQLITQRQQLGEAHRPSISFPRPSRMNQLVSLALVGLLVFAPVLSVDIEEEENVLVLTNDNFESALEAHPQAAGVLKDEGSEVKLAKVDATVHGDLASKFEVRFADIPRSSSSVPASPPSTGRDADAIVKWLKKKTGPAAVAFESSHDLKAFAEGNDVYTVAYFEANLAKFAPEFTDLTTENIVSFNERVLAGELKQHLMSADVPEDWDTKPVMVLVGNNFNEIGKNSGKGQLVKFYAPWCEHCKSLVLVWEELGEKYANSDKVLIAKVDSTQNEIGETTEEDRKGEHTEFLLLNGWLWQVNREPTECIPDRRQ >PPA20973 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:429844:432829:1 gene:PPA20973 transcript:PPA20973 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRKPKTKERSRPPDPTPAPPLPARGRTALDRALPDVPREEPGAAPPLPPRQRTASPPPVTRERSPSPEPEEIEIPPEEQPREPPPSLPPPRYIFPRTNGAAAEAPERSASTVEAPPLPEKTRTPSTVSAPPTTVLPATVTSTRPAYPVLDTMPEASSTAVRMAPLAPSGLPSYAQLADKPSEKEREAWRLSVPREEAPPMYPIMSMNVLETTDLGLLSEQSLLSYYHNELYEASDDLVDRFCREEEFPSGPLFELLKRHKEACDQIELADVEIAANTQSLHKCLREVWNAQKRTHNHNDICGENRPGSGVGTYDISVMSTEKLEEMSPFV >PPA20971 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:423753:425904:-1 gene:PPA20971 transcript:PPA20971 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFSRVVRTTIPVGAAAYTAYAYKDEIAADGIYGVTAPFRQLHALVSTASNSWTNSSSTFDSSFPRGQWNSNWDFRDPMSLVDERKYSKATDEEKEKMIKDATPKFSRTIVLIRHGQYDLENKPYALTELGREQAVLVGQRLAELHKSAQPIDEVHMSTMTRATETANIILKQLPSGLPSSSDSILEEGAPYPPEPAVGHWRPHVKKFYSEGARIESAFRKYIHRASVKQKKDTTESSPIPA >PPA21021 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:689434:698131:-1 gene:PPA21021 transcript:PPA21021 gene_biotype:protein_coding transcript_biotype:protein_coding MECEKSGQRDGEVATDNHAGAGRGLEDETERGRNQMDDGHDPHCVLRAAHRRVPVIVFPDARRTTIAWRMACARRERTATEGVSAPAVAHPVNIPLRCRQYDRYRKVENACLMMDENYTKRFTLHHPVCHAGSCACPPMFDPSSLPTRFNTEQKMLPIRCDRREGDERKDPRPSLSVRLPRGGADTAVLHEYGPEQDRRVLGGVNPVEYPYDFFTCKYGPLKPNRNYSVSLWAENKAARSLRVNFTKSCMTDYAEPDQVDIPQTRDALNNTHFTLRFDKPPKETNGPISCYYIAVVPLPGNVSIDTMPSSREIIMDTMANAYANNLHGNAAESKRLVVKLVFLGETYKWRQQIRYLAYIAESYSDLPYETIIGDGQLSEGSTNCSLTYLDRFRDEDGPLRTGLKYTGFVVVRVDKIGRTEEPELFIRPSSSSNRSRRQASSSTTSEAVYGYSDYFKPVFLRTSEDSPSSIGTLIAVVVSFLLLIVAAVGASLTAHRVFALRRYFI >PPA20909 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:70745:70992:1 gene:PPA20909 transcript:PPA20909 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQKLYSHVEEGKKVNEEEKKHALAVHFRDRAYLLPRRKFNIVFHNQYACRGVRMACTDALYTVNRE >PPA20944 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:246073:253008:1 gene:PPA20944 transcript:PPA20944 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-amt-3 MDTHDDAVWIITSSFIIFTMHSGFGLLESGSVSAKDEVNIMVKNVVDVVFGGIAYWSLGYGLSYGDDWYPNSFIGLGKFFYDPASYFLPIGTSGIHALPAHWVWDSNGFFFQLGVVDFAGCSAVHMVGGIIGLVATIYLKPRRNRFNEDSVHQMSSPTNALLGTFLLWWGWFGINAGSVWGITDGRWRLGGRAAVATIMSSIGGGATAIVISFAKTKKLQVNLLINGILSSIVSITALCAVSRPWHALIIGSVSAGFSIAVLPLLDKFKVDDPVGIVPIHLTSSIWGMFAVGIFAEEDRHLMATGGQNGLLYGGGLKLLGIQMLCALTILVYSATFGLLILVIISKSPLGLRVTDYEEQIGADVIEHGLAGTNIARYLVEKPLSTKTFQTVTKAITKWKMLAKARSREKRMEAARQRRAQEEAGDGPITEIGSPPRTANGAVNGGVRHRVANGAAPNGVVANGNGGARVETVEMASHICRYCRHRRLQRSWKCNPRRSEGSHPGPPVLPLLPRSSHSIRSIPVTIPRCLEIE >PPA20951 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:290982:292085:-1 gene:PPA20951 transcript:PPA20951 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKRKRVSKKRKAQSEAEAKRIESNLDGVCKLLAGLHPSLPPDALSHLLDRCALAVEELSGVQAMVLQDAGQAVDTVDKITAHLDKMNLLLRHTEEMADYVRKVTQLLT >PPA20925 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:139661:142135:-1 gene:PPA20925 transcript:PPA20925 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIFQYNDLSQAILSCPKCNAAMEPMLLAMNDDGTQSVWWTCSEVKTKKCFFPLNMPNDVFWVTRSAQDIEKDEVPPPNLANLPIRYQYLYPSFFQRYTSSKRKTPRGSRPGSRAGSRQESRQGSHESTRSASVESSHSVTVPDSIWDDGDIAKSRVRMNTIAKPRVVMTEKRSFIPPSLNNVGNRALAFTESYREDTASTSIASRLRLGNADKKMDKAARELAKKKAIAMLSGVQPANFKKMGALHINEMKKAVIEHVKRRRAEREQLAELKKLSERRNKFIDIDDLVKAKLLKNKREYEQIRQKKAHEARMAAARMSKTAMSQPSIPAMPGYEDDGYEEEINPTDDASYAVTPPYMSGATSPSTSSFNQYEGQFPQEYNCGGEDSFADPSSSSGMDWVMDHSLHIPPYENDESNVVSPFAELGHLDEDDLMGIEGDQQFDRAFEAVAEAFTNEYEDEFADLAQYN >PPA21056 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:846864:856053:1 gene:PPA21056 transcript:PPA21056 gene_biotype:protein_coding transcript_biotype:protein_coding MVELQRRLDEWVQKKVNTDGRWRGMRVYLSGHDCPGEGEHKIMDFIRSERAKEGYDPNTRHCMYGLDADLIMLGMCSHEPHFSLLREEVKFTRPSKPGGGKKKAPPPKKTESDTICFHLLHLSILREYLSWEFIKVKDSIKFDYDMERIIDDWVLMGFLIYVSSVDHKVMQKKNNNAYTTGIGNDFIPHLPNVHIHDDALPLLYKTYMDVLPTLDGYINEDGHLNLHRFQEFLTAFSRNDRNSFLQVMEDEEYLASKMGATGIDDDVEAEGYVRAIQWNLHYYYHGCCSWNWYFRHHYAPYISDVLDFTEMSMGFEMSTPFLPFEQLLAVLPAASSECLPRPLRVVHGLLPNVKLDVFFPGFPTMKHLPHKGELKEAHVKVFNMASRKLSMVLSILDRPDLNRDVESISAQLLGEEVCIDWPVLK >PPA21028 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:729433:730803:-1 gene:PPA21028 transcript:PPA21028 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTTPALLRRIINSTYHPFSVVPKPDVWMSRERLHRFTAWQYGSERATLKGSNRKQNKLFHYLDMQRSDAKKNEQFYASERLDAALSEYNMEYKHFRNMLDKANILLDNVVLSQLAIYEPRTFESLVSLTRAMAISDGRTIEDPHPDTPSHEVSLDQSLFGPPRVPPVRYTRGPGKTHTIPPRKLTPEEY >PPA21049 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:817863:819273:-1 gene:PPA21049 transcript:PPA21049 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPFMGYDPRMGGGRPPGMGGAGPGGRPPQQMTPGSFPVAAMRPPPPHMQGQQMPPQI >PPA21054 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:837266:844210:-1 gene:PPA21054 transcript:PPA21054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-vrk-1 MPPKGKASKLHVLAAEIPVGFKFVDTNKKEFVLGKQFATGGFGRIYTCTEAGKKEQLCVKVEPSGNGPLFVEIAVFQRALKLEMIQAYCKEKKLKSVGLPHMISGGIFDYKEDKMRFLVMPKYAGSLEAVREKTGSLDAAAAITVAKANVRSLEYLASKNYTHGDIKSMNILLPSPTDFEGAVLVDFGLARMASSNVEKADKKRAHNGTAIFTSLDAHRGFQPSYRGDVEILVYNIVYWITGTLPWQPKESNPAAVQTEKEVWEKSAEKEIERLIGSAIGGPVAKIYRIAQKTPYTEFVDTVAVLKLLDQALAACKKPSSAKKAESTPKIEPKPSTSKRVATKKKIVVEESDEEEEEEVIPKSTSRRVRKPVIDSEESTPVKLTKRTTVPKRAGSELMEASSTTASREDRVRKRTASVEPAALSSPTRKPTDPKQRRPIPGLVNRVVKKVEEEVEDEEMKKEEKSADAKPRRPIPGLANRVVKKIVEEDEDDEGKAMRASQSEEDEEEEDKPKGVGSAVKANKEELRRMVPGMRNLEKGRRSEIISQITMQI >PPA20983 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:481082:484357:1 gene:PPA20983 transcript:PPA20983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-upb-1 MAAPKREIEGLEKIFDQLSTEDRDDVFRILYGKQLERVQYDGILETDAAAKGIELKGYRIPAAPEQMRAPRLVKVAAIQNAIVLPTTAPIKDQRDAIHKRVGELLDIAGRAGANVVGLQEAWHMPFAFCTRERLPWTEMAEAVDGPTTKFLIPYAQKYNMVIVNPILERDEAKDDVIWNTAVIISNTGRIIGRSRKNHIPRVGDFNESTYYMESTLGHPVFETAFGRIGVNICYGRHHPQNWMMYALNGAEIIFNPSATIGGLSEPLWGIEARNAAIANHVFTVGINRVGTEVFPNEFSSGNGKPGKIRKEHGIDRFLAAHKDFGHFFGSSYVAAPDGARTPALSRTSDGVLVTEVDLNLNRQVKDHWGFRVSDL >PPA21034 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:754092:758318:1 gene:PPA21034 transcript:PPA21034 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPKFYRWLSERYPCLSEVITDAQIPEFDNLYLDMSGIIHNCSHPNDDDIHFRISEEQIINDIFKYIENLFNIIKPQKVFFMAVDGVAPRAKMNQQRARRFMSAKNADALIEKAKRAGERIPTVKRFDSNCITPGTQFMVKLQRRLDEWVQMKVNTDSRWRRMRVYLSGHDCPGEGEHKIMDFIRAERAKEGYDPNTRHCMYGLDADLIMLGMCSHEPHFSLLREEVKFTRPSKPGGRKKKAKKTESNTICFHLLHLSILREYLSWEFIKVKDSIKFDYDMERIIDDWVLMGFLIGNDFIPRLPNMHIHDDALPLLYKTYMDVLPTLDGYINEDGHLNLHRFQEFLTAFSRNDRNSFLQVMKDEEYLASKMGATGIDDDIESTVKNTIGYCGLRRTVLVVVICY >PPA20919 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:114385:121113:1 gene:PPA20919 transcript:PPA20919 gene_biotype:protein_coding transcript_biotype:protein_coding MADDTGAVEKAKFPVPGWAVRAPDGAHVDVLKNNLLLQKVMIDDKAAYYMGRNPKMVDIGVEHASCSRVHALLMYHGALKRIGLQCTKLVKLRFALVDLGSSHGTFVGNTKIQPLTVVFVEVGTQFHLGASTRRYVIRDKSDIVGREDEEEEEKKLPVDQQLESLTEYNTAMNRRIPQLPISVEEARRKKRRRPNVVFVEEEDIINPEDVDPSIGRFRNLVTTAVISTNPAKRSATGNGDAPRARKLIRAERNMLPLDAMSSSLGGKSLNAAPDLDLYEKEAFSSSVISHVAHREEESGLSKKKKYVKEAWPGRKPGEDFILDPCVLSALEEYLEAGGDTVDVIEALADGYCGKAQICNTLTNWMRDLGQKEEAVECLESSVQTQILRHFDDEKADALFQEDATSADWLKGFIQHDRWRKVIYELVERAPSSLFLTFAMKMIADAGHAHEIGGKNTATQQIDVFSRVLTSELELVLEVLIRGEKATEDELKEAMSSLATMIMQNEQSFIYATTILEKIGRDSDDKACTVAVSIVEGVRECMRGGAREQETVTLRTAMKMVADTEVPSHVRSSILTMIVKRRITPGDLYNLYQQYLQPDPPPVALIRERILLRLLMDAVFAKDQCQLERESESMKQQAVYLMAYAATVYEKMKNGKKMHCNLALESARSSLERAATCLEGTLEEVHREIPELLHLTKHPIVAAGCLWFYRSVLLCEGTRLGEIPSFVHVLFDHCGERHKHLHSTCLDILDEIYDKVAHEDDQAEVVIDRLVYLFTCGSAVRVLQKMSRKFEEGSIDASLIRYFVLEVLDVIGPPYSLPLVRLLIPLTTNVSIIDENQRAKISSVGIFLEDAQPVLDAGEDDEEDDD >PPA21008 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:588736:590999:1 gene:PPA21008 transcript:PPA21008 gene_biotype:protein_coding transcript_biotype:protein_coding MSETVVKKVKKEEPDDDDEIQIVHINLRVPIVISQEVAVHGSMAPIRQPLQEQAAATAAAAAAAAAMSPRLLPDYWTVKDKSVGCPRCAVELSPCRETRAAHYKRWHYDIYYVLIKNDWMAPLDRWMAMYLGPPSGRRGCVHCLRERHRSRLYRRGELVAHIEKDHPSAFHEQMRNHDSSSTKSSDGATLAKGVMTAEVATSPTPVTTTSAPLPSHLGQVWQFAGGVASSTLFPTAAPAAVAAPPSLTLCDPPLPADEGLYAAIHHTCVVELFKDQIESRVLDADTILRMARTAAGTTTYESVV >PPA20933 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:180739:181400:-1 gene:PPA20933 transcript:PPA20933 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGLIALLLLMFVFTSSRADGNLRNAVASTDAHDMNNAAMVASFVGDDGVMRAERAAPTGGIPRGKRAGPRGKRAAPTGPRPIG >PPA20939 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:205959:208120:-1 gene:PPA20939 transcript:PPA20939 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLSRPSPPSVPRNLDSIPRDALNHILFFLDLPDRLNMRLVCSGLERRISKTTFYNFRPGTSELDDLEIGSPPQNAHQISIRFGSRKIKKFDPFRSEELMHFLSRLFDKGRVNSISLRLVNFNIYHTSYIESILENITYKSITIAVDSHGFHPILSEYIRKNHAKITHIAASECLLNSGEFRIPRLPSVLSFGSRKSKPQKLEDEAFLNLLNERHGEYVLRSACQSELTLLKAILTINDCPVTQDVEFEIGSSVLSSFFHLIGCGFLPGVGFITLDNDDFFVDNPRDDADRWTRATIVQHARTIAVFVGPTPLGIILLGPHCTCIPLNGNDFD >PPA20948 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:278537:284027:1 gene:PPA20948 transcript:PPA20948 gene_biotype:protein_coding transcript_biotype:protein_coding MRNWAGPSTILSYLISGVAALLSALCYAEFGSRYPKAGSAYTYAYVGCGEIWAFIIGWNIVLEHVLSAAAVGRTFSAYFGDLTENFADKFSIKYARVWPWSTVSAPNVTEEIGGICADSEMAERSLIGYFPDFASVAIIIISSFFVGIGSKTMSRMNNLFQIANLLVILGVVGYGSTFANFDNWKDFFPCGVNGVLAGASKCFFAYVGFDGLATAGEEAKNPSKQIPRATYYSMAIVTTAYVAMAGVLSLMLPYYKLTTASVYSEAFKLVGAPRWFSIVLGVGALFGIMTSALGSLFSLPRAVYAMAEDGLIFGWWGRVNAWTKTPLNATITFTFLSMVVAMTFDLDALVDFLSVGEDNLAMTWQDKGTLLAYSIVAAALLILRYRPQPIHSGEEEMDHGGQIRDDIPILSSLFSSCRHSVLVAMLIMTVLFAGIGISINQTFYLKKTGMILTGGAGVLSILCMIFINLHHQNNLQLEFKVFLVPYVPSISLFVNIIMLTQLTLMTWIRLAGWMTLGMIIYLLYGMRHSKEELRYKQEQGEKHGVLASSNPSSTMSESLDDPPKKAIGNDVDEDSKSASVDDDEAKKKKASEMIQSNISKSSLLLFTGCFSIPSSLKRTLGRMGCRQPYGVLPSI >PPA20978 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:470876:472237:-1 gene:PPA20978 transcript:PPA20978 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEDPIRKDSNAAELTVSGAECLVVRAWEAEHSAGTVEILPPLRDECDNQLGPSALLTMNFSVFLLLVSSLLIAAFAIPQERFKRGGYGRPSGYGASSYGNGGFDNQGGLDGDFNQYPDSNLNNGGIQNGGFNRVKRGGFQNGAFNIIKSDGFQNGGFYRVKSCGIQIGGFYILKSCGFQNGAFNRVKSDGIQNGLFIRVARGGYGAPSYGSGGYGNGGFDQGFNGGNFNQFPSNGGYQNGGFNSYR >PPA20993 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:516508:517367:-1 gene:PPA20993 transcript:PPA20993 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTVGQVRREAAWILSNVAAGPAHHVDLIITNKQLIAQLLRKLHMDDPRMQKEVCWVFANTLSNLNTNPRPAVLSEFLGYGVLAIVDFASKSSTDPRLVNKIREVLTAILIVHPEFWRVVEQASVETYRVAGAGGTPLLEVPKRKRRAADYVIWDEDATEI >PPA21047 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:815022:816062:1 gene:PPA21047 transcript:PPA21047 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVKAGAPEANPNEKDHTVEKVIAKDHTVEKVITDVECETEGFRDHKAPAVIENVIVKVECEAGSALDHNAPEAKVKVRGHETNDSGYTEIFLQDRYSDNVRVAPENTVIGKSSRMEKKAKFKPISERDNPIQCDHLSSEIDVKMRMYEINEIGKKGSVHAIQSRENESEAHQLELIHLLHTNKFDLQFIDSALYQRDEFREELDECPKVELGDWDYQSGNPGNCASPSDSTSSSSHHRRRNYLQSLQSSSQQGHLLQVIFEGKPHKKFIEGKPHKKTCSKETKSAAPILKGRCCIVM >PPA21010 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:596742:600027:1 gene:PPA21010 transcript:PPA21010 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRFVTTFGCSFTIETGNETLRFAHQQPFYSCEALWKERLFGFDYEINWHVKSVNENDVFFMHNDKQFVVKKGQQLTYTLEYSTPVFGNAEIKMAKPENAIHPLGYVRSEETITDAIFSRSDPVDPQHFSSLVRIVGVYGQFLKPSSGTVPENLKKRRTVPQRRIADKTAIRKREIAPSMKKSKELSNREEPSEVNATKEEEKEEENIKEIQMSTLKLTENVVPATEFHSKFANEFTVGKIIGVGGFGCVFKARNKYDEWNYAVKRVAVAANAIDKALREVRAMARLEHPGIVGYKGMWIETPPEGWQHDADVKMLKQMGSTKRRIEFNSKYLCNHSLSDWLIEHDEQSSRSVHQMKAWFKQIVSAVNYMHLNDIVHRDLKQPYLYQPSNILFADSEILKVCDLGIATKRSDEDDIDTDVSRSMAGTKLYMSPEQEEFIGRITRVNPNDRPSCKEMIDHIFLA >PPA20941 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:218279:221799:-1 gene:PPA20941 transcript:PPA20941 gene_biotype:protein_coding transcript_biotype:protein_coding MVHARLEEFCQAKGTSVHDVWASNVEDEFNKLPSLIKDDPYVAMDTEFPGVVATPLGQFKSKCKEEDYRKKDLVKLQHGEYVSLAKCETALLTCPIVENICVSVSEELCANAAAIRHSRRSSMSTRLSIWTPDSGLPTEARKLKRRPITQKYEDTIKDLYAKAGLNYENSLLPFRQELNTRVDDQKALNEEKIERPALYLCL >PPA20954 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:305615:305972:-1 gene:PPA20954 transcript:PPA20954 gene_biotype:protein_coding transcript_biotype:protein_coding MHIWGIVGPVVSSALLEKLDLAFTGVLLISGVLTFPPALFVYYRILTLHTFKHQFLMKLFVFNGAMV >PPA20985 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:488212:489620:1 gene:PPA20985 transcript:PPA20985 gene_biotype:protein_coding transcript_biotype:protein_coding MQELFEAGSREATKLSFTYLPAIGIVFNSFPSVKGMLADKLRTACDWYNPGLVLTLDSVKEYAEEMAANHGLDIHSKLQKMNELMRLQVKATRDRISFAVMSIMFAECWAFSQIQEIEKAETIKSLNSFKGHVGRAVRLMQKKYGVAARLIGEREEL >PPA20900 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:29001:30816:-1 gene:PPA20900 transcript:PPA20900 gene_biotype:protein_coding transcript_biotype:protein_coding METDYELEIDNSEGSPSNPDDEIEIDAAPPSNVDISKSTIVRGVTAIRRMKWMTTTTKKAEKESDDDKEKFFDEDDEEEEEPPSRTTPIIGISLCLLIVSLSVGWMCCSTAESEKESAKQPLPPAKTPQVVVQKPQPAKSDTSVTLSSSAENLAKTVMENNKMDMGNGKTTDPLETHSWAPGDFGIS >PPA20963 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:338791:350584:-1 gene:PPA20963 transcript:PPA20963 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-agl-1 MTVDEQVRIIVLNDGDHLEAVVRRFEQGWRVYFVLGDNLLGKSVVLKTSLSPHPLPWSNHGSALNAKAEVDCSTAGSFSYEFYVDGSPTRNGCGYFLVDPSLSINGSPLPLDSVVGQTHLAKLLGPLSEWKDRLRVSKESGYNMIHLTPIHSLGISNSSYSIKSHHELNETLNEKDRSVSFDEVTSLVNEMEKEWEMLTVQDVVWNHAAKNAPWLQEHPESAYNCENSPHLRPAYIVDRALHHFGREIGEDIRKINAMRYIPVITGKWSDRGIPVCVDREEHIGSIAHSLRHSILPSLRLAEFFQCDVERSVHEFERIAKGGPSSDSLDEVLPLVQDEQYRRRGCTVDMQRTARIFNRTRGDASDESHRVHLCTESFRGHLLHLNGEGERKAQSIIDDIVGACCGHIRYERVDGGGPRKGIVTEKEPLLTDYFLHEFEEGNGWEEEEKNAFDEKRAKFLMAFNGWVMSHDPLKNFALSDSEVYLRRQLVCWGDSVKLNYGDRPDDSSFLWRYMQEYTEKCARIFHGVRIDNAHGTPIHVAEYLLAAARKIRPNLFVFAELFTGSEQLDNLFVNRLGITSLIREAQAAHDSHEQGRLVYRYGGDVVGAMVQKRIRLSAPSVAHAFFLDQSHDNPSPVQKCHAKIQDVSMWLIQIRSVHDLLPTAAMITMASCAVGSTRGYDEVVPHAIHVVDETRLYARWAEGETNEESGLIGARRVLNQLHAKLAKEGFDQVFVDQMSPDVVGITRHNAVTHESVVVVSHTAFNKDAIDRDGRVNLRWIPICGVLEEILFEMEVKEERVEEKEDAERLLGWKSYRVETREHLSPSASSLSRYHGGEQGGHLELTRFPSGAVLAFRIDSIL >PPA20967 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:399553:400174:1 gene:PPA20967 transcript:PPA20967 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGSRGWITAAQSIDNPRVSKPKTGKKVVQWADVIVEEKIIEKYESNNEEESEQPLPSPPARSVIRSSMARRDTVERPVIDCTYRNPPPKIAPSALYSTRSKGLRRLPPSPNPHFDSEQLQVYESEVGRVSSARGYEDGAGL >PPA20914 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:85843:87871:-1 gene:PPA20914 transcript:PPA20914 gene_biotype:protein_coding transcript_biotype:protein_coding MWILLDFASILVSPDQFWLYVIIRALASMCAEIFRIIVNVLQAENFKGKFLTGALTLNIVGESIALLFAPIISSIFVDNNVPWQWGMIIGPSLIIPVLVSSSIFLRQKPRVPVDKPTSILRNALGVLGIPSYLLLIISQAVTMFYSMNLTFWLPSIVLYSSQVYPKVFLGLSYPAVSTLTAVFTFGGMFVGGFAVPYLTQFLQSSTALAIPLVYAACSTLETLSYITQITMVTRIFPIFASTYLIDGIASSGIMLNNLQIVLTTTPASQRASALSLLRLTTSLGLMPAPQIIAAVSHY >PPA20905 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:42057:44332:-1 gene:PPA20905 transcript:PPA20905 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLRVEPTTGERRCMRLVDNQTLWDVNAFATTIEGPRCEVYSTAIGANLDMSVHVETFEINGKTRLSGAYSQCAKKCLGIECERVLTLITTYQEGIPILISDKGVGLELTVVDGKMHAVQRVWTMDEEEEEESTTEATEDDDDEKMKEESSTDSSEETEEDKDDDDEEERNDDHLGYAEHLAQWLECPMKCFTQGESEGLALKPLAMKYRRSSDGGNWWYDRFTFAFNSQSPILLTLIEHDANTRIRLGEEREAKGETSFEDFDEDLATCLSACYGHTQEYKEFVQDCSESTLIPAWSILEYSDDERDDHSLPYFYATVAIPQMGRGKGVL >PPA21016 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:664933:666733:-1 gene:PPA21016 transcript:PPA21016 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRLAAQLVPLLLLLLQLTEASQLCFSCSIYEFHQAKTRCSNPSVCEGDVCYTYISSTGSPMMLAGCISNKSKLPKLNDNSRFFCHALGSTAICFCPGLRVDEHPINGTTMSPYRKPQLKLCNRYYNTSDLAGNLVKPHEFEHIIMETTGIDGHYIRKRFRDMNFGEIPELFQGEIVNCASATSLIGLCLIVVLAL >PPA20902 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:35480:37903:1 gene:PPA20902 transcript:PPA20902 gene_biotype:protein_coding transcript_biotype:protein_coding MARAKQVTAKNKQVTAARAHKSRPATGGIMLPRRYPRRTISLREIRRYQKSHELLIEKAPFARLVSEILLDFRPTARIAKDAVEALQEASEAAIIRLLNLTNKNAIHRKSVTIAPKDLSFAASVLGLIHKSSEIRSRRNDETSSPPSYSVEENSDGEWVNSRLNLKWPEPASNANVSKHRYLTFDDESDRKESERRSRKKRSKKEKKKGNESSVRYDRSEAYQNTTAIQYHHSLCGHVTLPYL >PPA20957 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:310732:311338:-1 gene:PPA20957 transcript:PPA20957 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHAVYRKLLLIAFLIIGVNSYHPVSFFLKADRFTASNCAIGFSYLCCKNEYVGADPEKV >PPA20917 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:105354:108547:1 gene:PPA20917 transcript:PPA20917 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLGLALLLAAAAAIGQDDMVKDLPGLLFKPNFQTYSGYLNANEDKNWQMHYWLFESRNDKDKDPVLVWLNGGPGCSSVAGMFEELGPFYVTFDGQSLFENVHSWNQRANVLALESPIGVGFSYDTKVANFSKANDDQTASQNYQALKDFFTRVQKTYGDRDFYLSGESYAGIYIPTLSKLLVDGIKSGDFPNKNFRGAAIGNGFMDVPKLMNSLVSWGNYHGRMSPEDWDTVKSQCSTGGQDVEKYDFTKYFKTDNGMDYYSDGSLCGNLTAPMLVLDDNMDQYNYYQDCYAGTSIVLPNVGRGAKGIKRR >PPA20969 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:417677:419594:1 gene:PPA20969 transcript:PPA20969 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSIVRLASIDALPWDAFIQSIPSKTSEAAKKVIEEERPFSLAPHAFVDYSYGKTSIIWPGNEFSTVRLSFTERNVYYRHLKPLQYALSQALSAGINHVFLHTQNEIAYKRIWVHKFGFRGRDDLDGNVASSGGARRLGRIDIQSHFSRRPIGCGEKVEKVARRTFNGKQELDIFKLLWRSMEASDAPVKSRLILEKGEMDPSMKPKEVRDISELEFVEELGNAIDRLKVL >PPA21017 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:667186:668681:1 gene:PPA21017 transcript:PPA21017 gene_biotype:protein_coding transcript_biotype:protein_coding MACFTAAAVNAHRPLVADITPAASLSQCQDLCAQNSQCAAVVFAATTLMCALFGTPSGLLSCKHASTVVMWEKGICETTTTMGSTVPPTITTTSDTSTSTTEQSTTTSSDATTSVTTSDTSSLTTDKSTTSTSSTTTTTPTTTTTAERIGNYFYCDNGACAGFDDLTESGTAITNGYIKDGDCQLDCDVGTLQVEIGGEWKDVLNGALACATGSWCGSDLPSTSYDIGNGERGIYTRCKGSSPEPKSAVNTANCSILDQSLID >PPA20937 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:202972:203644:1 gene:PPA20937 transcript:PPA20937 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIFAIIGLVIAIAGLIVAICVCQMRKRARSAAMAAAAGGGGMPGQPPMGTPIAPDSHALGQQSIDMIPMIAGHSPSQA >PPA21040 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:785031:789363:1 gene:PPA21040 transcript:PPA21040 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mac-1 MKLVNEPVGSGYGELFGTCAKKEPKLKFGANGDVAFENEDEEEEKEYEGKFRPVLVIGTTSRIESVDGGLRRAGRFDCEISIGIPDEASRFEILTSLCKCFTECCGKTLVAKAVANESGLNFISVKGPELLNMYVGESERAVRTVFQRARDSSPCVIFFDEIDALCAKRSSHEGSGSARLVNQFLTEMDGVEGRKQVFIIGATNRPDMVDAAILRPGRLDKILFVDLPNHEDRADIIRKSTKNGTKPRLAAEVNIDEFAADERLEGFTGADIVQVVHQAGILALKERRGCVAHHLADLRIT >PPA21012 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:622890:628258:1 gene:PPA21012 transcript:PPA21012 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-scpl-2 MDTMGNPSMGGRVVRSIRSLCSLLLAAFLTVAQFFLFNARKAHRVYAKRQVVTYEHIPLSPLTAHRLVVVRRKILVLDLDETLIHSHHDGIVRPMVAPGTPPDFTIRVTIDRHPVRFSVHARPHVDYFLSVVSQWFDLVVFTASMEVYGSHVADRLDRGRGFLNRRYFRQHCTVDYGGYTKDLSAIHSDLSSIFILDNSPGAYRKFPNNAIPIQSWFSDPHDECLLNLLPFLDALRFASDVRSVLSRNQQCQTQEK >PPA20953 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:297632:302686:-1 gene:PPA20953 transcript:PPA20953 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPDPSKVCRIDKLSYTDNHAFFGPWDNFVTSFIATFSNHISPDVINEIGDFLFGEGLFSNAAFDLLTSQPTFLFFFWLGVIISAVSIAGAVSSKTMNLTGMILAVVSFCFVGTAIGLYSIPPLVSKDANEPRTTPTFMKSKTDNDCFTAITFQPIIDQTTTLTNTVMGNVDNNTGLQAAAQTLSRYGAEVSACKNDVDKAIRDNRAVGAADTAMSSTPSTRTANTLFVFAIIVLVLISFVFGPTLLMMIMGYSVQTSCQPFFYDDNLKGLQTMAPHLPHFAIPTMEADVIVNTSFVDVMRSCKTAYFEGSTPFFYVAVGGNMQVLDESKMQNAMNFNAVFNQFKNNVDAAVIRTIDRNDYDYISDYFSELHVKDIIDDEMKKALQTINGAMYDGATNLYNFLYSGGESCGNLYRSWADAGDLGCRETNIIWHGQGMWPAAGLAGLFFLPLALSLVCIANAHRHGKEVLLEIASYSPKVQEAAQPVPVIHHQQPAPHLSQAPPAMYPIFTIFS >PPA20976 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:461616:468872:-1 gene:PPA20976 transcript:PPA20976 gene_biotype:protein_coding transcript_biotype:protein_coding MHDSGGSPHAAAAAGAAAAVSLDEMMQRCQCPVCLLHFRNTPTKRGEARSLACSHLVCTGCLTNLIGQGSLGHCPVCRTAFNAERDPIPPVPQLNFLSDGVGRLQAAFAQQEECNRQMHNANEAMKKMHAEKVARAAADAADAEDKLLQTARACTPPPDDVTTVVTVHILDASAVEDDVQTARATTPPRVAFAPGVFNDGAPGSAQQVAGTVAAAASAPDVDFDVATAKSQRSQSPHSRSSFASVHTARRCYSQSHNASSPVGAWAVAGRGRSNSSSSSSSSSSSVRSQHYSAGVMTARGSTPPPPRRALTPASGSRNTPHVSFPNAGPSSSVRVARSRSGSSGRSAQRSSRASTPLSRSPIAFSSIRSSAKPQGRAVTPAPGSRGRGGTAGSRSRHASPAAAVARAVNSARSSRVSTPRSASPSSKGRGRATSAKAKRETKASTSRGKKSSKSGSGRSARKVRNHSFVSVMDMKVLYILSLLLLQSTARPRSIEESEQARDSLIAEVESMGYVIESAGKIVQREDREACGLIRLGFEGWLTDADFKSMTEILLDDLDITHQDLTLSIARLACEFKRDSKEIFDFLTAGFGRFSVSESTVLLNVSLPWIFRLKNYPEWVRYPSTLNVTKRERTAKMSLEMNIISRPFFSGYARDTLRERSKILLAELKDTISDISNNRSFFEGREDTFFEGIEKSLMFASNALTHGIRTNKVASITNKGRDGDSIVAEVCVDYTSGERALDGFRIVPIGYVKDGIYIHPHLSSFYALPEGKNGVDDLLMPGDFVCRDKGITVVETLDAQDPHCSLTSDDCPRLHRPLRDYDDIIKVTLDKKMIIVTSKEYAMCFQNHNSPFFYNKNEEWKMEMEKEMFEYNTTAIFRVESPIMVHNSLLSCEINMGNAVVANHASTTTPIPNSIPIPKLEGAFSEQDSNKTISEKRWNFVHEIGYPIYANWLNKHENNEMGKKANQS >PPA21051 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:828135:828844:1 gene:PPA21051 transcript:PPA21051 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTMKVKKTKAAAGTSNTQALPFNTDKGQHILKNPNIVNSIILKVIACEIDPRMVAELNKRVMGTVFEP >PPA21046 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:813716:814776:1 gene:PPA21046 transcript:PPA21046 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNEDGEMAAEITEMKALMASMAQLLMKQCEKKPESLQELPNASMNAIESRIREFVYSPEDGSTFERWWNRYVDIFEIDIKEMDDLKKIRLLIRHISTSVERTFVESIAPVKTKMLSLFGDNTSTFDRRRTMIDLKMSKENIEDVRVLAARVNQTVENAQVKDATIDEWKVLTFLYALDLPRYSDVHMRMMQTTRQKGKDCTLDDLIAVFNDVAQLKKDSRSITESSREVYYVDRKSREGKQTKRGEKKDWKRCRSSDSERTAQEGRRACYCCGSEEHLRFECSFRKSNCNKCGEKGHLARMCKQQNLRVNTVTVASEDQWREYIHGDRYCC >PPA20979 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:472981:474211:-1 gene:PPA20979 transcript:PPA20979 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTVIVSRIEAATSSNFYTGKEKCDNQLGPSALLTMNFSVFLLLVSSLLIAAFAIPQERFKRGGYGRPSGYGAASYGNGGFDNQGGLDGGFNQYPDNSFSNGGYQNGGYNRVKRGGYGAPSYGGPSYGNGGFDQGFNGVNFNQFPSNGGYQNGGFNSGPVPNYG >PPA20907 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:61535:62723:-1 gene:PPA20907 transcript:PPA20907 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPRFAVPNVAHMKSRWQVKRKELSTYLQSIGEDFSTINMLMVAPLLLFAGALTLFIACNPQYYATNYEKIVEIVEEEPPERTTISKAEKKPGVMEMMKNIVSDPANVSKGQRVKKRKEKTDKTSKTVAELTAEADGTTATEVTAAALTGPERK >PPA20896 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:19587:21989:-1 gene:PPA20896 transcript:PPA20896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mlt-8 MLALLLLPAVALAQHSPLNKVWHDALPGVQPFWEKYQTGPHGVVIRGWQFSRCASEQWTDYVVNVSNIVIWPDYPRFPGPIFFNVTMDVSENLPVDKIEMDLEVRHAVTNKQGSKGWQVIPCQGWNIVDGCDGVGSCRYCDMLDKCNEAVQGAGKYVTDRKALGFIKENKLCPPPKGHWTMTFSKVFSSEDLPKSFFGPLQSNEYWLTFSFTDGNDKKLGCARLWVDVCKYHLQDKQQKCLRDPNAFKNFINEISSQAEQIRNRG >PPA20923 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:137018:137388:-1 gene:PPA20923 transcript:PPA20923 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVEAPLVDEHDAGGSEGDTTSSSIGSRRETREEERKGGGASGSRSWVSKIIYFY >PPA20935 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:200424:200909:1 gene:PPA20935 transcript:PPA20935 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMQSTRLYCALLYSIGLAYAAPVPENATSSVEYVPISDYNTTELRFTLFCFEISNADRHWHCSDRSVDRPSRLLLSDGGQIRCFGRQETGKC >PPA21048 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:816600:817434:1 gene:PPA21048 transcript:PPA21048 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPESTIADFYPTDFRTDLNGKKNDWEAVVLIPFIDEKRLLDAIIEKEPTLTDEDRARNVHGPHLLFTTKEAPENGNWCSRVEIEKDTFRIPIKKVVHGLLPNVKLDVFFPGFPTMKHLPHKGELKEAHVKVRWG >PPA20995 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:521523:522895:1 gene:PPA20995 transcript:PPA20995 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVIVIVDDISHVFLPLAATAIFTSSGYDTISIGIGSIFIGAAELEKDIHGTVRWFDSLKVFGIISSDNAAKEGVFIHINDLERSGLTTLNEGDKVIFDLKPGNRPKAVNVRHAT >PPA21042 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:795724:797568:1 gene:PPA21042 transcript:PPA21042 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKREWDIRQQARKEAGEPEEYYMYEVIGVHCTRGVNRTGYMICRAMIERMNYTAEHAVDLFNKARGHDMTEFMPELKKAEEKKRSFERRNHYGGRGRGGGDRGGRGRGGFPRGRGQ >PPA21003 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:552388:562569:1 gene:PPA21003 transcript:PPA21003 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGWGTRNKRCSCPQLCNCERFECTASCIRICGPTCASSAPQPLHCPARQANAPRGEVFDRACNMSRWLFISDSSARSRDDRVGELKRYDSKLCESICSEKCSNLAFAPNPVATASSSSSSSASPSCMSPCSTRCEQRCNERAPNPAMCAPTCQKTCSFTCALASPVPTQTVQQVQQAATPPPTLAPITTTTAAPQQQCAPQCQPNCQPSCTNLFPASTAAHTLAPALAPQCIPQCQPLCLPACTAPTTTTTTTTTTTPAPIVQPQQSCPSQCQPSCESSCINMIRGAQIIIDFQPVQPVQQLQQVQQPVQQQVQQPQQNCPNCPQQQTQSQFPSYPPTSYVPFTNTLSGVRPTIFSPSQSQQLPPLRVILQPEQQPQLQPLQVELHPEPHYPPCQTDAICTAHATTTAPSSISYLPPSPPSSPTSFPSPACPEGASCSAVPTSTVCIDPCMPSCTATCTSYYASLQQQPTTAPAAAAALQPLTVQLAVDCPQQCQPACAPACLSQVQPQQPQVKPQPSTCSLPCQPMCHPACVYLFSMLANIAYPPPPQQQPYPVTNPVPVSVQVPQPQPVCPSRCMPVCEDNCILQSITYLTPVQPTVPLLPYPVEYLPTVTSFCPVQCAPACVPACVQNMNRNTSFTINVQQPVQTPASPQLSCVPQCMPTCQPSCLLQQQPTPAAPSTTPAPATQQPLPSGFCPTPCQPACSSHCVEQHSQINIIIESVKCPCEQVCVKECSQSDPLPALSLYSPDCLPLCEQTCNSQCVTRLPQDHCGRLCSTQCNAACPPLNQQQQPMQQAQQQHPVLMQQQPQQLQQQLPVQQPQPFYMIAAPQPVFTQPTPVQACDPRCQPSCDQQCLQQLSVQVQFTITAPTAVSPSVEAVAVPTITTYSVTAPAVPAAAPAQQQQQQQQAQCLPSCLGSCQPNCVQQEPTCMQSCDSSCQSLCNAAPQPATVYMAAPVQVAPAAPAAAAAVQQQPTVPTSVQYDCGAPCSTQCGTQCAGRAPTCTPACQSACESACPIPSCESACSVVCNGQCVQSGQNPAWMPGAEMKHRVK >PPA20990 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:509126:509652:1 gene:PPA20990 transcript:PPA20990 gene_biotype:protein_coding transcript_biotype:protein_coding MACHWKVNWHSEYNALLITSLTIFLCSVEFSILHVGEWPYMHQTISFCHASDEH >PPA20920 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:123129:124868:-1 gene:PPA20920 transcript:PPA20920 gene_biotype:protein_coding transcript_biotype:protein_coding MYNIKDPSQLAALKAEPNEVSGGSAIKLEIKVIRELNAKGDTPHIPQVWHAAKHHKYCYMIMTLLGENFKTLRKKNETGDKNVWAVLSVSAWIRLGIQSLYGLKILHDNGYLHRDIKPSNFALGLVTDPNRATMVHLLDFGLARSFAFKNSNGKWIRRLARCSVGFRGTSRYCSPNVHDKLESGRRDDIWSLFYVLIELHCGLPWQKENDKVAIERVKCNSKDEVVMQNMPRELRFIIPELRRLDCYQRPDYLGIYQAMKAVMLRPSKAPEWYAPEAYFASDPLGIICAPSILSTTSTSRSTIPTSTSKMGGSDEKTTTINDADVFKMTRTRETQTRDEDEKKEDKKEEKEDPL >PPA20895 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:12369:17126:-1 gene:PPA20895 transcript:PPA20895 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQTDSSSGESSPEQQTAPPPPGFTTDTDDTSSLLDGPTHVPSLAMIPDEDALSFVPEMDFQASGCVYSDYYDRIREDAEWDEMGHMTYVPLYTHRTSHGSFSSDRLSSEGSVSPTLPSPIGDHLKAKRDSSSSIQFPIVPGAPQVFPDPFAERAREGYGNNNNNNNNRSGAKPYATIMEPKTDYGLTAGGEYGSSSQDSQTDVFGGSQESLNQGYGGYEFGSSEYGRGGYGRLQQQQQRLGHNGGRMATATTGSRRQHYSSVGSGYGSNGYNDYGTSYAYDQTSYDSWRGDAFESTNKYGGPINGGGGGGGGSSMSSYGQYGSSRPSSMAAPLAAAAAPQPPAGPLPTAFSRANINDEQKNNLMANLARLCNQRSY >PPA21036 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:763841:769670:-1 gene:PPA21036 transcript:PPA21036 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIVKGLLGLLGVLFALNLYMSWTGIEGYKESRMGLQEQIQQLENKKSALQQKVWEMERNVHRFNLRLESLDSQVRDRLQLLSNRKKTSLPMIYFVTPTGFRLEQKADMTRLAQTLAQVPNLHWIVVEDAETTSKSINDIVKRSRVQFTHIAVATPPESKMKSTDPNWLRPRGVPQRNAALAYIRNNLGSSRTGVVYFGDDDNVYDWRLFDEMRRVKRVGVWPVGVVGGLLAEHAMIDGQGVITGFNAIWKPERPFPIDMASFAVNITLVLDNPEAGFSYDVPRGYQESHFLQSCGIANSREMEPLGDYANKASQIGGEELVFVWHTRTEKTKLGRADNSTLLEKVHLTPLQKDAIGYD >PPA21026 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:718997:719345:1 gene:PPA21026 transcript:PPA21026 gene_biotype:protein_coding transcript_biotype:protein_coding MFEACGNSLFISHTLSLGLIFWSDWGRPARIERAGMDGENRRVLEITEITDEITGKNVKWPRGLAIDLIEERLYWADAKAG >PPA20959 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:314041:314566:1 gene:PPA20959 transcript:PPA20959 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLFIFLFVITVTWALKCYVGPNEETDRPSLQKCPRGSECCSHNTSSGTDGSKLYFCKRAEGNCRFWQWRASNSD >PPA20981 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:476465:477050:1 gene:PPA20981 transcript:PPA20981 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSFLLFVASLLIAAFAIPLERVKRGGYGRPSGYGAPSYGNGGDFDQQGGFDEGFNGENINRFPSSNFNNGGFQNGGFNRVKRGGYGRPSGYGNPPYGNGGFDNQGGFDGGFNNGGFNQFPNNGGFQNGGNFDNGFGNGGFNG >PPA20965 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:370555:373767:1 gene:PPA20965 transcript:PPA20965 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKTHKLMVRLKGAGYYAVARGKQVGIVHTWADCERQVRGYPNAKFKKFLKEDEAYAYIETHRIRDGAPSYSMNPSSSLSSPYGGMHPQQQLQQLQQQQQNAPQDAHDNLAGFDNMAATALMSLFARPSTSSSSSSSPLYPTPFHTVQQQQQRSMSTTAAAPAAAATTTVANGGSVNPRKRSHTKTEKGETEDYNAAKRARIWSCSV >PPA20992 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:514823:516194:1 gene:PPA20992 transcript:PPA20992 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAIYGKTGQETVIILALQQTATGGMAIVLSLSFVFGGLGRIISTRVLFLVATTVVISTAILTFPYPNPVSTIALFNESTRTGCNPDEYSWCFTNSATPFFLFIIPVAATLGFAIPSAMLSLDTIYSRLLGDIDQDDPKEALIISTKRIQPLIH >PPA21055 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:844612:845335:1 gene:PPA21055 transcript:PPA21055 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPKFYRWLSERYPCLSEVITDAQPRVDSLQIPEFDNLYLDMNGIIHNCSHPNDDDIHFRISEEQIFNDIFKYIENLFNIIKPQKVFFMAVDGVAPRAKMNQQRARRFMSAKNADALIEKAKRAGEEIPTEKRFDSNCITPAYPNVPS >PPA21023 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:707317:713071:-1 gene:PPA21023 transcript:PPA21023 gene_biotype:protein_coding transcript_biotype:protein_coding MPMYYTLSYARSIVASIEEEHDSLYTSMDEEDNLPETAPKKSISTPRLRVSVGPMPNRVPVVPPSLSDYSAFPSSLRHPVQQRPQQQQEKQEKQQQPRRQQSPPQQQPKPNIVYLQQPGSSLQQIERRSELTKERLQQLQQSQSSLQQHRQPPQEKDQQYPRQERLQQLQQRQPAQEKDAQQLQQSLPKYTATEKILLKQTMTTIRPPTSNPPPPPPPPPPTAHISVRESRERTAVQYGTRQESESRFVPPPPPRPSEESLQQVRRQRSSSQPPELTRQRLREFTQTYENGSRVVPSDTASVASSRGSSSFLASGIRSDRTTSIRSQWEAACVKDETDARSEALRVSRSRGYSFPKWRSNDALSASLVASNEKGAVHIPVDSRIPEDRQRKMMQTEVEARETKVLIHREANLARKPSLHRGRSVDAVNMQLDHSPWYDEREIQGGIERESIGNLQRTRARFETPIHSTVNSRLTSPQPPPPSSHPAATAHPRRLIIDERSSPPSSDHRLTPPNQPMARVAPSSHLGGSSLSLSSRLSPEEARLVQFLRQNAQVATSLGISIPPDLMRDVITSYAHSEPVQSLKYEEIGCVRPYVWI >PPA20910 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:72237:73504:-1 gene:PPA20910 transcript:PPA20910 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGLRVECKGTVEMQRMQIAREPLHNGTVKDLGSFGCSRDNTYYYKAKEKRTESEPSRTTFVDPEKVSVFCSVPS >PPA20898 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:25872:26427:-1 gene:PPA20898 transcript:PPA20898 gene_biotype:protein_coding transcript_biotype:protein_coding MTYEPLIHTHLYEKAADTVATSDKAEREKKFKELLNESEQVAVATLAFLIQHLQKVYDHSNLNGMSRSALATVFTPFVVGWGSNGDTSFSIMLALLKLDTSFWSD >PPA20961 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:323216:327746:-1 gene:PPA20961 transcript:PPA20961 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ech-4 MLRRALSVVAARGSTTNFLPARSFSSQAAFEKAQADLKKLKEEPDNDVKLKIYALFKQASSGDVSGSRPGMMDFVKRAKYDAHAKLKGIAKDDAQKKYIDLVSSLLGAEAATPASKSVEGLTAVPGVDFSIKGKVFEIRLNRPAKFNALTLDMYNGITEALYFASNNKETSVTVMSSAGDFYCSGNDLSNFAKAATASKEEVKEMAEMAGVVLQKYTQAYIDHNKPLITLCQGPAVGIAVTVLPLSDMVIVSDKFNCVTPFASLGQSPEAASSYSFPLLMGPVKASEVLLMGRKLDAADAAKLGLVSMVVPHAEFEQKAWKEVEAMSVLPPESLRLNKMLLRDIHRDGLTKANKTECELIVQRWQSKECANAIAAFMTRKKK >PPA20934 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:181880:183001:1 gene:PPA20934 transcript:PPA20934 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAQSLCGQNGLMPIGYLDDDLQPAFYRNPFTHKYKGSAVDMWVEAAKMYGCRGIEFIKYEELADYSEFVTTIETLWIEENATKLFRYSTGGFRSRLLTLIGDISNLQLVDNLNEMVSNVCKYPDAVGLLFELELVTFYISELARSCSIER >PPA21022 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:703292:706517:-1 gene:PPA21022 transcript:PPA21022 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTFEHAALEGRYGADLIAAEMRLQREREADLRRSRSQLGLPDLQDTLQLWRQGYRGLSTNCLASASSFDHGLNHEFGRMSKAQSITALTRARQSTVAANGSLVVPSTDVHLEEQVENYKNYVEASTRLIDSLNLYETKPLTIVRDRQSSQSIVATDTALLAPKLAPIKIASESLATTSSVHRDWTAHEVYDNGSYRSLRAYGTPEDTVIRETIVSHRIFQLAHYARTKPIIANYTNWMRDQGAPGIQEIRTMQMARNQYDAALVAHTKKPVPEKKKKLDQTKDRFEAIKNSLVTTKFNTIEKTYDEHREVCIELFKEMKSFHMSMVSVSEAPFTSLLGRLDEAAKKNTPTMSEEKVDNNNNNNKPAPAPAAKVATPIKKLVEPAKDDGQYECLDNMK >PPA20947 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:267402:276845:1 gene:PPA20947 transcript:PPA20947 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLAGPSTTLSYIISGLAALLSALCYAEFGARYPKAGSAYTYAYVGCGEIWAFIIGWNIVLEHCLTAAAVGRTFAGYVDDLFNYAIRDWTLENARPWPWNTIELHHLTSNDTQQICVEDGAQMGQRSIVASYPDFVAVLIMVFSALFVGVGSKAAANFNSIFSLANLVVIVFVVGYGITFADFSNWNNFFPCGVNGVLSGASKCFFAYVGFDGLATAGEEAKNPTKIIPRATYYSMAIVTVCYVLMSATLSLMMPYYDLPHNSIFSAVFIKLGAPGWIPLVIAIGAMMAILTSLVGSLFSLPRAVYAMAEDGLIFGWWARVNSWTKTPLNATITFTILASLIAMAFDVDALVDFLSIGTILAYTIVAAALIILRYRPNPVEENSTVMDQGGQIRPNIPILSERLAFMKPGHSILYSLLVMIVAFTGIGVLISTSFFTTAFGMILMVLFIAISLGTVLFIDAHQQNSMDLDYKVFLVPYLPCASLLINILMMTQLTFMTWIRLIVWMAIGLAIYLIYGMSHSKEEIDWSAKRKLQAENKLEGIRAWTSASNSGDLSTNLRRCLNTFDVTMIGVGHMIGAGIFVTTGEVMRNLAGPSTTISYIISGLAALLSALCYAEFGARYPKAGSAYTYAYVGCGEIWAFIIGWNIVLEHCLCASAVARTFAGYVDDLFNYAIRDWTLEKARPWPWNTISLHHLTSNVTQEICVDDGTAMLGQRSIVASYPDFVAVLIMVFSALFVGLGSKAAANFNSIFSLANLVVIVFVVGYGITFADFGNWNNFFPCGVNGVLSGASKCFFAYVGFDGLATAGEEAKNPTKVIPHATYFSMAIVSTAYVLMSGTLSLMMPYYDLPQNSIFSAVFIKLGAPGWIPLVIALGAMMAILTSLVGSLFCLPRAVYAMAEDGLIFGWWARVNSWTKTPLNATITFTILAAIIAMAFDVDALVDFLSIGTILAYTIVAAALIILRYRPHPVEENSSMMDQGGQIRPNIPFLSERLADMNPGHSILYSLLVMIIAFTGIGTLISTSYYTTASGMILMIIFIVISIASVLFIDAHQQNSMPLDYKEICFKRYTIFVAVQDN >PPA20931 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:170459:173453:1 gene:PPA20931 transcript:PPA20931 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIAFATGWTGVAAALHPAVVSLGLRLTCDQVELVSSLVQSAQPSIDDKLRRRPQFRWTVEHISAALGETQVHVLGVDGPPSPGSARTAIPPRPLLSFSRVVHTSEKGGGASAAQKRVTVHELRLAWTAAQRDVCLVILEGTRRAALLRSMLGQHLSAILEAASEERKHYEQRRRKDGRDSARERERWEEDGDETTGEQPADLLEKLIEEADTKKVAHCEHSAELPSDSLQGISQATSDDVTAISWHIELVNSQMMLSGDAGKFVLVAASRATLSQKVHRSVWRSAQLLSKKSWSAMLNGMQNIVHFLVGYPNLARIRRCRFTRKQWIRPAGREVLSIL >PPA21027 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:722713:724767:-1 gene:PPA21027 transcript:PPA21027 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSSSSSLSSTTIFFFVATLFDVDGFVKMVELINLKMKAIAFEDLSFVERLPREIIWKIFEETPDCILNFRQTSRVLKCFVDEYAVQSISPNLKLDCKMKITMQVHEKNADLFAVLEGADDDGDTHFFISTSRWLQTA >PPA21024 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:715014:717341:1 gene:PPA21024 transcript:PPA21024 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSILAVPLFILALFVQFLVTESVSASCSNDEFKCRSELETNRLTGCIPKEFVCDGQVDCDDRSDERKCDKLQCREKDDLQCLGEAGMKMCISSKWKCDGQIDCADGADEKNCTKKQCGRSEFNGMCIQASAHSTDKPITSVAATLCASTPIGGVMERTTVGMELMRGIVGFRGSPAIHRQCSMYDFMGKLWEWGRSKTFPRRLYPCNSHTMLKCKSGSGCIPLERKCDGESDCRDRSDEEGCPATNNACKLNTDFACLSGNTCIDKQWMCDGQIDCPDGSDEEHCGVVSNCGVGEKLCGKGSKCIASSLWCNGIIECPAGDDEFDCPRKHSLWRSAALDDAIRSAKSLMILTNAPVTEATNWSGDREKYTVASRCRAIGEDPLILLSNRGTISQFNMATNTHVPLIQAAGSVVAMDFHLDNQTHPHLVRYSDAEDNYVQDWSFYGEISSSPEQGAPKLPALYCIILIHCAQSINRDPYCIMLMIDP >PPA20998 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:535626:539571:1 gene:PPA20998 transcript:PPA20998 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLVLIALVAAVALAVEETESKEGKLLLKRAKRQCGCFGQSGCGCSSNIPVCLPTCMQQCQSSCNTPICVQQCAPSCQQQCSVAALLRFPFSFPILITFILVVALANNRIRSDVPESIPLSQIVPVFSNNNNCNSCQNSCINSCSTPICIQQCGQQCGSVCGNSCNQCQSSCNSGCGGGPICIQQCNNQCSNQCSNSQPIIIATPINQCNQCQNQCNSGCGGGPICVQQCNNQCGSVCGNSQPIIVATPICNQCQNQCNNQCGGGPICIQQCNNQCGNVCGNSCNQCQSSCNNQCGGGPICIQQCNNQCNNQCGNSQPIIIATPINQCNQCQSSCNSGCGGGPICVQQCNNQCSNQCGNSQVGLAAAEAVSNLGLQPIIIATPSCNQCQSSCNSGCGGGPICIQQCNNQCNNQCNQPAPIIIASNPCNQCQQQCVSTCQTPQCFQQCMPACGNVCGNNNNCGSSCGSSCGSCGTPVLVQACQQSCQSSCAPPPSVIIVQSPSDLMCAPSCSQQCSNICSTPSCVSSCINQCTPTCYSNCNQNSCNSFQVPIVLATPVNNGCNSCNNNCMSSCQTSRSAAQQQTEIEVVNVHLPASALTPSSANSIDATLDMMLAQLTSKPSYMTNNPTPCNNQFQYPSTNFQNQYPSSYQYPSTYNTVPPSYPISQSGYNNRAYSPTVMDPQALYYSSGALLTPLLPLLIDMVSILSDASVPTGPSSPNL >PPA20991 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:510696:513362:1 gene:PPA20991 transcript:PPA20991 gene_biotype:protein_coding transcript_biotype:protein_coding MDESATSSFFGLASSLSKASHAVAAILFAVMAHKMGDIKPALLAGRLITLIGCLLYLAVEFFPVDKRFVLLTAYLLFGIGFSTSPLLRALISRQSSLDNRSTAFAFVHTAHLLSILTGADYGSLRYKLTVQFDKVDNQVVQLTFAGLPYPGFEILPNIKVHIYTVPIWLALLTNIIVIFVIIFKLEDRKKEIDLDTVPSSFSLTYLRREFARLRSLSLPWILIGVIVLEKCLVGTFPSAIPITQTQI >PPA20894 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:6310:10918:1 gene:PPA20894 transcript:PPA20894 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKDNKPSTPSRRAKKGESEYLEADECRTAVETESLTDASISRMTPPVTQSPRDAWAAVAPSSQPRIVPATNRLSQADREAEEIATSTARALQTLRNSSDPRNRLFVPQSPAAQTTPKRSASASSPFQAFSGQGCPLVSPLKAVSSSTGLRTVAAGASPSPSSVRTAAARSPDVRTGLEDSGRSARLRNLRAVTQSPARATRSDVSTALSGRATPKKARKTKGGCTTLHTATANYEIDLRQRTNSMTAPTTSVSTARTISPAARSSRSGRSPARALVASNQQEPYYDPDYPPLPCERDPAEQTVELVDQSQKYTPHIARPILFGTSGVNTARNISPGRTRTLSERTAQGDTSLKTSRSPASKSEKSVRTARSQHGGLSAGNNETSSKRAASRKASVSRSHHKSQSQSSLATTSARSFASNKSWATEPNKTPCREYRTTKGVTVTLTIPGKNLRSAHKSASETPATITGRSTDFSNKSSSSASRGPRKSAAAKSNAKTPLRTAAGLSTRSPAARTAVSPDVKSSKRSPPRVGHADVRPFTPDTPKGWPYRTSDQQRQTPIRIAAPAATSVKTAAVRSPRVAAKSTKSPALRSQSKDTVVLGQYRNLPYSPEELHREAKSASSKSRSNSRRRAAKPTCNVSTHRKLASRPLSSNSSTKSSKELNSSKINARTLSPSTLKAAKQNSVAAIDNSPDLVIETVQAHGNIERPKIKDQSLYQIDLCFNFRVRGPPGQGFGESRSPLKPKEVLINNKSIWRQ >PPA21041 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:790535:792614:1 gene:PPA21041 transcript:PPA21041 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGGAKNKKGASQDVRKEATVEKGSPVKDKELDSAALNAASPAKDVKSTPTKDKELNGFADALSAKGSPVKEAKETPAKDKDLDGLCDALDKVEVKEEGGDAKPFKVVDDVEKEEFEKQKKKAKKEVPPSKLPAQVRAPTKNHYKIPREWACYSKCGEVVPTTPFLPFKSPLSEERFKRHPELEEHTIVTLIQW >PPA21009 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:593836:595688:-1 gene:PPA21009 transcript:PPA21009 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHSILMLFSALFAAADSVNPCDRSLIRDATAEEVLFGSSLYKMLTRDEVKGWKDGYTYPISSNKLRREGIANATEPISVKCVEYCAVDGPVESDLYIDATKNTTAATRMISCEDTRRVFYNGKQMFGLRCLFDFGGWISDAVDTPLTTPLKVECRPGCTQGDRTDMIQSLSDEVFIVHCDSKDGYTYGDNHTVADAFDVVDMQCDILPEPTTTTAEPEPPAPPPPGPKTPTNEEHTSDDEDTNKAMVIIGCMSAALIMCIKRRRDEEEERQREEKRQKKREERKKEERRREEESRTETGDVSEEV >PPA20943 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:228496:230631:-1 gene:PPA20943 transcript:PPA20943 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVNYGGNQGEDSPLLCVRPGGRRPNAAVVSSSAAAARPAGAATDGGDELGPAARILSAGETNSSDNECSNKKLIQTVPEKKSRIQLLGESWLFLTCVRTACLLLTLSAIFACAFSQYWTEKAPVVLGMVFSVLSAMVMGAAVAVIFVWDMPTMNLAKRILFTLLFSIMSLTAAVLYTVGVSVCSSYNQYGCFVTNMSPALKVAAAFSYIALAISLLDCGLTFYFYRFDPAARAAEGTANVGDHKPDGRPPLPAPIHTEV >PPA21053 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:834928:837264:1 gene:PPA21053 transcript:PPA21053 gene_biotype:protein_coding transcript_biotype:protein_coding MTHAASSGELNEVDNRDVRGNEQLYAVSKEIREVAERDRNRRRTRGTGVTYSDPLVTKIIDESTTNRPRKEENYRSRNPQKGIYDHPFYRDHQNRSQSESNLHSSRSKERAESGKERRKSLRPASTKGQNLTELPTATLAGQSLSKYNKTKKSAKGERSSSKKSGNDDTGFMLIGGAYANSL >PPA20999 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:542130:545739:1 gene:PPA20999 transcript:PPA20999 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFALFVLFLIASTLAAESNSSVVLRGKRQCGCTTSNSNCNCNSMQCVPVCIQQCAQSCSTPACVQMCQPICQQQCAPQPQSCGGCQQACSASCATPVCVQQCLQVTCQPVCQPTTTQQPIIIIQQQQNQCNQCQQQCTQACATPICVQTCQQQCQPVCQTNSNSCPQQCQPSCQPICIQAVLQPVQTTTQAPIVVVVQQQQQQQNQCQPTCVAQCQQSCSTPMCAQQQNPNFPSFQACQPTCQSICYAPITTTTTPAPIFVVQTQCVPVCQQTCVQTCQQTQPAAQCAPVCQQTCEQTCQQAAQIVIPCQTQQPTCQCQTGYSQCGGQCCRK >PPA20987 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:491711:492525:-1 gene:PPA20987 transcript:PPA20987 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPRLVGYPGAGRQTYVSVHDEGSADWRRQGEEIDRVRDLIEGILVQTRNAYSDYGVPSPFILHSLSLLESVALPHFYKHSHNINIPMFNHLGWLVEKWLSSFCTHSRFSNRRKSM >PPA21005 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:574208:574714:1 gene:PPA21005 transcript:PPA21005 gene_biotype:protein_coding transcript_biotype:protein_coding MMAATQLAAFAARNSVVETAANSFVTVREKREEQTSSTNCVAHDGHEDCTICTNGVCHTTHSRKKRGSESYSKNCNNNRCTECINGSCRTYDGGYRALRIR >PPA20950 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:289167:290849:1 gene:PPA20950 transcript:PPA20950 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHGSRGTTLIDGSPTDPYTDNKLEGGVQRTILYISQNAFEQNSYNQSRGAVMDQLVRYMGMRKELLRPDASSYVKDNVDRAAPATPRFHPAQLSWPFDPESITVPLSARKSYSLTEYCPARNDADIGAGQRVGLLTRWDAIKLNSMYCPQEVEADPRRGPCVVPRKKDLNNFKKKLNAYKELRKH >PPA20977 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:469160:469548:-1 gene:PPA20977 transcript:PPA20977 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKLFILLALCAAVAVQIEALYVEACNEVCGRSHQERFECCRAHGFWRGMSRGACMGGRAFCRHSGDSSSSEGW >PPA20972 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:426313:428800:-1 gene:PPA20972 transcript:PPA20972 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFSRVVKTTIPLGAAALTAYTYKDDIASPFRQRQQSRIHCYLLANMTLKAHVAAEFVRDGCFQLLYDLRLELPPRRDPMSLVNEKKYSKATDEEKEKMIKEATPTATRTIVLIRHGQYDQKNKPHPLSELGREQAVLVGKRLAASHKRTEIDVVHMSTMTRATETANIILDQLPSEIPRNSDSILEEGAPYPPVPEHSEWKPPANEFYSEGARIESAFRKYIHRASVKQKKHTLDVIVCHGNVIRYFVCRYGLAENGYRELLDYRTLHSSEWRSIDPIGGRHWPSTG >PPA21031 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:739587:742685:-1 gene:PPA21031 transcript:PPA21031 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSFIIVHALIVEDAETTSKSINDIVKRSRVQFTHIAVATPPESKMKSTDPNWLRPRGVPQPNAALAYISNNLGSSRTGVVYFGDDDNVYDWRLFDEMRRVKRVGVWPVGVVGGLLAEHAMIDGQGVITGFNAIWKPERPFPIDMASFAVNITLVLDNPEAGFSYDVPRGYQVFVWHTRTEKTKLGRADNSTLLEKVHLTPLQKDAIGYD >PPA21050 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:826894:827864:-1 gene:PPA21050 transcript:PPA21050 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTTPALLRRIINSTYHPFSVVPKPDVWMSRERLHRFTAWQYGSERATLKGSNRKQNKLFHYLDMQKRYEKGAIRSGLVSLTRAMAISDGRTIEDPHPDTPSHEVSLDQSLFGPPPRVPPVRHTRGPGKTHPIPPRKLTPEEY >PPA20974 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:434028:457247:1 gene:PPA20974 transcript:PPA20974 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-epg-5 MLRSNRDVMLRKKMSHEVEASALGLQIQWIIIRINTAFLSETRTTPNSLPTLLDAPPIGSFTAHSRSILRSALSDLFYFLRIPHLKKRFSDAATAWVTELTCVLHKACLATDGLWLLCHVLRMPSPAAEWTAPLIQTFVQSNSSGKLKMDYCIAMLGQLMGPIKSRESFLSALLQLNDETGEGWMIVDEEGEKEHNPVVISETDLTSLLEQLPMSDFYSLAYLHFSTTNPDRMGQFVSLLAFQLVLMKIFHIGLETYSQLEYKSFCKQIGMTLRKSVRELASHWKVARGLLDPASESQLQKEMDRVVLLAVQYVISKRSLGLWQFLIDLPYDAISDECRLRVEYLLRRGGDEDSRSVRELFEMPQPEIVREIQASTLAERLDATGALDTVFLVNALAALVAQSSRDPTPFLREMVQVCFVDANTRDSLYKVGGEAIGTVLGAKPSSLDALITIIDRSIDHMEEHAVDIFATAPLHLCKIGESVVGGVIGKWLIARSPERAGNRVARRVLSALNWGYGDDGDGRSLWMSERVHAVCADTVLKAHISHCARSNGLIAKSVGKIAKLASKIPDYEQHFSQFCWDLLIKLKLPTQPSSKEPEADLAAYFLHAVQHSLASAEQFAESGLNYVGELVNAGCTSAAVVLITRAFALHYEKAAVITGHKNFLPVFDRVIHADQCSYAYQLLTGPSSAPTLIVRLIACAIVYEARLVANLPLFLRAWVDALVVKRAAVFNTDQVSLQLLGTITRLAFERDGRDLMGIQDQLQNAHMQILTAWRESSKGFFAMFSSTPQPPLLIPTSHISVSLWSSYACLCVEDAAFDAFHAVLYDGLAKKDKTTVDEALKKASSKVSFALPMERLPVFRWMELCRLCGTGEREKDAERDGPVLALALQRLAQQMFTPRNSQGYGREEAPIGEKVLCCASEGEMGNEFLDSRSAGEARGLPKAVAGWIRASLDISLPSFGDYSRFDLDYLLQLVIVEDKNPWMDFVDLNAIASQDTEDARFYASACHERGRLLPSSGSHGSLYPGAALGGMGSRAIPFPILPTHPSLPQAPVIDRSIANHSQLATSLVQPLLNSISKLAVEFVTASDFVEDKDREYCELLKKLHSPAVINIAVVVKCTKGCPIPATRTAQVSGTEFSTTTDAAMTKNREERSSTVSSIQKSVMDAASVQTAGLEFIARELCGMAAVHENQRQNSMQSVGRSLFFLITSSISSATSSPILFPPATASLEHALRTLGRTFIHSHPDEQLEVMQLVLDGFVLSDPLVESFSPECLTPALMASRLSISRATSLPPLQFRPLMPLAFRNVAAATAGTEDTVASPLGQLCMEHFIAFVFHQFPVNFAYGLELTLNGCNMGSTPPILLESMAKKVEALPSPNASADYRMDAKTSIECIAVTSRALVQGGAGALSSLFSRWYKYTEALGALMQLFIMKSALSTFNSESPTMLIQNELSEVFVRTVSLFGPLLSPSSPSLPPFSPSHQEAAGALAARFVATIGALPHNGALPAGSVNTLSLVWRFYAEQLAILTHGTMQYYGTMETYLVRLPWNQFWPSMRALQAMEEVLSSRSADCVPFVAQVTVRVPWMDVLQLHVPDDLRPSYLSKLFLVVARLCAKPGHFAKVRASMVELLKTLAARPDWTTVSVEDAENIANTISVILPFDAASNPTEAVAILKVMWRKMCSFSSSASTLPTDSITVLKQCALLKAELNLVIRTGSAQSALPAYNSLLSDVSTVAAHQDNLRAFCAVARELTSVWTKIADVKLGEGLVTGWQAYLSATPSSPLVLTCLNTIVGSLNPDQHSTALKVMESTLHAYFKSSLGGTLSSRQD >PPA20926 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:142914:144890:1 gene:PPA20926 transcript:PPA20926 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ubc-3 MAGSSASGALRALTQELKSLRSQPVEGFHIDVDDDNLFMWTIGIFGPPGTLYQGGYFKTCIRFPVNYPYSPPSVKFTTKVWHPNVYENGDLCISILHPPVDDPQSGELPCERWNPTQNVRTILLSVISLLNEPNTSSPANVDASVMYRKWKAGDDKQYERIVKQQVEHSKEDARADGVVVPETIDDYCIKSKPDDEDDNLDCIDFG >PPA21037 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:771146:774486:-1 gene:PPA21037 transcript:PPA21037 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPEGMMPGFPGRGFPGGPRGGGPPVGHPMAPSPFMGYDPRMGGGRPPGMGGAGPGGRPPQQMTPGSFPVAAMRPPPPHMQGQQMPPQGMYRGPFMDSQAPPGSFPTPHGMGMNGGGGVMGMGSPGMQPMQHPGGGPPGYMMMPTSSAPMPPFGAMAPGVSSEGMTGPPSHPSQSAHTPLGNGPASAGIPGTSAGAPLSAGNAGMGGGMMNGEMKNSPHTPRGNGGTPGAHGGPGSGAPGSVHSGGAGSVPPPGGDGITPKQEPMDTNHMEGDAADKSEVEKIKASLISDFNTKDEISSDQYGY >PPA20932 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:175684:178632:1 gene:PPA20932 transcript:PPA20932 gene_biotype:protein_coding transcript_biotype:protein_coding MTHAASSGERSLISIPSQNDLWADSTDTQMGYPCWNEDAVTFYLNRKDVQDALHIPQEWRDSRKQWVDCNDPIYDQYHLTYTTTYDLFNYVLRYFERSKIKAFQQPFRFLIYNGDVDTVCNYLGDAWLMRDVANFNIMASKPRVQWYFRNQSAGYSQNYQSQDKTKVIDVVTVKGAGHFVPNDRPGPSAQMITNFMFPQAQNGLVDYSDYSLVNPTPVPLPLVNPSNGNTETTTKSSATVSFFLAMLFVAGAAM >PPA21014 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:646735:647400:-1 gene:PPA21014 transcript:PPA21014 gene_biotype:protein_coding transcript_biotype:protein_coding MVIARRTCLLLLAAACISAAAAAERKRGNGTETEKLRADNSLALSILPDLSLPLIVETLLEARALSPSLTNAQ >PPA21029 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:731083:734195:1 gene:PPA21029 transcript:PPA21029 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTMKVKKTKAAAGTSNTQALPFNTDKGQHILKNPNIVNSIILKSAIKSTDTVLEVGPGTGNLTVKLLEQAKKVIACEIDPRMVAELNKRVMGTHLHNKLEIRPGDVMKAEEWPYFDVSVANLPYQISSPFVFRLLLQRPLPRYAVLMFQKEFADRLTAKPGDKEYSRLSVNVQLLAKKFDASVHMGAQTVEHLMKVKRTEFRPPPQVDSAVVRIEPKNPPPNICYPEWEGMLRLAFLRKNKTLSSIFHQKQIIELLRQNYEAIAKVQKKKVPEDLDMKTHIESTLVESGLGEKRARHMTIEDFLKLLLAFNKNGIHFHV >PPA20949 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:286729:287691:1 gene:PPA20949 transcript:PPA20949 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRLLLCTLLIIAVTAKICRPKASGTKLATFVNNDVNSIMGDPERSTVRHANQLIYFWPSLSEFEQDVLRDSFKQISRRSCIKFEEQVGSLMFV >PPA20975 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:458577:461517:1 gene:PPA20975 transcript:PPA20975 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEWGPTSSWSEAVQWVAIPEGGRDAAAKYLFTLPNSDNKLVPLSLTLRSFIIHGQQHPAVYEKLLHYVTNVKPKYVEDESSFCSLLSLLLQWITSPSTGGETAASGYRAVMEWLKRVAHDERGGGILGAIGLGKKNAYTNKLRVVLQLTELYLMQQRQSGEKSPELRVRRRENEPVINSRVGAFKEMSGAKANASFSAAFSQATPFFTRVETHHIEHTHLLLQRVAASIFGNPKYLET >PPA21018 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:677851:684063:-1 gene:PPA21018 transcript:PPA21018 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-clr-1 MLSNLVERGRNQSAKYWPDELSTPHPQNVGGGFSILPCGQQYMADHCIRTFDLTYQGPPLTANGGAGSTRASPEATGSITSEYANVPSSRGSIGAVMDGRAGSLNEINHGETRRVYQYHFTAWNDYKAPECPTGLLRFLMKLRSLPEFEQYATIIHCSAGVGRTGTLIAIDSLIDQCQKEGKADVFGFVVGMRKQRNLMVQNTEQYVFIYRALAEHQMFGETDRSVDEFTKHWRELSRKRGELRDEFERLKNTLEVPPTHKFAEKNPDKNRFPAAVPYDNKRVILAPVIGGHADAQYINASTVKGHFYPYILAQDPLGDDTTYDFWRMIVDHNVATIVMLTPEEEFAADEKYWPPKSSTARFNTFTVENLREEQWRSHCRERTLSVHAGSDKPRAVVQFSLAGWKPGTERPTDTEAMMSVIGRVIERQSTLSDDAAPILLHSRNGSTECGVFCAISLLIERLKAEERVDVFQTVHGIRHTRPQTFATIFK >PPA20903 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:38130:39333:1 gene:PPA20903 transcript:PPA20903 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSEKDDQYISLSNTSDTEKVEGGGVREVGGAKERAESGKWTESGRSSAKRTSRERKRMTVQTSPQRTSRSHSGFSTDEDAKKIDSIVFDTRSEMMRYKKSDDILPNKRKNRSKKPSAADQRENEEYNRYYLGVRSKRGVEGKLKRSGEFYLYYEKPREGELPTMVNLNIAYLSSTNEVHHFRIQCFEGSDRHKRYVVMQNKSDGKMFTSILSLVKHYSLYSHVDTSTGRLETFSRLMPHTEKKL >PPA20970 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:419763:423151:1 gene:PPA20970 transcript:PPA20970 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRPFATVLSTVSIRSLLPARHFCAPSSSNDDDKIMTVRTTGVRYTARNGIDYSINGVYWGKDDPRNTAKADGLKQIHVQSDFRFLKEPDYRKALERLKMRDFATWQGNLVPNAEQYEKILKLWNEFDKVVLEYRPAWHPNRPGNDVMQLLKEEEKKRNPNAIDFTSPDYKCDWEFSPDDTPLAGRMVPTVYVAGKLEVKLNGYKAGSCASLWVPDTIQNLQVKQRPLGSIQRVSIFPVTLFRSQLMAILDALRETGWQKRDGSPVANEKLFLKIKALTEEITVHFKGVKYEEDCEDDLLKKVHEMASDGLGYPMNNRDTKEYDMKVEELLEGKNDVYAFEGTSTRRARIFKKAEGCPLGVLWDRMDGVPLEGEEAESADACLVGVSKKTPAAMLLAVLEHADRTWLDTIVIRTNQANLVQATLGFLEVWHRYEWNDRRYGQLKEVETWKRIWEIKERIEIVWDLIVDVDEVKDEIYLAKYRKQVRQKKKTGRPKKKQKGIPE >PPA21002 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:549653:550489:1 gene:PPA21002 transcript:PPA21002 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIDCSLDCSRECIDECTFKCEGRAEGEGKPQCASTCIQACAPLCPDSQEAKARKRARAPARHRKPY >PPA20936 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:202288:202594:-1 gene:PPA20936 transcript:PPA20936 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKMLLENVCYCRMQRIRLKLKSVGPSSSYLQIAASEGEGRRPIGRRERARTESNT >PPA20924 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:137895:138924:-1 gene:PPA20924 transcript:PPA20924 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASLKQDMPPQGGYRKFNFGRTFPKMVWRPGTVVGVLFGVTSYGVYQAIEHKKLLMTEKFEDVDLNSAIQPFLVAERDRNWLRLLKKNRDLEDKVMADVPGWKTGTWYGEPVYLTLGQKWWDPTEHEFFSHSTQHKVDTEHTWRHHDEYAAPKFYDKWIPKAIAEYIW >PPA21043 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:797733:799925:-1 gene:PPA21043 transcript:PPA21043 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLLLLAVLPLVLEATRAKRFAALASSIGGSAGCVVTCQSMGLQYPYPNPPPPSQGNKLYANGFPLRDLTAAEQAELTTYETAVGDYKKKVKAAVESHRETIKKQMMSMMQSGGQEGAQNNQLANQDGDENIEAPARPSFCTEATTTQYYFDGCMVQVKGMFGGNDFFASLFGGKVQDDTETTTQAVAAPSTSTEKPLEAPESPKFCAHSTTLQEIFLDHD >PPA20984 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:485555:486159:1 gene:PPA20984 transcript:PPA20984 gene_biotype:protein_coding transcript_biotype:protein_coding MWIITCHTSPPDGPKSNCDESPLADIASSIMRDIDQDTRELISTGNWPVSRAWSTSILLLSEEAYLTAIQAFREICESVIRILHMHKCREKSKLSSLDNSRFTSASTSQVVVQKSQEAD >PPA21020 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:686434:688919:-1 gene:PPA21020 transcript:PPA21020 gene_biotype:protein_coding transcript_biotype:protein_coding MFNHSHCRFGLLSPCLKKGDGSPLSTGAIMMGIVQSGDRRSLLKPAYEPIPAESLPQEFIMKHRDSDYLFTQEFEALPGPKLPATASSRNISKNRYKDIVAFDETRVKLSKVNGVETNDYINANWIKSYGGRKRFIASQAPLDGTVQDFWRMTPLAAHSSKEKNEGNPLCVLIPMRVAMLSIKLFVQYSNRLDIRRIYWMFGFAGEFLSQLLKQPMKLELAFVESEKDCAYNFV >PPA20989 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:505504:508212:1 gene:PPA20989 transcript:PPA20989 gene_biotype:protein_coding transcript_biotype:protein_coding MMIDDKECFGFDGGRCISACTAHNKEFLCFDFGIWPTVHQRVHTGEKPYECGYCRKRFSQSQTCQIHIRTHTGEKPYICQFEASNGVACGKAFKDSSALRKHETNYHFNHDIPMREMRMKNRGGREENYEETEEETRDRGGYRVGSRVHLLSQLEIGEGEMEEEVEEEIVEEAEIPIDEERIEGVKVMNGLEARFN >PPA20918 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:108933:111868:1 gene:PPA20918 transcript:PPA20918 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVRGGEQMLTASIDKRMNVVRTADLTLVHSTSLASPIIGIAASPDDGKVAVGMGNLMALLRREEAPKDAISSVVGTASTNKTYAPKGQLRTANIEKRGEQELMAIEPTVKVANEFKLSHEDTLLKRYRHAALITRIFNNKAVKAESMPDMAVGWLSVIVSRKAMGRALAGQQPSILINVTKFVSRHLFKFHYFETLSGVAESLFEVCMASPVPQLSSALVRLKDAIARELSVQKELAQTIGALEMLTTPVNMGEDDDENDLDELFGEPVFSVIPLSMIEENEKEEKDEVKEEKAVENGEVKEVEKEEEEKMEVDEDEQENKKSVEEPKSAKKKKDRKRSSFTCFTSCLFVVDFS >PPA20938 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:204211:205374:1 gene:PPA20938 transcript:PPA20938 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLESVLGPPLDEVGDTRRVAQADGRGFSKLEDTAIPKERLGGFKYLKATAFVTSMQQASNDKTSTMKYAYLCRYAYCHGVSATAKCNEVCHTLAEKYDRPIVTARVKKLNGSKVPAADHEACRKECRNDCGKNDCKRECDYLCAMHFDNNNRKKYEDEFNELIGRFNGIGSPPGSPVKG >PPA20955 pep:known supercontig:P_pacificus-5.0:Ppa_Contig50:306695:307696:1 gene:PPA20955 transcript:PPA20955 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLITLLVSLTPSSAVSMAEWEAQFGNYKHIVDITKAQGIFDEEARRLGVTTQEHFNACKEPSIPLILYYKGKTAMAAQYLFSDFEAIKQESVFLRKRKYSSAAEMVETAKTDAPKSYAAVTELVRNYRTKYVEKLDEPAKTFANQLVDAYIQLEWSAISTVSQNERMAKLGIISNRQGQLYNALPQSARDSIDRVFCTATVLRLVGSSHGSYWWPTSMQNAAIFTQ >PPA20994 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig50:517778:519920:-1 gene:PPA20994 transcript:PPA20994 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEALVQEYTYFSDLLTSDNSTKMAQASSVNYFRRLFTENTEPPRYFDQKLIDIFIAIVRDTEAAVDVRTDAAWAITNLLCSKRNPLTHTIIDKGTLDVFVWCIGTADPKLMIKDQSSTPLRLRDQCIWGVGNISADCPDCKEAVRATDVLETIARQMNANSFHSLESNRCAIWCCLNILRGGNAALLPRTARSLLTAVYKAMRRLESDASIMQDSLLTLSLLADDRNFFHFVRPHMWPAQIDAMLNEPGLVTSTMGAIGSGNPCLVSTPRTKLLLRFYEIP >PPA21059 pep:known supercontig:P_pacificus-5.0:Ppa_Contig500:7054:9501:1 gene:PPA21059 transcript:PPA21059 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAAVIDDFSRDVLGNLMGGLGPDHARRATATAQTERSSEKMSASEAASEAINSRNLLDNLTERHRSDIPRRLLPHVRTLTDGLLAELARHPAASSHDSEGRTAGQPGPEAVKTIFQTLRPVVAAASTSAASAASAASAASAASAASAASGAPEHQGDLMNRPLRTLLQRRRPGVAAAAATDAAAARKAAAKERLAQRRRENDSNTEHLLFNRGCGICLSANPRVWNIRVDFESVNRLSSVR >PPA21058 pep:known supercontig:P_pacificus-5.0:Ppa_Contig500:3622:5437:-1 gene:PPA21058 transcript:PPA21058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3FFM6] MSSEKSVKLETVFDDRNDKTGPFKEQTTQGSKSKTGSKERVRWRACGRRRQCRYVPKPAPLPNKPWEPQFKLKLNPPDYIKMSWVRGVSVYSEMTIQNTQSTPTCYKMKCTDNSQFRVRPPMNFIDQGGSASVKIIHNSFVLPEPNKHYFAIYHVKCTPEDMRARNFKRVWKSSAAMDGVIRIPIAFETADLKISTNSTKQKPGSSAPPTSSTMTKRPVEAEQDTDRKIVRKEEVDEAGWAIAGSEKFPALFLSALGLIIVLYS >PPA21060 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5001:162:812:-1 gene:PPA21060 transcript:PPA21060 gene_biotype:protein_coding transcript_biotype:protein_coding VTRLLLNPGEPVVPSLVFLVFAVAERTAQDREHSVTCVSIARRMWPGDPGVAEIPFVVSDKVEIFRKTKEAVSFLHRANLWDDVEKVYNSKKIRAGISRNRRFKQKLGPTIVYGKDSGVKQ >PPA21061 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5002:556:1482:-1 gene:PPA21061 transcript:PPA21061 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDHSKITAVYWKEDEAELRRGSWFLPDGQPIQASMADAIEAHHLQYFRGQTIPEGTSMFSDKEASRKPQLTELQLPENIEVKWSSVIDVFLYSTTKASRFLRCKCLCMDSCCFVSPFTRYVTWGKDTKLRRGFEKEGEWEDGMAEVSHLILVVHGIGQKGYENLIAKNTEQSADDVTWIELE >PPA21063 pep:known supercontig:P_pacificus-5.0:Ppa_Contig501:9876:10975:1 gene:PPA21063 transcript:PPA21063 gene_biotype:protein_coding transcript_biotype:protein_coding METIRTIGRAAHDFGRSVVEWRSPASGRYLMAANSAFWALAVYVGCLLVNPVWQHHQVNEKICRVTNAGYEKTKRGVNYAIIQPLLTVYRAIKYVVLLEFVLPLIAYVKRKLIAAREAIYAKGTREG >PPA21062 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig501:8280:9189:1 gene:PPA21062 transcript:PPA21062 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEQPEDDQLDPALLLREFGQKKHNLLVELNNFEQEERVGIEEASEYRIPDDDFE >PPA21064 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5010:21:645:-1 gene:PPA21064 transcript:PPA21064 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRVSRSSALASKATGFAIAKIATKLSLGYTPGRDPQRHHAEDAGVVRTDPRLCRGQGSAKFPAADPTLTTTMKSVGEAMAMGRNFTEALQKALRSLEQKGSQLDFSHVPEWEVPELIEKSKRPTTERLHQVQRALLGGATVEQLFEATKIDPWYLDQLQLLNEISQEIRKSTALTQEMLQRAKRHGFSDEQIGALTNNQEAV >PPA21066 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5012:76:831:-1 gene:PPA21066 transcript:PPA21066 gene_biotype:protein_coding transcript_biotype:protein_coding PSTSASTTEPSTSASTTEPSTSASTTEPSTSASTTEPSTSASTTEPSTSASTTEPSTSASTTEPSTSASTTEPSTSASTTEPSTSASTTEPSTSASTTEPSTSASTTEPSTSASTTEPSTSASTTEPSTSASTTEPSTSASTTEPSTSASTTEPSTSASTTEPSTSASTTEPSTSASTTEPSTSASTTEPSTSASTTEPSTSASTTEPSTSASTTEPSTSASTTEPSTSASTTEPSTSASTTEPSTSGLDD >PPA21067 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5013:39:754:-1 gene:PPA21067 transcript:PPA21067 gene_biotype:protein_coding transcript_biotype:protein_coding CARYEKTIHIPKTTVARILHDAGKTPKLPQVIPHDLTTAQLKKRVDVCQGLLHRRSNFNWVSHIVAMDEKWITYDNPERKLQWVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVRVPPKLLSEGKILMLMDNARPHHAKITQKKMDELEMEWLPHPPYSPDLSPCDYHCFRSLSNFCRGKKFKNRDALVKEFEAWINSKPQAFWKRGIETLP >PPA21068 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5019:945:1098:1 gene:PPA21068 transcript:PPA21068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mbl-1 MPKRPTVDKNGGLVFPGGQSQQNAAAAAAAAAYPYHLLAAAGLQGYVPAVS >PPA21069 pep:known supercontig:P_pacificus-5.0:Ppa_Contig502:4590:9732:-1 gene:PPA21069 transcript:PPA21069 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSLLILLLSIIALSTAFDKNLLKKAKFDVSHKHPISRRVLRFERSVDQFDNNRIDEELGLPFDFPSFDTHAVESKDTSSTNQFVYDYSITTHIALFEFPVRKRRLGNIVSRLGEVTKFGRNADNVDVVLTSAVYSNMISRDHAIISGEIEPVTRRIIRYKIRDNSLNGTYIDDKRVKDEMYLHDGTVIKFGHMNGAAIKPGFSAPQANAEFSFVFEKCTPNRKYCGWEGYDRRRTVVCVGDGKPMAETGEDYRTKRKSLPKSADQVTSTTAPISSPFPMNCQSIHPPAPLFHPIVPSDNNTRYQGITSWNTNNPSPFAPAAVNPMRQMERKDNSSIVPIRSPPVECDSSVPSPPKNGDTRTGQADSSQQSPRRPKDDDEDSFSVPDSPPRAPRPHDIPGRSVTNSPAPPSPRPVEKAEIPKVEKSKAVSPKKLINPGKAPKTGGGGEKRKNNEVSRLLDDLTEGSFMLQQMKKNEGGRGSDRRKASNDGKKSKRPASKNKLHRQLSSASSDEDSDDDEVSGVPIRRTSTSTSRPSMVGKKASSAVRTSKGLMKKNGGKEDSDDSDAYEATDSEGEMKKGKRKMVKNNSTANAKKGKAAAKKSEKKVGAKNKKKKKGSEDESEGESDAYVNSDGEKFYDTHDTKCDSNVCQQPTSPNVHWVQCNGCDRWMHSFCQFGVNREYTGTAYFCGCGEPEKKVKKRKKKSTG >PPA21070 pep:known supercontig:P_pacificus-5.0:Ppa_Contig502:10100:11526:-1 gene:PPA21070 transcript:PPA21070 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKLAFTTAVGCFMRGEYLVAQHILTEKKKDWTDNKKRLFAVRVVSVTHAALSAVLVVLSLLMNNNYVREPYDYSSYNAQFVFLWSMGYFCYDLIDMIYHNEASNSKEYIIHHSLVITVFSIIMFTGKLNGFAMIALLVEVQTVLLHTRSLLHLAGYSKTGFFRLLVRANMTMLFLFRHVPISYLILYLSFSDEKCPLPLKLALLGGLTFIEYHNIHLTMSMFKTDGIFGGEVAELGEDEIDPLGAVRNKEEKNE >PPA21073 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5029:144:636:1 gene:PPA21073 transcript:PPA21073 gene_biotype:protein_coding transcript_biotype:protein_coding EGAENFTTVSGNITLSVTDQLNIKGEAAAAWQITLVLAQVLLLVTFVYTSGPQNILEISPPPGRR >PPA21074 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig503:6952:7320:1 gene:PPA21074 transcript:PPA21074 gene_biotype:protein_coding transcript_biotype:protein_coding MTARDRLMSYWGFKFEQYMTSNELGGETDTNSAVDCREEFAVVCKTRIEVPGGRGRCIRLMYGAEVDAIDSNGNLVELKTQRKALEGGFWKFK >PPA21075 pep:known supercontig:P_pacificus-5.0:Ppa_Contig503:8119:9326:1 gene:PPA21075 transcript:PPA21075 gene_biotype:protein_coding transcript_biotype:protein_coding MANEIWNDLYDPDEVDKKRIVRESVEKRSARKYQVDHVGENNYVPPPPPPRVSAPPKESCPIGPSGQPGPDGIPGIDGIPGSPGKAGSTPTSYTNAQQTSRSCDESCPAGPPGLPGYKGKRGNRGPIGPTGQPGYSGMDGMMGDNGSDGDIGMPGSVGLPGQRGVPGEDGMAFGRGAPALMGMLSPGPRGETGMMGLEGDEGYPGERGDDAPRGDIGERGIQGGPGLPGRDGPPGEKGKDGKIG >PPA21076 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5032:277:1177:-1 gene:PPA21076 transcript:PPA21076 gene_biotype:protein_coding transcript_biotype:protein_coding GRAELYSSKAKAPSSAPPASLSPECVANFTRIQHLPAFQKLWSKMDGAKMERWMALDNPESEVPTLWEDVDGKMTEHGALLHSVLVVWCGRPDRLLAAVHRLVSHSFGEAFFEGDTLLKLDMMVEKE >PPA21077 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5036:71:548:1 gene:PPA21077 transcript:PPA21077 gene_biotype:protein_coding transcript_biotype:protein_coding GRDRREGGSAGGIHHEEATEGGYSEGKESVSAVRGGVAAESIRYRAGLPMGRSREGNGIRGAAGHIRKCEEGRRTGILSEYSIVRV >PPA21079 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig504:3828:5988:1 gene:PPA21079 transcript:PPA21079 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSKVLSGIHDPPSQTENSQTCASYGYPAAKKRVYQWSVKAIRRRTTGTGRMRHLKKVQRRFKGEPIIMGFIGFFVKLIHIPINNIIVGA >PPA21078 pep:known supercontig:P_pacificus-5.0:Ppa_Contig504:185:2414:1 gene:PPA21078 transcript:PPA21078 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVPVATGEHRTQTANGVITKLEGNYGLINNALFFDTNDASAVGEGERDTGKFSRS >PPA21080 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5041:84:1342:-1 gene:PPA21080 transcript:PPA21080 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTNCSPESVDWFVSSSDSPLALLTKMFYKSPLNHSTSLPRSLNGAEETDSALVHADICLENVVKCFDSQRAVDEMSMKAYKGQVTVLLGHNGAGKSTTFNMICGLTSPTAGAIQICGMDVSEHLATCQEKIGYCPQGNPLFNLLTVDEHLEFFARLKRIETNQWRGDREELLECLKLRDKRTS >PPA21081 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5044:45:743:1 gene:PPA21081 transcript:PPA21081 gene_biotype:protein_coding transcript_biotype:protein_coding KKLDIGEKAKEIGDKIGGLFKSKDKHADYPISEPFEGPYDDTFRSSELQDEPLTTHVAVYHSGRSDEPTVAHPKDVEVAPAVEKVKKATDYPTSSPFEGELESTQRTSDLPDEPLTLHVSVYHPGRSDEEPVPSVSDAAHDEQPEKSGKKKLDIGEKAKEIGDKIGGLFKSKDKHADYPISEPFEGPLDDTFRSSELQDEPLTTHVAVYHSGRSDEPTVAHPKDVEVAPAVEK >PPA21083 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5048:189:531:1 gene:PPA21083 transcript:PPA21083 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDYFNGLDIVPLGDIHSILSSIKVSEEHPWSSLYERRNEFPFYQSLGGASVE >PPA21084 pep:known supercontig:P_pacificus-5.0:Ppa_Contig505:3781:8711:1 gene:PPA21084 transcript:PPA21084 gene_biotype:protein_coding transcript_biotype:protein_coding MHMAVEGPATTKQLNNDDQHRQFVINVNNENMQKWKNTCEKEAPKWEQIKNHQIKTETDERDGLRERFLVEDTEKRRKMMEKEGDMIRGTMPMNGASFHPHPVMDGMMMQNGMVQSGGGIIPPMYRGGMTPMHNLQQMQHIPHIPAMVPTPSMMPNPNAPNGMEAGDSNSRKRGASTTPNHEDRIKQQRMAMMENASPSNPFGYPQGTISDTKFPSHPQYQMNGGPYGMMQFNPASVPSCQIAAQQQQQQHQQMQQLQMQQQQQQQQQQTPPTQPGMMQMNGSFPSMVPSTSSSSSSIPPHPSPLTSSSSVVPPSSSTLPSSQSNGLNGSHDDLDALNTENVDVLVHAVESFSGKSFSGEDLGGDLSADLGPDDWTGASTSMGGGGPSSHPDPHSNGSNGVVLPPNNTSSSSAPHSNQMPTPGGVDDMGGAGPSSSSSSTHPPSHPSPMNGYHPSPHQPFHPINGLINQVNSIGAGGPDSLQSMSSLAPPRTPTTSVMGPPSDTSTPFPHMANASTLPPHLQKSFPTSTSSHTQSPLLQLSSPMGMGMHPPYHPTPIAPPAPSQPKKRAKKAAAPKQQQQQPAAMNPSPQFPMNGPMHPMNPMYSMATPSMMNQFAPPPGSAQTPPTIEMTGQLPPNGIPPNGLLPNGMTMQQYHAAMRHHQMMMHMHMQQQQQMRQNGMAVPPATSSAGSAPSPQGGMMTGIARTPMGISPGHPMMSPNPMMGMAPQQHQMWMAQQVHQQRMQQQQQQMRYPMPFPMDAQQQAHMQQQMQMQQMQQQQQMQQAHQQQLQQQLQQQQQQQQQQQQQQQAVLGQGSPMMGGGAPAQNGMTPQQQCRH >PPA21085 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5052:130:1107:1 gene:PPA21085 transcript:PPA21085 gene_biotype:protein_coding transcript_biotype:protein_coding VEQFRAAQRLLSQQRFQFPGSWLYAENIEGEWSALSDILMRKDSSIQSQISNLQTKIKEEDELVEKRMQETLGEWDKTKPVEGAQRPVEALALLQQYESRLDKLKEDREKMRK >PPA21086 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig506:237:4139:1 gene:PPA21086 transcript:PPA21086 gene_biotype:protein_coding transcript_biotype:protein_coding AISVSALGDYIVAAFADAQIAIFRLDSATQRWKRVTAWEMPTEYRSAFTMVCAHLSPAHAGTILIDAITTGELISHLRDVSIDRAALTVIRRDPEAGITVVGSDSGALFVYKDSEQSLELQEVWRDAHTCQITDVALFRSPSGALRVASVGMDCTITVLELEMGGAKLSLVRSAVFAVNDPASIVVWSEPSGNQRAIVAGCGLEIVDL >PPA21088 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5063:8:406:-1 gene:PPA21088 transcript:PPA21088 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGEWAEIVLAHAVPKPGYSANQTAHFERVHDKDANLEFARDGDVFAPPAMIPGRELVRRLEEKRRGREGGREEEDRHPQDRDDN >PPA21090 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig507:5221:5724:1 gene:PPA21090 transcript:PPA21090 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLTAQPTASNDQLLRLKNRCKARARLLEQYLTKLKRLEKRKKPRNFTVASLGGE >PPA21092 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5071:290:715:1 gene:PPA21092 transcript:PPA21092 gene_biotype:protein_coding transcript_biotype:protein_coding MNEELRGPQTLASPQHDPARQALLDVRNEVAKAVVGQEATVTGMLIALLAQGHVLLEGVPGVAKTLLVRALSASLSLDTKRIQFTPDLMPGDVTGSLVYDSHTSEFSFREGPVFTNILLADEINRTPPKTQASLLEAMEERQ >PPA21094 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5079:479:681:-1 gene:PPA21094 transcript:PPA21094 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMPSITALIAGSAANGRPAPLPVVRHSLDEQGRPLIVNDDSLWAPDRGTVC >PPA21095 pep:known supercontig:P_pacificus-5.0:Ppa_Contig508:7173:8740:-1 gene:PPA21095 transcript:PPA21095 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-efa-6 MAHAVLSPWSGMRIDAALREFIAQVELKGETSAREQIIMHFSRRYFYANTTSFTSFDEVHALSCGLLLLNSDLHTSENTKKMSCREFINNMGHMGHEYGKPLLKALYQSIKDQPLKYVGVRSEPEGKKKTRGQHSLPLEMDPRSQIEYKRGYLMRKIVYDADGTPTPFGRRGWRMLYVRVRALALYFSRDENEPPLYNALSGMMREIWAVNGKKCI >PPA21096 pep:known supercontig:P_pacificus-5.0:Ppa_Contig508:10623:11517:-1 gene:PPA21096 transcript:PPA21096 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVLRSGGGAGESLAAKCNSPLEEEMILPSEVALPSSSSRPSSSTGRSPRCEAFVMTGDKILNLNPNISPSYAKKKKAFFARVIWLVIRFLLECPFMNPLDQLHLFYHIK >PPA21097 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5082:207:1316:1 gene:PPA21097 transcript:PPA21097 gene_biotype:protein_coding transcript_biotype:protein_coding CLLFARLGSGRLFPPSSLLTPSPPPPTRRGSRTSRPATPRLRHGTRREPVPGSVRPVGSILSSGRRAAAAHAVVRGAGPRDADDRQRRARLYDVPQRERGHSSHDSGLVLRGFLRLRRGRRRSRANLRTKGGGTGEPQSIRDVVNVHAAVPDGIRDARQQS >PPA21098 pep:known supercontig:P_pacificus-5.0:Ppa_Contig5084:25:893:-1 gene:PPA21098 transcript:PPA21098 gene_biotype:protein_coding transcript_biotype:protein_coding MISHDSFEDDALFSKVFIAHKLDHVLHFERDAEAIQEGRETNNPWEGGGRATKRHFEELVRKEKGEERGASRNSGRGNISALCPNPPYWPMPL >PPA21100 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig5087:16:393:-1 gene:PPA21100 transcript:PPA21100 gene_biotype:protein_coding transcript_biotype:protein_coding MHEVGFLYLAGHGIPQELTDAMLDVSRRFFDLPEEQKLEIENVHSPQFRGYTRVGGELTDGAVDWREQIDIGVERPAVAPGRGVADYWRLEGPNLWPAALPDMRGIVAEWMERLSTVSLALLRALA >PPA21101 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig509:55:604:1 gene:PPA21101 transcript:PPA21101 gene_biotype:protein_coding transcript_biotype:protein_coding GLLADEKERTIRLGRGEGEYVRMKSCHICDDDISRVEEMVLCIATQSCVGTFHLSCLAKKGLEKSGEWKTQLFPVAACCPVCGGSYRWGDIVRAQHDTVEVMKAHPEQETMEGMIPKMKIRMIV >PPA21104 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig509:4631:5071:1 gene:PPA21104 transcript:PPA21104 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSCSYWDEAVWQCTKKERIWRRDNNPRYGKRIVELQHLPEEYFTPALTKLREEGRLPQFNTQGCKI >PPA21102 pep:known supercontig:P_pacificus-5.0:Ppa_Contig509:845:1098:1 gene:PPA21102 transcript:PPA21102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpb-10 MIIPVRCFTCGKVIGNKWEAYLGLLQAEYTEGDALDALNLRRYCCRRMLLAHVDLIEKLLNYHPLEK >PPA21105 pep:known supercontig:P_pacificus-5.0:Ppa_Contig509:6118:7229:-1 gene:PPA21105 transcript:PPA21105 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNRVPPPPHPTPSHHQYHHNRAPPFFSHPPPPFPPPPPPPGMLFPPPPLPPSRFMKNNPPPPFFPPPYTLHGGPVDTSIPPPYSVMPPPPPPPPFMMGCIPPPPPPPSFFNRSPPLSPNGGARHNDENNNDEEERKERPPSRSPSTRGSTLANTEIIYGGQSHSQEGSYESSIEDEMSSMMGTPETRQRTRSYLVHLRPESKRDEPSYMPLPIGEKEYETFSSILTRGMRIDRLL >PPA21103 pep:known supercontig:P_pacificus-5.0:Ppa_Contig509:2239:3280:1 gene:PPA21103 transcript:PPA21103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-moag-4 MTRGNQRDLARAKNLKKQQEQQKSKGAAGQAGNAGMSTQSRMERDAAAMKLKQDKANAKKAEEDAAKGGIAKIAKIDPLAIMQPDLSSHLHTYECNVLIDILQKCNADKKIGPFSIFLITQYTSTEYKESGNKGCINS >PPA21146 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:194640:196645:-1 gene:PPA21146 transcript:PPA21146 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRGGDFNAKFARKHSTTNHRCTAIKEAMQQKTTLEGNHWYAIFVGLDLTEQQAWLITRSRMKLLVDEEKRKPFKCDQCGQRFTGKHAMQQHKLFIHLPDDEKPKEECPTCGKMKDPAEKDKRPFKCEKCGKDFRREDYLKGHMQTQHGEDLSVKRPFACNECPKRFPSRYLLKEHKNDHLAEDDPRKKTFVCEICGLALANSQSLNKHRRRPEIKCEKCDKTFANKSNLKGHMISHTEGTAGDSTDSEADPDRFRLKLCGQSYTTLGNLRENSEYYVSIRPNLNLSSARKHKREESDSDSEDETDGGAWSDDMESNDS >PPA21218 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:656454:656787:-1 gene:PPA21218 transcript:PPA21218 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPDIKDRDSMYRLIIGQLYYDGHKQLALDLSNSIGTKPPPPPSDKLFRLMSLVKQFADDGDDTQKDVTMQAKLIVLL >PPA21112 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:22197:24053:-1 gene:PPA21112 transcript:PPA21112 gene_biotype:protein_coding transcript_biotype:protein_coding MMISRNKRSNTHVTYAEASSRSIEIKKPYKCNICEKRFFDSTSLARHKKSHGPEKDLRPFECDTCGKSYKEKSHLAIHQITHGILLSKKTYYKCEVCEMNFPTQYALNGHKPKHLDAKEPVQAALKRQFQCDICGKKLVSSNYLQKHKRLHSSSESISKPHKCDICDKRFTDAQQVKRHMISHVPKAERTRFECNICHKTYASIDCLSRHKPIHDSAPKPFRCDQCDLRFLSVGSLKRHAQTHVPESERMRYACKFCNKSFSRKDSLSKHKQKHVKRDAVESKKRANGAKEQVEAEPQMKKGKRGNEENYSGDSDDDTLNGSNYSDD >PPA21130 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:112687:114206:-1 gene:PPA21130 transcript:PPA21130 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKISLGQEGTNVLHSLRKRMTELRVKGKCWIGSATTSTITRKNRSVKSGGEKQNVMRPGSTANASADFKSNPRQLDRALREVRVMSQLDHPHIVRYNSTWMEEPPEFWQQGYDVMPHLTGTVAQRQPRSRVESRLSMVE >PPA21250 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:880192:880813:-1 gene:PPA21250 transcript:PPA21250 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLFLVGALLAVATALDCYVGVIPKGTIAAPKLEKCAKNEKCCYYGAHYMKGKYFKCEAECPDFKGREYISTSNSEGFRTWCVNAGGECNFRA >PPA21162 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:270388:271218:-1 gene:PPA21162 transcript:PPA21162 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDPIDRPFLRDVDQWMEQLYDCKQLSEQQVKMLFEQRYRHTVLIAVYEGENAVSSEVRNAVEKLAEYLKTSGY >PPA21126 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:102033:103066:-1 gene:PPA21126 transcript:PPA21126 gene_biotype:protein_coding transcript_biotype:protein_coding MESGTDMDLDWTFDELKRHYNENGSKWINDNILKMFLNKLAQEKERIETEQIIARLVYKKNLKPDKEALGKKGHANR >PPA21248 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:875677:876892:-1 gene:PPA21248 transcript:PPA21248 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLEVLPVGLQGLDGRQDFLVLFRLPKVQRHFLLTQRRNAGWFHHLLRRAKYGEDALDGLKMRFFLLFILALLTVSSALECYEGVIPVPKYGELSAKPKLTKCTNGEKCCRYLWNDIIGRTYNCEKQCPDFKGQSEIHVPKSVGFANYCKKAKGGCKYT >PPA21205 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:548629:549263:1 gene:PPA21205 transcript:PPA21205 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMPNTGGDSKMGTGGASSSVPPAPPPTAVSNNDAKQPSAKDKKGPKSVKPTGPADASDKKPSEAKKIPPGAVEDDGGYENCKDMSPDELKKALI >PPA21235 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:815654:817763:1 gene:PPA21235 transcript:PPA21235 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVRLSGGEITCSDKVSVPFQGEKMRKFAQFAGKVEKLMRRMTKMTSTMMQWKGLQSRMELKKVQTTRNGASVPPAMKNVSRIAMIPTYIAKYVPDRAVDDIAIIELVDDITNTRPLRRPLSLLSAYLDQTRYCRRWEHSRGLDEQRTADIDLNFDMSLAYCRDGLRVGDSGCGMFSWLPGSDRATQFGVMHGENTLNGFVG >PPA21165 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:282295:283385:1 gene:PPA21165 transcript:PPA21165 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSKQHTGIARYHFTWIEKPPAGWQQNADYNIMEGINSKRRITPGNILIDEHDQLKICDFGVATECRLNDGHERSTMRTRIGTLLYSSPEQARTPIFDSYRDGKPPSGIFDDEETAKFVALLAEKDSKNRPTCAEILDHSFFR >PPA21193 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:450853:451784:-1 gene:PPA21193 transcript:PPA21193 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRVVIVHSFPGGPKVYGIDLKDCTDAMCWTGATCGYGHDAGEFILRIYISFFEDLPASLPSWIRPTTSAAGTRYTCGRRRTSYSIDIEIMWEATETSISLRALKRNGQQ >PPA21124 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:91332:92315:-1 gene:PPA21124 transcript:PPA21124 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDLDIMNRFPTSTMPTFHRCNQTATLVYIQMEMCLYSLADWLKQRDTEYRISSWFKQIVSAVAYIHEKGFMHRDLKPSNILFSNPNTLKICDLGVATQRMIQDGEELTGSYTNIGSPLYMAPEQSGWRYTSKVDVFALGLILAELSVVMTEEERRVERFVGLLTQVDPKKRPTCEEILRHFSFD >PPA21242 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:848826:852766:1 gene:PPA21242 transcript:PPA21242 gene_biotype:protein_coding transcript_biotype:protein_coding MIPILLCVVFLSISCSSTRIIVNTSRGSVQGFDHDFGNDTTQLFYGYGQVFLGIPFSQPPVGERKFSLPEPLCQYNERGEVHDATYYRPHCTQTLLTPEPANEMSDDCLYLNVITPNGAIRNLVSRGIVLVKIQYRLGLAGFFTTFSERFPPNRGIYDQILALRWVNEEIAQFGGDPSRITIYGQSAGAKSVSDLSLSPLSRGLFHQLIQSSGVDLMEVIDDPRGSIHQRRAEQICGINSTDWGSEEKDQALLDCFLRATPEELVAYDGKDRFNWNVAIDGAFLSDEPENLAKSRPQYPALIGDMLEDYAVFIKGVSFGLLSNISSLTALDILREKWPNYDEDSLKILTDSLIDGHSNGVRPAKDDHMGWARLLSEIFTGLFFDNYAIRDARWHRNTGNDNVWLFTYTHRKADFTVADYLGETWANFVKHGELSLPKAKESLNVVEFGDSITTKSSWRSGIIEVEFDEVSENIRFTVCCI >PPA21174 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:328894:331878:1 gene:PPA21174 transcript:PPA21174 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLVWSNKMSSGVEVVLLMGQVGTMARKSKTGDPTKAKSSFHRRYFLYDKPLYKYILTNALANYTAPITLVIASRKAIVATAIHVTVAKYVEKRYDYHIDYGQELYALGFVGVFSSFFPVFPVTSGFQRSVVGAAVGGSTQCIANIVNPIDCCLIKSESLDTTN >PPA21138 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:160318:161179:1 gene:PPA21138 transcript:PPA21138 gene_biotype:protein_coding transcript_biotype:protein_coding MITSSNYNEALRVFLAEGEATDGMENPLSPDVDFHSLEAATKIKIMCQLLSKAEGNLQGVPEWFGEDGYGNRFYLVDNGCLYVEYGAKLNELSAEEGSGPSIEKQPRKWKLIADTEKMWYAIALIMESFSEEEIARKVASILAIAKEKMLSDSQMRDARQQFDLFRTTIQPPSASPQFRSQK >PPA21140 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:166473:167369:1 gene:PPA21140 transcript:PPA21140 gene_biotype:protein_coding transcript_biotype:protein_coding MKNATVDENHEQIFVVPQAGIRIHAMQCLGDGNITASAGAGSGEAESRCSDLPTWIISFDNVITLSADKVTWLAVEYVWIGDNVRSNTTRKVV >PPA21221 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:680737:682078:1 gene:PPA21221 transcript:PPA21221 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVYSRVPFDDAHSTASSSRSEDKPSCLLTHSLEPTQPTVDEEGFTIRGKEDDDAGADEAKWASCSSDEDDEVSS >PPA21254 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:890182:892141:-1 gene:PPA21254 transcript:PPA21254 gene_biotype:protein_coding transcript_biotype:protein_coding MERDKPCSFFRSADMSLCQLFLQSEVAYQCVAELGEIGSVQFRDLNTGDRLFVNQLQRCDEMERKLRFIESEIAIDGVKIHRSDLEDFRIYTPRLKEINGLDESISRIEKELIEINNTTRQLRESNIHLWETTQVLKKVGIIVAEDPDREVPDNAYVFVNEAELIDDEEGDVETGSDTGRELKRIAGIIPRSRLYGFEKLLWRICNGTVFLHSLDCEDGHPLFNESEDLAVFVIFYSGVQTGRKVDMVSRGSPVRIYKCPEKPQDRQALLNQLTEQLEALSDVLNRTFAYRARAVHAAAQTIHVWKIKV >PPA21232 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:805542:806734:1 gene:PPA21232 transcript:PPA21232 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLQRTRDVIFSTLDDLANRRRPDDITNNFFALIHDFYSTVSASHNEQQRITWSDMTDREKGIAQRTTAALRDPLFVAYRRFIMNHAREPNTALGLLLFRCELLPELASGLDSRDKKELLQWQYSIEHDYIHRLLNSESTNCVFAMIGSVVIHYRRIYDPRTETESESTRKLNRLCYADDIVLITHSHKEVEI >PPA21129 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:111245:111997:-1 gene:PPA21129 transcript:PPA21129 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWFKQIVPAVAYIHVKKLLHRDLKPSNILISEDGRLKVCDLGIASEQRLENGMEVVVTRTYKSCTELYRSPEQVEFIAWITEVDPDCRPTCSEILNSEFLRAIHYPMCCH >PPA21238 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:831437:836248:-1 gene:PPA21238 transcript:PPA21238 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLLLPLATASSITVYTSRGSVQGFDHNFGSDKSKPFYGYGQVFLGIPYAKAPLGERRFTLPEDICQYNDKDEVHDASYYRPRCYQVQDDLQPADNMSEDCLYLNVVTPNVTGSYPVMVYIHGGGLQTGGADVYHWKNNPYWRERHLFLILIAVVFLRTAEIKDHLQGTIRNLVSRGVVVVTIQYRVGLIGFFTTYTEKFPPNRGFYDQILSLQWVQDEIKHFGGDANKVTIFGQSAGGSSVSDLSLSPLARGLFHRLIQTSGTALLEVETPEDPRGSIHKERARQICNIDDSNWSTAEKDDAIMKCLLAATPEQLIEYDGSTSKGWNPTLDGAFWPDYPPSLANSRPKYPLLMTDMLDEYAYFLPGLHSNDITGIGPGTSMKLFSDQWPNYDQNTVQRLNDLFISSYDNGKVPADNDHLGWTKLTSDPCYGGARDRGKVRYRDHRAPSDQTIWTGFFFNAFMVRDVEWHKANDNNDIWLFTFTHANKLGIPIDVEGWIPVGHCSELPYLWFYPDVWDNASVTLTDDDFTIADHMGRIYTDFAKNGELPYDRAGANRNYLEIDKTLTKKTNWREKENDVFNHQMLQILGEYPPLTISQKSWDMLNDLGKKVLKTWNSMECSYPNLTTTTKSGQFISIVSAVIASVIVIFLL >PPA21167 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:299659:300748:1 gene:PPA21167 transcript:PPA21167 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIKNMEEVIGIKCKGPFFCQSIEPLPFATCMCGLPFTMRGVREGNKLCGSKAIESWFAVGDKNPKKNDLTCTVNGWTSGGVKVKPQNLSNIFAND >PPA21135 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:149338:150141:1 gene:PPA21135 transcript:PPA21135 gene_biotype:protein_coding transcript_biotype:protein_coding MWTSAERHRLLSLSEMRTTQFYLLPVAMDRLSSTSMTIPTSPFPQQSLVTVLSNTGQIHFKSFLGDYSVGMFKCDL >PPA21109 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:5169:6692:1 gene:PPA21109 transcript:PPA21109 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHLKLIRKIQSVGAPLVNGSSLADGEGSSPSPPNNDDLSLEEVQNKLECCMCDLSAWLSCNDSRDIARAAIWLRHIVNGIAYFHDSGIIHRDLKVHHP >PPA21143 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:173330:175456:1 gene:PPA21143 transcript:PPA21143 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCLGDGNITVYTGAGAGEEEMRFPMKTWKQRQSASPNRIRTVYYKSAPVWPQEIRTRNDNFVFELAFTVIKPTLPPPPPPTPAPGPEDPYCSCALNRKSSGAVGWDSQEIWLDIVFVLDTSEAMSENDLYRAYILIPHILGNKGGNIGAYLTTNIESYFYSRVGLIAMSDKAEVAYNLNMTYTSSMKMYHVTKGVPKINIEE >PPA21119 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:67824:70284:-1 gene:PPA21119 transcript:PPA21119 gene_biotype:protein_coding transcript_biotype:protein_coding MFQAKQWVNFKLHELPIWFAGEVGNSLYFYTVLQENSKVRTYTFYELISDEETVPAFIDFREIGTLTTEKYIKFALQQPYFLNFEDSKAEIKTFEILPDIELAEPKIASRDPEDTKYVSRFSTDYEVTGLMGIGGGGCVFDAVNKHDEVKHMDEALKEVRALAKLDHMSIVRYHSTWVETPPDEWQYEEDVEILTRIHSVKYQLLNYNPNSVFIYIQMQLGIGDMAGWLRKHQTPESRPLLEMKSFFKQIVHGVKYLHDNNFIHRDLKPGNILFFDGNRLKICDMGIVIEKRVQDGQEVTMTQIGSGTLDYMSPEQRSLIPQLSTKSDIFTLGLIFSEFCVVMDYEQKVKVRT >PPA21189 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:425203:433252:-1 gene:PPA21189 transcript:PPA21189 gene_biotype:protein_coding transcript_biotype:protein_coding MENLQANSMRKEAKEADGGEEEGSGGAMKEERASVDDPWEWSPDHLETVFGPDIAVAVSPLVVEESSLARATGRSFVLHAIAGEAEMDLCIGPATVNRASALVVEATGSQLNISLVRAVGSWEDASSARALGARVHALASCHHADAASAHPLTLKRAAAAVRTKEEKANVEKELSKAKEAELASPPQQLQPGDDPTWLEVVPLEEWPAPVAASSPIKGRPSELQQLQPVGAAEEQEEDRYAFVRGKEEATAHSPQQLQPSQPGWDASFDAWCTAMSAPTPSTPAKRAASPKESVDAKKRKEEVQQLQQPQQGPPVVPAWFEPDATSTPKRAKVQAVRPSARRSRRSILMVVGPASTPSSDSSIYDEDEFDFVDRPPSPSTDASCSSEKDVFGFDDDEADSAPPSTSSQPPPSSVRRSGRRRPRSSDELDSEDFWRVDEEFWRKVHSKRTKYLEAKREKEERIEAKDRNAPEDDMQQGPAPVVATPRPSSLTPLDLASESLKTSDALGGFIDGDGRKLSKGVWTTSTGRVCADLATAWKGMAVQLGIRRAAIQKGAARALPRMRDVVSGCRAHARQHEEFNAASTAVVEGYQALCELAKLFASEVAKALKETRTRVLYTQELKDANAVFKAAAAKVLTEIRTWRARRFLAARFQGVVDRSNCLNLNRFRIL >PPA21216 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:642088:648223:1 gene:PPA21216 transcript:PPA21216 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLTLLPLLVSSQSPTKSIFDARDLYLTKQYIDAITAYGPGVGVFAPYSYVDFAYDNVPFIGKIIPVLGKEGTSSRLGISPFYPPGGNPSNPIPPLYRARPLPLHIPEPVDVVAVPLDEEALHGEPLFPKELVLPELMEMAGKPPSSSEEADKPEVAPDSPFASIDAAAFKKAEKEVPSPFGAIDTAAFEKAEKEVARSPRSENSVDDEDLVLWPSRGFSSMSMELGLEENVLRDEERSETTIIDGVKEKSTRMDEMVSLTLSIYHDLSLIEQKGML >PPA21182 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:362995:366286:1 gene:PPA21182 transcript:PPA21182 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQGSSGQEFQSSRFKTEFKPTKFLGNGTYGSVFAAEKVKDTTMKCAEQADMIMITTLGITECLKNKNDCSFQYIQMEPSNILFDGSDGLKVCDLGIIADKGNDEPTSRTIRKGAQMKRKISDCQPTSINKKARNDKGAEKRNNEMNSSSGNSFKVDMFSLGLILAELCVVMTADEAAEVFDNYRAGKPNNALAHFPDVKDLVDWLTNVDPSDRPNCEELYLMRT >PPA21245 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:865911:868797:-1 gene:PPA21245 transcript:PPA21245 gene_biotype:protein_coding transcript_biotype:protein_coding MSANECILDTTVAWTDFERKIREGLSTDARLGPNKFAGHTSRCCLIACDWVGDTASAKPPSKVVLKITSVSGLRAVDGSLPDGRKMFDYDDAQWKSMERTMIRMHNIEAASYNFFAPFGEQMIPKKYYGERIGKGESGQLCLEYIDNSRMMNFHEEHSVEQVRQIARALGKLQACSLKKAVTARELQMDFFGSMPQFWSFETYTGMFKGILAYDCSESTKNLMTKIDALLTTYYGVNLATTSNERKKNNPSQITHLGVGVEDLHRIALSALMPESRREVMPSLIAEMYNSMIENLHGVKPPYTFETANLYQPNVIEKLASALGLAVSTLRALFRQYIYFADQDEVKISRQKIKLDKIIGSLEDVLEFDTKNKKQIGNLKFTYSSNITQHFIEFQFATIL >PPA21152 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:215466:221105:-1 gene:PPA21152 transcript:PPA21152 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVHGEAGSSKSSKPSNRRKDDSNTSNTSKDEVFFPIVRNWRNLSEKRMMNVVMILMKSKLEEIIFAKTSLEKERCSMKETDAARSASLSDIVTELTKSFEDTMNFIIDIEKNRIRGLDESEYYDDHTEDTEATYGSTPYNNNTGRFQCSSRVMLFRKRSAETKLKRSESLSSAIYATKDFEKSMFLQTIKLGMHFSHFELRTTLEGRRNSNVMCANSCLLDLPHWRTIGNPMKITSRIESPFNVISADNDSQESTRCNSINYSFIYDPNEKDIRLFNCMQCGKNFRREDYLKGHMQTQHADDDTVKKPFVCDQCDMRFSQKYLLKRHRNDHLADEDPRKKKYVCEICGKSLANGQSLNKHRRSHSDGAVGDSTDSDADPDRFRCKLCGETYSTLGNLRGHVKVVHGETSEYYSSIRPKLTLIRKRKANAFRISLLIK >PPA21136 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:153987:155790:1 gene:PPA21136 transcript:PPA21136 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTVEVQIFPEKWIDEGKFEDARFHYLVLEFCQGSLHDLIEKKKRFVTRALSSVEILLQITTGLEYLHSTRRVHRDLKPLFANRANTLRAVIADFGLCKELTLGKNELTICSGIVGTVDWMPAEALNGEKTSYPWDIFSLGCIYHYVLANGKHPFGPPLIRSSKILSGTFSLDEDLSEEAKHLISLMISTDSTKRPTASEIMIDPVFWDAQKRLDFFNHD >PPA21177 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:341332:343161:1 gene:PPA21177 transcript:PPA21177 gene_biotype:protein_coding transcript_biotype:protein_coding MNEAFRIRMGATKYVPARHSKELVKSFGFMQVATTGRLGPYITCPTSSELSVQPQASIQRFLCTGKKQLIGGSCPMCKLQLNEWREMKEHVKQCRRTHQHPLLMRTSYCPYCLKRLADAYSLSAHIQRCHSSTLHDLKTEVLKHGPGVEVTGFPVCAMSEPFPFTCSACGIGWPSAIGIAGHYVDMEKMPFYH >PPA21213 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:626157:626579:1 gene:PPA21213 transcript:PPA21213 gene_biotype:protein_coding transcript_biotype:protein_coding MNWSHSLAFLLASVFLIIVRAQYKHPFEAAPRHFYEEWSHYDKCHFFDPRNRFWAHLPSIIQPRTIDLLIHQ >PPA21114 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:41323:43425:-1 gene:PPA21114 transcript:PPA21114 gene_biotype:protein_coding transcript_biotype:protein_coding MEINNFRIPDVDFNFTSRANDTNDALKEVQALIALDHPGIVRFYDAWKEEPPAGWQSASDEVLLKDINSDNKESLNYTYDCAFLYIQMEPNNILLAGPDLLKICDLGILTDRDFLDDSGAQEVSTIRTYGKGTSSYMAPEQHSSSPDCAFLYIQMEVFLHI >PPA21108 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:1781:2586:-1 gene:PPA21108 transcript:PPA21108 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEFEPIEQAMAAVAKMRLREEVEQQVFSSKVDQEEAADCKCQCCPPRSTQEAADYCCNALFTLDLLKKGKLLRDGLMRKLKEPGHHSCIVKDKLFTTYIMNEAAALSSAEPFSMLSGEPITDDNKALRYGSYRLFVATSVGHLGKGVRIRLPSCFIHAVRQKWPSNTYTGFAQSEINDI >PPA21179 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:347795:348662:-1 gene:PPA21179 transcript:PPA21179 gene_biotype:protein_coding transcript_biotype:protein_coding MCVPVVHQGYSQKHHRNWSHASSKEDPAPLQKHTCASCGYPAAKKQAIRRRTTGTGRMRHLKKIQHRFKNGFREGTVAVSQKKRTQSAASN >PPA21215 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:641494:641807:1 gene:PPA21215 transcript:PPA21215 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSNCGLRNMDGLLNNAHTRFGIGLQFPENTTPNTRRRASRMGLVFQIVIPSLESGEPIEAAYGGIPSPFDPGENNEAKRSGSLEQCS >PPA21163 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:272781:274697:1 gene:PPA21163 transcript:PPA21163 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDAYLLKFTTKETLPKNTYALQLENGIVFYIKMNDWELSVKLDDDETEAKLPDGFGAEELSKAAVHENAIYFEANKKIYKVAFTPPGMLRLSYLRDQLEGEHLYRYAMCARTVGISDLVYRLCDNPNRGALKQDLKTDEKEGLVAVRIHRKKLIYIMQNQDLVQPIVITRSVHAISIQASSALKNISFQFITGVFNGIITLMDNKSKWRLISAQLPDGYFDKSSMINKIATEKRGFFDFLFRRKASRKNSDTNNNSKAESNGDGAQSTATLEKMAEPIYLAWKQSVKMSDNVEQQEPCSYSSK >PPA21164 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:276315:278570:1 gene:PPA21164 transcript:PPA21164 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQKADYYKILKNQGPAAKSLLDFSEDDVFIYYDEIHSNDGTGSSKSSGPCGTYTTTTSFTPCNILIDDHGHLKLCGLGIATERKTENGEETISRKPSEYPPRYTAKTDVFALGLILAELCVVIKNDEERYEIFDRYREGDHPNHLPISSLGSLQSTRTADRPVQENDLQFGKCSIHICG >PPA21111 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:19604:21853:-1 gene:PPA21111 transcript:PPA21111 gene_biotype:protein_coding transcript_biotype:protein_coding MIDEQDYEEAKWALATISSSSDPIQSVFEISANVEVEAMQKDIKIEEDADVSDSEFSNSDCGDIHDSNDITQKKNTNNGMFECDLCGKSYNRKCNLTAHKKSHFKEKLPYPCEICNKRFVSKTYVATHMRLHLDNEDEKFIFDCEICGRRFAHRPNWARHKDRHKANEEQKKVQCDICGKMLAPCSLLYHKTTHLDGKNPEEADQKRPYKCDICGKGLTTPFWLKKHKLFHKYDDNPDQAKQYACNICDNKYTLLSNLTRHKRSHASTVEAKKPFECDICNKRFADSSCLAKHKRLHGSEEERKPFKCDICHMAFTNKDILQGHNIIHGILDPNRTYHQCNICDKYFITRRKNIRCLNVPATAWLVTQPSAPRVL >PPA21191 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:447039:448161:-1 gene:PPA21191 transcript:PPA21191 gene_biotype:protein_coding transcript_biotype:protein_coding MFKYPPLPVLSRRPFTTYHLQVYFVFFVGYLLVLGIIALPMYQAWSDGHVDFRAMRAFFRFRRTLLELPYEEIRAHYAKRPAIR >PPA21155 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:227388:229163:1 gene:PPA21155 transcript:PPA21155 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKAPSLEFTKHEVVSDSTFIHQTDNDGNVEATLEGGHKYSIMTTYENALYYGSNWNREKHGNIQKAHCFRGRTRRFLEVLSEVRDMCEYNAPWGSPTIIKPGGFVYMSNGDHLFTIDPKRFSLMPPLQFASEQDWDVTHFYIAGIHEGVLVGRGKCRKTGKFYRMTAQLPREYVDPRPFVAVPKPAVKQPDVALSHPTRVSYRSKQVFFAEFTIEHVCGVGGFGRVFKVINKYDKTAYAVKRIAVIPGYLDKALDEVCKMAALDHPGIVRYNHTWVERPPLGHQKS >PPA21157 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:241565:243589:1 gene:PPA21157 transcript:PPA21157 gene_biotype:protein_coding transcript_biotype:protein_coding MPYVNTALFRQLTFEPRPAVLKKLGDNGIVLVCFRGRTRRFLEKLSNVRDMCTYNAPWGSPTIVTPAGYVYMSNGEHLFTIDPDEFTMLPPLKFLFFTEFTVKHVCGEGGFGRVFKLYNSSLDKWLKECQNASERPRPLIRQWFTEIVAATRYLHDKNIIHCDLKPANILFDENYVVKLCDLGIATERSIEDGEEITTTRDGVGSSLYMSPEQSSVITRYNSKTDIFALGLIYAEMVIVMTNEERKEIFHSFRCGTPNNEIFNDEDTTMI >PPA21256 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:897810:899808:-1 gene:PPA21256 transcript:PPA21256 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRSANKKKADDPPQKQSTGVEKSKKQPSKKTEKAAKVVTAVEPKAKAVKETKKPAAKATKKMAKSKPDIEPVEPVDEHVVEIPQAPKAVAKKPTTVKLQQITNSVHSNPEPMDGEIIELPEISQIAINGNGVHTGDTSHQVVPIASTVPAARSVVHFNDTAIHHPIPIVPQPAPAVAAEPAVLRPVQIAMPKKKSPTTVRATFDEYANDPADADTPGKIGPNGIVRLLADLGIDAMDRRVLIMAHRMDAQVMSEFTLGANSVATLATRLTQQDKGLADFESFKKVHTFTFTYGKQAGKRNLDVDVAIPLWQILFKNEYALLPLWEEFLTTVHKKDITKDLWGMFLDFATTVNTDLSNYAEEDPWPSVLDSFVNWARPKLSKA >PPA21195 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:488665:489549:-1 gene:PPA21195 transcript:PPA21195 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSWKFTKALEVGQTIIMKGTFSNQETRVSFNLQDDQFQTVALHFVIDFNQAHSAFGTRRNGQWDEYWTPLPNPFKRGGAFDIRFRIHDDHAQVFVNREEFGNYKFRIPRSTITTLGFNGASMAGIVSYVQLGEQYDPVPYSGKLRKTTKVSNPATRMAMHAQFKFPEPLQTGQTIIFKGMFSRQESLLVYQILLI >PPA21171 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:313992:315647:1 gene:PPA21171 transcript:PPA21171 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASLPVDVVARILKFVDVEHFQNISRRWNEIVLRHPFTKPAIDYFSFLKLVDQWNFQIVLEKRHLNYFGLANWRKERVENETVTVRMEMLIKTDEEKEKLLNRLGLLFSRASTIAELEVKWLYQLYLIDTAKSPNLVKLFAEVSLLVHCIQYNTMDKRIRSYAMKSTTWNEIRDEIARENSFTVELDMFKLSENNPFKDIIVRFTVTRSF >PPA21160 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:257656:261421:-1 gene:PPA21160 transcript:PPA21160 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAASTNNSTADRQRDQKVKATLKGGSDFSIMAAQGEAIYYGSNYKRQIYKAHLTATSEIQINCFRQMLEDENLHPGGMCSIVRGDKVHIHKMSDELKNSELHEPMNIDQVFLETQDLQGLHGNSAIFRQLVFDDIQPDVKLIGKNVISLVCFRGVKARFGERTVNVDLREFNAPWSSPAVVDKPLEPVEQHPKEETFHPKHIFFRFRKKFNVKSIIGKGGSGCVFKVVDVHDNCEYAVKRIAERPVRKEGALKEGKVLAKLKHPGIVSYSGMWVEEPPEGWQHDMDAALIKKLNSKKLLGNFNSDSIFIYIKLQLCDHSLHDWLNDNPDQSSRTSDDEIVVLPNIKQWFKEMPSNILFADDDSENKKVVKICDLGIAAMRKDEGDNMKTAEHRTFVGTFAYMSPEQKPACWRLIDGLKEE >PPA21147 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:200217:201170:1 gene:PPA21147 transcript:PPA21147 gene_biotype:protein_coding transcript_biotype:protein_coding MLENRDETDVGKVITVEKQLIFAIQIAYGLEYLSSRGFVHRDIAARNIMLDSQESCKIGDFGLARELGDDSENYQAQLLTRLKQGERMERPDNCNTSLCECVGLNIHSIGRPLS >PPA21229 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:786704:787749:1 gene:PPA21229 transcript:PPA21229 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sfxn-5 MDNSCLNFILKRFKDLPKSTQFIFQRFIPLPATSLASSLNVLCMRGSEVATGIDVYDKDGNVVGVSQVAAKQALKETTLTRAFLPVPLLLLPQLILPKLEK >PPA21199 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:507209:513132:-1 gene:PPA21199 transcript:PPA21199 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIMSEVFNDTTTAFYVILIVWLADQFDAICCQSPTSKRYWLRFFFLHQFFFYSYQYRFGGVYGGLALLTSSLSIMHSMIFFFHHYEIPLILYTDRLQRIMGELNGRIPMADPPIVQEVNLATGPPTGEGEFNLNRAAGSPPHAVNGIRRVILPRNGPQRPMIGIPLTQQGRPIEQGLEERLVAAAERRVREIENAHEGNTWIRSIARSVGGRLVNTIPSWMVGAEQQPIENVVDQVGERIRFRLPRGTAGYRPMMARRTTDGPGEPFVIRDQLGACQMQYAMVRNCVVVLYEMDVVPPVQQPAAAAPEAAADAAVQQ >PPA21133 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:142407:145310:1 gene:PPA21133 transcript:PPA21133 gene_biotype:protein_coding transcript_biotype:protein_coding MITWRKKDFTVCSGVVGTDGWMPPEALKGENTSYPWDIFALGCIYYYVLTDGSHPFGDSALLLHVYEVMKVCTGEQKLKTFYPDNIKARVQILRIISMILILRGYDCTHYSNYNEMLSIFLRKKCAANLITPNLKLDALPTEGKIRIILELMKAIERLPDEPQWCGEDGHGNRYYLIGDGRLYVEYGAKLCGMLKRASNNMPHQKWKLLAETEKMWNTVSLIMEGFDESEISRKVASMLAVAKEKILSAAQIRTAEQQFYLHMRRIPITTH >PPA21208 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:603999:604751:-1 gene:PPA21208 transcript:PPA21208 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEFKDQGKIKDHQEIKDQGGIAVDALILHYLGLDHIDRCPKNISTTIMSRAGHSLGGESAQIPLKLLEMDGIVRRIHEALANMSIM >PPA21117 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:52344:53069:1 gene:PPA21117 transcript:PPA21117 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLFLVAVCCCASAVTAEKYLQWEENKFAERDKKLREKLSPEAREAFDKQREFNILIRKMPWLEGVKKKQEFWNALPASVLNEIKNFYTWP >PPA21151 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:212205:215157:-1 gene:PPA21151 transcript:PPA21151 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVIKSDANAEGNDDAHKGEAEASYGNSPCKSNTGKFQCPICKRRYRMRAWLEKHTCPEENAVPRRFECEECGKSYTSKGNLIVHKRRHEADDDPRKKKYICEICGKNFANCQSLNKHRRSHSGEPIQLF >PPA21123 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:89926:90630:-1 gene:PPA21123 transcript:PPA21123 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKLQMEMRYINIAQQFVSRCGNERIFVESEYAIKRIPVENEFGSILIPSLKRHFVKFGPSPN >PPA21148 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:201226:204224:-1 gene:PPA21148 transcript:PPA21148 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSDDERNYERRSQMTANTRHFECTVCKRKFGQQRWLHRHKCAGENTVVRKPYKCDDCGKCYRKPGVLAEHMRSHADTEEARKPYKCHLCDNRFRTEQLLAAHLFSHDAEDDPRRAKKYECDVCEKRFTGSTVLAHHRKSHEDTIEARKPFQCKQCGLRFTGKHAMQQHELFVHLPDDEKPKEECPTCGKMVSCVRSHQKAVHEKDPNDKDKRPFKCEQCGKDFRREDYLRGHMKTQHADDDNVRKPFACDECDKRFPSRFLLTDHKNDHLTDDDPRKKKYDCEICGKRLANSQSFNKHNLSHSVDRTLYKCDKCGKDFTNSTNLKFHMIGHTAWATRRVSPTWIIKRWTQDRILIASCDLRSRKAPFKKTALNHAKGTVGDSTDSEADPDRFRCKVCGQTYTTMGNLRGHVKIVHGETSKYYSTMLPKFTVNRKKNDNSK >PPA21207 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:599312:600803:-1 gene:PPA21207 transcript:PPA21207 gene_biotype:protein_coding transcript_biotype:protein_coding MRITLFLLLHSRLSIPPSTLLVLPIHFLSFFSSSTVEEEHDVWYFLLSTSLLIEVFRGMSKEGKGRSVFAPLSVLLLHRFAISFTMGKRRRWNIGEAPQATY >PPA21253 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:887950:889478:-1 gene:PPA21253 transcript:PPA21253 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVYRTLDMLARRTLSADHIQQYLIGEGWIPTTELDNVRDALSAGAIAAGSSMHPILNEMDSTEDMPPTHFTLNKFTSGFQSIVSAYGIADYKELNPAPWIIISFPFLFAVMFGDVGHGLMIFLFALALILFEKKFAAKRITDEIFSTFFGGRYIILLMGLFSMYTGFIYNDFFGRSMNLFGSSWATTANNNSCWQWKGLNEIEKWERQAAARNRTFEIMLDPIYCYDGNAIADSVQIGSWWSYVKYGFFSVR >PPA21180 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:352862:353253:-1 gene:PPA21180 transcript:PPA21180 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPDDDVAFDSKFELLKRRRQPFEKWIRCSNFIIKALLDSFAPGLSGRK >PPA21141 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:167868:170953:1 gene:PPA21141 transcript:PPA21141 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTVALSDRVAVMSSGLSDNVQNLPSAASLTEAADITMETQEGFGIKYYSALDTPENVGSRQDNFVVELTFTDIVPPILEKDPYCGCALAEDQQGPDGWDPRNIWLDVVIVLDTSEAMTQDDLDIASLVMMEIFAGPGEKYLTTNTGATFFIRVGLRTVAEEPQVRYNLNMTIDNLYHVLAQFKVTKGLDKMNFDESGQLSTADRFKNSGGIIIVNNYIKEGEVHPGLFNLASPGGCFQAVPASSQFLNARKNCDQLGGGLISSIHDYHKGQFVQQLLTSASKSGFYWIGYTRTDSSTNPYTNWDEHQPSKLSHTKCAYADASSNLWGAGNCNIAYPTSCASSPRAKREI >PPA21158 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:244426:245215:1 gene:PPA21158 transcript:PPA21158 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDDAVSSITFRTREFLPAHTYVIQVEDGTFFYFTHAAYYKVYVKYDGKEFDAKLPEGFTTTDIGRIGVHENSVYFECAELATEPDRKVSVSIPCHIHRSGYAGDHVCQR >PPA21236 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:819145:821720:-1 gene:PPA21236 transcript:PPA21236 gene_biotype:protein_coding transcript_biotype:protein_coding MFDTEDEKGLFDVSVNPLELKEKYAKKRTQADADAIISDDYTSFGQHFLSVISNAYQFMYTMVDVGPCDKGTKKSLEQFRAIRDAASRKDNGKGWSKKHRQHFYEFAEGFEKFAEYMIEQFEMKIKIQKRVLEQTRIEVAENTRMKLISKIISMRNINKRDVDHTGTDAVRSAYFAAASPAPMHAGNRRVDATRRTRSPGSMKPTEVKEAHDEKLNAQVPQKRKTRASSIASGNEAKKEKRQKQPDKKLAKNTVRKTSTQGQGLDKPNSAFTIPAPDKNNNDHVKQNTNRVKEETSVKKVEMFKYTCGVCSFQTPNQVVFEEHGKKCT >PPA21227 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:759056:760974:1 gene:PPA21227 transcript:PPA21227 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMQNSRETIRDLFLFSLLFETVRSTAVQGGIQSDSNPILQMQDDLPFGRSQSKGAKASRTLLQSVRLPLDHEPLARLYSTDGKVSDPACLARLSHDFLFSVVRVVARSLQALHADQNELAWLYTIFIASAGENS >PPA21197 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:498251:501272:1 gene:PPA21197 transcript:PPA21197 gene_biotype:protein_coding transcript_biotype:protein_coding MTGERVWEMKRRITRISNSTLCSEYPAKVNADNSPSSGRKSKASRKSSREKNFFSDEKAIAGSDKDRRSSKRRKDKNKWDRREYKKEELDPLPVKKKETKKKEVKPVRVATEEVSKTDEESEPQQPPIELQSQVLPAPFVPTGPQPRPCFAREPENMIMSCLVERGFIPKARYKVLRFATTQELVEFDGVGEQVKHPTYSDRAITNNWRRWGAHRTLCDQGGYLPDPQNKRPIKIEYSSAHRTPPLLQRGSAPPPCPAERCQITLNKNNDIPDSQSMFGSIKVESGPAVAEGHRIGKLVEEMVKAGGFVPRKLPVRKEQAPGGQPQVVVIVKQKPAPGSKEKPKKKEKGSKEKKKKKKKKKENGKSSSTETDSDEHGEDDGSGGKGRKADGKEDEKDDSKKGRGGLFALFKRKKAAPVPPKAAGSKEPRRRKAEKK >PPA21176 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:339385:339698:-1 gene:PPA21176 transcript:PPA21176 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSNCGLRNMDGLLNIAHTRFGIGLQFPENTTPNTRRRASRMGGSLEQCS >PPA21225 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:737044:745160:1 gene:PPA21225 transcript:PPA21225 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tdc-1 MSRARKSSLYFADFGVSREEFRRIGKDTIEYIAEYHENIGKRRVVPAIEPGYLRDLMPHEAPKKPETFESVLEDFERLIMPGVTHWQHPRFHAYFPAGNSYPSLIANFLGDALGTVGFSWASCPAMTELELVVLHWYGKMIGLPPEFLPLTEGGRGGGVIQSSASECNFVSLLAARFEVMKELRKRFPFVEEGLLLSKLIAYCSKEAHSSVEKACMIGMVKLRILETDSKFRLRGETLRNAIQEDRNLGLIPFFVSTTLGTTSCCSFDVLSEIGPVATDNDIWLHVDAAYAGSGFICPENRHLLTGIEHAMSFNTNPNKWMLVHFDCSAMWVRDRFKLTQALVVDPLYLQHSWTEKSIDYRHWGIPLSRRFRALKLWFVIRMYGVEGLQKYIRNHIRLARRFETLLKADPIFEIVGDVQMGLGTEEVNQQLLTRLNSSGRIHMVPASLNNRFVIRFCVCAEHAADRDITIAYDIISQTARTILHESVAEPIEEDAELEELEETLGFDGMTLESPIGHSKSMDRHKSIERNKSVDRTPSLDKSDSDDLNRRHNESIATKRSFLVRMVSDPKCYNPKIVSLLGND >PPA21240 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:840835:844065:-1 gene:PPA21240 transcript:PPA21240 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGVPFAKPPLGELRFKLPEDICKYTDSGDVHNATFYRPRCWQNEPGHELSEDCLCLNVMTPNGAIRNLLSRGVVVVTIQYRVGVIGFFTTYTDNFPPNRGIYDQILALRWVNEEIASFGGDASRITIFGQSAGASSASVLSLSPLARGLFHQIIQESGSVEQELETITDARGSIHQDRAQKICQINSTDWGSAAKDEELLNCLVQASPQDLVAYDKTDNLNWNVEIDGAVLPDYPEILAKSRPTYPALIGDMLEEFAPFIPGVTDGNLTNITSAIQTTIACKMEPLLVVANLLIERQATAKNISDIMIAGFCDGAIPPDSDHLAWTRHLNAGNKNVWTFTFAYSSPLSTSIIFDDWRPVVHSSELPFLWFYPSTWETANATDADFAVADYMGQLWTDFAKNGELSLPRVGEDMNYVEIGEILKTKSDWRSTANTELPSYLGEFPPLVMSDESWVQLKDLGKK >PPA21145 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:181174:184298:-1 gene:PPA21145 transcript:PPA21145 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLGNLINGLCDSFELTMNWIIDKEKTRQGGREEEQDDFDGLCNVSEVGTHTTHVKDEEANRVEKNLNLCNLSDGDETEIDNATRNLIGEISKIVTVKYHSHHMLIMPRRASASKVNYAIDKIDDDSTSDEDSDKEEEEGSDPDFDSESNGSKDVDFEASDMIRPKWTRNRRPVSHLEDKRKSFQCEICGNRYKNKSTMYLHRRSHAESEEVRKPHKCEQCDKRFPLSASLRKHMISHLPKDDPRRQPLEYDEEKRKPFKCGQCDQRFTGKHAMQQHELFVHLQKETSSKDQKPFKCEKCGSEFRREDYLKGHMKTQHADMLLDDNDPNKKKYICDICGLALANSQSYNKHKRNHDGTVGDSTDSEADSNRLRCQLCGQTYTTISHLQSHVKKVHGEKSEYYSKIRSKRQGNKRSNSESDDEEGGGAWSDDIEPEDNNN >PPA21251 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:881484:883689:-1 gene:PPA21251 transcript:PPA21251 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSLLPNAITAKFTQYSTGLLTSLHLLWRWIRTGGAALRIKERQRPKMLDKYTHMHTLLPSGIDMHYVEAGNRSAPLMVMVHGFPEFWYSWRFQIDHFKDRYRVVAIDQRGYGDTTKPAAIGDYRTSTLAKDLDDLIHALGADSAVVVAHDWGAWVAWTHAILYPKSVNRLIICNLPHPYVLHAMISENERQRKASWYMFFYQNPWLPEAIIAADDFKMFDACFWSKAIGLRNRDNFTEEDMEAWKYTFSQKDALNGPINFYRAAFQYPDTKAVTAKCTVKTLIVWGDNDGALLKEGAEESLKWCDDAQLRYVPGASHWVQQDEPIVVNKYIDEFLGSAKP >PPA21185 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:408320:416202:1 gene:PPA21185 transcript:PPA21185 gene_biotype:protein_coding transcript_biotype:protein_coding MARRYASAPRKGIVFYIKMNDWELSVKLDDDETEAKLPDGFGAEELSKAAVHENGIYFEADRKIYKVAFTPPGLLRLSYLRDQLEGEHLYRYAMCARTVGISDLVYRLCDNPNRDALVQDLKPGEKEGLVAVRIHRKKLIYIMQNQDIIQSIIPHLPSYESSLSQDSSPYFYIANKESLFTINTDTLEVLPTLKLGNISFQYITGVFNGIITLMDNKIKWRLISAQLPDGFFDKSSMINKIATEKRQAESNGDGAQSTATLEKMAEPIYLAWKQTVKMSDNVEQQEPCSYSSNDMDRIVEEVCLVSQLHHPGIVRFCGTWTERPPDGFQQKADYYKILKNQGPAAKSLLDFSEDDVFIYIQMTLYNSSLADWLQNNQSHRDIPGMRHWFKQIVWAVWYLHDNYIIHSDLRPCNILIDNHGQLKLCGLGIATERKTENGEETISRKPVCSLLYLAPEQSDYPPRYTAKTDVFALGLILTELCVVIKNDEERYEIFDRYREGDHPNHLFQDVETAEFVAWLAAEYPHSRPTSHVHKRKSLFAMEAKGVSFKMRIDKEDGNALSDVLEDNVTVHKLMNGNRELEKQVKFALQQPYFLVETEESATFESFEGDKLKLSNFAFAEFKKIESYSFVIWSRSDCQIGGLSDFGLLVRDQSGLSESLEKSGSSTCSYVSEFLDKFTVKCILGEGGFGSVFHAIHNVDDSEYAVKRIPVAPEKLEKVLGEVRTMSRLKHFGIVRYHNSWKEQPPAGWQYEADYNLLKKMERKEEEKKPKQWLRLYNASLAQWLKINQGAESRAIERTKPWFKQIVDATHFMHSEGVIHRDLKPRNILIDYQDELKICDFGLATECRFKDDLEKSTGRTRIGSWLYASPEQSSSFAIYSSKTDVFALGLILAELCIVMLSSLPGSPTENQRTDQRALRY >PPA21243 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:853181:862018:1 gene:PPA21243 transcript:PPA21243 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSLPCVLIVPLVCLCDLITVDTSRGPVQGFDHDFGNDRSQRFFGYGQMFLGIPYAKAPLGERRFTLPEDIRRYNDSGELHNATYYRPRCWQPQGTIQKAGQMDEDCLYLNVMTPNITGKYPVMVYIHGGKFTSGGADVYHWKGAVRNLVSRGVVVVTIQFRVGLIGFFTTFTERFPPNRGFYDKMLALRWVNEEIANFGGDANRVTIFGQSSGASSVFDLSLSPLARGLFHQVIQSSGPTLMQIGTIENPLGSIHQDRAMQSDSKNWNPVIDGAVLPDYPADLSKYRPRYPTMIVDMLEEGAYLIPGVNDGDVCNVTRETAFELMRSLFPYYHEVTMTNMTEMMFEGFAKGHFPEEEDRLGWAQLLSAMFSGLSFNSMMVRDALWHRNAENEDVWLFTLAHRSLLPFNKQVEGWIPVDHCYDLPYLWFYPNVDIAVADNMGAIYTDFAKNGKLSFPRAGKSMNYVEIREFLTEKSNWRSDANKVFNEEFPAYLGEFPKLKMSDESCTLGTIEVEFDEPDISHEIDEIYSTNSSKYVENGVTYLPEDIGRYNDRGEIHNATYYRPRCWQARVDFQKGYNFGEDCLYLNVMTPSVTGSYPVMFYVHGGTFTTGGADVYQWKILALRWVNEEIANFGGDPNRITISGQSSGATAVSHLSLSPLTRGLFHRVIQTSGSALTQIETMENPMGSTHQARAMQICQIDSSDWGTPAKDQALYDCFIKATPQEIIAFDLDNHLWNPVIDGAFLPDYPDILAKSRPPYPTMIVDMIEEAAYLLPGERIGDASTVSRQTAFDLMRDFFPYYDQDTVTAMTEVMYDGYAKGNIPADEDHLGWAQLESGMFTGLVFNHKMLRDAQWHLKAGNEDLWFFTLAFRTIDHCYELPYIWFYPSIWETYNASAADFVFADKMGEIYTEFVKHGKFPFPRAGKSMNYVEIREDYLLKTDWHPEANKVFNEIFPSFLGEFPKLKMSNEVDWARAMDTGKKVLSKWNSMSELKWKTKAMIISAHKAPSTARLALLMLLLAQSLKVIRTTRYSLFCDVF >PPA21210 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:606884:608915:1 gene:PPA21210 transcript:PPA21210 gene_biotype:protein_coding transcript_biotype:protein_coding MMPHISDPYDPADISVNLISFVPNMNVNLHDTNTDYGHFRKGIAATYSCFCQNTHHFLASKEDQHTCQRCSPGNRDLMEIVCALNRQGRPTLLLICTSQDGEIGAKAQMPDLFKYPNIATRLVAMMVPVTSRYEQSIMQALREKHPVHAVGDSINWSKTQNEQDGAIPRVMLCLGWTHTKYRTTWTWNNQLEQGYHQ >PPA21166 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:283442:286376:-1 gene:PPA21166 transcript:PPA21166 gene_biotype:protein_coding transcript_biotype:protein_coding MPFITEELWQRLPRRESETALSICVSPYPEVEKEQIRKIVNCQEQNSYVLKATPEVKSKNEDKKTRLQSELADATATIVVLNKLSLRAKIRSIALKATQKTMNLHFM >PPA21131 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:114635:115054:1 gene:PPA21131 transcript:PPA21131 gene_biotype:protein_coding transcript_biotype:protein_coding METMSFCSTSSRMDGCRKGKLLDGREVAIKRVVVNDDKSLKKVERDVDALKKSDSHDNVLRYFLTE >PPA21188 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:422825:424243:1 gene:PPA21188 transcript:PPA21188 gene_biotype:protein_coding transcript_biotype:protein_coding MHTNQPFRIAFQFKFTDSDKNIMKKLSVKGMDLQFGLLWLLPNQEELLHRFGKPGQRGGESIDAAWKRCADDRSCATRCVQAYINRYKGGCPASMGVCEKTARLHNGGPNGCRNVRATQGYWNKVKGCCGCN >PPA21224 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:723470:726989:1 gene:PPA21224 transcript:PPA21224 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPPALLISTVILEYTVLALFVVFHRNLHFLLVIGPLTQYIYFIPFHFRAIYKLFGVLPNGQKRTLWFFEDIAIIGSTFNLLAITVERAVATVMVNSYEGLGRKFPVLSIGVTVFQWAVSYFIITKIYDRTWTVVNVLIILAVTIAITAVVFALLPLTSRHVHKVNMTEERRFAQGVKRYQSVENVRSAKVLNRYALFLVVFVAFSLAILYIFKEKSGDYYDYVEVVFNIYYAGGGLLAEALVCYSHPVLKEETMRIVSVVRPTRIPAVEKRRSTVKSIQGQELNINSEAHTAVYFNLYRDAW >PPA21178 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:343304:352280:1 gene:PPA21178 transcript:PPA21178 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNVCGGYVVVNISHHAVVSDESRNRFTINRYDFASPTSCPYCTRVFDEAYGLSRHIKAVHEANLQEPECKQEPSSSTMKKTEPFCCTRCCSSFKSMEAWAEHYHRNIMEEPPEDPPSANGHATVAAATGTAAAADSQAASNGKAVVKEEPLEDWPSTSALGNGATATAIVKEEPLDEPSCSFTTKGASICEPPLKRIRILDKSICEPIRLSQERERAFAQAQACCYCDKMRANAYVLGNHMMLDHEHTIMKLRRNHKMASEFLPFRCSACNVGFEDPRVLQNHFRLREEWEDMKQGAKVPETLPDDNDPSSDLWCPRDPAPRSTEYDDTQKTQCPECAEVWPRAQIKLHVKMEHPRYYYESAPFKCKKCKKHAFTCPWRYAEHYTKPCRSEMLPGPADVRELVCATRYDVVISKALAKPSTQIWGLPGIPENMGNEDAPYQCHKCGDVGFYKFSLFKQHNKCNGTIPPSLQNSIYAITMKDVESAGVKRKDIPSYRSLIDTERRKFGTFTAFNRPLKCPHCDMWCSSMLTLAEHYSDGHKQVSDPFLCGGCGQIFNDVTRLRKHLFNQNLLGTTQCFDMAIVHDVRMTAKKSAPLDPPAHVNTMVSARSNYIKTEYPVASNWRTGTTTGTYRFDNAYLRQAEAKRTNLLLPIRPLSQMTKSPLLGERFVCTPEILAAQARAKQINHMIVQQHKSQSLREDRALLSAAYTSRIQAPVRTTPIVSRTPLATPSLATRQNPGSGPGSIVIRRPVQSTAPARPIARIIVPTQPSARAKYSIPAPPRQLGRNTINKGSAGTGISSDPLCHSV >PPA21230 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:794504:796383:1 gene:PPA21230 transcript:PPA21230 gene_biotype:protein_coding transcript_biotype:protein_coding MQSESSADGNIEEENNDVEASTETCTVIDGTKDLDYATPFDDPCEQEWTTVKRSKHYQSATPFDDQSARVWAKLEGSIITLASYDYFDERVFQQLRDWKKTQRFADETPQEKEERRARHAAAMGRAQEKKAKARVKNAKAWGEELTRILNETTGENLKRTLSEHSGFSDVNQKRFEKRQKEEWSSADVNGGSVDDARMQHCSSIQFASERAEKEKEASEEGHWNEEGTRGGSEQASQSGEERRESVEDGSEGGSASVARYEKRNRRNRSLWFGGRSKV >PPA21194 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:469273:483316:1 gene:PPA21194 transcript:PPA21194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-clh-2 MPADESNEKGATADERAALNADGDSDDETTDDRGGMRFTRHGGIEFSEPEDDEHESDHSPDNERSDGFLKHCAKTGSRLSILSSVAGHAKNLLHFFVEDWFLSAALGIITAVLSIGTDIAIEYLLHLRIFLFEAAHEWNQHMGALVWVGYITISATLAALFCKAVAPQAVGSGIPEVKVIMHGFVLKNYLSAKTLLAKDCCFDIMDTVAMPTPPQVVSLILTLGGGYPVGKEGPFVHMGSIVANLLTQVTESCRYNAFFSNEGRKMEMLSSGCAVGIACTFSAPAGGVLYGIESTSKYFAVKNYWRSFFATTCAAIVFRYLLTFVVSPKMAGTITAYYQTNFPNEVFVVEEIPLFVGLGAICGLMGAMFVFVNRRFAMAKEHGIYKKMFGNRPILLTALTTALVGIITYPYGVGEFIAGKFTFRETLVDFVSNCTIFETDVNSSILCPDETIKHWTGHDGDLHPGLTMCLYIVVYFFLVAHCVCMYLPTGIFVPSFVMGAAGGRLMGEIVALYFPYGIRGAGGPMIYPGLYAVVGAAAYTGAVTHSLSIAVIVCETTGQLCALLPVLIALMVANAVSSFLQPSIYESIILMKNYPYLTDLPPSRMRYRGYDNIVGGRDTGTVGNSRKRNHSDEELPDGPAAQSNEDLVTFVPSLRGETGRVAMLCVHLLKVSKVMVTDLFYVYKKMTYSDLKKMLKASPHIKGYPVVTDKESMHLIGSVSRKSLIMLLAAKLGPDPSLNYKTKRTPSEFFQSTIHSIRRSTLPNVLASDIFVEHGSSGTDSLLNRDNGIARARQGSGVDYVHAIPESARRRLLETPISLDSAAIDAAPFQLVKSTTLYKVHNMFSLLALNHAYVTERGKLVGVVSLKELRETFANIYVWGAQPPKRKMARIIKNSDEEREKMEEQLRKLREAHS >PPA21234 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:813720:815049:-1 gene:PPA21234 transcript:PPA21234 gene_biotype:protein_coding transcript_biotype:protein_coding MNWHKVIANSNVDLRLSDKIAPSNYAIDLAINVRGHAGAPKSDFNGTVTINLDIIKPVNIIELHSNGLIIEKARLVESVIFSNTIGITRISHNLERETITIHVNRTIQPKEEFMLQTS >PPA21149 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:206122:207850:-1 gene:PPA21149 transcript:PPA21149 gene_biotype:protein_coding transcript_biotype:protein_coding MHKCEQCDKTYVTSSHLKQHMATHTGEFRFKCPNCSREFSTKSELNRHLASHQRGTARNFKAGPRNYHHGTVGESTDSEVDPDRFRCKLCGQSYSTVGNLRGHVKSAHGELKANREIAAHTNHDCQIKRRSSPRDKTEGIPLFLFGSIRECNAEIIGPYAIHDQE >PPA21219 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:657063:658118:1 gene:PPA21219 transcript:PPA21219 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpl-26 description:Large subunit ribosomal protein 26 [Source:UniProtKB/TrEMBL;Acc:A6YMG6] MLPKIRHPRNMKQNSFVSSDRRKAHKRHFTAPSHIKRKMMSAPLTKELRGKHGIRAIPIRMDDEVTVTRGHYKGNSGRVMRVYRKKFVVHIDKIIREKSNGSTVHIGIHPSNVAITKLKLDNDRKKIIERKSAGRAKVLGVLKGKHSDDSVQE >PPA21161 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:268154:268486:1 gene:PPA21161 transcript:PPA21161 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEVFNEWMELPAIHPSIQDNYVFVYIPMKLCKCSLDDWLKENQNPSSRNRTVIESWFKDIVSAVQYLHAEGLIHSDLKVTVQYMRLMKINRSAR >PPA21115 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:46770:47187:1 gene:PPA21115 transcript:PPA21115 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQEEHIYIKNELEKLKSHDFPIRDIVKISAKLMEFVRNSESSKIQTVGSFEETQSSDSFRDRLTAYPFAD >PPA21175 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:332640:336499:1 gene:PPA21175 transcript:PPA21175 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVARKFDMPDLTGLFSSVALLSVILYIGPALEYLPKCVLASMMLVSLKNSFLKIRELGQLWPTFKIDAFIYIASMLLTICYDMVQGLLFAVVLAVATTVLRSQWPKWHFLTEHESGTYRECREGYERGGFIVFRFDVPLLFTSVDRFTQAVNRCISIWSKKRAAEFVPLEEMQGNAERLDEKISRFRSKRWIRKPEKTRDDRVHLVVDCTRMPFVDYEGLRTLKKVYKDKSAEGVDIVLVVYQADLLKKFDATDFYQIVGRDRVFTSIEEAVEAGGIKNSPISHPPLIQLDSMRASYVESTSPPCSIEDDDCPDSSDSEASIEPPTPAPIGDLLPVSLSHRTSRPSNSSSLFDFESYLSSSATSPTPSTDSDEAIRRKTQFYIWYDTNCGSDKVCDA >PPA21168 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:301078:302852:1 gene:PPA21168 transcript:PPA21168 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLAKLCLLMHLLTGVDLVVGMCFKEQMPSISSQSRRAVVVPTQPVTNHDNCKEKCWALDTCFAYSYVDAKCALLGDVVKNAMCSVSSKNEVIGIKCEETTTTPTPTTPASPPCLSDVAWLKKYNLVLAPSACSAVPDNMSCSDVQCYCLDGQQLVVKAGGTIYTGSSMYCAPEKKWKVLGPTDEATTELFDASALTGACISIPPHPIPSCQCSMPLIRGGQRNGDDLCPGKGVLGWMNENAGGAPPSTSALVCREDGWKSAGKAVKPQKVLCKA >PPA21200 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:514983:526282:-1 gene:PPA21200 transcript:PPA21200 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDAQPQPLQPSSGGSSSSSSGEMSPLSTNAEPPGSPTSSTSSDSSSSSSSVPGPSALMQEAMQDVLDEHAASEELARQVAAAAPALTARHYHHAHAHGHSHAPHHHHAHSHSHSHSPPANPQHRPVLPAHLPPPANPNNLRPIQANRQRTVRVPIQQHAGQNSNQLGAVRDRLFQAMLVRMALWYTARVNRTWRKVIELVAITLAILSFLSLAMIHTMFMKVSTTCLKDIEPTWPRDGIVRVELVRNLAMLRKKEEQYQEYRQQHLDELARSPPPVCRFSRKEVLQKGPAALPAEIREFGYLARRVREIHDQQPAAARQPRTLYALIGTAIGYIWPDESGDEKGERDDEGFEPIETEEELAAMFDSEFNHFYEPDELPYYEYRVEYSLIYGLLRLPAKFLHTHNVSVMTKQIDADDSCLGTPTVRWLMTNVVGFEDPILHTLKALAVNESEIGYVHDLRSNDHYHFVQLATSRMSYVTAGVVMLLFTFAISLLLRFSHHQIFLFIVDLLHMFEHNQPLAFPAAPLLTVILALVGQL >PPA21239 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:836969:840044:-1 gene:PPA21239 transcript:PPA21239 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDTSKLFFGYGQVFLGIPFAKSPVGSRRFSLPQPLCRNNKDGTVHNATYYRPRCWQKSKNNDNMSEDCLYLNVMTPHILGKFPVMVYIHGGTFTTGGADEFHWKGAIRNLVSRGVVVVTIQYRVGFYGFFATCTEQIPANRGLYDQLIKECKIMALRWVNEEINNFGGDSSRITIFGQSAGAISAADLSLSPLARGLFHQLIQSSGSPMQQLETVDNPSGSIHKKRARQICGINSSDWGSVGKDRELLHCLSNVPPEELVAHDFVSDGMNWNVAIDGALLPDHPETLAKSRPHYPVFMGDMLEDFALFIPGVTVDITKISSHTNQEIQQFNAPHLNDDSAKTMSDIMTAAYSDGGIPSDDDHVGWARLVADMNTGLGFSAMISRDAQWHLNNGNGDVWLFTFTHRSLLAQNISIDGWIHALTVRSNWRRKADDVYNKQFPEKFGEFPPLPISDESWTRMCATGEKFVIWINID >PPA21128 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:107327:111132:1 gene:PPA21128 transcript:PPA21128 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLIGNMHTKEDMDEFIRLTILDIIFGVNCTQKEEAVSEIELEDFEPLPEDVECYKLENGAKRFFKITHPQQFYISETLKADLSIANGLLTFAGEMNNSLYFYTSKRIDEFDEENEEIKYVFYKIVCPAENEFSPILHEIREIVTLSRTEFALRQPYFLDVDHRVVQDFEGNTFGLPSGNIALTTATRDDFRFFVCGEIVYFINSGSYEIDIVNRGKFVTIVLEDDMELPESIYAKPNCPIYLMHGNVLMTIKDNLLHKLQYLDDLYTIAGMEGDKLFLNVSGLSCSMKVEAPTVEKEESNGFSSTFVNDYDVKSIRGHGGFGYVFEAANKHDQWIYAVKRIAVDKKDLERGRREAQSMVRLEHPGIVRYFHSWVEQPPEGWQKFNEGCVFIYIKMQLCGESLANWLENNQEQSTRVPARMRAWFRQIVAAVGYIHDCNIIHRDLKTSNILFMDEDYLKVCDLGIATERFRDSLAYSSKSDVFALGLILAELCMAMTDFEREEIFNNYRDGIQHDLLGDAETAEFISMLTAVRPNNRLSCREMLAHQYLSSN >PPA21134 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:146465:148820:-1 gene:PPA21134 transcript:PPA21134 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYTLILVFAFTVSEGRVSFEKSEVLDDVDLRGTTTSGNYQLKNKGLTNPSFVFYAVETGASNYGTPVAYVSATSQVTVSPSESSLDAFPAVYATGFDAVTDKTCRPVYNAINAYYMYNVGFPINSPIATINFKNSSRHAGIVAVIDGEFSIAKATDAATLVVNDESQELYGKDQKLCRTEEGWTISIGVKWASTETNAKDLFALQIDMIPADLLTAQSSTTRSVLATTTSSDRVLGGVGILLVIPVLLRIQ >PPA21110 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:12441:13363:-1 gene:PPA21110 transcript:PPA21110 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSKQHLNVHTNDNDPEIAALKRPHQCAECGKKFHTTTQLNVHRRTHSNSELVKSPYKCELCDQRFTTSRALKWHMDQHEEAAVVSSKKLAKKFSNHEKSTPLSPSKNVKEGLCDDSDDDSLDVSSASEDEVEHHDESGDEEV >PPA21217 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:648865:656180:-1 gene:PPA21217 transcript:PPA21217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cpf-1 MDNVSSGIDLEFDADVLPCSPEPCQYETIFLTAHKAPCRSAAFNNDGTLCATGSVDCSIKILDVERIIARENGGDISENGPDASNPIIRTLYDHVDEVTSIVFHPREPILVSGSRDMTVKMFDYSKMAVKRAMKTIQEVSTISAMSFHPGGEFLLVATEHPTVRLYNVETLQCFVGSMPSDQHTARVVDLNYSENARLYVTGSEDGDIKVWDGISNRCVETFNRAHDGAKICSARFTKNGKCRYILSSGLDSIVKLWELSTNRCLIAYTGAGSTGHQEHPIQASFNHNEDFVMFPDEQSGSLCSWDSRNTDRKRLLALGNRDYNGGGHTSATRIFVHSPTAPAFMTGSDDFRARFIERHKCSQIQR >PPA21153 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:221845:223817:-1 gene:PPA21153 transcript:PPA21153 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLFNAKRATKSTARNKPLSSMCGVMQLLRKKESGFNAKYVENDSGLKVHFENTCGLMRQKTIHEGDHSNAIYVARNSQELAFEKRKPFECDICGQRFTGNHALQQHKLLHLQKDPNDRDKKPFKCQKCGKEFRRADYLSGHLKTQHTDDITQKNPYACEECDKRFVSKFLLKEHKNDHLGEFKFQCPQCPRGFNSKDRFNGHLDSHKRGTIRKAYTGKYSCSMCPRKFEREFELTAHGKRHEGGVIWDVYG >PPA21206 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:583042:594379:-1 gene:PPA21206 transcript:PPA21206 gene_biotype:protein_coding transcript_biotype:protein_coding MKKESPIAFSKLDEKIVDHGTVQVLDMERKKEGEFRVKLIIPIASHSGTVRKMQLTVHFGEVQEIHFLNERLELRCKIDTEGGPINCLKVDENGNDFLWVGFESGELRMLFMPVSEQNGIPHNKDGDDQSTNEIPRRNNVACKKSEKEMECQEKGCFYKTRSVLALAFHLRAIHRTTLALSGLALLCECGNESISWQHSKQMTPKCILCEAYPMTILGYTEHLYIWHRKQLEEVCKSRFYKLLKLDEESEKKDETEMDQLKKKLITAHGNSISIHKGANAKKIREKQIFSKSKIKFLMSIGEENDGKAFFLALSSKRIALFQMKKESPIAIFKLEEKIVDHGTVQVLDMERKKEGEFRVKLIIPIAFHSGTVRKVRLIVHFCDSQITKQKMKRFCVYLGNGNFFGFYEDSVVKSDGLVTRAEEVDENDTDFLWVGFESGELRMLFMPDSEHNGDRAEDKLKSPERSDESRALDNEDAKIKSSSWSVKSRSRKLSETYMECQEKGCGCNTPNLTVVRKHDFGPVRTVADKMIPQCTQCKAYPRTVSGYADHLYRIHSKNLDECKSRFYSLLKLGEEEKKKDETEMDQMSESTPPHSLLFQTNLIQLKKKLVTAFFLALASKRIALFQLMKESPIAIFKLEEKIVDHGTVQVLDMGRKEEGEFRVKLIIPIAFHSGTVRKMQLTVHFGEAQVTKQKMKCLDEIRMENEKKKFCVDLGNDSFFGFYEDTVVTSDGLVTRAEEEIHFLNERLELRCNIDTEGGPINCLKMDENDMEFLWVGFESGELRRISYGNSLSVQNDCPTLQNDGFHEEPHVKKSKSDLKEKRKEALAAGRKNKKRSVAEIECLEKGCYYKAPSGGAFVKHLRDRHRATLSLCHITNFTLIRKNDFGPIRTFADKMTPQCILCQAFPKTVCGYADHIYREHGKSLNEIGYFLQCGVCGGDFYTRACSTVHSSKCKSRFYKLLKLGEEVEKNDETEMDQLKNKLVTAHGANAKMIWEKQIFSKSKIKFLMLIGEENDGKAFFLALSSKRIALFQMKKESPIAIFKLDEKIVDHGTVQVLDMERKKEGEFRVVRYF >PPA21190 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:440442:446686:1 gene:PPA21190 transcript:PPA21190 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAITVAVVYILLCAVGLVLNILTIRAIVVHGMLKKAYSNMYILYLQPLITDILFQLLYMGYVAPAIIVQDNHPLGRVVSYILDSGQSYCFFNNSLSQISLATRRNGPPQNGFLQSPKNSVNLDWTASTGCSYHSHGELRIPVLLASPRVLDLQLSHRHYSWDLQLLIILDSTAHQDCVLGHTIRYLLHSSSFASQFAILAVIYTLSWVLFTLLPVSAVSSSNWAFGLPAVISLINSSANAIVFIINDRKRLCFVCKPTTSTQGGSSVQNSNKNSLKNKTTESIKESRNNVMEQEEEDTPSAPRLRRGSARKSYANMDLDDDKKKDKTVFCSINRKRSVSRKPEIQEEPKRVRLDTDDPLIVNVEKDKDRMEEEDVCDDEDRESQEDVPTTTMTKSLEETDAAEEEKNYRAWVAALKDETLTEYAQRQFDEAQLKLRCALSKVQKTKDIVTLRQNDEKMVSDVLAMCGDHLKLTEAMMEEMRPLETFDECDDEDENPVSLAAKRVQEGKSAHESAEKKVKYARGFLLVAKTNEEWSREHVERANTNVEVWRAKLEEYMNLDQVMDAERKIIDEEIDRVKNVIEVEMEKDRKKREDEEKERKRKEDEEMKNMEDLEIEMKKKEEEVEQIRKMIETKKMEKMDEEEKKKLEEEKERKEEEMKKKNEEEMKKRIEEEVRRRVEEELKVRVEEEIKKRMEEEKNMRKEEEEKVRKEEEEKMSKEEEEKMRNEEEERNRKDEEENKKKEEEERNRNAQEFQRIFREGEMKKKSDEDKLLKEEEEKKAQEEKERIAQEFKRIMEAGNEQEKKRNEDEKRREEENKMEREERERIEEQFNKFKEKQIKKRRDEKKKARMEETINSVAHNKENQPPPPKKQRRSNRKIAVESANSPAQEPQDDEITIEYEDVATILDDVITLD >PPA21255 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:893567:896657:-1 gene:PPA21255 transcript:PPA21255 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVHSPSRFWRFLPCFYLVLASYALFAILDPRIISYILHEEIVEFIRTSLVCDIWYTSSMSMLMLENFYTLFLALDLIAQRFSRRKLFKIGFGTVVALFMFMMKMSVAMQLGGFFIRFLPCFYLVLAAYTLFALFDYRLLAYILHQDVVTTVLVTVHFWLTEFFVNFNAYMELFGFVIVIISLGINFSNHPINEYPAFEIRDIFYGLCQMVFAASFFVVGRKNEDHPEEEEEEEMKLTFENPSVEYVYV >PPA21125 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:92392:92837:1 gene:PPA21125 transcript:PPA21125 gene_biotype:protein_coding transcript_biotype:protein_coding MTYRWSSSVNADTSRNKTIILDGNSFDSVSQAITVAERLEDDSISTHSQYFLNRELA >PPA21226 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:752821:756981:1 gene:PPA21226 transcript:PPA21226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nhr-38 MTAAYFTFPPLAATFSSPALCSNFSRIILPVQTNFYQQSQQQQQQPLQLPPTPSYTPPPAPYYMSESPSSFFLQSQLCKACGERADGVHFGAVVCAACGAFFRRSVVDQRVYSCTGCASGMAPSTSRGWTRERDERATARMPGICRYCRFQRCLAVGMKPEEVQAKRSCGSGRLRPLKFAVAGSSMEDLLLRRREMTRLRPAPPAETVSFTVDDIRKTFESEYNLFYSLIMQSPIMAAQRLLADPITRRDSSTGKKRSSFA >PPA21202 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:528563:533853:1 gene:PPA21202 transcript:PPA21202 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVASPVDLIEEWRREASIFSSTDRLGNLISRSLEVLKGLARKELSFSDLEYSLESLDMERTLALKHDKAKDTDQVRSLMYGVIESIGLSVHAMISNDRIMKSEASDSFKSAYSLVSRTEASVEDPLTSQMDTSLEEMNASSALSDVSTSHGTPSTSHQQAHAEKDKRRSGSAILEDKQGPAKRPRMDDHGVIEHHPQQYHFASASPHFPAGDQHRPSMAPSSSSFTHPIKDEEESLFGEGVIIKEENVSPVDFVERPVYEPSQYTQWCHLYANTGPSTSSGSHPTDYFSNCLGPTAHMYESMYQPGSCHAGLNKNEIHQPSPAKSTASDDLNSKPFACEECGKTFASLRWKQNHIRNVHSADKTKFECTICGMRFTDRSNQRRHIRRQHELRCTVCDEKFDNKHNFWVRA >PPA21183 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:371616:372266:-1 gene:PPA21183 transcript:PPA21183 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRAFVECHEVAKERTFDIGTALYMAPEQAHFSERPHAQVFNSYRAGKPSSVLEHLSGEKGFVAWLTNIDPAERPTCAEILQHPFMLN >PPA21214 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:628398:633340:-1 gene:PPA21214 transcript:PPA21214 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGRWEAALALLCLAASAALYGYGFLLADLQKGGGGGGGGGGGGRSESGGGEKRNDCPLPPSALPRRSVLMVIDAWKWDFLGESEAMEYVRSSIDTGAASVLQARVQTPTVTMPRIKALTSGSVPSFASVLLNFHSSATVEESWPRAVREKGRSLVFYGDDTWLHLFPDVFEERSEGVVSFYVTDYTQVDDNVTRHIDDELRMRTVIKDQREIKDQGGKAADVLILHYLGLDHIGHSLGGESAQILVKLREMDGIIRSGDSFLFTILGDHGMTKGAQKSLQAGNHGGSSSDETRVPVVVYRSEEKEKGDGGRTNLSVQKATIVLCFNETLLLISKKER >PPA21137 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:158373:159388:1 gene:PPA21137 transcript:PPA21137 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHYGSIHRAVQSSSLFDEPYPEKFIDYFSSRFPKFLLHLYEAMKICANEHRLKKFYPDKVRVQLQLESLNLIVLILASIERALKVMLAVKIASDLMMI >PPA21121 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:78532:84560:1 gene:PPA21121 transcript:PPA21121 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNDDFNSPSIPPDDTDVFLLENNQVDTPGRGRDVEVYLNGASQVDSSAHIYAVPSSPFIYVCNGNLSNNSMKLYAFDMRTVQSLTPLEINCEMDPILGIMSGVISARGILNRSYDDNRYCLATAQLPPEYYAHNSAAISSERHSAPNRQNPSSAVPLEVPVEEVEDYRQQIEFAEMMSNRVQQPPPQGTIFYLQHLESFSRLYVEIDGDEVDTNLYGASQIGAHGNAIYWCERDKRIIRAVLSDHGEISVEFVRDLLENSDVVAPKEISMEEMEEVRQLMAYAELMREKEKREKRPHEPRSRGPASIKAVRANNSAGGGRGNVYRDGFTVRTLYEETPDPMHQSTRRETTQPSTTTSGDSGIDSLNSLPDAFGGLRMGNASDLSDYSSKNVERALGEVRAMAQLDHPGIVRFHGAWIEQPPEGWQRAADERMLDRRDPFTTPPKLDYKENCVFIYIQMQMCKRSLAEWIANNNYESSRSVSTIKRWFKQLVTAVGYIHKKNLIHRDLKPSNILFADNDRLKLCDLGIATVRRKDGGIQTELTRTDIGTALYMSPEQIAFTSKYGSKTDVFSLGLILAELCIVMTSDDRAKIFDNYRHGKQSELVKDRKTVMYIFHADFIEKITKVDPKFRLTCQEMLDHPYFS >PPA21192 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:448548:450201:-1 gene:PPA21192 transcript:PPA21192 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYMIMRHEPKFYNDFIMNTARDYRSVLLHYFLLGRTATESHRKLVQTHGENAPSLHTCFNWFTRFKRGDYNLEHQPHPGRPSSRVRGRVLRELKANPKSSVRDIEKTIHIPKTTVARILHDAGKTPKLPPNHIVAMDEKWITYDNPERKLQWVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVKVPPKLLSEGKILMLMDNARPHHAKITQKKMDELEMEWLPHPPYSPDLSPCDYHCFRSLSNFCRGKKFKNRDALVKEFEAWINSKPQAFWKRGIETLPDRWRQVVTTKGAYIDY >PPA21233 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:808025:810017:-1 gene:PPA21233 transcript:PPA21233 gene_biotype:protein_coding transcript_biotype:protein_coding MHACIRISPSPSIASSASLFHYSCRNECLFACIQAKKVFTPHVIGAFFFVPWAIALCHITPYFWVNDCFVFYEPTTWAWTFTDGPCVLYISTYFDFYSSMTVFVLMTFFDLLTGFKLRMMNNKNALLTGTREMHAKRKMETRFFIQAICQSATFGMEILSFYVVCPLVATTQWEMFMTTTFMWVACHASDGVIMCLFHSGRLCGNSKTSTAATSITVESKSRH >PPA21212 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:616681:625758:-1 gene:PPA21212 transcript:PPA21212 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDASQSIIGLMRDVRRLGENIENGEEKDSLISCAHSLSSLLGADCGLRQGTHWRDTVERCYVQARQVQSLLISAASRFDGVTMMASIGVTIGIALFLLHSRLSIHSSTLLVLPIHFLSFFSSSTVEEEHDVWYFLLSTSLLIEVFRGMSKEGKGRNVFAPLSVLLLHRFAISFTMGKRRRWNIGEDLLPPPIMADLFDAKEVSSDFIPPSLLPLLAPLLLIVIPDGYGRSLRAAVLAPLITTACIVWATFLGGIVLLVVERMTERRWLPSSLPSPLFLSLPILLSIARPHLQLILPVSFMMGKQLGKCRDSIGLTATALSAAFFYTGGGNSLATVDIAVGYAGLSEYQEWIVGAQIVLNAYAPCLCVLAGFKKKR >PPA21209 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:605095:605885:1 gene:PPA21209 transcript:PPA21209 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVKKLCFIVKAWYARHGSLKVNEVVGWNATKLPWFGGSVDMPAGTGVISLLNERQAIAYLSTRYGGNTIRFISTDLDHLLDHCLKAMPYGELRVPDAMILDGDKDYEQFYTFTIYNYSGENLAFKISLRGAKNEHKVKTTPDKGVIRGAGEIIVTVVADDYENEESVSSFLVLSRCNEGV >PPA21156 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:237797:238991:1 gene:PPA21156 transcript:PPA21156 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSNAQNTEERYLGPPGFKNEFFTEFTIERVCGVGGFGRVFKVINKYDKTAYAVKRIAVLPGYLGKALDEVCKMAALDHPGIVRYNHTWVERPPLGYQPANILFDESYVIKLCDLGISTERSIVEDGEEITVTRAGGGTTLYMSPEQV >PPA21187 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:421268:422619:1 gene:PPA21187 transcript:PPA21187 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLKRVLNDVLPDKSERKLELAGDTHFRHHIAVFSPDGHPTESQIKNQFLKMKRSTPVKIVNSGNITVTERIVIVEKSTLTEFLKNEQEAWARNNKKSWKTDFKFIVKNKGSMHIVSSIYLVLDDVETFLKSGLFGLLADGIKNCKNQSRSTLFYGSDPHMGITRRHQEKLCH >PPA21132 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:115886:118055:-1 gene:PPA21132 transcript:PPA21132 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWFTHFELPASFSHKLFGNEDIDAFSFALAIPHQTESTERMTRPGKDISQQRHSRRRTGSAEFEEEELGFSNKSMDSDDSGCDHKSTRRGKGKSSQFVDSDSGSGSEDERAFGKEDSSSDSSSSNSSRSSSPSSRSASRSRCRSAGPNKEDLVAKRPIAPVIDVVETAKVYYVASTKTNKGLKLRMGTEERVYRQEYVSNKEFSNQQFQEWMSTMRRHNRSIPTMGEIHKKMKDIASAVEHNYTKDEVNQLAESLRAEMVREMNWASEPECFIVICATPATIE >PPA21223 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:718662:720161:1 gene:PPA21223 transcript:PPA21223 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRLSEFATLRSVSSSENFTISSVKKEDVQETCWSVEVYDGRKEKDDEGEELKEGKEGGGNENMKKPLNARASGDKRQETSTRVDAHEVKEEEEEEVENLIDQPNGSADGEVPQETKPRKDGHEVKEEDDERNANLTKRPRAKSDGVKHETPSRFSTHAGSDVEERDEVMEEMEENGDAISEQLEDDDAYLGFFSSEKRAFDP >PPA21118 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:53537:54865:1 gene:PPA21118 transcript:PPA21118 gene_biotype:protein_coding transcript_biotype:protein_coding METNFNSYEEVGSGLRSLESFHSTESDATFTSHFQNNFKPVNVLGQGGFGCVFEAEKHVMGKLTLWRGAVKRIPMKNGDNEVGKALKEVEAMLKFDHEGIVKFYDAWAEQPPEGWQRSADNDMLEHLNSQETILRTGHADYM >PPA21154 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:224073:225132:-1 gene:PPA21154 transcript:PPA21154 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKRASMDRIKEYRRKAYLLSSSDQLGGVMQNALDLLHDMISTNADPEEIAFARSSLKNERKMGDECDHERTAPLRYLVCELTMSLERTMNWIVNKENGRKILSRDSEEVDELDLCHTSDTEPDDSAVSSELRSLRRRVFGV >PPA21247 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:874052:874564:1 gene:PPA21247 transcript:PPA21247 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGLTFDSNMIRDVQWHRNAGNEAVWLFTLSHRTVGHCSDLPYLWFYPDIWETYNASNADFAVTDYMGGLWTDFAKNG >PPA21181 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:361331:362162:1 gene:PPA21181 transcript:PPA21181 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSAGFLKSKGISKVYPNAINNVLKEVEAMEKLDHPGIVSFHNAWTEQPPSGWQRESDSILLSNLGYGGCLCQSTLSTWIADNNVRNMSKIKLWFRQIVSAVGYIHSRGKIHRDLKPCNILITNENVLKICDLGLITDCQIESGLDKNPH >PPA21203 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:535398:540313:1 gene:PPA21203 transcript:PPA21203 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDKNLVLYEILAVVEIVNIAASVLTNLLLIFLIFTRSSREIGAYRFFLFAFALNDIYFPVVHFLTLPVICSYKDAFLMFSHGILTSTLSICIFACAFSQTMPLLAHLFANCYFNYGSDTDMFEYVQPFLDEEFRGEKAEMIGALYYSTAGEFRMKAFLATMGFNGIMAISMFVIVFSSLSIVAHFRLNVLLCFRAIYYNYSMILHSCARDGRSALTPALFHPQFGESSSVSLGNPTSIAHLDHQEEDPGRIPIGSSDLRSRKASHKKAASSAHVEWSGATKKLQQQLFHTLVVQMIIPMVFVYFPCAAIINLPLMGFRINVFPNLVSAAVTIFPLIDAFVILFGVTSYRNAILVLLKCKSIPVVRIGSTMNAHTKETRSTLKNLGLRINSTMTAQTKETRSTFVNFGLLDSWKI >PPA21107 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:383:1650:-1 gene:PPA21107 transcript:PPA21107 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCVCCKESSPLLTDFHQFTNKPHLRGLWLDALSKDDTEKAALDVHLRTAKGKQYVHNKHFASDSYVETATSRFFKPDAVPVSKASATRALPSYRTPTVPSPPPTPGAPLQSTPTGRPATSRLSLPSFHFPTAPIPPCCRCCCKRETESEIKKDPNWKPPAPTISKLPSSDYFIVSRDSLVELLSRCNNCPAGQNSLKFTEEAHALSCNCLCTNCGKEYNWSNSPVLHTANASPMEKLKQVNLDMVVASSVTAVGTSRLNYFLKTVGLMPSLRHHSIV >PPA21150 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:207907:211453:-1 gene:PPA21150 transcript:PPA21150 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLGFQLEVKPQTTHGCSSTHGSAERYATEVQTQEITFAKSSLEKEIGSMKETVGSVPLRNGVNYLTKTFEDTMNFIIDRENNRIKDPDVKIVNDDIHDKEDQNTGKFKCTKTLCRSGSNAMNVTKATARMENSKFISGAMRIAKKAESLTDAMNAENDFLGEISSSNIRLRTYSETQESVCNQETSTRIAHSNIAAEDDPRRAKKFECDLCDTIEARKPFQCGQCGHRFTTKSRMQEHELFAHLPEDEKPKEECPTCGKKIKNLRTHQKLVHVDEISKRPFACDECEMRFATKTLLNCHRNRMMTLARKSTFVKFAESPWPIVTERAQADSFWYIH >PPA21246 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:870272:873781:1 gene:PPA21246 transcript:PPA21246 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGIPFAKVPLGEKRFTLPEDICQYNDNGEVHNATYPRCWQTYDAAAPADEMAEDLATTVQVNRNYAVMVYIHGGTLTTGGAHIYHWKVTGKYPVMVYIHGGTFTTGGADIYHWKVVCYQDKQRKKKIKTQGAVRNLVSRGVVVVTIQYRLGMIGFFTTYTENFPPNRGLYDQIVALRWVNEEIANFGGDPSRITIFGQSLFHQLIQTSGSSIQEIETIESPGGSIHQDRAKQICDVDSSDWGSPDKDQALRNCLTDGNSWNAAIDGAFLPDYPENLGKSRPKYPVLIGDMLEEIALSIPDDISNVTEQTAFDKMKMMYSFYDEASFENTSY >PPA21120 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:70971:77257:1 gene:PPA21120 transcript:PPA21120 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTKHDPEFVPPGDDDWPSQVEQRIFVKCQSKDEYMSSITTVINAMKYTSKSSDAGPSTSGTFQGSSFLTPLREYGPDEGNEFQKREKRFRITPKRRSNAGIGPRSARWSPHSPVPMEYASQTPSANTTHMPRPGSLSLQAPWAGPVEDSGGRPETSPWLSDQSTPYNILDAATGMTPRRDSLHDYFLPPQGPIRKAAMQQQALLVGNSSQSLASRWPGGDHPTAANPSLEGEATSHSALPDDEVKKQLMQRHLQDYYLQDYSGTPGTSTSGSHPIHGGGQMGYDLPPSYMPPQQQQQSPMQFNYMDRSSVYESMKMPSDDESVPKAKRDPEGKWIEEDFESETDGSSGAARKSAEESSESETEIETIRQQIIDITKDKATSRNRNRSRQRKLYALPSATKSTFVSKFEEDFAAKRILGVGKVGCVFSAESILDCSEYAVKRITVYSSEVVFEKVLREVRAISQLDHPAIVRCHGTWMEKPPRGWQRPWECTSKTDIFALGLIFAECCVVMTDEEREISRDNFEREIKEFNKYRNRVWSNLLSAIPEENNVSSARSRTKKEHKKEHQKDMKAWAGQLEKWNNELKNDVNFLEQEVSYYKELMIEYGDIMKNMM >PPA21196 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:495528:497591:-1 gene:PPA21196 transcript:PPA21196 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPRLPWVEEMPEEGTYENHRASLRCIRRGADLSHSGHIGIRLTDPDLIDSAQQFISIPMRMVDHSDDYNRISHFSDLEIVLIRFEQHGRTHSKKLVNTILEKIAAVLCPEFPRFLTFNKLSTVEAVRERIGQIRRYLAHSKKLSISTQPIPHH >PPA21186 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:416418:419161:-1 gene:PPA21186 transcript:PPA21186 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDRKQIVVFGSIVHDLISYTTRFPRPGESIRGKSFQQGAGGKGANQAVAAALLGARVSMIGKVGDDIFADFNLTSLKKAGVETAAVQRVAGSTTGTATITVSEEGGGENSIVVTLGANMEMSPETADGAEAVIAPGGILLCQAEIPDAATKRALEIGRKHGVTTFLNPAPGDPAMDQSMLAFVDIVCVNENEAEFITGIASPNPAEAEKAARAMLERGPRVAIITLGPQGCLLAEKDEGVITVSLLPGEKVAAVDTTGAGDCFCGSFVALLAAGIPLKEAAARSVKIASISVTKIGTQSSYSSRAELIAVGRGELVEGI >PPA21144 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:179855:180981:1 gene:PPA21144 transcript:PPA21144 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFNPAEFKPTPEIIERFNAGRALIKAKPTMMDSVLAKLSPAAHVPAKKFLDLVLSDEEDLNKFRSAFLEIREETPEEVRNELRSHRSELIFKLGFAYVKTSVARVFGMSSVA >PPA21204 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:542589:547851:1 gene:PPA21204 transcript:PPA21204 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRRRGQPRCPQGDDARGFGYQTSEDCLYLNVFTPNISDGRKRAVMFYVPGGAYQLGGADIYDYKGAVRNLVSRDVVVVVTQYRLSTIGFFTTFSPEFPANRAIFDVLMALRWTQNEIANFGGDPDRVTLFGHSAGAVITDALSYSPLAKGLFQQVLIQSGPIIDTFKCDIRRSGSNSSIPTGYGRNATADEDRVVKLCNITDMRVPVRNATLRRLQHCFNRLTGEAMVAVDNGASLYGVTLDGVIFPLGPTANLARLAPNYAIMQGDTPDEYAFSIDEVAAGDISTVNETTLDYYLKKNVPYLSKDQLATYKRAVLSFYDPNRTLAVDDHLGWTKMVSRVISDHNFFGPARKELQWYKTNGNSRNVFLYNYDYFNSFCRNNFEVEGWMPSIHCSELCFLWFYPYEWIKAQEEGKVRPEDLRVADNLGVAWTNFAKYGNPGWSPMRDRFEYVTISDTVSDVQLDWGEAANKLYNEILPSLLKMDLPPFHIDPAVQNQIERQAPSILKTWYNATCPTWKPLYDGELTVYPLKSQETMNAKYGRYRITPRQASGNNLGFLKCFIITLAASLQM >PPA21201 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:526464:526676:-1 gene:PPA21201 transcript:PPA21201 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGTLAPHVCGFNPFTRKCMDPQGVCPGKCMNFRYTRNTLYDCRCLVI >PPA21257 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:901477:903220:-1 gene:PPA21257 transcript:PPA21257 gene_biotype:protein_coding transcript_biotype:protein_coding RFIPCMYLNAATFGILAAFDYHTVAYILGFDIAQAGCVLDATGQELLEPELGPNWVPTLPTQYLISELFTQRFFWRVWFKLGYCALVGVFLLFVDICIFFEVTGFIITLISLTVLNLGDEQRCFRFVLLIATVIEMPECFIEVAFDRWHPIHAPAFMYFRMCAYGLFQLIFVAAVFQVGRKIKDQSKEDVMETAFSNPIAEDVYL >PPA21211 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:612264:613752:1 gene:PPA21211 transcript:PPA21211 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFPDAHSALIPDSSIVTSAHLNTKSKPVRKRKASTTNRSQFLRYFVTCDDPTKLRCSLCPDDSPTEIRYVYSGNKKVSTSLRDHLSLHPAKFAEVIKNESRYVQAKRHLIRAIATGALSFSLSNNVELRSFASCLDPYFTLPDAQTLSTTILDDEYGSAYNSVRSCVTQQDVCISFDHWSSKDSAHSLMGACAHFIDEKWQRQLFIISLDPITGAHNGDQVKDYLSDLQSEYNFRSIPCCITDNARVMSAGVSRAALPRLGCALHATHLLVCDSLAAFTEGKDVIDTIRRVAVHIHRSKQSWDLLKEKLNEVGCPDKRKMPLEIKIRWGSMMRMLRVASAQVEHLSDVCEQVKVAPLRFEQRLILARLVAFLVEVDDFCAKVNGNTRSNSV >PPA21139 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:164331:164802:1 gene:PPA21139 transcript:PPA21139 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNALSFTGVASTPSRCQILFPIRSANVESDGNNRYECKHTHRANGLSD >PPA21249 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:877702:879699:-1 gene:PPA21249 transcript:PPA21249 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKGTTATPQLKQCAENAKCCYYNKHSVKGKFYHCESKCPDFKGNVFISKSNSEGSRTWWNKVPPDALHHTFKKTRKIPVGGPNHKGGYTVIPKLKECAKEADCCVRDWAWTAGFTYDCSSACPKFNGTAEKHGDALSTYCLKAEGQCKFICDELDQECEP >PPA21172 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:318377:318954:1 gene:PPA21172 transcript:PPA21172 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTETGEKTERIALAAITGVNPVYGLYTAIFPSFFYILFGTSKHNSLESYMLGIVFRRLRSPISPHSEGNRKDGSGVVGGQCNGADRDDRGNCSD >PPA21244 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:862573:863764:1 gene:PPA21244 transcript:PPA21244 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLQFALLLPAIACELFTVKTSRGSVQLPEDICQYNNQGAVHDATYYRPRCWQPYDSLQPAYTMAEDCMYLNVITPNVTGKYPVMVYIHGGTFTTGGADIYHWKGAIRNLVSHGVVVVTFQYRLGMIGFFTTFTERFPPNRGMYDQILALRWVNEEIVNFGGDSSK >PPA21113 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:26675:29545:-1 gene:PPA21113 transcript:PPA21113 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGALRAIKELTRVTDSNASVDKKLREDPQTESIEAEIDWWHTQKSVKKEWWKRMKTSPVLGQLYQPFFNHLFYCHAKFPDKEDRPKALEYHVQGKHSWKKILRESEQVRRDLEEGRLMRRIGMPTDLVFDELCIWEANRNGQMVNEDDISDEEDGEEEVFEEGEDDDEEYNDTDPDQAAQKRAFKCDECGKALRTAKNLKLHMARHTGNFPYKCEDCPRGLTQKNKYSAHVKTHKKGSDRVTKKASKSYKNDPDCSSSSEELEEASNSESDE >PPA21127 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:105690:106536:1 gene:PPA21127 transcript:PPA21127 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDLEMLKRFQRSAMPLCSYTLSDWLNLRHTDPQIRPWFRQITSAVEYIHEKGFIHRDLKPSNILFSTPDTVKICDLGLATERKLEKNSLHC >PPA21116 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:47797:51716:1 gene:PPA21116 transcript:PPA21116 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVGDTRRVAQADGRGLSKLEMEESGDANDPKEADQKRPYKCDICGKGFTTPYWLKKHMLFHKFDDNPEAAKQYPCISCDKKFSILSNLTRHMRGHASTDEAKKPYACDICEKRYADSSYLAEHKRTHDDNVPEQAALKRPFNCDLCGNSFRSASTLKHHCFLKHTDDSDPAIAASKRPHECEECGKKFSRAFLLNAHRRTHSTSDFVRKPHKCDRCDQRYACSRSLQKHMQQHQ >PPA21228 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:780404:783188:1 gene:PPA21228 transcript:PPA21228 gene_biotype:protein_coding transcript_biotype:protein_coding MWALPHKKPNDHKTAFDLLGRWKAGERGFATDEQMWKAQKLKQSTFHPDTGEKIFPAFRMAGYVPFGWITVTGLLLRSPSTATMIFWQWANQSHNALVNYANRNASQVQKLALALSNAILLI >PPA21222 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:685984:699157:1 gene:PPA21222 transcript:PPA21222 gene_biotype:protein_coding transcript_biotype:protein_coding MIERCGVIDASNSLQQSRIRTLTIRPETAAKPNASVDELRDAIGSISLTRSSTFDKDPWSAMGGCSSMGRGGGGGVGGASPSFSQSLGPGAFSPLRAATTGGYEHRAQFSEADFSRSNVPLSFSASLSFGGSMARARPRGSISQQGGGGGGMDSTGQMITPSLTGDGRLQRHTESGSVFGSTQQQQQDCWGSQQMLAAEGGGERGFSGSTMNLMQATIAEQRVPIAAALNEYLHVWMKGTGVEDRTTRVFGTVLVSFAASSLPLLTDSATDIEPLQLSLLEQRDEPGGAVATPIKQIVPNAKIVSVLPAKEGDAAAAAAAAADPLHLQHDFTFDRAALAAWLQQQREERPQLAFFNLEHRTGIFRTHYRNPIEPSRDLPTGRSHVDIRVDYRLNEACALLRGGASLTSIHFETKIGAPAAVANAVTEPAAEWDALTATLNWRLGELSLAGEAASGASAASASGAAASSGSLKARVYLTEGSGAPPPAPTSVQFTGEFVIAVVLAS >PPA21142 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:171966:172766:1 gene:PPA21142 transcript:PPA21142 gene_biotype:protein_coding transcript_biotype:protein_coding MEICIFVALLALFTGGTNGYVYTCEEVKYKMINSDLEVSTDFACLVAAGNWSTEDSYRVDPLSSVYVSLGNATTSFMDIYNSTCIERPEKYSPWKIVADPAFDLNCTSAQFALIFTSSSTNINHDWINIDFRLKPAVVAELDEQVFAVPFWGQK >PPA21122 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:86101:88083:1 gene:PPA21122 transcript:PPA21122 gene_biotype:protein_coding transcript_biotype:protein_coding MMITYAKLTSMDEKSTQFSIVLGMMLVMWNDPRLIWNPQQFNNITHLYTTLQQIWFPNFHPCESLTARFPFDRQSCLLCFALNGYDPGDVSFKAWNDPPALSADMSEWRVQINNETSSFDYCAHDLCMTLHYSIILSRNPEFWVGMIFMLGFLILIGLFFSGKENLVNNAINFGLTTMMSMMVVVGILNDSLSKIESIPSMGLFVLIQIAVTSVAVLAALVSDKIHTALWRSARRKNRDASRWWRPTLRRRSLCAPNDHHSTASSIRKGEYVCVNHYYTASDKI >PPA21184 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:405234:406198:1 gene:PPA21184 transcript:PPA21184 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRNILLYDEDVLKVCDLGIVAERAMMSTESAQEIESERTSEQGTPMYMAPEQGWGNYTSKVDIFALGLILTEMYVPMTEEEAEKLFGGYRSGNTAYINTQSRSVVPREIQAD >PPA21231 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:797242:803077:1 gene:PPA21231 transcript:PPA21231 gene_biotype:protein_coding transcript_biotype:protein_coding MKERDVSECEPREEERQKEEPVLERYNCAIDSESDQGWGNEGEQRKHSRHEEGNWNSNGRRDRNVSDRQIHESYASQHSRSERGERDSRHNWSAFESNGRRDRPDGERQRHESYASQNSRSERGGRDSHNNWDNYESNGRRDGHEDDRQMYESSASQHERSQRGGRNSHDNWANYESNGRRDRNEDDRQKHGSRSSVHERTEINDRDTRVGSRQEEKKVKKEVVYERFGESIGSSQGWGPGGGWPDYWNSGSGDRGSRDNWGNRQHRDEEGSRNWRLSRDENNHSREEERVSQKYTEDWDRDGYGDESERTNHGSRYEYDDWHNSREQHDHYYQGDNQDRARSFQSSQNRSETDNSHSSRNAPKPDAFGNLLDEYPDAEQLELHNHRRSPHSRNGDERDRQQQFSFDSNGVSPTARRGSLKSTRKISMRRPGCRKPLEVLEDQTSWIPCSFDQRNSRDHREDDFPGGSRDVNESTRMSQSSYGHRDERHHRDNDNSRRQFGGCSRDRVEDRGANGSYAGSNVDPRERASNNNLREWNDDAYNRRDYDRRSDASQYSERGHRDSRGSRDEYNTRNTDRHSQGSRSYAGSNVDPRDHRDYRDSRDWNDTRDSTRHSQHSRTSHREDRDQRDSRDHHRGSEYTREHAGRSAASRSYEGSNYDNRDSRGGLDTRTVHASREAINDRAQERPRQTYLNAVNADLARRESPRASGSSRNSRADPLEQYNRRNESDEKEEMERREKERETASGVRGTSASGARMRTKNDEPLCGYLAKEYGVEKPALRFPSLLPSESTTWVGGRTEFMAHVYYPVTDTAEAVEDEVIERWYKEGLIHPSTLFSMKYGDWKAFHSQDAWLGEKEWVETPFHGQLDRRRRENEIDMDEFRFGEWTDEVLHIMQQHDNSRHVDPHTVNERCDGPTKLVNHINMYAEYVLTD >PPA21169 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:304106:307532:1 gene:PPA21169 transcript:PPA21169 gene_biotype:protein_coding transcript_biotype:protein_coding MYADPQLNLFLEILRFTSFSLCLTFNAILFWLISKGHNKELGTYRFMLLAFVIADIFYGLVHFLTVPVPEVYKNSFVLGMHGWWSSKMAQTVWPIVYSLSFPLLSYNFIYRLIAVIYPFSIDIFKKPLVIFLMILLAFANAAIWAGVTRSWWFADKNSIKYTKDFFIDEHYPTYLTHDTKDVENYNKGFLEGFNPQGFVGSAILGAMMLGAYTDPRLSDRSARLNMQLFRALVLQAIIPMFTAYAPIAFCCFLPRTLVDLLCCRRGRRTSRIFALEYEMPEQVGNARLKRKLSDVYNSCSNGPRNMIHPTHLSTSSYLQ >PPA21220 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:658358:667313:1 gene:PPA21220 transcript:PPA21220 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLKYRDQFWGDKHHGYHTLYERFKAGDEAVYELEMLIKEHSAMQEDQLRALAKTLGKVTNFASSGTSQMVEAWSLTKSTLELLQEIQMASFKSLQEQSRELARYRAELAHSKKRVKEGECVEAVSLMQTTTTCLQKAKETYMARCAELDKLRADQTASAKEINKAEQKLGRARDEYRAYTDKYEMVRADFEERMEKSADAFEAHDLSHLSTLKAAFVAFATAQQEQSEAMANVQTQFRESLATISVQALMDRFCSECSTGGERPPHMPFESLEGGGGAASSSAAAVQQPQPYILLSPSAAANAAAAAAAAGDNQSVGSSGKVGGGAAPAQIVDLLSDDPLPVQLQPLQQQQPVQLQPPVREEDVVLEEIDREETGRKEEDERREERKEGENEEKKESEEKKVLKREEWVEDWNLTSPRSKGEITGWEALREEAAEGRERFEKAKGLRGKKREEWVEDWNLTSPRSKGEVSGMEALREVLNEEKSAGGKERFEKAKGVRGRKLARDGIKVF >PPA21173 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:321584:322612:1 gene:PPA21173 transcript:PPA21173 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sulp-8 MSGFIVGGVVHVFFAQIGPALGLELPIRNGPFYLYYEFIGPWLDEVFFFPVPFELVLIRFTLIVIVGITATNFAELASRHSIAVVGNMPTNFPVPTAPQLELSFSLFWEALCIAVCESSKG >PPA21198 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:502467:506100:-1 gene:PPA21198 transcript:PPA21198 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTPSYRLTERQKASVYDPAEDSFLLMDAIEKDLEVIKSLHPRIALEIGVGSGVISAFLAKSLPSSSLLSLGTDVNEDACEAARTTAEMNGVRVEVVRCDIVSALLPRLADSIDILLFNPPYVPTDQEEVDVSDLARCWAGGARGITTLQRLLPTVPNLLSMEALLSVGGLFYLVALKENGIDQLLKHSERSALEFEIRIIVSPFHTLSRLSDR >PPA21159 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:250574:253201:1 gene:PPA21159 transcript:PPA21159 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIEGLSAAVIHRKIPFRYITGVHNGVITLMDDENQWRLLSALLPEGYDNGKPTNNDSLMSKFMGLFIKNDSVSSRTSQDWLNGKIVEEESSYIRDQETPRKSIAKQYQEEIKKKLMQIKMDSQRQQKSTEYASKFVKEFNYIRIRGKGGFGCVFEVTNKLDIFEYAVKRIAVSKKNCSDFDNLDGMQSYFNDDDLYKNSLDEWLDANQTESSRDQSRIKSWFREIVTAVQYLHDNNIIHSDLKPANILLDDKEHVKLCDLGIATDRNIENGVEVTITRSPKCSPIYSSPEQLCMFTRFSSKTDVFALGLIFAELCLIFNNYRHGTPIHELFDSNTAKFIAWMANVESKDRPRCADILAHIFLEN >PPA21252 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:884637:887602:-1 gene:PPA21252 transcript:PPA21252 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPVWDLAKNSLAFLNSMKMKMAIILGIMQMTVGLFLSLGNHLSKRAHIDVYFMCIPQLLFLASIFGYLCLQIVAKWVAFPAGSTWVFGRYYPGSSCAPSLLIGLINIDTKPDDFIADFNCATAYWFPGESIVEKFLLGIAVLSIPVMLLGKPLYLWSRRPSSGHVNLDHAATHEEASLSEVFLFQAIHTIEFVLGCISHTASYLRLWALSLAHAVLSDVMWTKVLGLATGVEGWLGAPAQFVIALFFGFLTVSILIVMEGLSAFLHALRLHWVEFQSKFFSGNGVKFEPFSFEEKIRKMEDL >PPA21241 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:845141:847613:-1 gene:PPA21241 transcript:PPA21241 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLDVERREPLKEGIECYHSTESHRLHRFPLQIYRAAIALDLGFLTVSNSKNPFANTLFDDIVQIVTHRNALYFNTTQGKIYRARALEVEFFRDLDETDSILASGLCCQIKEWQKCIYPMYQDPRFGSYIYEIPSDRRNGGTLKGIHRDKLIYLKHGSIPGIPSAEHSRDTDYCQYSLLEFDLPINESDSKLYATNSSSLIFISSGDNICVFNTNPMEMLPTLQLSGFSNIPIVGVHKGVITVTGRKGRRCYLARARIPEDYLHQCTRNEEAVPWIPEQPHNGQLAKQTADFDNNTENNKIKPPCPIINRMKQLEKVKMQQENENEEMRANLQRVATRNKQLEADNYKIKEKRRLLIRQEEEIENRMISTIEKLLEKQSQLERAQEKNVPLNNEVSFNGS >PPA21237 pep:known supercontig:P_pacificus-5.0:Ppa_Contig51:825438:830843:-1 gene:PPA21237 transcript:PPA21237 gene_biotype:protein_coding transcript_biotype:protein_coding MNADPITVQTSRGAVLGFDQDLGNDKSQTFYGYGQMFLGIPYMKPPLGERRFTLPEDICQYNDNGEVHNATYYRPGSAVAVCQNANPMVTSPTPRVYRSTQTKGIRDGLQPADNMDEDCLYLNVYSPNVTGNYPVMFYIPGGGFTTGGGDVYHWKGAVRNLVSRGVVVVTINYRVGVIGFFTTFTEAFPPNRGMYDMMMALKWVKEEIKNFGGNTSRITIFGQSAGASAVSHLSFSPMARGLFTQTIQTSGTALLEITSPEPAKGDINKDRASELCNITVDAWGSTDYDQPLMDCLLAATPQELIAFDVSPGPWAPALDGSFLPDYPENLAKIRPHLPAIAIDMMEEAAPPSPYNEIVLPFTGPKTIPNMFELLWHDKDPEAVANLTDYAINAFSKGNPPADKDHMGWLKLVTDVATGMFFDTLFLRDVKWQTQYGNDNVWLFTLAHRSNLPFYIQLEDWIAVAHCADLPYLWFYPDIWETYNATESDFATADQFGVIWTDFAKNGKLSFDRAGTSRNYVEIDEELTMKNNWRETTDDVFNRKSIELLGDWPVLTISDDAWTMLNALGEK >PPA21170 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig51:310343:312438:-1 gene:PPA21170 transcript:PPA21170 gene_biotype:protein_coding transcript_biotype:protein_coding MPAILYTFMDTDNDQTGFFWMTMLFSSISSFASIGLIGAGIQGLAATYSKTHINVVIIGQSIAGITTCLMSIFCQAATESAILNGRVYFGIAFTWTIVSVICYLFVIRSAHAKDRIDDCDPLVNEDEEDEEPEETQHPEDIQALHSRSLCDRCTHILQQCWPDMATALFVILVSCAAFPALCSQHISPPFAASYCTAAPMDLDAYLRCGLELRTRRQLQNLALARILLLPLIAACDVQPRWHSPTLIRNDYIFVLLNMSLALSNGFCYTHAYVKAVQSVETHLRETAGSMMSLVGNTVGTIGCMLGVVIVTLM >PPA21258 pep:known supercontig:P_pacificus-5.0:Ppa_Contig510:913:2590:-1 gene:PPA21258 transcript:PPA21258 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYLSKHFALDKNNNCSANRLKPPDNKEIILKHSDSSDQKSPKHKGNIKDDAQILVSIEPESIDKEHLNSRKVRLISPEENIQVDSRSEYVRKHKCDICNRFFGAASHLRDHMTIHNGSRPIKCTLCYGSFINTSKLKRHMRDRHNRDRFECETCVIVFKRKLDLTAHLDKNTCQKKIRE >PPA21259 pep:known supercontig:P_pacificus-5.0:Ppa_Contig510:5667:6697:-1 gene:PPA21259 transcript:PPA21259 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTTDERATRQTACFLLRNDDLFIGGVLTHSLHSLTTAVQYGLDAPRIEREEMGLAEIPKADSSSLLVGIAEEGKMKSIHEKHFLKGRASLISGIPIPDVTEFNLKSLIRERKRAMEHDDNKDDPERCSIYELSGEIVSLIEELKKRKRCEEDDEFKEQQLKEEEELEIQAIMNKVGVNLVIIE >PPA21260 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig511:4160:5140:-1 gene:PPA21260 transcript:PPA21260 gene_biotype:protein_coding transcript_biotype:protein_coding MGIANMVIILQSIEAVLNNTIHPDMNLITLIILLTGCSTKAVLMIICFKRGTASSKITHDISHPLEKKLNQLEFVERTFVHCDYDCDGD >PPA21261 pep:known supercontig:P_pacificus-5.0:Ppa_Contig511:6450:10884:-1 gene:PPA21261 transcript:PPA21261 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVFEWAASTSMAELTDLHIQQLTHLCDLQSNRTNNSTGLEFTTVEPKHERSTFFEIWVVGLSFVTVINMCAVVGIGVMRYLSKSVYNQVITFMVGLGVGSLSGSSLYHLLPQAHPQLLDQVDEDGNPTHAYLDMAHFSLIGVYTFFLADKLIKIILQWRKKGSRCTSSDWNDERKQSGELSGRSDRALLHDHLNHKGNGDGCANEIEMYAIKDSSCKSMGDQAHGMCSHEHQIEFGAGDSAIAAVAWMILFGDGLHNLIDGISIGASFADNLRSGLSVSLAVLAEEFPHELGDVAILVASGLTLKQALVYNLLSALTCYIGFFIGVKVGDLDPENTKYLFALAGGMFLYIALSCMMPEMKKAMEEALNVSITRGLYVFFLQASGLFSGLFLMFAMARWGDKIEGFFNYIHPSPVQQSHS >PPA21262 pep:known supercontig:P_pacificus-5.0:Ppa_Contig512:9047:12307:-1 gene:PPA21262 transcript:PPA21262 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSTGFLRDWVEEEAELSGDDVGSDGEDEDYDDHYEAEEGDLDVVPDDEVLRADLHKQLMKQQESSDHRELIQLRERLLGDDLNGVKTNRTFRLKLRDNEDGIEEDDNIGEKEDEDEAEDDINFEVIKKRMEIEVILVFE >PPA21263 pep:known supercontig:P_pacificus-5.0:Ppa_Contig513:7118:7873:1 gene:PPA21263 transcript:PPA21263 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRELQSRVPLGKKSGAASGCRPSSEKTCERTGAKIDAREAEQLMRYATTSNGDMLFKVEHLLNCRQIAVVYAGRAKASGSRGKRDAHPEPEPDEVNDLVANDQYEGDPELEYETEPLFDVTDLMRIALTREHNNLWSKEGEKGGTEDGKGEAMEE >PPA21264 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig514:803:2471:1 gene:PPA21264 transcript:PPA21264 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSYNQQRMMMGAAPSMGGAPSSSVPPMQPGGMYPMMPFPSSMAGPSPVPVPPIPSVPSGPSKKGSSAAQTAAAQAAHQQQQLHMQMQLQMQRPFPLNIVPQGSCKLSVAQNGTPIFGYPQGFVAPNHPYYPHLYQQFQQHQHNVMMMRQQQQQQAMAAAAAAAAGGGGGAAPAGGSLTAPSPQFGQQPAMNPQGVPIATQTAPGQMRPLNGYGNPSMGGGGGMMQPMMTPGGSSQSMPIPSPGGGGVGTGPQVQRVGTPVAFGQLQQQGMQHPCLLGPLMMEHDSKAMSFPFNISDTLLNKVLNTPSLEVHIRSWSRTDNACKIFWHSNKDQGYACEVNI >PPA21265 pep:known supercontig:P_pacificus-5.0:Ppa_Contig514:7704:9657:1 gene:PPA21265 transcript:PPA21265 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQSCAWQMIPREESQQRFALSHPTSLPSYSSPYGVPPLQQMQQLQHQSMSFPSSFPSMTMQQQQQMPLQQLQPQQMNGRKRESGGTENGGTSLKRFKSESFVTAPPTGRSPASMMAPHSVAPSMMMMGGPSTPMGGAMYGGPGSVPVMQHNHMTNMTSPYNMNTGVHPSPMKEPSSHHSVVTSSPMGSSMAPSTPYGSSNGMSGMNQTNALTCATDGGTHVQPTSSAPYTPGSVDNKSNGMTTVTASGVGPLSNPSMRAPSAALTPDTQASTSNASAEDDGGMRRNDCAGDYSLSNIEGLFLSREINLPEYLIIVGSIEVAKLTEEFSIYVRGFDSGCTPSNDATQKNDASWADAMSVCGGVPSSA >PPA21266 pep:known supercontig:P_pacificus-5.0:Ppa_Contig515:199:6105:-1 gene:PPA21266 transcript:PPA21266 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVASPSSMKAYLAGNVLALLNTLYTSGFASASPGLPMLKDRTMHTLYAVSFIVYTTNVVADWIHVYCTLKGLVTSYPLETWLVIALVICVVAGSMLTGLLLVLCVENAFAHRLAIKPYRSGLTIIVEAFVEWIQAFNNFRVSFLIMALHDFPMTFVNFFFIASCRCAGPQIIPWSLLLSCLTTNFSLLWRLTMLYFAYRRLVCPTMKKAAAPTPGVYRFPTPHEHFLEAINTSDDERLREFDELWPIRWARRIIYGKDKEPSDKLEEQQNKVVNIARSGSCTLCYLHLRGATQQLPPSPLVCEEFRAVCVLFSMNTILLSSVHVLGSNSIPPEIDQVAKVTVGAASKLSKIRVVRKNIARVLTVINQTGIIYKKTRAMRRALAKHDASIKPVKPRGIS >PPA21267 pep:known supercontig:P_pacificus-5.0:Ppa_Contig516:550:1144:1 gene:PPA21267 transcript:PPA21267 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLIVLSMAVVSNAFLSSLFGGGGGGCGGGCAPPPPPCGGGCGAPPPPPPCGGAPIGGGCGGGGGYAPPPPAFGPPAPSGGGYATAPGGGFGGAGPVGGFGGQQGGYAQAAPAFGGAPQGGYAQGGPAIGGAPQGGYAGAPLGGNSYAGRK >PPA21268 pep:known supercontig:P_pacificus-5.0:Ppa_Contig516:6609:9589:-1 gene:PPA21268 transcript:PPA21268 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRSMNVPESKKAHYSSQYPYVVTAVDKTAPPSTAKAKKNKKRRGGNQSNMVLIRRNSAKKGGKKDDDDSDGGECPVLLNRPPHASLSVLKGRCIKAMERDGTLNDFRPLGLPHITQSNLYGEKVVCNMDTFMKDRAELFDHYNNVRAALAALDRRNRGQVKRETEQWHEEKDQRRAVRRAAAAAAVAAESPSTSRPTSSAGQQPG >PPA21269 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig517:1637:2939:1 gene:PPA21269 transcript:PPA21269 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRLPPLMEPLHQLHSSTYEVDKRQPLMYPYKMHYQNGSIGEYKKEFPSKNDLDDSPWKRSQSSVNLPVIKSPVIDRFRSAKGDGMTECPACQVEVSGGTRKRWTHVTQLHFRLLPDSDLTTDDILKMRLGTVATKTRRTCSVCSEFYANDRIRMATHIHSDHWDDHYL >PPA21270 pep:known supercontig:P_pacificus-5.0:Ppa_Contig517:5241:7583:-1 gene:PPA21270 transcript:PPA21270 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATCLSGVEWEVRPAGVLFTLRSFVTDLGTTRPTWIAVGLSLNQRMDDDTVLECVRRADGDGIASASLLSEQAVTFQDGLFTCSASLSLAGRDQLAASEQFKVHDLNVRPYYLLFARGTADPYSLEKDIHSTNDGPTFPWITEDTVSFCIDNCTLLGVSPSEPILVTQMHQTRMERYWRYRVAVMHGVAMLLGWWVLGSNGIIIARYFKPLFPRRKLLGTAVWFQFHRDMMITGLLLEIAAVICIFWQAGWVWYECSYECTSDDFAKKMHAITGVFGTALAVIQLFLAVLRPAPDSNARPIFNWAHWLIGMTAWCFASLNRVYGHVPNYIMGGYIITFCLTNIILEMIATTYSSTPVRAHKIGPSGMAMSILNGPTTESNVAEPKRTSARLFIFGVHLIISLAVAITITVMLVRIMYSHSP >PPA21271 pep:known supercontig:P_pacificus-5.0:Ppa_Contig518:1133:1804:-1 gene:PPA21271 transcript:PPA21271 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFFNKFVVNETIEDDVDDDDDLYCKPDPSWNFTDTCNYIKTTDACEGGGYLEWATFVYCCEDPVAKVTSIRIY >PPA21272 pep:known supercontig:P_pacificus-5.0:Ppa_Contig518:6584:8438:1 gene:PPA21272 transcript:PPA21272 gene_biotype:protein_coding transcript_biotype:protein_coding MGQILSHRIPAGTEFTVSHLLRMVAERNQRLGLVVDLTDTNRYYDKHDFEGMCIEYEKLYCPGRGFIERDDIVDSFNRVIQKFIEKNDDKAFEKARGHSIGKGTYITALHKAAKEMRDKPSREVIDSDSGESVDDARKKRKRKRREEGGNEMGNMIKNFLGQLGQEASQLEELVSMENNRGNQVMNWERREEEKGEEDGDEGEEDEEREGEEGDEEGGEGSGIGGIVDGYEASPDSSAAQKRRTRRKRLEKMFNVMKRGRFHEIQALRKEMGGE >PPA21273 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig519:2667:4145:-1 gene:PPA21273 transcript:PPA21273 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQKKLRDEQFGMIYHLEGTQLGTAACFLAETDLDSSSLFERHSDVRMTLDKCYEYMMANSKYQVYAEPDGRIVGCFAPAGLDTCRSQLTGPSRLASGGAGLADLDGPVVCTCRNAYSDEIALKK >PPA21323 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:312054:313556:-1 gene:PPA21323 transcript:PPA21323 gene_biotype:protein_coding transcript_biotype:protein_coding METKQAFTEEHALRRLAFLSVAVSTASIIVAIVAIPMCYNYMTMVHSNIQDEVDFCVERSGGLWQRFDNFEITRPSRTRRDVVRPFFDPRQLQRNEGRTPALRKRFRARGAGTYNAGGDAYASSSAAAVAPPPAPAAMYSGGAAAGGGGSSYGGAPPPAPAPAPAPAPAATYSGAAAAGGGGGSCCSCGMGKAGPPGPPGKDGEAGKDGSAGSPGANGENAPMGGGYAMGGVDFCFDCPPGPAGPAGGPGPAGSDGQPGAPGEGASGGGPGPAGPPGPPGPAGKDGGPGSDGPPGPAGEVIEMAGGPGPAGPPGPAGPPGPAGNPGTGGDSQPGPPGPAGDAGPDGAPGNPGGAGSAGDHGGSGSGGGCDHCPPPRTAPGY >PPA21328 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:336512:338264:1 gene:PPA21328 transcript:PPA21328 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLSIPRTLPGCSAPHSKRRQQMNAATSFLDLGPMYGTSHEELNKRRGRDGALLSSHHDSSHSDPIGALFRTNHNRIARQFAKVNAHWSSAQLFEEARRLNIAQYQHIVYNELLPLVLGKETWAKFGLAPLSSGHSNAYSLETDPSTLNEFAVAARQYHQMHSKRGSVFIETTNLLGDVEVCFQLTRLLSSIRTGDHSDRIHTPHFATREETMAPPSPEPSPPSARMLLTPSTPSSSKQPSYLEGDHYGEHEATRSLE >PPA21338 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:378801:380123:-1 gene:PPA21338 transcript:PPA21338 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGFHKRDEGGNRCDFEAILDAMKQREETAKQNNGASTSSPPRPLPPPQQQQQQQQVQQSKAAAAAAAAAAAHRLQPPPPMPFFNAAAASAASSVAPPSVGPSENSMQDSEDDSDSDSDDRSKNNGSAPPRNRSTVKKE >PPA21363 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:554511:557836:-1 gene:PPA21363 transcript:PPA21363 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPTAPRVTVANVPNIMVQLTSQNLAHALHEAKHAPNKRPSLDSYPPPRITHPRTHSIERSRIKEILIGVEDESPHPSTKRPIQKKKRVDDEDALSSAEILEKLSHIRSLQEELERHLELKGKLGRKRVEAAHPDPDLLNLEDLSAPDTFRTTQDAEELDEEPITTVTTYVDHLTTDQLSFAINRSPYDNYQTSDDYHNNRSPDDHNYTGYHYNDNHPCADYHDHDNKRTDDASISPPAPPLDPLDFHSFHEDLVGTLGQMDEDPHFFCVKIIKMMLILVVWKPETFRPPVPSEVFSGHHNHGSFFGGTGTVPRRNQHSERTQTTTTSIFIQKADDGVALDDPQAHHDDHHRSTDYHGGTHYHYHDYHGTNNNNRGTDDYHDRGGHH >PPA21346 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:431658:433761:1 gene:PPA21346 transcript:PPA21346 gene_biotype:protein_coding transcript_biotype:protein_coding MDRECYGTCVLREITAKPSLSSLLTTKLKMSTSDTSFLDLPAEMLMIIIEKLDERDRMSLASVNKLLFNSDLMAGHRRFESVHFESEITCYTVARCNIKTKSGRIMKIFNRYTPIDEMSAFFNKSKTECLIITGHFDEIYERMVQRIMKIIDFEKLIIDVNCGARGWFNEVHKGRFFIHMYSPQ >PPA21298 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:162222:169956:-1 gene:PPA21298 transcript:PPA21298 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGEVDKENQRLEIVDENEEFVTPDTKPTIVVEKIPDDPVLNSPVVYSQGSVASSTATAGTSAEDTDEDDLEPDEGSEGTTKRGGVTCRKEKSLGLLCQRFIEAMKEATAAEGGNSVHLESVATKMKVEKRRIYDIVNVMEALDAMSKQNKSYYAWHGLNNLPKLMHDLQRESIDENLPSKIVQVEQAMCSFTDLSPGAKRKDLVGSMLDPVVLPSGPSTVSSSPLDSPFSSKSFRIATPTSMNSPSTSQNLRDPRGTKNSLAMLCRRFIMVLLANPQDRRRVSLDVASTVLIKDTETEGFDPPSRGRCRRLYDIANVLVAMGIIKKVHYLFGTKKIPLFIYSGPEPDESAILSDEKLEEMMVLPRKAIDDVIREKKIFGKRSKSEACLDDVMRQQRAGKMSRMSSPHDSPATTAWKMMALAEAAEKERLLLQYGSPNDNKRLTQSTSSLVVPPSTSTSSHAWSPSTHSIPTSPLALGAGQFVLPRAQPFALYRTLSDLSAIGSSSGPSFARSPRSSLQPVLSPLSAMNRLPPQFANLNQMIAAAAAAGQQQQQHHSSSPRQQMPARRVLAPMQPSITSPRAVGTGISPKKTPKHAIKYLLKSPAFKKEILEARGGETPEKEKVRIGTLEHRGNSRFQIVKKQPGTEELRRAFGVSNAFNTQGNSSFEAMRKQHGI >PPA21352 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:497609:500083:-1 gene:PPA21352 transcript:PPA21352 gene_biotype:protein_coding transcript_biotype:protein_coding MFINSSPRTQVGTKFTDWNDNTKDDFEAWLRSKGEAIPQDLFETTTIAPPAPPPPDLPPTTTTPRPGPVRTTPTIVPITVEPWNAISVPDSFTAVPSIQEPWTQPTTPQTQTQTDSWTPPAVTPAFWQTGSTDIPQTEAPPTPPDIAPPPPPPTTDFHRPAPLAPTVALPATVVHFDHGVDPDFPVLPPRARGLHNVPAGHNTDKQIDWDFVRGFRVLSKCESANFHPFTSVPLQSYFPDVTSGSAPSTAEITVQAVTVDEAPPPTNADPPQPAYTASYGRKDAEVVAALIEGLLPGTGPTVPSTVPSSYSVEGKLPPGYVAVPASWLDSSTPAPTVTYTQPPPPYSVEGRLPPGYAAVPISWLDQVPGAKQVGRSQYETFPEQQQQQFGGPQAPQRSQNAQFGAPPRFAADNSALTGRTSIGTLITDPNVYFPEANGALYLGAPQTVFYARPRQLPSEPLIVGVTPDWALGPQRLFKWDPHTPAAAWYGQSLASLVPGAQRSYPVPYPPYPGYGSQQAYVTGGDAYRSAAWGRR >PPA21309 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:249668:252247:1 gene:PPA21309 transcript:PPA21309 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEGNNTPVFDAEILKLMVDNLRNVKMYLLVPLTIFNGLEQAFVVGLFTKPYRESREHEESTMFAQAFIACGLGISQIGFVMTAFGVSDAVCSLVFGPLIKLFGRMPLFVFGCVINMLMICTVLVWSLNPADTMVFYVIAGVWGMADGVWNTQVNGIWVALVGRQSLEFAFASYRFWESLGLALGFLLYRLVTVEIFLLISFGLLLVGIAGYFCIELYDPISAYFARLFSVCLVRRKPALPGMMQTTSVQSIASTSSK >PPA21287 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:79072:81365:1 gene:PPA21287 transcript:PPA21287 gene_biotype:protein_coding transcript_biotype:protein_coding MDKATEQRLLREGEGLRRVAFMGIAISTIATLTAIVAIPSIYSYVQHIQSDLQTEVDFCKQLSHSLFGKYEHLSAIKGETIKIKRQAGYGDAAPVTAAPPAPEPTSYDQLVHDTHAEQQEQQQCCSCGAGAAGPAGPPGPDGAPGKDGQAGNPGANGDDAAPDAAPGPDDFCFDCPAGEPGPAGNPGPKGAPGNNGEDGLDGPDGTPGQPGPVGPTGPAGADGAPGHPGEKGADGVVEEVHVPAGRPGPNGAPGEAGADGAPGEPGKAGREGAPGPAGDAGRDGAPGAXXXXGPAPHKTPGEPVEAGREGAPGPAGDAGRDGAPGAKGEDGAPGAAGEVGTGGGCDHCPPPRTAPGY >PPA21291 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:107519:108022:-1 gene:PPA21291 transcript:PPA21291 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPSRAGFMTGRLPIRLGVTGGARVFLPQDIGGLPKNEKTMAEMFKERGYATGMVGKWHLGINENSVLIPHKRPNFATSGNCSQEMDRFE >PPA21351 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:484060:496866:1 gene:PPA21351 transcript:PPA21351 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGRGGASQQRNTHHHQQQHSRAAVAQQVTAKKQLPGRHPCSCQARIHDLIRNCMGCGKIVCTQEGSGPCFFCRTLVCTKEQREVLSRNSRQSKELYKQLTGEEMPEGGLNQLSLASIASGMQGAIDFANTLLKADQNSEARTRVNDLDSDFANIDSNPFLSKEERQAIIARREELRLIRERRRRAMTVDIDVITRETTVQGQNLHETDAGYDPVIRQILDRSEDRRKASELEAEERVNAADGRWRPIGYVPKYGGGTAASTSCEAQRAFIRQSHEAAVCVGRQSEQAVALDVERRGYSFAVAQPVATLIANGLVRYLRIDEDPMIVGPLFVCSTPAPVSEDDIRKEISRLKLDESTRDLEFSAGSVLGRVYCAEVMTRDEYEEERSTTSPRWNDLPFGRPLPSSPSPDTVPPRFALRFTTAEPLLSAVPHIPPSAGKIYQLEPALRQALQQMADAGAAPAAAAPEREPILGMDVEEEKFLKETAAKIAVSSVTHPLLATKTLMQLGHEPFSLSTGKTLIVAGRNAYFLPNAFSYAKQLAETHPAGWAVLMTGFDSAALALIAGRYTTRWATKYLDTHFAEMGGKAEHEGEDERKLTDQQSFRRMLRAAIRDSTVRTVAVFVARPFTVVCIRQIAQLIGGEGKYLNVAQGLRVILTEEGLGGLFSGVIPQLCAELIMVWGCHMVTYAAERALTRSGIDQGDDKEKAEKDYKEAQRLIGLFTPHIVSPFSYPYSVVSTVMACVGSGLVVSFLPYNPTFNNWQDCYEYLRPHGLKRGARLFLREQTGAVSVGMDKQLYASNKHFA >PPA21290 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:101890:105326:-1 gene:PPA21290 transcript:PPA21290 gene_biotype:protein_coding transcript_biotype:protein_coding MPELYAAGPNKTLCFLYDGDEIVQQPMQFEHMTENLVDDWRYFLGERRREPKKPFFFYFSFPHVHSAQFASSAFLGKSMRGLYGDNINEMAWAVGEVLESLRTSDMAENTLVILMSDHGPHVELCLNGGSTAGLKGGKSNSYEGGFRIPFVAWQPGTVEAGRVSHEHELRKVSSKIVLGRKSMLFLLVTEANGAYFLLRAYFLEIGQTRTATQIVLHNPRIDPFSSLSIAYVKCKSDVICSAAPMSTFLQVISSMDIYRTFYERNKCDAADLHRPQPYLDGANIWSELTGETTPDTKKRPIVYYCNTHLMAVRMGRFKSNNENPCQVHYKTSPIFLNETASKTLAQWCPDGKPKDDWYVSQKCPESQLTIHDPPLVFDLDVDPYEMYPLVVSERSDKIRFKASEFITYHRSTIVEVPQQLGHYNTSMLPCCDPPACRCDKLSGVDRGVRRRETAIRSEKRFDAKLMRSRKSIEEMTREDEAIDSYFTGDNALRLNQRRHQDRETHRLAKLHRQKGRKAAGEFHWTPIRVVAEDDNVDAWFDRR >PPA21386 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:706310:707368:1 gene:PPA21386 transcript:PPA21386 gene_biotype:protein_coding transcript_biotype:protein_coding MYYLASIQDIFTRALTLALIPVTTIFSITSCFITVAAPGLFIDITDENETERIYMMHADSQVNFPTLRYSEQNIHIPSMGDYAKDPVAGTTDAIDERWNEEGDTVRPKYNKE >PPA21296 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:155765:158412:1 gene:PPA21296 transcript:PPA21296 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVPLLALLHTSAAIFSGLDLFDSCCTTTEEPPETTEDPCPTTNGYNVGATIDTIAMGWETDDSGNVFLGDDNAKISLISMPADKYWKPLPKDWPEAGLFGSQFPDSPDYHGPCPLPCGCRPKTDERIAPFYTTSFISSQPIVYSRIYGGPVITTVKPYNMAEEVDGLVKYYTELAFPSTAELNSSQREQRLKLPARSPYRLERTPPLHTPAPLPSHQFSLDYLPEKTADYVGKLPFRSADTIPIRASGIPQYVQQPPASVAAEYPISADPAAPAADVPSTSTASPTTTTTNGYNVS >PPA21288 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:81521:86240:-1 gene:PPA21288 transcript:PPA21288 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ggr-3 MDIIEFEFRDISSISEITNSFIADVWYSQVWTDERLIYRDISCKTNLSLDSSVADKLWTPNVCFVNSKHTELHRSPASNVLLIIYPNGTVWLNYRIRVTAPCKFQLSNFPIDRQECQLVLESYSYNIAEVRLKWQQWQPLTTPDKEDLRLPDFQFYNATAESDHLEYTAGMWDQLKVTFRFQRLYGYYVLQMYLPTYLSVFISWIAFWIDTRALPARITLGVSSLMALTFQFGNIVKNLPRVSFVKAIDLWFFVCVAFIFFSLVELAVVGFVDKINEIKKRTKRLRARKRILTRESTRNGSLYSANGKPRTLREFSAYGSIDDDGTSNGFFSQNNFYEGYNYDFDTGARVDAFAAKAFPAFFALFNVLYWWYYLSREHF >PPA21334 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:368303:369448:-1 gene:PPA21334 transcript:PPA21334 gene_biotype:protein_coding transcript_biotype:protein_coding MFNEFDKHKDCLKDRYAFAFAIFFKNIVYDTRKSNNPEQSAALLRQFSQETTFDQENRVAELIIESGKGSSDRVKVDW >PPA21371 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:597608:602329:-1 gene:PPA21371 transcript:PPA21371 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMETDLHQIIHSKQALHEQHYEYFLYQILRGLKYLHSVGIVHRDLKPSNILVNSDCLLQLADFGLARCIDQCNENVQMMSLYVATRWYRAPEILFSVPNYDTKVDIWSAGCIFGEMIQRRQLFPGKDIVSQVKMIVCILGTPEQRMIETISSDVVKEWIFACGAKAAVPLGMYINKANERQMAVLGKLLALSPWDRCSAEAALAMPYFSTYHDPSIEPNAPQKIFVDADEIEKLPTSELRKALDEEALAFEHVRGPYENSTPNCACTINDTSKIRSEVQRHF >PPA21350 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:479136:483235:-1 gene:PPA21350 transcript:PPA21350 gene_biotype:protein_coding transcript_biotype:protein_coding MRNDDQPTATDDQQEAAMIRAEWTRPMAKGCLPPGNQTLVCTRKVSDLVYVTLEPEGMAIKSYRTEFDIKQAFWHTFPASATAGLSNGDAGDTLECVCLVGEDTIDMYATIDDHHYTCSVPFKITGVHQSSQGLLLERAQRDKKLFTPPPDFFMPRLFSLSHPYDEALPVLCSQRGQSRLVYCWEGDTEVEVVGTDRDLVLVFDPTAKTHRLYAIRTKEFGEEKSAGGGGAVGGGSMQQLATPRINPEHGPIIARIVLAVIVFNVHDIMEDAGFEGERAFRECYESGLICGVC >PPA21313 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:269425:270941:-1 gene:PPA21313 transcript:PPA21313 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEQVEFSSKEEARDTVVVGRIQKIFVTGLMKELAAIRTTKRKLGAKLRRIQKAETAKALMQAQNAAAAASVPPPKEVPTVGDLNSMEKDQLKVATERAPITSKALDDAKRDAKAHSTQMISIIDAKH >PPA21381 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:678831:679433:-1 gene:PPA21381 transcript:PPA21381 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLARDKSAKAREIACTVCKDVIRAFEGSISREQGNITSAALELCQSLFENNLTFEKICEKVVNHELETLLAEVFDGANDPRIPDVCNAVLQLQ >PPA21335 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:372283:373408:-1 gene:PPA21335 transcript:PPA21335 gene_biotype:protein_coding transcript_biotype:protein_coding MHQATDYNYNFTEINEEEARRERIKEKNRLAAEKCRQKKIALIEKLRREASEERRRIEQMDRTLERLRVQERNLEQELQGEPYTS >PPA21370 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:593612:595665:-1 gene:PPA21370 transcript:PPA21370 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFNGIFSLVLPPLDYSAPFPYTDPSDIPGLSFIDHINGTHNQQPGPSTARVLEDMDEVSKTPTNSTPDSGSSASSLETVRRMEIARPIVRSHASAEPEVGGPTRSSTHQQPTCSWMAGAMIGAAGIHLPMDDVTGHSSAPYLKNKRA >PPA21284 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:58348:62691:-1 gene:PPA21284 transcript:PPA21284 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDSSKGAKASKSGTNLGSMNPFKSGPSTAIVAQHIDNAKKSRILQLKNHGLKAMPEQLAVVAEFLRNLDLSQNKLRELPPFIALFQELKQLHLTDNVLESIPDEIGELRKLEVLNISQNRLRDLPKTLVGCKTLRTINAANNSLTSFPVVLCHCPELDILNVSSNRITEFPDDIASLQVSELVANQNQLSRLNSAALSKCERLRTLRVEENCLAKEQFDNQILENSSISLITHAGNLFQDKDFQDLSGYAAYQERYTATRKKM >PPA21316 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:286539:287545:1 gene:PPA21316 transcript:PPA21316 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGPAHESLMSAIAAQHRANHNTVDMSPAEGTLEYDSKSIVAEGTVALTCPLSKAGFAGQSGARGGDNALPHLARDTHEQARYHAR >PPA21384 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:700763:701500:1 gene:PPA21384 transcript:PPA21384 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNWLYTQEVLKYFVLPNATLQSDIPDERGIRAERGVLEARHTRTVALLLGGS >PPA21327 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:322628:328589:1 gene:PPA21327 transcript:PPA21327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ran-5 MCLRLFCRQLVVDGTNGSTATTAERLRADAEQYSKDHEKDNIASTSLIDVKTGEENDSIVHQFSCKIHSYDGGKKQWVERGLSSFKISHTGAANDDGWTSEATVARTFGTMKLIINSPVFADMVVEKVDERRVKITAVSAEGGAPQIFLLTSNLAKTDSIGEQMFAGLKDVRARVKRAEERTKGNRKRKGEDTESVPEVKKAAEVKEGEGKEEEEEEDKGEKAATTVDDVVVEAGKEEEPVGDQGEEVKETGKEEEEEQKSLEKTEEVGAGQE >PPA21378 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:669691:670588:-1 gene:PPA21378 transcript:PPA21378 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIVLAGRGAATGLGILLSMIAIYWNPRMAPAPAPSPFLTAMAPVAQRRDEKDECIMVPVVSKQAADEEKTETEKEMESGLANE >PPA21295 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:142824:147909:-1 gene:PPA21295 transcript:PPA21295 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHGWLLAVAALCLLHNGQASMYKGYKLIRFEANETVAEWLETMSDVAHDYDEKRGEERRILFDVFSEPSKIQSHADVLVAPDFLEAFLLMLRKQGVEKVIVLKKDMQKLIDEEEYTIDNRRRRRKRAGNVVDDFDAETYHEYAVMTRFMHDLALHHPTLVKVVNVSRSTENRQIIGVKISTSSIYKPAIVIDAGVHAREWVAPAVAMYIMKKLVKSAGHDHRLSKALIDFDWFIIPQVNPDGYEYSRNTDRLWRKTRSRFNGSRYCLGTDANRNWGFQWGKAGANRSPCSNIYQGAHPFSEPEIEGLKNFLTFEIPDLTIYLSLHSYGQQEAAHKAVSAIRKTSGANYTYGTIAEVMYPASGTSIDYLQDKGVPYIYGVELRPQDSTDSYGFNIPAKFIKPTGDEMLEGILAISEHALLKKKIRL >PPA21317 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:287977:292666:1 gene:PPA21317 transcript:PPA21317 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVRCSREGADMVTPNANDEFEVAEGLSANCFRAVLEYYTSGVMRCPPSVSVAELREACDYLLIPFNAETVKSHNLRALLHELSNEGARQQFSRFLEDVILPQMVASTEHGERECHIVVLLDDDVVDWDDEYPPQMGEETTHVVYSTHLYRFFKYAENRDCAKQVLKERGLKKIRLGMEGYPTHKEKVKRRFNKAEVIYNYVQRPFVHCSWEKEEARSRHVDFACPIVKSKSNPSLAAAASDPLPGQAAAPAAAAAVQQVVHVAGNQQLAAAAVAQAAGEANPLLLHVGGGQHHHGALHSPPAPHRNDD >PPA21306 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:234559:237243:-1 gene:PPA21306 transcript:PPA21306 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPPFLKNDTRSKPELIEHSFRLQRLSDHKTSYNLKIKWCEREPTKAEFDHDINMSIKDIQRTHRIGRSVSAPMLSARVEPKPEPQYISIGDAGERKGSKVVARAIAQVRRDGHIVRMLFRDEHSPSATLPDVVNAVIRQLEYGHGTYSGVRNRLGIKQFPQVGIKLDGNFAVGTRPDVYVLSKNSQVARPNTTFRYDSLPAWRLSELVADPSKELHVAVDFSYELAKVK >PPA21383 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:696708:697070:1 gene:PPA21383 transcript:PPA21383 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQSRQAQAPAGYGYEQYLEEAPVKRAQTFVRFGKRAQTFVRFGRSMPVRYQEEMDQ >PPA21372 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:603258:604651:-1 gene:PPA21372 transcript:PPA21372 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRGNQQMAFNLEGTPYVEQNKIGRGAFGVVCKATDTKSAKQVAIKKIPMAFLAASLARRSLREEF >PPA21292 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:109117:110331:-1 gene:PPA21292 transcript:PPA21292 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMRRFRCCYMMLLLFTMVARTMAKEHCGAEPASPENPNLVVLMVDDLGWGDLQSYGNPNQEETPIERMQVATLSTARSLRIVTATTLVH >PPA21367 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:575742:576605:1 gene:PPA21367 transcript:PPA21367 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSISCNILLSGLITLEALKSSSPPPSTHTLAAFSPPIDMARTVYESCARVLSDKVEYEEKERRVVVIGSAEDPDPVKTLAKDELLIEQLVDYCEDPDVKQAWKDGQIKYHRHPKERKAGARPLKVELPNKKLRDLLLDKIRAKPGRPTPLPAPSFIRKDLTPMQLQLEREAREEVKQRNLNAGSLSFGLRDFSIISYRNPRPLPKHYGTTRTATRTAPPSSLSADIPHSGDGTDAALLSDDASTAPSTSGPTPPVTRSSHVNTDRRGAARH >PPA21303 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:220077:227694:1 gene:PPA21303 transcript:PPA21303 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTRTTPLPVIPSPLTPFSPGPNFLYMTPLIILIVIPLAYGIFGVRKARLRSPIHFQQGTLGTSAWRAALSMALVAIVPFTIWTIFVNSASALLYLLGCFKAIVLVTLTTSNILHYIPSPSVYTYIALSTPAPFPQVGIKQAYVPAASSTNIAYKKATLVLTDLKRAHNLESSTFLKLPSSLIPTFRTLLSSLQVIVSLVCSYLLLHFCSLSIASLTTLPVQALTPLLAFSSLFAVLFSGQSNIMMPIFVTALTIAAAGLGMFIYFSVEDVTTLQALLPLRFSVAPSLFSFILGFLFTLYQLTASPTVYQLYFSLSTRHKLRLCFILYGVFLLSSGLFIFLLSSLYGDFLSKNCHLTYSLSSMFQFIKFTLHPNAFLSYTVCTALHIIPLTILHRSKITSPQLLLLSSTSHIWEEFARCRFAYLSSGKQLAVLQIGTFLLCVLLTSSVLLLEYARLSLHLIVPMVFYFVSCFTTILATAFFVGHYLPFANSKGSLTALLLSFLFLGLLASLHLLHNPMPTFQTICRLTIEAGSVGVEHKTVSLSLAGPLLSRFVTFVVELPLYTHPLLLSAQYILSSTIISFAMGNEIAVLYILSSTIISWATGGEDLFVLDWNLVVYVCGHLSPPLGKRRSFVESESFRYAQQRNTVRYPAKSVTAWKRSIDARET >PPA21283 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:52187:57396:-1 gene:PPA21283 transcript:PPA21283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein [Source:UniProtKB/TrEMBL;Acc:H3FG91] MVAPDTAGQSETHRDTKEREPVKLGLHATVNPDTLPFTYAKDKHALTFTVENTGEGTEIFKIKTTASYLYRIRPVYFKLAVRERQEVSVTYKGADAGPIPLSAKDRITVVFAHALDPRKSTAALWDLHKPFAHLSYAESREYVRVLFVDETGRLAVSAAHEKSRGGLDQRSIQGRSITMKPEPPRRVSAERDMTNEPPTAEEKSNNADNEADAPPPVRAAPAKTPRSPGAAARSKQSAAAAAAARTPSPARVTPSPKETKRSPASGRSRDPIQSILSTVAKDASAIVCVQYPGNERDEDSTVAEEKTRDENTNKNDTKKVRDMDTDGRRDEVLRKKMDEMRRREDEEKTRRKRDEERKEERKKSEEERKRKDEEREERKRKEEEREERKKDEEREEKRRAEEEDRRKKADEEAAEEEKAKPRRTSSNEDVKQEQANEEQSSTPSARRRRVLGADGHYHDDDSRYLDEEIRRKNASVRMLKKGARTASRDNSAEVETISDISEL >PPA21357 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:515456:521545:1 gene:PPA21357 transcript:PPA21357 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-280 MGQNNAKLDFAAIERQQQAQFESKLAAVDLAFARKQALDTTRRGEMYAWECVSVGTCCAALAYGALFMNRKYYIPLMAPLICYVGFRYENEHGKQLETVMNNAERLLKESPELFARPGGPITSSSSLMGQSNVKLDASAIEKQRQDQLEYELAKTDLTFVRNHALDIADRRERFAWESLATGTLLAALCVWGSFMKRKDFIIPCVPLVLGAGYRYENSYGEQLETLRDNAERLLQESPQLLTRPGGPITMAEIDRLRGQISSLMGQSNVKLDASSIERQQLAQFESQLAAADLAFARKQAIELARHRRLLRWEVAGACSLVAVCFGAGVMMRRRDIFLIPFVPPLIIAGYRFDAVYGDALEGVRNNAERLLKESPELFVSPGGPITVSDIDRLRAQMYGST >PPA21380 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:673413:676986:1 gene:PPA21380 transcript:PPA21380 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSTTSFLSLPSEIAMQIIEKLDQKDRMNLASVNKRFFKLEMMTGHRRFDFVQFKAENGGFIEVESGTKLQKFNRFTPIDKFSTFFNKATTNTLSITGRLEVMSERMLQILMNNIEFDKLIIDVVNYKSHRILCQLVEKCNKSVEVIIRWKIAETTTGIAKKMILNLPDIVKQRIIAFFSDESFIDDDLFIHLLSKTSGRTVIDCPNSTITKAALATVFEIVSIGSTKKEGRLYDMSDCERMLQSLIKNIKFEKLTIDMINDTSTRQVKILCQLVNKCKSAEVIIRWKNREATTEMTRNLICNIPDTVKRNMTGFMVSRGRKREKTSAASIALALLYRAINTI >PPA21348 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:474614:476085:1 gene:PPA21348 transcript:PPA21348 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCTKTIIQQTGLHQREAWLRAAESTPTWVWALIDLPETSAANYSENKHFVAIIQQVRDFFHILIKVHKCDPDMVKSLSFRLGARHRRYMTEVRHTNSVERKSPGDCTSQGYYKFRLKTKQQYELEDEHK >PPA21353 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:504171:504499:-1 gene:PPA21353 transcript:PPA21353 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPLLLLSICAATTAAAATPTVRAAAGAAKFDKKVDKSLLQNDRLWPDGFLKMKHSSYSHTQMEVFLRKYDA >PPA21299 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:176451:182723:1 gene:PPA21299 transcript:PPA21299 gene_biotype:protein_coding transcript_biotype:protein_coding MMFDQKHVFAPFTRLVLSLSAIGFAVFFFWLIDNHSKVGKKKEDEEEKVQSITPPSSSPPSSDLLSTIIGFLTGAGRAAADSDFVQRSFRDSERSPAAAAAAAAREHAAKIDLRPDVLSSSFHYKSPEETKTAADVRAEVDQAAFELRDLLGDQQAPPVPPHRIALPDWSSIDKSLSDLPRQTHDAAVDAAQRAKEFLGEIQPPTLACISEGLHDAYDRAAAPVADAFDQARGAAHDAYNRAAEPAADAFDRVAQTAHDAYDRVAATGADAFSGFGQTAQETLGQARDSAHDAYDRVAAAGADAYDRAGEPLATAQQHAGQFAAAARDGASDAYDRVAATGADTYDRFGHAVQPQDALGRAGQAIHDAYDRAAEATGDAYHRVGQTAHDAYDRVAAPITEAYGRFAEPAAAAAQRAADGASDAYERAQSAAARMIDPDTARLEDDFERVQRELDNLMAEHQVPLGVIIPGASTSTSPAASHQQQQPPLSGTSPIDEHVRQLTAGLLTSDADQERIPSSIPSLHDIYEERQRQMSPPMGSPVKIPTQEDRAALRHWEEELARKEQEKLSKLVDTIVGGDDISDIASTGGTEHLLPGDRISMYSQDSSVHPQQLQPLQQVGRRADDAEPLPASAKINQKIDQISSALEQSLLSEIEQLARQTSTMQAELARARSALSRYALIARDRDRQREWEMGRREEMRREDRERRRRERSVERGVQTEEEESDSRRNSGRHVAWDRSL >PPA21307 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:239946:240845:1 gene:PPA21307 transcript:PPA21307 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSNAWDLIHQDEGPSGSGADRRVSRSPSYASKISDAIFTLDDIDVDTLNAIKAEEAAMDRKKRS >PPA21322 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:309753:311255:1 gene:PPA21322 transcript:PPA21322 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPRSKYTFGVSKNTGLSAIANKMWTQGKEEFGVQKDKPVILERKGLSDVLDKLKKDQGVPAAVESSSDSSGQPTFVFGQKLAERVVQPTHPTSSSGSSATAETLFKSAAAAEKRSTLGDNGTVDFPAGPTFFLWNCSAMWLSILYEMSAITGRNKPEFRVDQ >PPA21329 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:338513:340351:1 gene:PPA21329 transcript:PPA21329 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGGIAATSPMPDQTSPHHYSGRGVDEGVEEVLRSRDHGISPYNSVRKACGLPEIAKYSEFSSKYLLEKYDISQKHDVSQLADLYKSIGDVELLAVSGREM >PPA21324 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:314486:315993:-1 gene:PPA21324 transcript:PPA21324 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTKDAYVEERALRRLAFISVAVSTASIIVAIIAVPMCYNYMTHVHSNIQDEVDFCIERSTGLWTRFNAFGDANPGRVRRQVHQHQIFFDARQLQRNEEVDRLTRRVVSQRRFRARGTGTYDAGGSAAAGGGGGGGSYGGPPPPAPAAMYSGGGGGGAAAAGGGGGSYATGGAAAAGGGGGGSCCSCGMGKAGPPGPPGTDGAPGNDGHAGAPGANGMNAPMGGGYAMAAADFCFDCPPGPAGPAGGPGPAGSDGQPGAPGQGASGGGPGPAGPPGPPGPAGNPGGPGTDGAPGPAGETIEMSGGPGPAGPPGPAGPPGPAGNPGTGGESQPGPPGPAGDPGPDGAPGNPGGAGSDGSHGGSGSGGGCDHCPPPRTAPGY >PPA21304 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:228197:230707:-1 gene:PPA21304 transcript:PPA21304 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-col-36 MTSAFRVISTAAVIASVVTLPMLASYVQSFQSHLIQETDFCKSRARDMWMEIHTIRRGGVQDRSKRAAAWLFGSSYGGGGGGGSGGRGGAMGGVGSYGPVVNADASPQCCPCQQGAAGPAGPPGEDGPHGNDGAPGAPGKHGNDGQVIASAIPPSDPCIICPPGPPGPAGSQGQKGPQGPRGKDAPPAKNGEKGEMGMIGPPGAPGDVGPPGPAGPRGAPGRVVEVNGPVGPAGPKGPPGPPGEPGVPGIDGRTEKGERGEVGDKGAPGAPGKQGPHGPRGPNGPLGEEGACDHCPIPRTPPGY >PPA21389 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:734746:735844:-1 gene:PPA21389 transcript:PPA21389 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQCTIYYYTTSWGSILGDFTVNICMLPIVEYHLDESETTEIPTTTTVEPTTTEKPDLHVTESLLWTVYSYCNSGKGVYGFCACLIRIGIGYDPVNGRLTMGRAIAQGSITPVRDSCPVGKTDLRNLGFQLSGQSYVHILEGSGVLVGWVSVAVGSCGANWPIKKWKSRKNNGMYAADMEWNADYKGMVQDNGAILYYMWK >PPA21382 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:679689:680521:-1 gene:PPA21382 transcript:PPA21382 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFLALLPLLCFATTHADICFDCVVIVEFVENLLLNREDDLEGKLDAKCHEQFPQDWADAVCDSIVKTKLDQIVKGIENDFPPDQICDQIGLCNLVEFLKTLGIVVPADRPAVNLPVA >PPA21278 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:18866:32998:1 gene:PPA21278 transcript:PPA21278 gene_biotype:protein_coding transcript_biotype:protein_coding MPPISAERQRLKAARKLKKHPRAVVIERPPEPTVPKEATVPSAPTVSKPASPVAHLKKPTVPATPPTVAHTKKATLPSTPPTAAHSKEAIVPSAPTVSKPASTVAHPKKPSVPKESTISRLVPTVAHPKKPTVPSAPPTVGHPKKPTVPTTPPTVSKPAPTVSHPKKPTVPSTPPTGSHPMRDTVRQLGVRSPSRPSHIRFTSESPTNPAALPSPAKSFDNGREENSEGEETRRIGRMQRDSSPEVSTTSSESDDDDNGKEVDRKKKLTKRKEVTSSKMTPSKMTSIKLKLQEKSPKDTTMTSSEKKKDKKKSEEVKENIVTKPEKASSKEPRLRPSPDLLQMVAERLANGHGLLVFREICRETRRIAERQRRAADSVLHLEEFDDENAFTRDDMTAWWEERREVEDTMVREGKENRVHSQISEYLKERAVEKNLLRDMDGGVSHPSCAASAVDPLQLLQHPLETLDAACLLHGSQAGAAARLLQQEGRGMREVWLGHCSAKLVRELKYLKSIERFEHRKATMQTLENLPLLQITELRLDMIDDLVTRSALSDHLVNAQNLRALELNIAPTGPIDGNTSSHYSLFTKRSYDRGDYTEDLDWMIPNVEEGEPDPVTLCMPSLEHLATNVANAKLFWQLRDSTLLLRHLLSLRVGTLSKTKELIASSELFADTKESRRYKQPGFFALRDAYETVLESVTLRLADRVGMCRLQQETATIFEAIAELPPSLRRLSISLFYNQFSGVCAPFRKWAEPGVEAPDTDHIGIEEMHLHFERAFDLRGLPALLPRSIKTLSIALNYETDDDAKCLGPFLEMLPGFARLENLEDLHIQVWGVRCLEQLVAALSSSSILALRLTRLAVAAYPMDDRFFEYALSAIEFFEVPAVASSWLRSSLSAFPRVRTIGLHATVLTRLIPEERRSGYSWRNGVAWLANEMIGGCVGRKKEDKNGRNLDEVSLTASSALPMLDDGEEYTITPHWQVEFDATQLVLSPEDEEEREEVEDASYSIPHGADSDDSFLTDGEDEEDHAGEASRDDLELMEEGLEDETERIERRESRRDEKVRAAMERGEMVEHEEEEEGDVIDFDRISDQEDDEEHQPRSEFFDDEAVESDREESEEEENGKHEESADSDCSSEDDDKEREEERMKEEGRKQKRMERKQAKLENEVVRCKRKRAFDSDEEEEEILQYYKVASIHY >PPA21331 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:348438:351200:1 gene:PPA21331 transcript:PPA21331 gene_biotype:protein_coding transcript_biotype:protein_coding MGMIGSRAMAMDSFASSAVRNFLFSRNGLARSGMDLISINILRAREHGVQPYNDFRSKIKDQRPLSIRELCSLGRARSFHDLANEMQPRAIENLQRIYEDVDDVDLFTGILSERAPRGALIGPTGLCLVAEQFARTKKCDRFFYENGAQAGSFTTDQLSEIRKVTFSSLFCSSSRILKRIQPDSFTLPDDLTCADTTNIIIQKRARSVLVIAAYRPHTVDRETSALAASCSIYGVSIAVGASSTPTPCQTCTCTKDGVRTPRVSCSARSINRGSPNKQY >PPA21277 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:16035:16233:-1 gene:PPA21277 transcript:PPA21277 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSKMTSIKLKLQEKSPKDTTMTSSEKKKDKKKSEEVKENIVTKRTRMNHCAPSQDA >PPA21374 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:631717:634072:1 gene:PPA21374 transcript:PPA21374 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSYNCLLVNDIGGGVFHVQLNRTKQLNALDQEIWDEIGNVFNKLDTDSNCRVVILSGNGRAFSTGIDLTCTKIHSGKCSKPVIAAIHGYCLGGGIDITSACDIRYCSKETDFSVKEVAVGLAADVGSLNRLPHIIGNHSWLREVALTARHFGAKEALEQGYVSRVFETYDALIAAAKETAKTIATSSPVAVQGTKVVLNYARDHTVDDSLRYVVGHK >PPA21321 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:308319:309413:-1 gene:PPA21321 transcript:PPA21321 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGKEAFQKALKNAKGAGAGVGLLIGAGALAYGAAQAMFTVDAGHRAIMFNRIGGLSEEVYKEGLHFRVPWFQYPIVYDIRARPNQIRSPTGSKDLQMVNIGLRVLSRPDPNHLTKIYRTLGQNWEERVLPSICNEVLKSVVAKFNASQLITQRQQVSLMVRKALIERALDFNLILDDVSLTELTFSPQYSAAVEAKQVAAQEAQRASFVVERAKQQRLEKIVQAEGEAESAKMAC >PPA21330 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:342933:348316:1 gene:PPA21330 transcript:PPA21330 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGRRTHRERREHTKESISRNQSNYTHGDPLSMYSGIMRPKREAMEVSEVSCVLLEATRFLVHARAELFPETTTQSLCSELLPQVDVSRFVGNWSFLFAEGDSQEACLPQQLPCDPTTPYRMFDGWCNNLRRPHYGNSFGPLQHLMQPAYDDGVDAPRSRAKSGRRLPSPRVISNEVHFDVPDEHERYSHMLQQFGQFIDHEMTHAPTSRGPGNELKKAINLLRFPLRFTHGHLLRVPACGRAHQRSLLPAVCGRGEEMLAAHSLTARSTCAWFEEPDQSGIDNDYVGEVVTMNAVRRFFRHVLIPGRRRSESTFKTDWSPCDGYDLLRCLVPQNPGSYLSLKDGLSSLEDSSTKKTPAHARLRIRPTVGLNLRATPSTVLSGTKGAGLFLQPRKEDQSTDHGLHRRLCRVWLYTRDFAPIAIPRGVRIVPRGAMLPGRRRAQLATSTVDRAAHGVPTETRRIISAMLAHINYNEYLPKVLGLSYMTKYDLIPKSTGYFKGYDPTCDASISQPFATAAYRFGHSLIRRFLHRLTPSYHNQTSPVDLAHTFNGVASIYDGEGGRSHIKNV >PPA21337 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:375384:375945:-1 gene:PPA21337 transcript:PPA21337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-jun-1 MDDQDAKKLERKRLRNRQAATKCRQKKLARISELEQQVNQEREHAKQLDYNLDVLRKQVRNLEQAISVHAGSGCQLH >PPA21364 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:563758:565662:-1 gene:PPA21364 transcript:PPA21364 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAVSLFLLLPFLAEATKETNREESNWIKVKLYNSAIRHHWKNEVVLKRQPVNFVELVGNPNAISQVDSDDESRAVAYYYMIVNGTTIDREVRYFLSPFQVSAVGDLNIFFLPGPLANWARNIGPTDVDPSEMLDRYPPVAFDDLPPMPSMIRRKIRELTYGYDLLRSSGISREKYAREHASIGSKRVQSVDNDVDKPTTTTTTTTTTVAPRSVRIFDL >PPA21345 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:426211:426952:-1 gene:PPA21345 transcript:PPA21345 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVSYCLKTKIWWRKSEKKLRSAGVSTVISQFYQIQDLLNICIAALAPLTVGDTVTWRPEAIELYWRSFVRYSVDDSLSLPFIYERNNHTFARCIGCEEIQDPKEINWIGNWKKLEKFKNGITV >PPA21365 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:568759:570930:-1 gene:PPA21365 transcript:PPA21365 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFSGKVVIVTGSSNGIGRGTAVIFAKEGAKLTITGRNAQSLEETKQLCLKAGAKQSDILELIGEITDASFNERLISATVEKFGRLDVLVNNAGGASMTNFGKGIFDIPVDEFDQMMELNVKQVLRLSQLSVPHLEKTNGAIVNVSSIAAFHQQSKMPYYSAAKSALDQITVQMAASLIKKGIRVNSVNPGPVATNVVVAAGGTKDDQDKMFAGMGSSMPLGRVGLPDDIGKIILFLADRSQSEILIGHIVTADGGVTLKSAMFPDA >PPA21314 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:271883:278755:-1 gene:PPA21314 transcript:PPA21314 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRADYEPHTGDPEQDLAYDREQRARARTSSDGSDEVPGEVAGYLAFFANAIENEDNVDEGWQFVSDGWSETFIVRQLFGQCGVSDHVRHLYESAFPDLTERFFRERMWPDEHQVEAIVGPGRRIFIILYKELYYRQLYARNQRGPSLTHRYESFMNYQDLFSEVLNAKEPLKLALPNIWLWDIVDEFVYQFQAFCLFKANPSKRILDDIDELMNIEENQNAWNIYPVLNILYSLLGKSQINEQLAATKAKQNPDDVADEFGQSKLYFKLGYFSLIGLLRTHVLLADYHQALKTVEGLEMGPKGLYNSVPSCLVTLHYFVGFSHLMMRNYGEATKIFVNCLLYIQRMKSVQLQNQQAKKNFQYDVIGKTNDQLYQLLAICLTLQPQRIDEAVASQLWERVGDRMSRMANGDIDEFRSCFQMGCPKFLSPTTVVYEGGNLSKEPLIRQCQAFLEGIESQIALPILRGYLKLYTTLPTHKLASFMDVKEADYDSFLGKLLTFKMIVNELGKDVSTVGVGADASDVEETTTDIDFYVDKDMIVIADTKVSRRVGEHFIKHIHKLSNRF >PPA21362 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:548834:554423:-1 gene:PPA21362 transcript:PPA21362 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAISPHTNTKIISDKQSELRPYPPYRTQPIQEAPTTVFTLPTRKFVFVTQPPATRPPPTPTAPAAPLPSRPGMVVSYASTIEYDGIRLSHLPVRDCAKETMTRPEHPTTPHPTVVTVANPPPVPTISEFVLDEVQETSATPEDIKIDLRTLRALTSLSNEEIDKIRRRFIEVDARATDAQLAPGHELDEAMFKGLQMMEDFEARAQGATTVEEDGGTAIALEDLTPMSQRFVPMGMGGRLATARAVKTKNMDVEYDNEEKGREVDDEVKERNIVQLRSSEKMRRIERANPGRVLIFDDEMGERVRESRRIRLQNLNEPLRRFEKVNNGHHSPSRKKFVFVRPDRFYDKNGVELKKANGIDEAQLLEAIGLGSGRPVQLVSNDREPSRPSPAESHSHSSTRSPLVGMPIHIAAVTTPLRRLTPPPVSSTAPPHVPTRGQPRPPAHTPPVHLLPEQLQLLQLAAAQPVQQPHATAMQLPAHLTPPPRPVQQLQQPQQPQPPTLSSVQVPQALPVAPQPFLVPPVLPVTTLPRVQPSAVQQQLQPLAPALQQVVVTPRPQLAQIVFSQQQPTFAASVQSPQLQQQSAAAAAATTTTTVAATTAQPQTTTTKQPPATTTTAASATTRAAFLPNPALRNPIHPSIPFTPALNGLTVPQFLAQFTAQQAAIRAAAKQLQQLQQRTPKAAGAEPAAAAVPAATAAAPAAAAPPEVLQFPAAAFLQPQRPLFWPPPPVQQFPPWFFPVARGAKTAPAGKKEGDVITVPETSAARSST >PPA21368 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:577195:585379:-1 gene:PPA21368 transcript:PPA21368 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQSGVTSVEKKDGRRFGLRAQFALSAYKELILFHQYMMDCGTADEKEVATSTCKHILRMDEYRDLSSKLMQGFMPGVLSKTFLRELVLVNHHYFRLLERSHKAGLLTHVTKKQRVRRNHGGRGRKSKKGGKKEGEEMGLIGGDDDAAQRAAEREANEKLDGMREATAEDMWDGLEEGVRAVLKGEEEEEADVRPIEVTLEVDDDVHQRFAMLRIQRALRSGRALTAVSLYHAARAIWPTDGAFGTATMPLEDEMNEIKAILVTDLKEVATRTRGGGAEDGTVQWREGGEEEEESTINVVMSTIFEEGEGEGEKEEYDSEAEDEDARYERREVDFDFKEHVHKFARNDVLKWYMFLLADFETNPAELNHALIRMLHRVAFELGLHPRVYMRFLGFEDMPNHQHNKVSLFMVFEKLRQKMEGRSQEEIKKSAFYEIYQFGFHLLRK >PPA21320 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:307644:308195:-1 gene:PPA21320 transcript:PPA21320 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-phb-2 MKNDPGFLKLRKIRAAQTIAKTVSASGNKIFLPVNGLMLDIADKDYLNISLILSIE >PPA21325 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:317053:318684:-1 gene:PPA21325 transcript:PPA21325 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKTAYIEERALRRLAFFSVAVSTASIIIAIIAIPMCYNYMTMVHSNIQDEVDFCVERSTGLWQRFESLDLSSSGRVRRQAYQHQIFRDHRQLQRNSDFERPTRRVEAKRRFRARGTGTYDAGGSAAAGGGAYASGGPPPAPAPAAMYSGAAAAGGGGSSYGSAPPPAPAPAPAPAPSYSGAAAAGGGGGGSCCSCGMGKAGPPGPPGKDGESGKDGSAGQPGAPGENAPMGGGYAMAAADFCFDCPPGPAGPAGGPGPAGSDGQPGAPGEGASGGGPGPAGPPGPPGPAGKDGGPGTDGPPGPAGEVIEMAGGPGPAGPPGPAGPPGPAGNPGTGGDSQPGPPGPAGDPGPDGAPGNPGGSGSAGEHGGSGSGGGCDHCPPPRTAPGY >PPA21308 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:242024:248191:1 gene:PPA21308 transcript:PPA21308 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-93 MDRYQAWHFQPGPSSTRTTPERPVEHRFRAPAYFNNSYVPDRAAYAPEAAAASGAAPSRLRISRSAPPAECLAIVSAAADAATFEGYERAPAYLRAMALASRRSPQRQAPTTTFSVFVNLQRRPARGRAAAVILEHRELLDSADEGDDDVEVVVFDARSRFETTKTIRTTTTATRKRPSLLGARKLSKTGGIRGLIKSASERPGVEAGKEAVHEWQSPAIQSLRKVSQQQQLGCHVMHVDFITSVKVLRKIGVKAQAKPRDPILFKHRELPEMKLEDVHCMRPKEYFQKSKWLNFRTMQEYTVDDDLMAIAAGSAFPGDTGQGYDNELMERSYEYDPYCPVHGSRRRKKEKGVVTVQSLISKYEDAQSQGGSTTREMIYSQEFIAKCIRKQKRAALSGPERRRTEKVLRKIEANLWIISLAFLFLFTAFHGLQNLQTSVNGTLGADSLAVLYTSLAISSLFVPSFMINRIGCKLTLIASFFVFLLYHIANFLPQYYSLIPMSILAGVGGACLWGAKCAYITECGLRYAQLNIESQSTVIVRFFGYFFMVLHLGQVIGNLLSSFLLTTVQHPNRHGTTCGNRFPWNETMLSEEAIGNLALPSSSIYFAVCAAYLACAIVAVLILVMFLNALHKDVVNRYVIH >PPA21289 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:89226:94569:-1 gene:PPA21289 transcript:PPA21289 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDVLLMITLSRGIKEGGNLMSLTTKTLLHVLPSTCYEALKSVVAKFNASQFITQRQQVSSLNLFPSSAPNESTRLDNFESALEGHPHMLVEFYAPCCGHCKLLAPCTPRYGTFTIDIINILMAAEALKDEGSEVKLAKVDATVHGNLASKFEVRGYPTLTLFRAGKTTEYTCECLFATRGRDAESIVNWLKKKTGPTTVTIESSDDLKAFAEGKAVYTVAYIEFTDLTTENIVSLNERFLVGELKQHLMSADVRNRLMYNRSRGWDTKPVKVLVGKNFNEVHKNSGKGLLVKFYVPWCEYCKSLVPVWEELGEKYGTSDKVLIAKVDSSHIEIGETTEDEKKEEHTEFAGIVVPPRADGLAVPSGDWTKGLYFLLSAKQE >PPA21376 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:662485:667868:-1 gene:PPA21376 transcript:PPA21376 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRARDVSEKKYDMAHKCHQLLQALSDRVSDWSYHCKLELEVDAPGTTQGIESNFLNETMMVAAAAVNSRTAGSAAPPSSAPPQPPAFRVPDEKPASRRDRVGSSSMSRESTPMFGVGTGAGERRAEAGGGRAAVYANNSRTRDSSLSSSRSGSVTPYDPQAAAIATSTTTQQQQRKRKRDRERERQQQRYAAAQQAAAVQQHQLQLQARQQRDQSVRDQLQQSQSASSSRPGSRGGSEVPVLKDPMSSYMRKKKEREREERKLRETKH >PPA21326 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:319492:321915:-1 gene:PPA21326 transcript:PPA21326 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKQACEDERGLRRLAFFSVAVSTACIITAIIAVPACYNYLQHVQSGLQDEIDYCKSKSANLWERFEDYERVPRSRRDAVHSSVFYDERQAAGIAHNSYSSVERLVRKAALRFRARGAGTYDAGAAGGAGGAAAGAAAAGGGAAAGGGSYAGSGGAAAAGGGGGAMPPAPVPAGMYMGSSGGAAAGGGGASSGGGGGSYATKAAGGGGSSSGGGGGASSAGASAAGGGGGGSYASGGGMSAAGGGSSAAGGGGGGGSCCSCGMGKAGPPGPPGTDGEPGKDGAAGEAGMPGANAEAKAMSAAAFCFDCPPGPAGPAGEAGPAGSDGKPGAPGEGPSGGGPGPAGPPGPPGPAGKDGGPGTDGPPGPAGETIEMPGAPGPAGPPGPAGPLGPAGNPGTGGDSQPGPPGPAGDAGPDGAPGMPGAKGSDGEHAQAGSGGGCDHCPAPRTAPGY >PPA21358 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:523341:527105:1 gene:PPA21358 transcript:PPA21358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-unc-4 MEESTLDVSHVWNEFWKQQLGSGAALALASLASAASSTTMGMEVIDDVIGRHLRGAEGSSSRDSSREPMEIAEGEEAGDDDTCPSADSKRRRTRTNFTGWQLEELESAFEATHYPDVFMREALAMRLDLLESRVQVWFQNRRAKWRKREQPKKGGGEGGMVTTMTTDSPASEAATRDAVQYPSKPFIQIYDSTKCRVFISRFRPDLSLSFQERNDFSIERLLAASRVPRGRRPNAKYPRVQACKHMSPYMIPLFTVSQPVGATLKIDNGQSCQEPVTLAQALSAPSL >PPA21360 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:532686:533935:1 gene:PPA21360 transcript:PPA21360 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDQCSERFIHHPFPILCSPPCCLFPLSLPMASSGTAGASDSAQADHEQQQAQPGQPDWAPKLCPTQQFARDMQQAFGGMRGFGSAMGAFGETMRQQHQPPDQGPQRQQQAQPGQHDWQQQLAAGMQQLERGMRVIEGMNGIFDGMGAAGEGTQNRQQQGQPQQPQPQQPSARGAHRVADESGTAAAAAAVASTLAPRTLGTVVPTEQQYDRGIRAYDEGMRQYRRAMQPLHQNSCTKNTRFGHYCSSSRK >PPA21356 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:514176:515151:1 gene:PPA21356 transcript:PPA21356 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFVSSPSLSPGQVEEMLAKLERTRIERELALSEAIEQRKRAYELAQEREALTWTAPGGALTCAMSVAASYHHKNLIYLLPLLPIATFVGYKTHLCYGSKQQKIAACTSDLLKYDANLLSVSPIDADHIKRRIKELQMLRNEMD >PPA21377 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:668317:669210:-1 gene:PPA21377 transcript:PPA21377 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLEDIIELIEDVPDELQRRGGLIQDWERQASEYKREADKLTARLHNDNTLRDEDKAHIYRKIGEIFSDVSYS >PPA21279 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:33124:36720:-1 gene:PPA21279 transcript:PPA21279 gene_biotype:protein_coding transcript_biotype:protein_coding MYELEDSSYEDYPRKFPFSRFVIGVYVQVKNYLVNCLKFMENLQMSHSDIEDSMRKFANVLLVRWSEHLKQYIHENRTLIQLVQMTVNMGYMEKSCESLGPFITRIVNGGENPAHATGHLVALSDKYRYERDRPFKVFRDARTEAEQQIEESLRKKVDLFLDNIAYDWELPSSAGHASDYILDLISFLRTTFTSFTNLPNTLAKHVCIQICKYVADSLLMILTSPDFRIVSRGALEQFNLDVMQARAEMFTAQAPVTGLDPQTLPVTFATLRQLLDLVVEDDWTTFCAEARNDKKRYDRVKINDAIVILEKKIEWEKKTSGLFSMKGSDRKKLLESVHRQLRIIAAEK >PPA21333 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:366484:367904:1 gene:PPA21333 transcript:PPA21333 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNKMNEPVVGDRVYAYKERIEEKNPKLRINRVVDKNSPLHWDFECERCALNPREMKEIWNGCPPGFVSFTFPTLKQYATLRTLIEKLPNLTPVRATRLILENGLEEEDNMTDQTVEETVSNTCQHALRVLAGPEVDWRFTIRDVNPRYERAYRKGLGEDVEESIGYGVVIFSNGVELSSIGGDSARWKWIEKMPSEWAETLRELDKKESKKPIKKLLIHWPRRMELGESHKLKKTIIYLTESNCWNVIIVMEPCSVETDSNYLPFLIEWSAEKTKTGWIRVIVSDGAVSDGTPVVALEKCHPWMRRDHWEFAIEAWTKGMPWNPQEAKKHLANKGFDGEQEEIGSKKRDKDEMMIQKVKTFHPKPVDTRICNECQGMGHIARKCPLLAAGGNNNRKRGIERGRGRI >PPA21375 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:636192:646478:-1 gene:PPA21375 transcript:PPA21375 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ubxn-3 MDMDQLSDEQMNKLRQFQDIANLDEMDLAVVTLASCDWNLEHAIEAHLGGNPPVHRAPQLGINHLDYAPDFDGEAMMVDADEEFAPQLPPPRRAAATTRAATAAAAAASDDSMASGSSRSRAAAVAQTPAAPVHTKVEEKVSTSGKSVSTSSKSTSGIVVTPTAAAAAAEVDVVVPAAPAARAAAGYSSAEESEDEDYDYAMEEQPDDEPHVRVLASNGGSARRGGGSDFGVPLIPTDCASALEGTQNFQSVFEARYGKDGRGHLMPPFFIGTLQSAISEAFNCPDRPVSERRPLALYIHHDRSVARNIFPQAVLCNDGVLGLLRSQFIVWPWDVTAKQNEDKLTAWLSECSLYDTRPIVASFLNNVDRFPLLILLSKDGGQLRMIDFVNGSEGADQAMEKLLMCMDAYATSKISLEKQETERQERELLRREQERELQESLAVDRERAERQAREIREAKEAEERVAREREEREAHIAALKSSLPEEPAAGTKGCCSVRFRLPERGIVDRRFSKSSPFSVVLTFLESEGFPVADYRFMNSDFPQKKDVSAWEPKSTLEELKFPEREVINVEER >PPA21366 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:571707:574691:-1 gene:PPA21366 transcript:PPA21366 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEDDLVGHTLIALDKAQTDVGASRRSTLTQRQPPNPYKNKRKIVAVAAIVILGLTVWSCIAAYHVVKHLVWGPDVVLEESSAGAEIHVPAPSPLPLAAIRWTAQHFDSGQEKGKIKDQGRSRAGKSPTIACIVHARRQRLQQVLATSKTWLPTCDAHALISFGDVPKELQSFPHVIISQPGFERCADRIALNISTVLFPTADWVFLASDDSFVMVDRLREWLGGLKPGSYPDLPSDGNKPSLLISRASLRSLNVSECDAGASPSVASLVKQTKKLHPLRPIDSKGRPIVLNKYKTDNQFDLSPQLSIIEKVSAAEMTLLHHIHVQKHD >PPA21293 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:118593:123837:-1 gene:PPA21293 transcript:PPA21293 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNGSSGGSSASVASRLYHGRTGGASGHSRRGSFGSSEPDTELISFSDGEPSQHNDINYLSTQVSSLSKKLTDMEDSRSMSIDERSRLKTENARLNERLHMMEEQGMATESRLTERLEEERTRHREMLARCEREKQLEIESASLKYQVLEKDVIQLRAEKEKQDGVIEKLRKEMEKLTDQLAEAEMAREETEEMKQELEREFRRYKETAQQDMDSSNELMEELSRQTQEMSQHFPRQTSVADQLSAAEEEARNMREECRRLRKENEELQAQLLHDSLERGRSLLEDAAGTSLADELSGKGTTELLEALREQEVCNFKLKQYINGILMRVITLHPEILEIPAPAEDARGIIN >PPA21355 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:509012:509241:1 gene:PPA21355 transcript:PPA21355 gene_biotype:protein_coding transcript_biotype:protein_coding MERQPAETNRNIALPPIYKVKLKHTINMNINSRRNLKKSKFSKYCICNEEGEE >PPA21300 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:183710:193048:1 gene:PPA21300 transcript:PPA21300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-149 MLDSEYTSDEHSEGLHDLRQDAFQPAGDMRFIPADQRADQPAPISHRSSERQSGESDDFVKITPPSHSGAPSPSPGIVCMSNSEEEQLKRLVEEYDVIGSSHTSTLKKDASAPGALYSSPSSTPAAPPFSQQQQPLPSPTTAALLQEYETVQPVQQQQRVQQHSPSPVQKEQLRERQISPEEQAQLDQILHDFELTPGSKRKDVLNKPLPRTPPHTPENTLMDPFGRPIMEDQERRSGNDDDFPAVQYMMADGADTTTPTTELRFKLAPRGSIGDLKLQFPRLPSEAGIEVVDPAHAHLPKDAFLPSDLEDVLASLVAVDSHELFLPSQQPPLDEAAAAAKHVAAHDLQQKQQETPILSGPTPEPPVKKESADSLFYVRKPTVIKVEGTAAPVPQAQQPLLQQVSLDLSQPLQPPMVGYDRPDTTGKTVTATADFVVRQQPGNEEDQFAAHSMKPQMMPAFGKIKQRPASATIPHEELQQADAIFQDALDYMHAQKQPEAQPPQATGFIMEEEMLSSHGENVPRRLEKSADGTSKLIKTNEIFERLDVHDDHDDMTYAPEIQSVEIPPDQLSETSELPHEDLPIDDVPVMFKSPEAQKLEAEIRAQESAMDEAKSAMQHRAALAAAPHIISMNPNKNSQHPRFPSTPSISSAKDSPKPRIYNVFSTRRRMYIEKRGMKALRKQSSLLSILGVTSTQEMLLKIDSLEALSNAMRKAGLESTNVIFGIDYTASNKYQGEESFGGHSLHTIHPNLKNPYQQVITILGRTLAPFTTTGDIPVFGFGDAKTSDWSVFSLKENGHCTTLEEVLKTYNEVTPTVELSGPTNFSPLIYQAIEICQRTREYHILVIIADGQVTNEKATRRAIVQACQYPLSIIVVGVGDGPWEMMRVFDESLPKRPWDNFHFVEFHEVCKRASGAADGDFNRHTSDLPQEAIEKKLF >PPA21361 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:538535:540517:1 gene:PPA21361 transcript:PPA21361 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLVSVALVGLMVVATVLSIDIEEEDNAIVRSQRSQGRMKRSPFIRQLSSTNPSSKDQLESNGRSSGEGLAPDFTDLTTENIVSFNERFLAGELKQDLMSADIPEDWDTNPVKVLVGKNFNEVGKNSGKGLLVKFYAPWCEHCKLLVLVLEELGAYVIVAAS >PPA21302 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:206721:214832:1 gene:PPA21302 transcript:PPA21302 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ltd-1 MNIFAIYKRFDAHRKYNNNLNFCRLGDQCCHRCTKKVYPTDKVGPLKDGTFFHNGCFKCYICGTRLALKTYCNNRNNIDDKEVYCSNHVPVASPHDLPLEKRHQSNGSTTNGHNGHDKEFRSNAGLNDMRIAHALKATKVAKPYPKITHAGAHYVVDFDDQTRLELIHREHEDLMYDEFNKQREEESHEFEKETKEEWEKALADFARKFERGQANKNQKDDLIRQLTITREKKLETLHSRRKDRERYLTQELVDRQAKEMLELFKQKRNEVEAPEDVPAYPPSPPPPEPPHCSKREIYTSTEVFEAVDQIAVHTAQSDITSFTKLVRLLTYEARSDVEKARAIYRWITIKNLNLMNFDDRVDKDTPMGLLRGIKYGTESYHVLFKRLCAYAGLHCVVIRGFSKSAGYQDKTVSKLQPGLAFEDNRFRNTWNAVYLDGSWRFVQCNWGARHLVNAKESSSYDTKNDGNLRYEYDDHYFMTDPEEFIYEFFPEDAAWQLLQRPLTLRQFERVPFVRSLFFKYGLHFTNPALESVCYTDRSGAASIAVEIPPEAADNLIFHYNLKFYDDDETTMEGLSLKRFVMQAVKDRIVSFRVHAPCTRPLLLDIFANSVSSGHYLTGQPIKFKSVCKFKIVCESLGVIMIPLPECASGEWGPAKATRLFGLYPITHPEAIINAGRHVEIRFRMSKPLSEFVASLHRNRTDDRSLQHCCVAAIRGDTVIIHIDFPDEGQYGLDVYTSVHCKTRRRSTASNCSLTAASI >PPA21385 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:703305:705287:1 gene:PPA21385 transcript:PPA21385 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-stg-1 MNKEVRGSDKFVAYSLTEHFCEQVNYDQDDDPADVTSGVQQGVRKAFFFMLSGVFLDVLGIANFACIIVYMSAVSKEVGNKIFPASEMDEPLFHYQYGYSFIMLKVSFLLTEVAALFSVVVFMAKRDERTFHRFKIRSLLSSALSPRKEREGVASPNGRISPVHSSTQSTLPPTPSTEEEEEEEEVIFF >PPA21294 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:130279:130665:-1 gene:PPA21294 transcript:PPA21294 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTLAGGIVLDTSGEFYDTASGDDKTVYRCHFVVCTLPALIAEALVSSAQTRTGPISPLPLSPRFTYSEAGKTVG >PPA21340 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:389543:390649:-1 gene:PPA21340 transcript:PPA21340 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEDVKYSASGTKDVTVILFGWAGCKDRYLAKYSAIYEQAGYTTIRYTMPIVQVRGYFSYKLFAKQFYEHVFADGTRKPAQIVWLVFDL >PPA21274 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:3751:7459:1 gene:PPA21274 transcript:PPA21274 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVENGGSIGMFEFKCVPETSTISPSVKRLYLCYLAGTPILQAKAIAQKRYDDAKKIAGGERLVSGSDDFTMFFWNPAESKASIARMTGHQQLVNQVAFSPDTRVIASASFDKSVKLWCGRTGKYISSLRGHVQAVYQVAWSADSRLLVSGSADSTLKVWDLKTKGLWFDLPGHADEVFTVDWSPEGQKVVSGGKDKSSLMIVIVVGR >PPA21347 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:436333:469092:-1 gene:PPA21347 transcript:PPA21347 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mat-2 MQHLRCAVVFAISAAHTPTFTAQPPPAGALGTTGRRGGAAPHSALQQSATRRRMRSLLAGPLASPITPTLHAGMTGGGGGGSCHPSGIPFSAKFTPNHSIASMGESSPFVRLARLPATSTPLARGTALGGGAAVTPVMQQQHGAAAQLTKRALSALPLSTSAEDEEDLLISSVWLELLWTEKDPSERRATKFFISHDLNMVPYVNWMVGEQLAVMKIALPNTSSRQARIPTLICPPGLQPTFIPTAGAEYVEHSRLTAIVASDQTSIGLYSGHSKAAVLVMKMPLASPARFRLLCAADNTIAVTECIPQEINLNLAATMNGDVLHVRLPPLFRSQFAARMWDTLADSLATIPDKLSKLLIAWITLNKEMEVASLARLIIEHAGVSMEEMAPEIENVDESDSALDDGDDNDEMAGDIPDDEVPAEDEIAQVLARMSNKQRRRATVAGVRRILKKEAARATTHIPSPTKGGDRTAADQASSSMSDEAVGEKRKSEEKTGEDTVGAKQSRSEESMEEDEKWRLVCDVLQSFSGAFPLPPSALKDPLRSAGARLCSTSSATGGTAGGEDAAEGGGADASSGRAGARAELTARTPSPLYPWQRETKEEGADDSMREPTEPMSRFYRAAVLCRRARDDAYSECASAAVKRRKRRVLLTTSAETEERTTMGAVAPVVFQNYYQARACESVEWLKRECTGGMKSTVLKALHLSYEESKMHLAEYEMLRVLVLHLFIFARSRSFGAYANYYARDFPYLREIQARDFPYLREIQVLSRPSVDSDELELLPPLKERDEEGGETEEETKLERAQATSWDRCRRTPGERRGGAYGGLLQLRRTQAAGQGAVTPTTASLFTPPTGAMRGQVLQPPAAAALASFSPAVVPSATEYLCSLLHLAGHTSSMSIYESLLNTIPSPATTDRGQMSSPEELLQQLLRDKETRAKYPGPKNREVPNKYVFAVPRGARSGAFDGASSSSVAVDGSFASPSTAPTAAGANMSTPSMLCSPLPPQVRNERKNRGRTWSDDKLGFGEAMKPQVSSGSFSLAPPATPLASGLFAAAGVGLGLVRCTGDLVRMLTGSWARRLRVKEEKAQEILAAVNDRVTDDPTRCERVFVALGWSKDTVDNLNPTLKILIAMITNKPRSQKPSLFFGKIEVPSKLNDFPTPADTYHLLRRRWPHDQRTENVVSMMDSFRPIYVPIVAKLSPIMVTPGNYDAAAAEAEQREKQEAFLAAVNHRTLSQSFGRAIMNFRTVLPVPNKTLHVRDICLQGRIHTSNLPIDVPATDNTKVLRDWGDFYQGVAVGLTVVPAEVMETDSEWLTQSHGQDKVRAVSDERFAVRVRLNGHIKALNMFYVHEHLTNQDRMTSVSLLLGLSAAYLGTGDLQVHKILVTHLPFLMGPTLLEIHVDGLLQTAAVAGMGLLFARSCRTALINHLINEMGREQDLETEQPSIDRYAYGLTCGFSIGLIGLAKGDEIAINNVPLKQTMRAIADRLIVLMNGGCRSDCVFLPSGGEAQSTLGVNGLMPEQLSTAIGAMAAAAHHQAQSANHPLGGVPPPSSHVKEDPEHVNVHITGHGATIALGLLFMKSGNRNIATSLALPNTIYELEGIRPDLYSVRVLAKALVEWEEIAPSREWMMAQIPPVIKKYEGILIRMEDEGFVPTREWKRYWERIVDRETVAQTYLYCVAGALFAMALKYPSTSHPTISNMLHQWVEVLMPRREMDRHANYWNTNENRGKREGYSRMCRLAGQSCVSDCLNQVVLALAVLHAGSGDLQALRVFRALRMGGGGDPLKWAKETTSMHAQQSTAHTAMGLLFAGAGRCGLRNDDRSIALLIISLYPVSCANVADNKVYLQPLRFLWSLCLEPRVLYSVATSNGLPTAQTVKYEWKLEKAKKRVIGENGVERLVDIENTHHEVKPVSSKVPVVLPPLDMLSNVTVGGDFIDCSQFDMSNAEDRASLEKVLRLQHGRVAHKSIDAQAHMVDTALAACGARTLQPTGAMARRAAAAAAAAAAGSSQGGRLFASPPQQTTQSMQPIRNADDRWTDQTVVVPDREKLNRWMDKLQPSVGDPLYQCAHGPIAVKAINEMHDLTYHWCLDSGSFEAQAQVLSVYKRLLTEDMAESALAYNDATFLCRIIEGKKDMHVGVQRHSNGADDGRADHAKGRRIEAAQPRAA >PPA21282 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:48721:51264:-1 gene:PPA21282 transcript:PPA21282 gene_biotype:protein_coding transcript_biotype:protein_coding MKKALDAMSLILSSNTDHNDIRNALLSLDKDRKFAKQFEKPKDSVRVVGYGLLECIQLTLLRLTEKIENLGGVIRSTTTEVNAEPAIPPITAPPQAPKEEPTDADLTPVAMENENSGEADAPCPSFSSILKDDPDLLNFIEDFKHETAEDHNFPSNSGNLDIGNMDGAGQIEQETSRTPVVKSKTKSSGTGIKTTKRKRPQEDSDSDHEYRPNSAKKKAPKKSGTEMKCHKCEFRTHYVREFASHLQHKHSTSAIEDGVALRCECGHESYVATHHSIVCPRANFTVIPKPKETTTPTTPKCILCDMYPKSAYGYAFHLHHIHKTKLKEKGYQLKCACGDLRDNITAINKHAKMCKGKEFTLHKASKKKNE >PPA21312 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:264523:265151:-1 gene:PPA21312 transcript:PPA21312 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLWRSLLCLLCLVCLIFSSVAASPLAQLDPKLRAIIESDPELMAEVATMMRAGTFPGVYDSGRLFNYQRLGK >PPA21332 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:356012:358270:-1 gene:PPA21332 transcript:PPA21332 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRCVNLQEGATGEDDLHYLIDFDLAFLGDSPDAFVAHEMAMRSEHPYLSDMEYAMKRLKTFRFFIQIPNIYATKELRDKYEAKARVNIASEIETLQRGTRAYDT >PPA21373 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:611160:627169:-1 gene:PPA21373 transcript:PPA21373 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTLDFPALESSGPAPVISRPPPVPHRPTPTNWGTRPGADIKTKFVLDASERSHKGKSFGPGTDEQQRAAKVSKETGTRIELSEAKDGTLTVIISGSKAKVDEAKAKVIRELQTQATKEVAIPKEFHRILIGKAGDTLKALEAETNTHINIPNRDSPSDVIKITGPREGMERAAAHIQRVASEQAKLATEHINAARIYYPWVRGPDNELVNELTSKFNIKINIPPPVSNNEIISITGEREGVLTAAAKIRAIVAEKEAIAKSLTVSINRAQHRYIIGKQRAGIAEILRSTGVSVEMPEVDTASDVITLRGDPARLGDALTQVYARASSTITAQSKVPLWMHRLLIGPKGATLSSLVPNRSKVDIDFDDSGDIFYEGAPEEVNLAKAAIEAEVARLTKECAMEKVKVHPTLHRHVIGRGGSLVSKLKDEHGVTINIPDEATNSDEITVEGKKDGVKKAIEEIKALVAKIENEKSKDLIIEQRLHKLIIGQGGKAINELRKQFPSVNFSFPDVNKKSEIINVRGDRKEVDDAAKALQKIAKDLAESNYQDSVPIFKEFYKHIVGKGGSNIKKIREETATRIDLPDENSEEERISVTGKKANVEKAIALLQKMQSELASVVTVEIEIPVKVQARMIGGGRRVLFDIEKECGGVYIKFPAEKSESTKVTINGPKEDVEKAQKVLIDLAKDREANFSEDVVKAKQEFHRFIIGKGGARLNKIKAAGNVRIMFPRQSDADQESIHLLGTNEEVVRAKAELESIIKQLNETVELRMEVDAKYHGHFKRVGAQLLKDIQEQNGNVVISFPAKAADDQRTVTIKGIKQCCESAKARIEEIVDDMDHQATMHVEIPAVHHRVLLANRGAKVQEIQSRLNVRIRFPRRDERAAEGEEPAQGEDQVAISGRDTACEKAADELKALVPITKTIAVPIDAHRFLIGRGGETIRKIMQDSDVHINVPKDEAQSEEITVVGQADNVDEALEAIKAKLKEYEDQAEDRKLRQWSMTINVPTEYHQKIIGIKGVVVNELRKKYDVNISLPKGEEKTDEIVIAGYEAKARACAEEIEKMVEDIRSLVSQEIVLDSRCHPRIIGARGKNVRKLMEDFGVEIRFPRSEGADPDLVTVSGKDENSVLDCIDHLKTLEEDFLAEYSEKNQFLSHRVVEQAKPAAPAKVEIRGAPWQLDISSSAEFPDMGAANTPTPVVAAGAWGAARRF >PPA21301 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:194009:195790:1 gene:PPA21301 transcript:PPA21301 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLLVLLAFITTVYSSSYTPVETPPTRERLQGPLDVDDKEQCELACDCARGEVTCPLYPRIEKSPCKAYYYRYGKCALLGDSIYNSCTTPITEYVLTSSNPGPSLNNNLKSRLYLHQPNLKPLHLLKLQPQQLNLKPHQLLRLLPLLPVVQYRDNNLKPHQLLRLLPLLPNLKQHQLLSLLPLLLPSNYCGNFTVTGFNADPDSELYRQMFGMTVHVYPSVPFSEEERVNWDKITEVTVIASLRCKVIVENSYPPVVVYGKDNSGSTVVRAVSRPNNAYGECGLNI >PPA21379 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:671075:672034:-1 gene:PPA21379 transcript:PPA21379 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGVAIILVTIVSLIKWYCRHKLIAAAVERQQEANADMAQCAAPPCQPAMMPVAQRRNGKTPVASKQAHSEQNTKTEREMESGSTEE >PPA21341 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:398204:402641:1 gene:PPA21341 transcript:PPA21341 gene_biotype:protein_coding transcript_biotype:protein_coding MIHYGVIAMMRLCLWLVPLFPQLLQNYRQKKCEGISLIFLFFWLVGDMCNLIGAVLTHQQPIQQIIGVYYIIQDLTLWAQYGYYTKIYPARMRSSTIVVPCVLMAVSLGAFSIVDSPLGGGGAAAAAAIAAPGRSDPSVAALSLGNSLAMWPIFESYTDALGYMIGSIAAICYFSGRIPQLIKNYRRQSCEGLSVLMFYIIVTANLTYGVSVLLGSTGWLYIVRHLPWLAGSLGCCAFDAVMISQYYYYQKKAADGGVEHERLLEEGEDE >PPA21387 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:708367:711704:-1 gene:PPA21387 transcript:PPA21387 gene_biotype:protein_coding transcript_biotype:protein_coding MQYSGLYVCVNPWHYQVVVVESYVPLTDANVNAIINKPSQNSRFRSARADKRNSLCIHIPKIVQDKGDYELIIAMQQEQQARRQQQQQQQLQQVQSTSQPATAPPPPPGQQTNAQLLENLQRAAHLQQQQQTSAGSSTMLTYTQPAGMNPGLLAVLQQQQQQQISPMLMPPQPPQQPVMTDAMLKTLAAMQPQAAAAAAAAAPQQSPQPAAAAAAATAAGVPSPPTLNRMQDNLEQMMAGAEPALRAQLQQQHSELARLQQAQQPASAAAVAVPQLVQLPLPDVVGQAAQRLQEEEAAAAPDPAARAIVVAARDRGLPLSPEFQMAWEAEINERDPIILNVWKLEERRLLNLKPPRFMPLMDFDDLHAWYEDYAERIKDPTKMQPIDWFDPAITSPVPDENEKLGYLARYFPERSNQQQQSRVQQVAQLQRLQQQLPLFGMRFPIGIVQQAAWAQGAVRPASNGNGSHSNGHHDAQEHQGSSASSQQPNGDHDDTTTDDDGESAAKRPRVKDDEEEEVEAPRAASTPIDVVTMEEDEAGPSSSSSVSQASSLAVSLSYSAGGHFGQQLQLQQEAAAVQQQQLLEDDVQPDSVASQPRQGSASPQL >PPA21336 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:373770:374461:-1 gene:PPA21336 transcript:PPA21336 gene_biotype:protein_coding transcript_biotype:protein_coding MSERSSSRSSVESDSSQRSRASSRIYDLMDDLSQEDCCEQKAVLIDELMRELSEARKRSARVTKPLEGQILRLCLRTLEQSRQNLRQSKFREASKRIPLSVSQLNTCSHAT >PPA21339 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:386722:388641:-1 gene:PPA21339 transcript:PPA21339 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCSMWTGLWGLLLKLKRDDIVEASKGVIFDSAPAFVRPDQSARAISMSSLPAPDFNAAIRESYRAALLLYFTSHHAMVWLRSHVESRVWEKSFSYCHLQEMEIPKNLLFIYSEADEICSAESIEKIIEQQAAKDGTEMLESKIKDQSALLQIHSLKLPESPHCAHLRTHPETYTGACLRFTAEQDEGEDSLPPAITHPAYKLATAGKSDDLEPLDTPAPVPAPLENLVADLALQPPQQPMAVAAPSVHSAPVLHNNTLVSTIIPTS >PPA21305 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:231815:233991:-1 gene:PPA21305 transcript:PPA21305 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNIQIAATLPRWDYGYFEDLLKNPDQPLPDLNEARPHISLLFCVLHKKRIPEMAKALALSTQLPILRSIIDIVQSIVDPSRDILTAAAAAIAEDPYVPLPSSTPPTVQQYTHLPVAALFTLVPEEEEKVKDIPMLNLFTPDISQAAERARSIPKSPPSEVTELPDLNQNYKSPNVVLVETNASLADDPYTPASYSSINSSSSSSSIISSYALDWKMDLSFDPFAKCELPRMTEDQNEEPSTLFQFELNTDGAAFKMSSTVVNANTILEMCMCRKNYDEESKKKNENAMEQLIKEIPLSMTDEISEILLQISISTEAAKMC >PPA21285 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:70338:72987:1 gene:PPA21285 transcript:PPA21285 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGLMSVLGSGYVGNCSVCGDASAGKHYGVAACYGCKGFFRRTIRNNQTYSCRFEKKCAIDRDQRNACRYCRFQRCIAVGMEPDAIRPDRDIIGKQKNPRRRRLTVKSEDGEEPSSTNERLDESFIAFLHNIEVQVAAGTVPSSSLPIGIKADPDCTLLGLFTNRAAYTHEMFPFSEPRTPMRCSWLVKCHHNH >PPA21280 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:37257:38860:-1 gene:PPA21280 transcript:PPA21280 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLKQYVDWLNEIVGFFVVEDHIHLTEPSLVTAQHTENLWKNASTAVFDLLNSHFGFADIGVFSDVEMMIRMKKVVLLFALTMKSYGYDITRLYQLLKHFTDQYTELLMREYEAQFVRDLESDNYTPISVDDEEEFRTIVRQFPFYKRSIEKNPILQKEDDLPFGRSQFKGAKASRTLLQSGER >PPA21343 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:418522:420176:1 gene:PPA21343 transcript:PPA21343 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKTCKTAKRARASFEVENGSISKKRKQNDCEDYFSNLPDDLLREIFNKLTQNGLTTEKVGRLRVIVPQKISIDDIVSTVGDYVEVTT >PPA21286 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:73443:77511:1 gene:PPA21286 transcript:PPA21286 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nhr-88 MNYSPGRTATVEELMTAMRRSVFACASWIDSLCTASSIYSVPEKIALLRAVFPIFYVHGLAANTHRCCPGQRDAVSLCNGTTLPRNSPLDLAATNMISKRFVSRLLDEVVGPMAQLGLSADESIILQVMILCDAECPDVSQQTRSILWNLRERVQGLLFHAISSNDAESSAQSMFTRFGRVMLLITLISKVATVFHENMAVAGVFPDQFLDPLVAELMAERSHTQVAPSPTTRDQAPDPSSSVPTRGSPRLPSDICETQDFSLAAQVSMPSTSSSQSSQLTPTMGDLTVSTSFGLGNTLHFGVITQTPPQTALPRPSPVSLSFPSSFPSPSVFATPPSSSHLPNLPYSAGPFVNQNTFFASTDHFGQQQ >PPA21369 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:588708:590375:-1 gene:PPA21369 transcript:PPA21369 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRKSIMRADSDEGSDVEGVHDECFLTGKLIEFVEPRKYLWLTSEKRYKDSSLRNESYKEFAEEYGLKRGTSQNLWRRTINGYRKFQKKKNEGELSGSGSMIPEESFLFEEELSFLRKEDDLNKGFRSISTVIDEENEGEVDCNIDRLQS >PPA21276 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:15213:15902:1 gene:PPA21276 transcript:PPA21276 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIDRKSEDSDCTPASSTATTPNSSKKKKKGKNKEGNGQTEAAEMKSKSQPGSSSGNGDASAVNGRREEEEETVNLLFHGYY >PPA21344 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:421246:422793:-1 gene:PPA21344 transcript:PPA21344 gene_biotype:protein_coding transcript_biotype:protein_coding MIWIKVDDASLSGPVAMQPPAAVTLSEDVQLPSTPILSQGLQRALARSAPRPISDEDNQQRKVALQNKVFPGTHLLPQEVAPAMRLGKPIPPPLKKAKIEEKETEKENEMQNTWKITAKMLAVNKCIKV >PPA21319 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:302008:307256:1 gene:PPA21319 transcript:PPA21319 gene_biotype:protein_coding transcript_biotype:protein_coding MMARAQHEGFQIAPPAIHSGVVAFRRDQYRYLRQAYDPAQQYPQAGPPQNAYQVSGAVDPRQQQQQYAGPPQAYNQVIPQQMQQPAAPLELQQMQQVQQQQQQQVFAAQFVLVQKSQHNGPVPVPLQPQPNPAYETRGPPAFGPRSIILRSSSKLQQVRQPAATVDPDYSAASTNQQQLARQQLQQQQVQVLVIRSPWFSTLFVAHQPMSATGGYAQQPLPAPNSPFLPQQQQQFQQSSHLGSYALAGQQPPQQQYQPQPGPRFYQQPPPQQLQQQQFQPQPQQYAQQPLQQPQQLQQPFYQQGPPVSPAVQNPFYGQQQQLQQPQQGGYVQQLQQQPPQFQALPIAQPQQFQQQQSQQYPQPAPPQPLPAPPVLQQQLPQQGYVAQPFPVQYSAPPQQLQQRPAAVIAPPPRVQQLPVAVARPAPPPQQLQLQTAPPPPARFTPYVADVRDPAAAVAATVQPARAFSPVETVTVVTVDTHAEQVADLSHSRAQARKKLKNKKRVKVLPTVVETVTVSAPPVTAHRASHRIVTTTFPPSFSPQSFTRQQQQQRVRPSTPHLAPPPQIVTQPPPARVHKPSNRPSKLVELTTAAAVSAGGGRDPNDVFLQCCRDTGIDSKCHSRCNFDTLTKKVMTQKTRYCNPYITVPLQLTGMFLGTDPCPQKNGRGMLECAAQKGDHTQCCMERRVHTTAAKNKCLGFCVMTPGSSFMADISMLPCWAVLNDIKGCFEDAILDQ >PPA21354 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:505598:513826:-1 gene:PPA21354 transcript:PPA21354 gene_biotype:protein_coding transcript_biotype:protein_coding MDVITNQPVVIDNGSGQIKAGFAGEQAPKVRFPNYVGRPKHTRVMAGALDGDLFIGPKAEEYRGLLSIKYPMEHGIVNDWSDMEKVWQYIYSQEQLNISPEEHPVLLTEAPLNPMSNREKAAEIFFEQFNVPALHVQMQAVLALYSTGKEEEEGYGRTTGVVLDSGDGVTHVVPIFEGFAMQHAIERIDVAGRDVSRHLRFLLRKEGHDFHRSSEFEIVREVKEKACYLSPNPIKEEAADPERMQYSLPDGSRMEVAACRFRAPEILFRPEIIGEEWPGVAQCVDIAIKKCDMDLRKKLYSNIVLSGGSTMFPGFGDRLLFEMKRLAPQDTKIRISAPQERLYSTWIGGSILASLDTFRKMWVSKKEYDNDGSKRVIHRKLIKWKILFVFV >PPA21281 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:39135:47971:-1 gene:PPA21281 transcript:PPA21281 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sec-15 MSPAHSSHSDTTSSITATKETTANSDSASTSYQPLPEMTPEIYELEATDSGSIGLVLRAIYESGDVTKFSRGLEHRIHQYDKNIQRVCSHHYQGFIDSMKQLMQLREKCEAIKEEAKEIDSTIQTASSEMAAKTTEIVKYRKQMRNAMVAIDHISVCLPVLDNYSKLLELMGQSKYYQALKVLEELEHTHFKLIEQYRFTQDLSKSMDPLREEIKEKAYSEFKDFLENIKKVACRIGVHACKCTAEMHSFGVSEADRVRKLQEEARKNVVSVKKSMDVALINREFGFNQPGSGAISADGALINQDWAGNKPAKRVSDFDDSEQLSAQDLIDFTPIHRCSQIFNVLGKKDEFEAYYRKQREQQCDIVCKAPPKMTWTLTNHYSKVYQAKKSAGYLGWFKSYI >PPA21311 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig52:257952:262368:1 gene:PPA21311 transcript:PPA21311 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLSLLLLPTLALAQYNSQTYPDPRLDPLQCGLAFPGTVCDPTRILSDDERGKIAQRIQQLTSVTAPIRNTSPSCALRPSANLEIFVAVIDKIGSVPHAAVDIEQFANNLKRKYQNFQDVGVCDTSVLIVNSRQDRQVFTVAGRDAKISKATLRKAFERNVGHFKDGRYALGLEGMIEVIVASYGNAHIVQTPTGENFGGFDQTHNTITQRAAGAPSVLTTDAKQIVSEREIVEIEEADKVWVQIMQLALARCGSDRSQFTGSVRAVVEEAMQISLALISDSRYNSIEEEVDKHKDILGIREQAWNSAASSFLLPLYEKYNGKMRLFVSHAIYRSKC >PPA21297 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:161134:161578:1 gene:PPA21297 transcript:PPA21297 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKTDDDGSVFIGEDNMKLFLIQAAPKKYWDPLPKDWPEADLFGSMFPDSKLYRGPPATGSVCPKC >PPA21318 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:293557:295762:-1 gene:PPA21318 transcript:PPA21318 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPGDNNSDRRLCALCNSSVGDEAVVAINRLWHPDHFCCNGCKRPIKQTYQTADNFAYCVVCFAAKFNPKLQRHARGHVPAGARSSLASALLHVQHVQPPAAQWRVLPGEAAARVGLVGWIVDGKPYDLDCHWGKRLEKREAIDRGQAVANAKIRGENPTAAF >PPA21349 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:476756:478550:1 gene:PPA21349 transcript:PPA21349 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAIVRAYQTVIKENGRLKRLFRIRVGGGSGSGGGPERTEEDALIESWRLFVGAVVENMRKGFEGCASDKTPMRLSITNRGSKSPWGDNGNRQRLNFLNLTSDIKYRLGMKSPGTFPNPTPHNFSSIISMASFRSTACRSRANSTFLEPVIQISPSTCRASSSIERCPEDGRDSLSPSPSAAANLQHNRSRSIFRARPTSEMGIYRRLSSPNRPYLSALYDPNGQRRSLTLLPGGLPL >PPA21359 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:528153:531161:-1 gene:PPA21359 transcript:PPA21359 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFYWSEMEFEETLEVFYFFGIIHCKVAAILVGSFGLLSIAGFFGAGVAFFEWWRHETAIDARLVVPFIVFHCFMIAVNSITALIAVGEIVSDQSSEVDDSDRVARIVLIALPLASAVERVHHTAGFSLAAVIGRAKRAEDSSSTPGGAPTPAPPGGASNRVAPADARVPDSEEAPPAPRRKVVAIRVPTPPALQGNVFPLGRLPPPEVVETGPL >PPA21315 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:279860:284052:1 gene:PPA21315 transcript:PPA21315 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSGGSGGNGGGQPPRRLFHRESFLTTSDDSDDEDDRGRRSRGDSDGGSSTGGEARGSCLKSGQLVRHVASTPNKQPAARSVQQVSWSFSSGAKPGGGGGGGQQSSSSSQRMSKKERNGEAKSGSSSAASPEPAPCGKQHRASSACSSCNTSPSESPARPASAASSDKSEKTTPTLASAIKGGRKRADLLLIPVHISGDMQLIFLYDQCFMEPWYV >PPA21342 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:404188:417313:1 gene:PPA21342 transcript:PPA21342 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAEAWLVLIAGVSLLQARVELNKETCNRVGGNGLIDYKAFGMRCMAPEDCSLSATVSQSWNVAKKEQLDICPVLIGIRPFKKEWMIVMQLRGSDVDHQFGLKLSIETPGSSGVKEMKLAISFKKAAANSVARTDEATDIQIKPWILNTNQEATRCAFPKIDPGHVDGPTQFFLPVSSWEQELTCNRPGETIENLDKYTLHYKVDDVLKKLNGAKCDTNQKSYRGAVAPSTDIMGTDVGLTLMCVASACSMCGPPPCEGSRCAKLTTKGGPDTCAQLTCNNVAEAWYEVDGRPAAKGTPLCAKKKVPGETDPKRMYKKRVEGAGKDYYGWTFNGQPAEKFICADEHDIEHIAYCEKLIVKDKSKLNVTTRNVNCSDEHGKMRYKDKKGDTVELRRLDCDIDQRKWMQVAAKDNTTSDFPVNRLETLHCYGDKTAGAAGAGAATAGQELIYVGAGAGGIALVAIVAVVVYFLCCKRRKTQAAQKSKGAASMTAVSSGDHVKKSESSQIKSAPAAPSSVPVTFETIKEPKSAQVAPRSDNVSEQKKEEGTTKKEFLKFDDSNMSDIQNVSKKKDETDRLDVLQQEADKLSQEQKKDEEKKKKKENLYPRLNDIDDDWNDTKESVEKKKEEKKEGAASDKTTIQSKLTSRPLKNAPHDDGQYEALGMQTVLMEKTAIGGTTGDVRNDESGTSKKSARTRTKMAIADGSRKGDGDESRKDGTSNTGTKTGAAPPKTDLQTALDAKLADIEKTAIYNDDGVEEGGTQATSTRTKTVMATGKTKATTIAGTQSKDDRTTQGGVTGAGATTQRTRTQTRTRGDPTQIPSDGVTARDPTAQDETQMPTDGMTARGHTTADPAQMTDGRTACEVTANDGTQATDGLTAKELTPVDFTQGGTRTGRTAKELTAADFTQNRTTITGRTARSATRGDDTQMPTMDGRTALTRTRATRTQDQTTRTADQETQDPTVINDPTQILTRTRRTSRTQDGTTAYDPTQDPTMFNDQTQLTEGRTGMTKTRASRTQMSRTGTTQDPTAFDPTQDPTAFDQTQLTGGGRTRTSRTQGATTATQADPTGMTQDQTTINDLTQLPTEGRTGMTKTRASRTQSQTRTGATQDQTGFDLTQDPTAFDQTQLTGGGRTRTTRTQAATTATQADPTRTGFTQDQTMAGDPTQITDGGRTGVTRTRAKTTQEQTRDPTGTQEATLLDGATQLTDGRTGVTKTRASRTQDPSRTTQNQTTAFDQTQVNTDGRTAVSRTKVTRTKDRTQEPTTAFDGTQAPTDAQTAVSRTKGRTTQGETTAADGTQLQTEGRTAVSRTKGRTTQDGATAFDGTQAPTDGKTAVSRTKGRTTQDGATAFDGTQAPTDGQTAMSRTKGRTTQAGRTQEPTTAATRGQTTRTQEPTTAPTRGQTTRTQARTADWVEATAVTPQTTAGGRTAKERTRTKSQDGTRTPQDTQADGQTEAKTGSKERTTGASRTGAEVTQAKTQSRSSVDSDHITHPR >PPA21388 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:716223:719751:-1 gene:PPA21388 transcript:PPA21388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-daf-3 MSSGGGGGSTTASSSGGDPPPAAAAPAASAAVPMLTAEQVNRMLVAAAAVQQQPGAGQQLLVFNQPGTTAAAATAATSSSTGQQQFVAASSAAQLSSTASIQDQSQASQMARQIFQITQNAAAFQDQPSTSHAVHPFTKQESPEMQILGARIPPKRKYSKAGEGSSLQDHPSSSSAPSSAERKISSVGNEVERKRSITKRPEGNASAHDIIEYLMQFVISKTPDDREFAKKALESLVKKLKEKKEEMEGFIRAVDTNGSEVGPCVLVPRTLDGRLQVAGKKGFPHVTYAKLFRWDETNKTELKSLPDICKFGFDIKDNEDPQVQQIQQQAVTDSWMQSLGLQAAPPGQKIGPPPPPVQKVSHHRRLSYGPIRITIG >PPA21310 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:253852:254945:1 gene:PPA21310 transcript:PPA21310 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAEKRIEELAYGLGKNLEGLHPYYLPLGGSSPVVISTLNSTVYGVQPMISSQMMDHILGGLYRSGFHAIEPNPARGGSIAEFAKDEEPAYLNLIIVDRTPLAHHFPDWKTKRIGLTHVRLNQYFDIYRCKTHWTDEKCEKVKTECIGRGPCSVRR >PPA21275 pep:known supercontig:P_pacificus-5.0:Ppa_Contig52:11757:13674:1 gene:PPA21275 transcript:PPA21275 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSIRASYDANEHERVAQREQGLNHLPVASPLLANGHHHKQRRSSLEGGAAAPAAHPQAATPTGSTMPVAVPKSHSPGSSSMIGARLATKRHAKLREKRMALLESVERMKEEEQRDGEEGGVEAMKENESAPGAPPSTPKSSKNKQKSAAS >PPA21392 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig520:6247:7957:1 gene:PPA21392 transcript:PPA21392 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLLYCYFFLLALAQAKRLDREDRDGESCGNDAVPYLIEIDHNGKPELYCDTPVCIEPSTDLRRVKRGAKSYDSPVCNHELLETVCVAEREWTSGLKEINNGTHRTLKTECCTFDGLRDAKELKTVLLEAGDRFQGGPVRSHGRDRAFDLVKEVRKTVNSENRVQYVISVYRMPCSAVASSKDSSEETAEDSSRPSRSADKRKRRHDRRGLAYDDFDYVYV >PPA21391 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig520:33:1804:1 gene:PPA21391 transcript:PPA21391 gene_biotype:protein_coding transcript_biotype:protein_coding EENGEETGIESEQDGDDQNYSNEEKDENDVYIEDEVEEQSTYDGINDRDDSDPPSGGGAAIPQGFTPTTGDEKTSDHDADGDGDGEITASHRYRSHGKTSLLQPRPSSQDAQDATVKIARANCSIVGPLGRPQRLHRKRVRFAEQPTTIFVEKYTLEDAEYGERFVGDRHEDQEKEENTIDDKRPMVDEGAPIDDREEQEQRELVDEEEEEEIDEEREIEDVRDDVNDNDEGNVQETIRSSCSRTRVCGNFSSTSTSDPNVRDTIPLPSTQSLNPLVSTRPRVHAAMSEVQGRNEKLHNDVHSAHTLVTSLQRDDVGATAPVAGYSQGKHQSRGRRPVQQ >PPA21393 pep:known supercontig:P_pacificus-5.0:Ppa_Contig520:9159:12164:1 gene:PPA21393 transcript:PPA21393 gene_biotype:protein_coding transcript_biotype:protein_coding MHFRPRGRKFLDDYDLPPRVLRRMQQRRRMMRRRPILDEYYDYDMDYAIPVRPLLRKKGGRLAAQAATVALAQDDRLWPVNYGMEQVVNNRAPTGGYSDRAEEVEVDDRTVTQEQIPDAYAKPHVHQQQYQAQPQQQYNYNPYQGYQAAQPGLNGIFDNMQCFSGDLMVETAEGPKKMSELKMGDEVLSIEENMVSFSPIIMFLHRDEEILAEFNVIATANGETVKLTNEHLIYVSDCDPKSPLTLLRAKEVTTSHCLMAARRPVRTLRMDRVVSVTK >PPA21394 pep:known supercontig:P_pacificus-5.0:Ppa_Contig521:166:6024:-1 gene:PPA21394 transcript:PPA21394 gene_biotype:protein_coding transcript_biotype:protein_coding MKITYYAYKHPGDSRHGADKPVWNWHQVLIDEARAAIGKDDEKFNIGMFAPIGAFHEAPMRGHLEPFHKGMIIEVELPTTDKTRTEMLDKSRSPVWIAEVHHMLGYYILARWCGSKSNDYFWVHAANAFVHQVGYTIVGKNTPNEKFDTRASKLCENKFKRVETIHDEESSVIAPALIKKVLGRRVLLEYNKGDIDKADMIEKENMWKDMDDDLIYPVGFAETMGLKMTANKRYRAHVAEIAKAIKEGNPDVPYTRFDAKRETVYTWKENLSAKAVWEVDMVCEMIDRLDECQNQLKAARVLRVLPDGYLQLGPEGPDIANDSFHVHQTSSNLFPVGFAKKHKITLQGPKGDKEDSFEWSTFLRRTNYKKAPDSFFHEATEKDVPFK >PPA21395 pep:known supercontig:P_pacificus-5.0:Ppa_Contig522:1522:2293:1 gene:PPA21395 transcript:PPA21395 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFAALLLIGIIGGVESVTCTGCKCCAQPLITKTLTGNGAHAFFSDTTDTTTGACAVRKLINNKNGVVGDTIEVTCNAAGTAWTYAGTVITKLECASF >PPA21398 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig522:6650:7978:-1 gene:PPA21398 transcript:PPA21398 gene_biotype:protein_coding transcript_biotype:protein_coding ALAWSPFHRGLLTTGGGRNDGTIRFWDTIAGVPLQRICTDSQVCNIAWSKYSNEMITSHGYDRNELLSWKYPALRSESVSDQANHGRLLYLAMAPDGTHRTRAE >PPA21396 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig522:2540:3407:-1 gene:PPA21396 transcript:PPA21396 gene_biotype:protein_coding transcript_biotype:protein_coding MCQHSALTHTACDDSTIFTIVITLVDFISVMMLCTAIMEEICALVILYLLVQERFFLIVHMCYTTAIELYKQGEASVPRTRVPILLLAFIQLATYSHSGHLSIRLDRVVRLLR >PPA21397 pep:known supercontig:P_pacificus-5.0:Ppa_Contig522:4002:6029:-1 gene:PPA21397 transcript:PPA21397 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDGNAPPPGSDAIGLQWSPDRQQLASAGLDSVVNIWDLRLAKPCCTFTEHARGQDFETGVKALAWSPHERGLLVTGGDTLAGVPLQRLDVETEVCGIAWSTLSNELITTDEHNGNELYSWKYPALHPHLVTLQPKAGRILHMALSPDSQSIVTGDDLETLEFWLAFPIECYEFETEN >PPA21399 pep:known supercontig:P_pacificus-5.0:Ppa_Contig523:1289:3648:1 gene:PPA21399 transcript:PPA21399 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSFKLERKHFGTQKSFSTENVSEVEAAVQIRADDLNGLGFHVKGNMTDGIYVKSVTPKGPADECGNILVDDRIRSLTISFDGMVYEDAVTLLSYASPYQVKFVLERRLADVTSPKVHPLFRSNTLTHCHFNPISPSSSPSPPSYVERATSPKMKTPSPPPMSPEPIGDLPEEFISPPVEIVKEAEVATVEKVMDEKTAPIVVNESIVIPEPEPEPVVTVVVEEAPKMISSDYSSDHSCKGEDEKSSDTSSETHEQTMIDEKLEVRQSGSITDYRTLEIVSPTPSQHAVISPPSPRAVAEKMPPKKKVSTGIPIKRTPTQKRDGTSVSPPQMTDARVSRIPKRDSMKTPITERKLPSLPKSALQKKESQPDNADIWSRLYQEKKGALRKTRDSKTPEPGHNNNVMTTSSTSTKSDQ >PPA21400 pep:known supercontig:P_pacificus-5.0:Ppa_Contig523:5251:8694:1 gene:PPA21400 transcript:PPA21400 gene_biotype:protein_coding transcript_biotype:protein_coding MESKRFLHLLGGGKRFLFGGRGVVVAPRRQGGMFRVANSNTTTQSTATAAAAQNGPAKIDTMQSMDIDLQPPAVSPAAAKSAMLTQIKGMAGERQAHEEVVTVAASTEKSDAEKKALEARQQLLAAQNAAKAAQAAAIQQAALKAAGNQSESRQQAEAEAEMRVKQAQAQAQAEAEKKKAEERVAAQRAAQQEALAKAQEAAKKAQADALAKAQAAKQEAEARAKAQQAASQQQKPAAPAAQTTQQQTLQQAQQQQQNRAQAEAQARAAQAKAQAEAQARAMQAKAQAEAEAKARAAAAQAQQQKQAPAPAKPAAPAAAAPAKPATPVAAPAKPAAAPAPAKPAAPAAAPKAAAPAAAASGAAKTGVAAANGAPKTMQQPQQSNAVPQVGISLVRPFIFSIYPLSSRHLT >PPA21401 pep:known supercontig:P_pacificus-5.0:Ppa_Contig523:9018:9530:1 gene:PPA21401 transcript:PPA21401 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEISQPRVQTTRTAVPAPVAAPAAQTPQQQPRPSPSQQIPIVTPQMKPTVQMTRGNVGFDAQAANNATPVPVGHTQLKAPGKVAVPQLQKEEVQKAPAEKPGQTALKQAAK >PPA21403 pep:known supercontig:P_pacificus-5.0:Ppa_Contig524:1628:3029:-1 gene:PPA21403 transcript:PPA21403 gene_biotype:protein_coding transcript_biotype:protein_coding MERANRNKIGRLKVEKEKAMKEDKSQPFIDDIDEEIELYKNRENIIREILPPEPTYKCDWNLESEMYHGLPNIAGLSEVTASIVRPAEITREVDANGVERYVRYRVERITVTEDVDMEELRRMGFQVIPVDEESDEEVIIETLEPNEEDEELNGEMEMGINSVNC >PPA21402 pep:known supercontig:P_pacificus-5.0:Ppa_Contig524:579:1202:1 gene:PPA21402 transcript:PPA21402 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSFLLSLSLLDIISPIVSSTQPSTTSNKRMNFSPKRAQQGSIEHTVSFREALFDISSESSVLDQLSPTRYAIRDPKTAFKFDSREYYWDWTYLDPSDSFPVVCSNPIEPSDPKLGKVFFVNGSRQVPPREIAWACPPSHGCCGYECCKETSMGESMV >PPA21405 pep:known supercontig:P_pacificus-5.0:Ppa_Contig524:7840:8921:-1 gene:PPA21405 transcript:PPA21405 gene_biotype:protein_coding transcript_biotype:protein_coding GGSRKRRERDRGGAGSGSDEGGGEKKRKKKKREPSPKLSAKQNAKIKSRAFISDDDSSGDDKGAVGDERATQLRSDSPPTASAFNDSSSSPTDSEEEEKMERKKKNGKGGKSTGRRVKMDSDQRIGFGSGKKAEIGE >PPA21404 pep:known supercontig:P_pacificus-5.0:Ppa_Contig524:4595:6198:1 gene:PPA21404 transcript:PPA21404 gene_biotype:protein_coding transcript_biotype:protein_coding MYRIPQVICGRWKVSSQLGAGGCGAVYKVEDLFNKGFSAAMKVESNDVGNMGVLKLEVQVLAKLKDLPDAFRLYDMGKRKKYSFMVMSLGGQDLLNLAMKSPKTLSLPTIARIGIMCLSAIKSIHDVGFVHRDVKPGNFVQGCTTGRLSRLLYLIDFGLIRAYMKEGKDGEMKMRKARTTGRVDDLYSLLHVLQAINRGLPWDGMKDEKEIMKKKAMDPNIIFKDAPPEFVTIAEYLMTVSTIEKPDYVKFYEELERNNVNFLTPYEWETKKNDVNTMVEPSKHERKTFQKDSDEKLQYRIYPQLHPKKFEDAGVQLKC >PPA21406 pep:known supercontig:P_pacificus-5.0:Ppa_Contig526:3204:4002:1 gene:PPA21406 transcript:PPA21406 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIENHSRPVAPIDPMPHDPGVWLVCHHNGHAQHIHPVCNEIGRVAFFKIIDHQLYIKFAADVDAKLASAVIIREFPANRIET >PPA21407 pep:known supercontig:P_pacificus-5.0:Ppa_Contig527:65:1788:1 gene:PPA21407 transcript:PPA21407 gene_biotype:protein_coding transcript_biotype:protein_coding LKVNGGSDKKKEGNGVKKDDEKMDVDENEEKEKPKEKDDNKNDEKNEDKEKKAAKKMAVRRRRSASVEESKKKEKKDKEKERKRSRSRSKDRRDKDRDRSRDKGRDSRRDGGRSSERVNRRDDRRVDDRRRRSRSRSAERRRDDRDRDKERRGRRSRSREQRRSRSRERSKSREKIDKKKLLEIALQNAKGVSGPVAEKQGVNMKILEKAGGRNIGDIVSYCQKLSETENNEKRTGGGRADSDDDDFSYKSKKEIKLNIPGSKQLPTSTPQERLLDTAPLRAAFPVSSGVIHRDNTKESITEWKKVDPSSAVPSVCTPVQKKLVATSSLNKIIAESEGRARISL >PPA21409 pep:known supercontig:P_pacificus-5.0:Ppa_Contig527:7949:10726:-1 gene:PPA21409 transcript:PPA21409 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSWSVEVQAFGEESTAIRTGINTTRAKEVLSSLCDAYNRNEVSGDACHRLCFNQDWTVADFYEGNKVVVVIKDGGQQAVFKSLHPSIEDYEAPPEGEKEELFLRRIRDKTDDELMLGWPTDLIDHLLSILWPSHLRSGGQQLSAADRRSLWALMQQPEYLTFRILPLSRVTPKVIGSCGHMYEVESLVAFRMKGYYMNLKGKILVHLMGTLKLFYEFLNEPLQWCDVRFDNLGLSAEYPKRFVMMDGDLLFTESKLKALMTAKSCKTDADCAIGTIFSRY >PPA21408 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig527:3524:6494:1 gene:PPA21408 transcript:PPA21408 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLSRIDDALNNFGKNAELSGKWMGHTRVNLLSGDELQPHDPRFHAWVKKDLFKNTMPVMAGVGMKLMMKMGWNEGEGLGRENQGDTQPLVLDVKSDRRGLYSEGYDMPLPKGKSKGAKKSGGVKAPGAASGGGGGGGGGGQNEVKNAISSLMELAQAKKWELPVFDFQTKGGMIKSFTCSVKVNGFEFLGPVCASKKEAKTECARVALEKIGFSAPNVQTPMIYDPSSAYSIPEQIVQARVRSALEAASLSAMPPPSSYIAPLEMAAQPPDKYDPALIQAMQREKDEEKDGSSSFQYGEYPPQHGRPSYSSFPSGSFASRSSNTWTPEDEFCPPGGGPPPFHSGPPYRREHSPAFEPYGQPRYFHCYTFSR >PPA21410 pep:known supercontig:P_pacificus-5.0:Ppa_Contig528:1697:4873:1 gene:PPA21410 transcript:PPA21410 gene_biotype:protein_coding transcript_biotype:protein_coding MWKEMPCVARPGSAPWRVVADLPLSLDCTQEFSLIFTSVPGDIFPVYDHENWLKAGVNALYVAPKTGIVLHKDTCEGDGQLNVYTGAGKGVGEFRFHLKSWSCADFPDWIISFENVITILPDAGVSYSVYYRTHDHTTGIDVSPSDHVAVMSSGYSDNLQNLFQERNVVVFNEKEDVEVTVNRTASFDDRYQGSVIVDYTNAGEGLEWFETIHNETGGSTTTVAQRVQVEYHTIPFAPQDIWDSYDHFVVELFFTALEKPTTAAPTIDADPYCGCALDKKFGMPDGWESTKIWLDVVIILDTSEAMGAVALTDASSLIESFIGTDDGDVLVTDKTATFYTRVGLIAMSDKPQVLYNLNMTKADKVVGKVQINSGVSYLDVVEAFNAAVQMLNDGMQEDRAYTRQVIYYMTDTDPKFDSRVLDSFKASRGKIIVNNFLEPNEVERPGLKELASPGYYYSNIQYNYMSTVQLFCKANCFCRPDIDRFPYPGHNTDPALLASGGCYRAVPAGVPFSKMRGNCEGGLIASIHDADKANFSTNPYTNWDKANGEPSTASVAKCAYVDTTTPNLFWGAGNCNVGFPSVCEYAPCEVGNKDC >PPA21416 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:14960:16111:1 gene:PPA21416 transcript:PPA21416 gene_biotype:protein_coding transcript_biotype:protein_coding MWAFNLSYFIRKVARQILHLADIPFEDVRVSYHDWPPMKPTTPFGQLPVLEVDGEQLPTSYAIFRYLAKEFGFNGQTAFETAWVDALADQHADYFNEIKPLFFVLWGFAQGDRTTMVKEIGAPARDKYFPLLEKIAKDNGLNGHFVGESLTWVDLLIAEHVGTVHQHLPGFLSAYPNVIKTVNKINSTPRLKEWIEKREPTAF >PPA21472 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:229353:230161:1 gene:PPA21472 transcript:PPA21472 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGYTPGPCSTQSDVAYPPVTGGYPCGNPPIGQGKMIVEYQSQRGLNRNGDVFNNLLCHGSMDEIGRSSDLQ >PPA21535 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:479071:479969:-1 gene:PPA21535 transcript:PPA21535 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNLIRLSIFRWRRIAWMETGFEKCEGHVCYILQSISGTEYGCIVYDEQFDGMQLPIGAHQLLTSNIFICNDAKMTWV >PPA21492 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:298878:311780:1 gene:PPA21492 transcript:PPA21492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fln-1 MVDHDDSALVPALMLPDAAWKSIQQNTFTRWVNKHLQKAQEHVDNLETDLADGLRLIKLAEVLSHKSVGKHNKKVSFRSQKLENVSVALKFLQDIERIKIVNIGDRPMEKTAPRHQIIARIDEEKQSELTALNKGRDGDSSHIVDCNRKLILGLIWTLIVHYSISAHMWEGTDEAPTSSEQTPKQRLLAWIRSKLPSDVPVTNFTSDWNDGVALGALVDALAPGPLANWRDWDPDNAVQNTKNAMQLADEDLGVAQLITPEELINPNIDEKSVMTYLAQFPQAKRAIPKARISALEERPTVGVASDFIVAVPNDLMKPKLTIRDSEGASIHHTLTKTSPTEYSVKYTPERRGEHEISLFVVNISTGDGRATPDATAFVSARLPARLVYSPETRLGEGPVTLSIEDAEGATPDDIDIVILPPTGEEARIIALGSEHDPSTFSAAYTPSLVGLHSVNVFEKRKPLPGSPFPLRVRAQGDDLRAWGRGLAAAGVVAGERVEVNVDHLEQYDDRGQPIETKRSKRDLRGIPVAVKIIDNATGVSRDADVKASDADLRAFAYVPPTAGDYIVEVTADGHHVGLSPYHVSVAESVNSRVRAFGPGLEGGVAQLPSVFYVESNGEKARLAFAVEGPSQTDIRCEERGSGSAIVEYTPALPGVYSVSILAGDEHIKDSPFVLLVEPHNAHLRPSAVRVSGIDERGIYVLGHPIEFEIDTTHAGDTLLIPSVSVLDGKLLPIETTVTQLIASHTKGVESPSSASGLFSCVSLPTHAGQHYINVAVKGVSVPGSPFPVTVVDPSKLRIYGPGVDGPVVTNEPTHFTVDAKQAGPGTLELALNDGDGRAVDIDVLENNDGSFTVKYTAPRPGPYQLKVVFAGEELPKIEINVKPNFDPAGIRVEGLENAVVTVNCEQEVHVFTSNGEKTRITIRAPSGKLLEAIIEPSERGLRVRFTPPETGNYTIDVTCEDAEEDLLDLLEDEYGGPGPSTSNGTTSTRGSPSQQASFHFQAIEEALNRLQEAMDDVANVSPGASRRRRHNSHEAEYVVSATGPPRAELVRVFGPGLGTVPATLDAQVYIDASLAGLGDIDLYVDGPTRTPIHCVDNHNGTCVMNYVPRVAGMYWLRVLFDGRHVTGSPFQIIAVPPLMTGSSTLSDLENSLFVGQPLRLRVDPQGTPASAKGAEIILVDENGKRGRLFDSKDAAGVLEAKYTPDKQGELFVEVFFDGIEIKKKSIRVVGGCDPSKVKCWGDGLHKATVDNKSKFHIDTKEAGVGRLHIEITGPDEVPTKIHDNGDGTATVEYTPAKPGLYTLSIMYGDDKVHVPGSPFRALADHPHDTRAVVVRGLEKSVARAGEVRRFVIDASKSAEADVAARLPIGQQQPFVVERPHEPRVYDCHFTPVEDVGDGSVAASPIGRRLLPLEVLYGGEHVNGSPFSMTLLPESDPSAIRLVTPQSVAASRDGVILIDKTEAGKIGPLEIEVTGPDGRRRRYEISPTATEHVDELRFRTDLPGEYFVQVRLVGDESRTVVKKARLTAEAKGILSDIEILELQAWEDGWLIGADHKIRLRRGVDDANARLAVIETTGKGIEYAVEARKVGENEYEDMIIMRARKPGHHHIVILYGGVVVKEIEFEAYTLEELEAIRLKRLTVVEEVVEVLEKKYYAADESVQQAAPPSPFEANNNELDTMLGEEERTSEIPIVNRTE >PPA21431 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:61282:62973:-1 gene:PPA21431 transcript:PPA21431 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSTKETLARNSARVSAIAGASLTIGATLYVFISGAEADVVDGNQSSEYRYYSEEETRFMYGAFTQIKNSVESQNPHVKQSASKQAKAIISTMFDHSMLKLLPVLVNHAIFLVFAMSIYPTSLQFSSVLSKDYPQLTAYYAYTMFTGTIACSLVVDPLAKFFRDFSLRPLYFLSLSLELVMIILCWLTVPDWSTAQPTDDSALMPPNLIFVVIISFLCGLIDSMITAANTVYCSRIMPGRASHTYAAARFYIGTSAAILFFVSPSLSMTYHAIIQIVFIILAVFGFASAAKHIDELEAESSTDMDKQNKNKAEVVALTSPEVGRDETKKEEQIKCEEK >PPA21557 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:572880:573646:-1 gene:PPA21557 transcript:PPA21557 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLFFLITLLIATALATSGDDLSKSPFRNDYIQISGPAQRPPFFDKVSASAKEEYLENMYDLDKARSEIYEDEIGWGREQGIEDEVRTFQEQRAAFYAEHTERVIQAIEDLPNAYVKMRSYFNDLSLTHNERERAIHRQFKASSFELRALLQATRAANMDKLNNGELFPSYKRRAQEKEVLRRDIKENNSL >PPA21531 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:461141:464589:1 gene:PPA21531 transcript:PPA21531 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLEENVTGVTYLYKHKHHLSFIQLQFFAEVIVRESGYAHIQATKPDTEPPVGLAAYILEKFSTWTNREYKKLADGGLTKKFTLDELLTIVTIYWTQGNIVASHRFYKEFFVDPHNLALAGKYITVPTAHAQFPNELFDRQPVELVATGMKFISHEVMSDGGINIGQPESFQATSGPSNSQHISPLISSLSYLNWRKNAKVEFTYSTMYDVFDLPGGAEIPLPRCENGCLIFASIGGINDVDQYIKNMIVNDSVKGRIKSIADIATGFEPGTSQKVPLEITNSGKYSIKNLNAPADTAKDVTVWIVDRDAAKQVEYEIYDAAYMGRVPSSPKKVVTIMSASRFTVYVDKGEINPFSAWQVGFDNSLGNPDLCNYVIMIPDTIEFDGFKFPVDAPIFSFVFTTAKSVSLRADYNYRGFYVVNMDAITNLDEAHNIEVTNLADGSTLTIGGEWRNSQVNLPNAQTVTVYYHDVIAPQSFLIRYTTSIKDGSSSTSTSTQQPTTSAVGVATAVTAFAVVMSKLLALREVMQNH >PPA21464 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:206684:208299:1 gene:PPA21464 transcript:PPA21464 gene_biotype:protein_coding transcript_biotype:protein_coding MPDYTASYAKNIKIFSEHIAWDNNDLYYISTGYLATGAKAPDVLMALPAGDYYFIENMNSDNPMQDQPAQLIVYIVSRAAPNIDSSAVYDSTRDIPNPLPATIIMLMDNSMTTLEISPSTSVNSVVLRTVGFDNADGKADGCNYVLDTKSSGKAFPGVEQQLINTQIITMKFDSVNNVSIKRGTLMTWNYYLDSTPFTGFITSAGYIGCCKDTNNDELYSIEVLRSSSFPPDTFYYLISNQYTMNVHFEWDLNVLPDHPVVVTSNGTDESYYGANHKQLTTDTLDTQNVKIEWERYNTGSFMARFNTVPLGKVTDPYERDTTTTKGSAAVIVSTALVSTLFAILH >PPA21442 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:116626:118664:1 gene:PPA21442 transcript:PPA21442 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQPAYTTFFYNYNEGLIAAPLVIYAVDVDKEPTYKVNKASVFDAADISDGVNRGNIVTILNAHPFTVTVAGDKLSIATIFATGFDNADSNDRNPDNCRRVMQTRYQSHIKPSELVNTVNNIKASLEFSYDNLELTSRGFVTSQGFIGCESGQARIIYSSSLYQDLIEYQLFNKDSHDVHLAAYVNTDNERALSVHTDMGLRNFLGNDLVTPEDACFNTHSLDVYFNQSDVNSFSLVYYYSNVNCADPSDCSNNDKNRPSDYRERHVKYNDWNSSHYLLYIQWQDSSFTLITTFLMYYLNGIV >PPA21504 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:374526:375670:1 gene:PPA21504 transcript:PPA21504 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIWMAILLVGARAELQQRQCLCTEVERCRAAAEQGIEHCAEKCKSHATKLGVNGPAARQCVLDQRPRIEKAVACVTEAFGTVCTQKAGPMITRRFPETIQLAAFREVTTLYSTFRSVFPSFTFPLFSSPGTWKPETFGRGKTQDPTY >PPA21546 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:538865:539546:-1 gene:PPA21546 transcript:PPA21546 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGYENVYSNPIINDVIMPLQHLIIVGSLCVNGLILTLITRQQGKAVGTYKYLLSCFAINDIVYTILHYVSFPVPETFPNIFILRGHGPTFSKFWLSMYMGNYATGFPLLVAHFLYRLIALKKPRLLNHFFKLLPLILAVTLLCGASW >PPA21571 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:639275:641375:-1 gene:PPA21571 transcript:PPA21571 gene_biotype:protein_coding transcript_biotype:protein_coding MPHKMDVELGKEVGFTTRHEERGGSVLKFCTDGWLLREMEKDQLLNDYGVIIVDGVHERTLHIDVLLGKLKALFHQRKDLKIIVIGEDLGTHKFMLFFDRHYLISTLPRVETPPTIVHAPHQCLLPVESAIETICGLKDKEGNILVLLATSDDVDKACKEIGRRMDELFNMESSLKSLADDRRFLPVDGFKDIFIAAHNSPSQCTIINDRAGSGASTEIPQWTVELTKGSGKRVALTQPRRLAAVSMATRVAKKMGVELGREVGYAIREEERGGSLIKFCTDGWLLREMDRDPFLNEYSFVIVDGVHERTLNIDILVYELRELLIDREDLKIVIIGEALDSIKWMNFFNRPSLIVVPLSSTSPSIIYSPHSILNPIEAAVETIYDLHRTEKKGSCLSRGSRENGIRCVPLHSQLPYDALKKLYAPVIGRRVIVSTNIGETAFVFDEISIVVDGGRCQIDVHDEITGVDSKQMVQISKKTADRRGARVGLKRMVRLYSEENYSTREEETLPEILRAGPERVKQVVYRNNGIDVGTFDYIDKPVAVRTV >PPA21527 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:445211:446754:-1 gene:PPA21527 transcript:PPA21527 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYHLVALSTTIAIVSAQFSFEPGTLRIVSNGRGGLGHLEKHRQHHDVSYYNEYHENPFGVIGAPYHYGFATYPSQQYHPVASVYSPYHPYNAWTTPYQFSRVVRAVPAASTVAAAPATVDTVHQQGIAFHPSMLNSNRRVIKLSSEWCHL >PPA21422 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:25923:26337:1 gene:PPA21422 transcript:PPA21422 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSGNCLICGAPSSAMHFGINCCRPCSVFYKRTYAQSHKRPLRCKEGDGKCTSNIRLIGI >PPA21446 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:146559:146996:1 gene:PPA21446 transcript:PPA21446 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRFITMLMLEATVPFFFLGVCVGVFTLAGLVGVELGLYALVMSTFVAMVPAVQAILYIWHLRGRSGDRSPSHTTTVSAQRTTARSTTVRNSDSLVAQTRQESATGND >PPA21544 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:537065:537314:1 gene:PPA21544 transcript:PPA21544 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVLAFNMDSEFLRQFNNLKIIAVIRVLLRIKSPKYKKSVLKCAHVAQPGLDDTTQSYFNQYEAAWK >PPA21524 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:439695:440026:-1 gene:PPA21524 transcript:PPA21524 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRLFIALFFLISAIATQFIMPSSYSGAISNGRGGLGRYIRHLEHHDATSYYGNGNNGFGNYGFAPNYGTFGFFG >PPA21477 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:240626:240905:1 gene:PPA21477 transcript:PPA21477 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSILMFVTVLVLLAVVSQVQSCLFCYSNGYGYNYNNGYNYNYYPSCNNGCGYNGYNYYGYGKK >PPA21455 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:171151:172288:-1 gene:PPA21455 transcript:PPA21455 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIALVFLALGVSFSSATLGIDTIAAISTSGFQCLKNNGYWFYIGRIGQSNGGIDNGGIQNIKNAWAGGLGAVDAYLFPCHSSGCASAKQQVINTVNALHNAGAKFGMLWLDIEIYNWGSSQSTNQQFILDMVAQCKAMGVSIGIYTNNNNWQSIVGINWNGVSQYPLWWANYNGQANFNNFVPFGGWSKPSIHQYSGDVKGACSVGNVDMNWYP >PPA21586 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:691561:693131:1 gene:PPA21586 transcript:PPA21586 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFPRELPQSDVDPSTLIKDKKEFIKAIQQDVYASKIASYAQGFLLLAEASKQFGWYLNYGAITLLWRGGSRFLGAIKKAFDSNLNLANLLLDNFFKDDIAKAHDTTTTATTSNG >PPA21494 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:327355:329055:1 gene:PPA21494 transcript:PPA21494 gene_biotype:protein_coding transcript_biotype:protein_coding MVTALPRLQYEGKSGEIDKDREAHGAYKKIRKKIFGVMGVVLFGMLMYLLFSVCFVPANVTIKSSKNICRFGFMHNFAVNIWTWHRFAIAKFNESIAKHIHRLEEREALLSSLTTTFSPVFHFDEVVHGNGKGVEGQLTVKAGREILGLLEHFGELAIFLSSCLIEYSLIGAAMMFVFWKHIDNPKKAVHEEKLQLTSSYSKKGFFFGVFIAILACVVAGLAESKWPFRSLLFGGFDVLCYALCIFAVIVAGRL >PPA21498 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:354907:355829:1 gene:PPA21498 transcript:PPA21498 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFVNAIYFLGKWKFTLSKPYTYTFKGIAGNRTMDFMKREEVLRVNLDSEFGDALILPYKDESYKFFFLMPKETSNINTLRDSLTGKELLDILRNAVETEIDVRVPKFKISSTPLEVSTIKHFAIIEVTEEGTKAAAATVIGMNRVSAAVLRPNPVLIIDRPFLYGIMRNEDILFIGQYV >PPA21549 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:551363:551859:-1 gene:PPA21549 transcript:PPA21549 gene_biotype:protein_coding transcript_biotype:protein_coding MTCQILNIIYPSAGIAAYFLVLVTLNGVIPFWFVIVFASSLRRALFTGPMLSRILPTRTSESGPPIIPLSRS >PPA21496 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:333939:334346:1 gene:PPA21496 transcript:PPA21496 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTNESAMRGRECITFLLMVNITMFFFGIYESQNDRFGFLDQLKSTQLYMKLYAAPITVFYRFHSSVCLAEIFQKSFARPNNQLTRVNPLPASPQPLFTIVTID >PPA21482 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:251860:254681:1 gene:PPA21482 transcript:PPA21482 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-twk-37 MSGNYFHPTSADKESEHQTRLRIVQNAFKERNNCIVLRVDTCVNSEKAVTRFLALTAFVHHKLGIRHVLLVMMMSFYAILGGFMFDALESENEKNNVASAIHDMNIVIDDFVDLVVNVSLTQSNETIRDATLAMEAKKFYKDMLLTEDRYLGSAWHKAEDLTLNVQWTFWSALFYSFTVFSTVGYGSIACSTYLGRYISIVYACIGIPLMLLTIGDLGKVLQRWLCGLHVLIKEKLLCCWSKKAEKDVEQNKDEEDEEDDEEEDYLPVWIAVTLFFLYVLIIAVYLFYGDMVEPQNADFDFATAFYFSFVSMTTIGFGDVMPNSIQYFPFTPLSFLFGLVLISIINSSVYSQLYETFYTGVMTMEETLDAIHADAYETSGHKLFKKLLPAFTMLSLSFPSTEFATIKNNATPLITFTPPNSERSSSGDSKESKGVEERSRSITLAEIPGESAVTRNKRALSEEPRPRAHSHSSTTSPGTFGTFKYFSRLTHPPALGVLGGVAIPAANRKRILAKINKNCEQPS >PPA21426 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:32859:36366:-1 gene:PPA21426 transcript:PPA21426 gene_biotype:protein_coding transcript_biotype:protein_coding MCENDVSFLLCKRKDLHKLAGAKWAVVTGATDGIRRAFVYELAKMACLIQNNFSIILISRSQWKLDNVMSELESEFPEHRQVMNTNHSSMNSIRMSYFLCRRKDLHKLAGAKWAVVTGASDGIGKAFAYELARMIGVLVNNVGMTMPCPEILHKVRGDKDELARISTVNTLPMLMLSSAVLEYMALRKKGIIINITTGFALSDAPLWNVYSASKNYALHLSRTLRREYSSYGITIQTLTPMRVFTKSIVPKVDKPSFFVPSAENYARSALKTVGNVNETAGYCAHQLQIVINRFLPSCLYRRIVNRSTRKIRKSIRKDLEANGKT >PPA21563 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:587360:589923:-1 gene:PPA21563 transcript:PPA21563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dimethylaniline monooxygenase [N-oxide-forming] [Source:UniProtKB/TrEMBL;Acc:H3FH19] MSVMNTIERRKRVCIVGAGAAGLPAVRHALLYGFETICYEGGAGIGGLWRYKPEETDESSVMKSTVINSSKEMSAYSDFPPKPEEANFMHNSHMHQYFVDYANKFMLNEVIKLHHKVQNVVRTDDYSTTGRWNVTVTDTKSGKTWTEMFDGVLLCTGHHSLPYFPQSWPGQNEFKGKITHSHSYKDHKGYEDKVVAVVGIGNSGGDIATELSKIAKQVYLIRRTGAWVFNRIYEFGRPIDCSFNTRFFNFFRQNFPLWVADTFVKWQLNRRFDHELYRLKPNHGAFGAHLTINDELPNRIAAGTVRVKPQIAQFTGPREIEFEDGSKVDEVDEVVLATGYSIDFPILEKGELIKVEDNVVDTFQFIFPMACEHDSLGIIGLIQPFGSVAPIAEMQARVVLDVLAGRSKLPSKVDTTQVKRLEHMEKQRKDMEERYWKSRRHTIQVDYFPYMDELASYIGCVPPYWFSYLPFDPVMAIHSFFGPFAPYYYRLGGPHAWPEARKTILSIEDRIAKGVNPTKSGTLFTYKHVLCNEILVGVLSFIAGIAIFSVLLAFFHYML >PPA21558 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:573788:575491:1 gene:PPA21558 transcript:PPA21558 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYKKQVAEKLKLGQSVEPESFESVTIFFSDVVKFTILSQKCTPIQIVNLLNELYTSFDTIIENHGVYKVETIGDGYLCVSGLPHRNGTEHVRDIAEMSLDFMSAVRAYRVPHLPAERVNLRIGMNTDTVNTGSRMESNGKPGLIHMSAEANKLLITAYSGQYNTQSRGDVIIKGKGVMETFWLMGRANEAPTRLVTPPAAPPIDPTTSMAGPRIEKMTTLEIEQSGNVGLYEEYLNA >PPA21520 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:429254:430355:-1 gene:PPA21520 transcript:PPA21520 gene_biotype:protein_coding transcript_biotype:protein_coding METQTFLGDSPMEKSSLLPAPPSPDAPPTFDQAMGIDPPKDPFAIRGPMSYTVARAMSATFFDRGREWRMHEEAEAAVLAALREEEMRPMIIEEPKEDENKQVEQPRRGRAEILRKLLCGLLTRTRSEQ >PPA21484 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:259173:260320:1 gene:PPA21484 transcript:PPA21484 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNGTIFPPLYYYGTIFYFLYVQWLDATPGLAWFMIDLCVPFVILLRQLLQDPVGFGINSDGATYLSMPPHWNTTQPVIVTAIGAVFSAGCYILTLRKLLMNRAGVYTREFHREKMLTVVGFSLFLSLVSMTAYYILAAISAFHNVLLGTFFKDLYFLPIVLLTFVNPWMLTLTNKKLRMSLMGSVTNGSSASNANKSVQGRDSRETNSHGMS >PPA21437 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:91346:91853:1 gene:PPA21437 transcript:PPA21437 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTSVLLLASAFTVVVAFAVAETNNEHVPAFAFMDRRGNEGKFAYNRFTKRSSGGDETDSKFAFAFAKRSAPQDDAVEQVVQKFARRFAREVMNSANERGFAFA >PPA21573 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:647603:648263:-1 gene:PPA21573 transcript:PPA21573 gene_biotype:protein_coding transcript_biotype:protein_coding MTAWCLASATFIMALPLGKTGLNRTFGHIPNFLMGFYIFTFCITNILSSSHSQRRVTKIGVSNMSMSIVNGPSTEAPEAEPTHSSTRLFAFFVHLIISLTVAITITVMLVKAMIF >PPA21424 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:29235:29997:-1 gene:PPA21424 transcript:PPA21424 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTILLLLLSSFPSVFSEVVEGLTCSVIDFQKICFLDSCPVEEGVCIRQNGGKYCCKFENLILPSLPDPTTSTEAATTTEACEDLSPKCVLSVHLCFLPDYDKIMNVYCQKTCMRTCGQFTMVPTVPTCRDITPDCAGKESLCKMNEYVSIMKQYCARSCAFCT >PPA21518 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:424814:427081:-1 gene:PPA21518 transcript:PPA21518 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYTLHYFQMRGLGELCRQIFALAEVEFEDKRYEMEKEWPAFKSQTPFGQLPVLEVDGKFIPQLYAHCRMLATEFGFAGKTAFDAAWVDAIADQHKDFYWGDFKKFWWRALDAAEGDLDELTIKFGIPERDKFFPIIEKKLKETGSGFLVGDSVTWADLLISEHVSVIKRVVPGFLDAYPESVTGATAGEDGGTITIIITTIMIIITMDITIIIIVMVITDNGGNDKYFDFIDLG >PPA21449 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:154245:154800:1 gene:PPA21449 transcript:PPA21449 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPIEFGPVGIAIEAIFYYGGTAMCIVTLPIYIIVVAVLFMSTKRQTGTSGSFYRIYMIGGIVDIAAMLNNHLLSIMPAHGFFLNFYLSSIHVGQTYLAIAWGIRYAQATTVTILAVNRLTAVVFPARFRKV >PPA21567 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:623766:625765:1 gene:PPA21567 transcript:PPA21567 gene_biotype:protein_coding transcript_biotype:protein_coding MYMMHMYDLYPTPLPIDSRIRVLHVNGSSGLNAAKMVDDQAYFAFNDVPIWDAEFRAAMLRWAQLHKSCEHFIANKTFLADIEGSKFDIAITHIINACPIGIIHHTTFMMDAGEELNFIERVKSFLGYLIYKRGWRTLVAEVETVAFRKEFGNDFPDIEELMAKTPLVLVNTNELYDFARPTLAKIVNIGGIGMKMIGPKPLPKEYVDRIDKSKGFAVLTFGSIAPMHLMPDHWTDAYFHAFSQHPEYQFFIRHENVTAIASKLPPNAMAAKWLPQTDLLQHPKCIGLISHGGLNSFQEAIHAGVPMIATALWGDQPRNAHLAVHLGFGVNVHKSNMNRETMMTAVRKLVEDKSLKKAALRLKSMVETRPISSETLLIRWTEFVAEHKWVLSIS >PPA21453 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:168355:168935:-1 gene:PPA21453 transcript:PPA21453 gene_biotype:protein_coding transcript_biotype:protein_coding MAALWTDKFRRHSKAFDTGERKDECFDVPPSMQTADDGASAIDTHGRCVVVYDVIDAPINYPK >PPA21499 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:357333:358924:-1 gene:PPA21499 transcript:PPA21499 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVYAWVYEAPFHLISLGTTTAVSLFSNVLLLYIIFTTPSTSIGPYRYLLAVFAICDVITSFAHAALQPLCHLTVSGLYFFSRHVGAMIGAYSFDTVFCLIFIATFYQTFLILAYHYVYRATTVTFGIKRSFTDYWSSKHWIVLGVVVNILYIAGFMGVCLFAFIPSDETRALVPSEILELYGIDLQNANSGFAVISVWRPTNGEMVLHVPSLIALFMLLSLFGGTAIVIVYCIWRINGVIMAADNHFTGRTRKMQLDLFHALLIQTAIPVLFSYLPLATILVFPVVSGISLGPLGNVLFSITSIFPSVDAFFVLFFIGRFRIAVIRLFHLPFETHTGSSVETHTTDLKRQERSSRF >PPA21595 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:721278:721861:1 gene:PPA21595 transcript:PPA21595 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYVDEKSPIGNDEFLWRACRFGTLLLASFFVAMIIENVQGYATLVGEKGRSLSGGQKHRIAIARALVRKPAVILLDEATSCSSCSRFVRERKNFHYCCA >PPA21538 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:507265:511031:1 gene:PPA21538 transcript:PPA21538 gene_biotype:protein_coding transcript_biotype:protein_coding MEENLSTSLLVNDDNSRNRRGFWRKVFEIINSEDDEDLTDTEPVSFFQLFRYASRRDICAYFFGSFVSMIIGLVTPAYFYVVSLLTTIYVNEKTPIGNDEFLWKVWTLASLYCVGWIICLALEFTQSYFLSLASERIAQKCRSAFIAAILSRDTLSFSASTGELSSQLSSHIDRMKDGIGDRIGLFVKSLSTFISCCIFSFFFDWQTALFMFWAGPIYLVCSSLVPMMSKKATKTAQNKSEEANGISEECILNVKTVASCNGQNQMIERYASILQSGVPAAVRVAFSAGFLYAIEELVHFTFNALGLWYATLSYHSGRVSTAGDVFAVVYLSLAGSYAFSMLGPSIIVWMKARVAAAKIYETIDSAKTVKDEEKITLLDPSRTDLHVEFRNVSFSFPSRSQPVLESLSFDLEPGMSIGLVGKSGCGKSTTIKLITRFLTTDFGHILLDGVSLEKYDKKKWRQMMGVVSQEPCLFSGSIRENICLGRPFTDKEVEHACKTAFAHDFIMALDKGYDTLIGSSGVSLSGGQKQRIGIARAIVSNPRLLLLDEATSALDTKSERIVQEALDNASQGRSTIVIAHRLSTIKNVDQVIVMESGKVVERGGYDELRTKPDGIFARMVTEQAIERRKSREIHAVDSGSSLESVTVDDPDVGMITEQIQEQSFPSMTGGIIALLARNKGKTCIVLFLGLLRGFASPLFALRYFFLFGSLEDENYESLLFWTMAGTLMVGVYNFFCQLTSQPICQYLAETVMNDLRVSTLRSLLNRPMAYFDRESTSPSACAVLLAQQPPLFMSMLDNKLAVVVDGLFGCIAMLVLTFVVCPPVGFVGLFYLISFMSILLICEKFSDRAYKAVVAADKSGENLIHWVALRRYLIMI >PPA21603 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:759093:760376:-1 gene:PPA21603 transcript:PPA21603 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNEPYVLFQRRNESSSSAASSRGNTPFPSSIRSESASIPSSSPVVSKKKQAAVKPPTFIPPVSSSTLPPSLTTPSKTTTAFLAAAAPSASAPSLFTPSLRHTAPAKRTVPLGSPQVPSALPPTLTGNQDPLVLHLLSVVGNLSSEVATLKNLMVNQFTAWNTLIIGAANRSEATARETEGNKDRMTRIETALAEVKQGMDTLLSANKQPDFEYAPYSTRVLVDALDCGQGAANLATDLEESVFGPTDPDLKRSFNNKVDTQKRDWVLMRRE >PPA21506 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:383915:384850:-1 gene:PPA21506 transcript:PPA21506 gene_biotype:protein_coding transcript_biotype:protein_coding MPFYKLSYFNGRALGEVSRQLFHLSGTPFEDERVTAKEWDDLKGTTPFGQLPVLYVDGKPLPQSFAIARYLAKEFGYAGKTAFEEAWADALADQFKDYLNEMKPFMMLVYGFGDGDKDQMKKDIALPAINKLFTILEKAAKDNGSNGHFVGDSLTWVDLLISDHIGILEAIVSNPIDSFPLVQEIRRKTSTHPKVKKWIDKRPATTF >PPA21522 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:433671:434543:1 gene:PPA21522 transcript:PPA21522 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYKLTYFDVREFAEVWLLSLTMQDQVSRQLFHLSDTPFVDHRLPREQWGDLKSTMPFEKVPVLEMDGFFLSFAGRSNLEAAWIDAYADQFKDYMEYIRPFYRAAMGGRRAKVTDEEKEELKKKFPEPNRDNFFGALERVAKENGLNGHLVGSSLSWFDLVIADHILTMENFVPGFIDGFPTTRE >PPA21487 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:270003:271727:-1 gene:PPA21487 transcript:PPA21487 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLLEVVDFIVDAHLGGGILLNALLFYIVRRFSKSSVGTYKNLLATFAAYDIFLSTLHLLTRPAAVITGTTFGVVTETRFEDRKLTSIYCACFTVPFALMNIHFIYRFWSVRYPHLIALFSSKKFIAFISIWPLMEFIELRLNVEQYHLCYYALTGDVDEIGTHILRDEFARRYGVVLNDGWIVMNYWENDEFQPRPFISMVTFDVIIFASFTMAITLGSLTFFIRTTDKLSAQAYHLQRTLFIAVCAQTFVPLVFVYIPYYCVINFAFFNINLGFVDIAWMRMTACFPAWDAVIIIAIIRDYREGFLGMFRKKKVVTGGGTTWKTVSSMLLPSGVSGVSQVSQVPSVAVDEKA >PPA21469 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:222944:224216:-1 gene:PPA21469 transcript:PPA21469 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFYVAFQCGSVARIGLIMYETRAVNWYDVASTPIPFLGTIRQFGLTHGYCLLQLITTERVVATIYVADYEMRPRFHIPALLSISADCILMAISYAFVAGIMNGYFFCIMGVLPNFACALILHHMLKRNEKRLARLSDSLRRYPNDKYSLSLRIQLKENIWSLQKIEFGVVIIILVLVINLFLFVAPVSILTNPDQRTSLQWSFWAGNIVLAVSVSASAPMGTFAIALHTGARPFYVRWYLRKFRKTSIISSAQVKETDAYFGQLHAQWDYAMRREKTMI >PPA21608 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:782818:783935:1 gene:PPA21608 transcript:PPA21608 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLLKPSHRRTTIQLWTLFGLLYYGVAIYTTLLLHSPADQCGVVDDHSSRVKRGAVVEPCRHLTSENYIDIIVTTMSEVPGYILTMYAVDIIGRRATFAIGFAIVSACSAALTICLPRFLTVTALFVCRSAIGAVFQAGYIYTSEVYPTSLRAQGLGVASGFGRFGSMITPLITRILFAHNLVFPAIVYVIGGIVGAVLSILLPIETRTNRNKSREENTWL >PPA21444 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:138773:139665:1 gene:PPA21444 transcript:PPA21444 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGLSCNLSVLAFLRSMPSLNNSFGSLTLSQAIIDSIHQFLFAFYFSPTIFFQNPALYNLSDHFGYLTLMAYQICCYSHLCISVNRFAAVCVPLMYKRLFSVKKTQIIIVGYWILGIVHMTIMLKLVDCSIYLPTNTWIFTFKVTTGCYYVMWYGDFLLNSISVIIVASLDIASVARLHCMNVNKV >PPA21583 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:679997:680433:-1 gene:PPA21583 transcript:PPA21583 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFVFLSFICLFVPSDAAPGWYLSSCARMNDGVIGSKVADVACMVSCNTKNCGESKCVNSKTEGRPVCTCARCGNGGGTYPIGK >PPA21540 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:520545:521090:-1 gene:PPA21540 transcript:PPA21540 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCIALLVFLNILAIALVQWPRAAVGTPQVAVIPVTVITEAVTTTMEEEVIIITEVIITIITAVVSTLDRHRAVAAALVEEEWPKEEGRVRGVTRNERKSRVKG >PPA21585 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:685825:686894:-1 gene:PPA21585 transcript:PPA21585 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPPSTIHNYFNLIGIDETTARSEPPYISGPSALNGNRTFGGLLVSQAVNSFTTLFPGHVPHTINYKVEGDVYSTSKGIHIAVQTRAPLHFKVHHFEDAKIASVLAYQNGKLVGIGHIRSTNEAQLLDNSYCKCIDYEPPDQYPKLGEVALTRPSPLREFFLELSKYPLDVRFIESPLYTTSKVDKTAAWLKIRNDFRDDVKSSDGLSVALFMSDFIILRVVLEIYQKSNFIVRKLFDFL >PPA21609 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:784022:785271:-1 gene:PPA21609 transcript:PPA21609 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLWCLCFSDTPAHHKRLSTKEYQLIKPNEKPVAQKKPDVPYRAFFTNPSVWAVIIAAIGNYNGISPLIVFSSAVLKKMMCASFICFTQGMIGLNAAGFNRAAMIVTKQYAHFIVTIIGVIIAASTIAEPFLVWAVAPETTWNQWQEKLNHIDNEFFLLILHGTILVISNITFCVMIKTKPAKFTEPKPDLNIVVEGTA >PPA21510 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:395463:395819:-1 gene:PPA21510 transcript:PPA21510 gene_biotype:protein_coding transcript_biotype:protein_coding MARFHSIILFLLLYCGRALLVIQYPVERTDRTVLCEWARYQRPEWRRRIC >PPA21529 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:453608:453883:-1 gene:PPA21529 transcript:PPA21529 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLAVLLLIVAAVTAQMLPGSTNGIIANGKGGLGRYIRHLEGRDRSSYYNNGNEFGYYGFAPNSYNNFGFFG >PPA21456 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:173619:176507:1 gene:PPA21456 transcript:PPA21456 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLSSVIPGDEGVFFAGHGFEFGMDPQVMPHRAVARMNRTVKESGVQLMKLWFIHENVYMPTNGETVKYILDSNDEITKGVEYDVLVPWLGTGLLISTGDKWKSRRKMLTPTFHFSMLDGYLAIMNRHAKICTELLEDRAGTKVDMYPVVKMCALDIICETAMGKELDSQRHANQPYVEAIVIDERTEALKRGEVESNKRAFLDLLLELKEQHSLNDEDIREEVDTFMFEGHDTTSSGMGWIVWCLACNPDIQERAYEEVMKVLGDDPDRDLTREDMGQLIYLERCIKESMRLYPPVPFASRQLQNDLQCVNRAYMCIQPKVSVSPTENCPDIYQ >PPA21575 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:657016:657873:1 gene:PPA21575 transcript:PPA21575 gene_biotype:protein_coding transcript_biotype:protein_coding MASIQLTLMTLNVVGFVTFGQEPDNIDLIIQVQREPEIEFYAKRGKVLLFGSPGYPQYMKAVALIILLVSSSYDHELQS >PPA21513 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:403730:405941:-1 gene:PPA21513 transcript:PPA21513 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDGSWIPDLILAFPLRFMAVTFFNTKSYVFGIFLLFKNPNFRKILKNKSKRLMGRHVEETKLALVLDEWLFCCAIPVYPLIPFAAVYWYNLLSVSIVNCPFDFLLVKLHQMFVPSGSPMKLSVSVQIAMSVIQLIGAGFIYIGPLFSMFRFMLLLYRLKRMMDLRMSDSSVATWAEAPTKSHGKSKT >PPA21528 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:451293:451567:-1 gene:PPA21528 transcript:PPA21528 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLFILVLIVTVACAQLMPGSTNGVIANGRGGLGRYIRHLEGRDGSSYYGNGNAFGGYYGYAPVDYSPFGFFG >PPA21539 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:513047:514867:1 gene:PPA21539 transcript:PPA21539 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFDNVATIQQIAVEEHFQGKYDEMLRIREGPLKKKVRYQSLVHATNESIFYLFDFFSTAIGVYFVYLGYYNAKLLYLAENLVSTVGYKTFTMSESFKEMVSASSAAKLVFNLIDPTMEKYHDQAASSMVVEGSVRGESLSFAYPSQPNKKVLQDVSFSSDNARSLAFVGPSGGGKSTLVNLLEKFYDPIEGQLFLDEIPFSDITPSQLRSNIALVSQEPILFRGTISDNIRLGVTGVSDEYVRAVCKQANASEFIQDFPEGYETLVGEKGRSLSGGQKQRIAIARALVRNPKVIILDEATSALDTQSEKVVRVALESSAQGRTSVMIAHRLDTIKHCDEICFVEGGRIVERGSHSELIARRGRYYEMTEQQSLHYIT >PPA21512 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:400411:401769:-1 gene:PPA21512 transcript:PPA21512 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDHNWQLAVRLSHCENAPDIRPSRKHTEVIETEPELLWLRDRDGRIFMFGPPTAPQHFGKALAVLAITLCFIAPLTSFFTGDAMRRVWKTKTLSLGGVNFVGPLLVMFSFMVVDISWLPESFLAFYARFLNTFA >PPA21574 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:649271:650976:-1 gene:PPA21574 transcript:PPA21574 gene_biotype:protein_coding transcript_biotype:protein_coding MLISGAKAQFDFKQCELSKSCWFHPPDCMSSKSCVSGVEWEPRKTGVKFTLHSFVSDLTPNMPVWIGVGLSMNQKMASATLLSEQNVTFQDGALTCSALLSFTGRDKLGETEQFKVSFCVNNCSQLRVEPSEALIVSRMLQTRMSRYWRYRVAVLHGAAMLLAWWVLGSNAIIIARYFKPLFPHKKLMGTAFHRDMMVAAVIIEVLAVIGIFWQAGWVFFECSYECTNDDFARKMHMVTGSWATIIAVLQPLVAIIRPSPDSNNAKVLNMEWMQVAAALTA >PPA21479 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:246475:247965:-1 gene:PPA21479 transcript:PPA21479 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGNSSLRTLLVSRSDPFPPLLCLWSSSLPSSSLYGHLLKGKARDKIRWYEGETKKMGLVLGMVTSTCTGIESSCIQLDIMLLRTTSCSCSGSIDSHFHSVAGGDEEMRECTRDAAMDAKPSGVASKGTRLARFNIGILESEPSTVTLGRRTEAIRVDEGKYHFGVDVPPFQDQIK >PPA21445 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:140800:141771:1 gene:PPA21445 transcript:PPA21445 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGLTCNLGVVSFLRAMPSLRNSFGSLTLSQICCYSHVCISFNRFVAVCAPMSYARLFRKSVTLKIIALYWILGICHMTFMLRYGGRWTVLSIFLKALGYSYSKTRHRKLLRQTKFPCNEERQK >PPA21466 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:211204:212600:-1 gene:PPA21466 transcript:PPA21466 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLYIALERIQFKHVTDVCSSTIGMKGGIQNVNLGSGCQSVTVIAHEVSHAFGTLHVQSRSDRDEYVRIDIANIKEGKEHNFLKEPSTGYSTYDIPYEFGSMQHYPEKAFALNTTLPTIYATGNNAKYQYSMEGPRATFYDILLVNRMYQCTAKCQNTISCQNNGVQHGSECNKCFCPKGWTGTNCEMRPADAQVINVTSVKEVKVEIAASTGEFTEKLYVLQAPVGKKISANVTKLGEFCSAPKQGPIISESNSMLIWAYNEKAYRFYANIAIMIV >PPA21592 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:714685:715600:-1 gene:PPA21592 transcript:PPA21592 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWQIVFIEGRPSDEVLIDTRLVFYTVYNYAAFLAILLAILLAICVHYTRKSVVGSNKISSLSWGDVIGSALVVLGFALITTIWNAAYNGNNSVTTGPREVSITQALQQIQSGLRTILIGNSTTFYEGDQETLFGSGNYTMMDNINERLEYLCRNRDTVSMFYTPE >PPA21508 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:390529:391212:-1 gene:PPA21508 transcript:PPA21508 gene_biotype:protein_coding transcript_biotype:protein_coding MARFFLSSLIFLMIVINFSTAGSFHKRSYEEPRRYCDHAFLEKSSEVLRHMKMSVCVNRSWQAGEWYGQWPPKSKIDFREFCCKIGCNSDNFIDYRCYTL >PPA21448 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:152765:153583:-1 gene:PPA21448 transcript:PPA21448 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLQIDTVRLAECPRKAECCAFVTSLNGNQFGCNETCPPKNYRQCGPDPRSGIQDLRYCYCRSHHDPRCTPFLESWDKRAKLTTPMPPELKRTMAKNRFGFMMSKPFRNTQVNGVKIIGPPAPPSIQPLPPGVLPPSVIPEAAASRRPLNGQRPAARPPRPAARKLVAPPGKISRRLIKKINSPK >PPA21615 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:800786:801297:1 gene:PPA21615 transcript:PPA21615 gene_biotype:protein_coding transcript_biotype:protein_coding MRFALLVLLSVPAAVAVRCWVGGAFGDESNGAFVDTNCGTTSDTCYKQDIVLVGVRTITKSCGVIICDNLGIGYDANTGITCCKGDLCNSASSTSLLVTSMVAAMAASMWC >PPA21420 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:19809:21124:1 gene:PPA21420 transcript:PPA21420 gene_biotype:protein_coding transcript_biotype:protein_coding MLASQKFWLHVMNTLLDKEKREIAKNFKRTLAVNKAHFKRVQPTNEEFLALLGLALWNENTVENDEQMMEIVKRNRSSILNELHKHYAKKGTTDYASRLECFLTDGGR >PPA21562 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:585036:587154:1 gene:PPA21562 transcript:PPA21562 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMLIPITLYRPLPGNLTSSTISDRVTIHILEPLARIFYYYPTRLCPTAHCRLNWMRKASDLLYWAIGPTEDNDSHLQMETTYWDGVKVRIYYPRDRAKAESDGAIVYTHGGGFSIWSTEIYESLTRELAKRISARLFVSVDYRLAPETVFPGGLENCERVLEYVFRNGKAEYGIDPRKVIVMGDSAGGNLAAALAQRRRASGAEPKILGQALIYPWLQMSDQQTPSFQYWKREMNQLAFLDPLTLAHFTLWYAGVDVDTRPDFAVAVTTNRHFMDYSLLPDAFREDVNCTNMYQEEPIKELSEHLTPLLNNPSFAPLMQSNLSDLPPALVITCEFDILRDEGVIYAQRLKNSGVPTKWVHLEHGFHGMLCIQSKLDVARQALDDIKNWTMALLREVE >PPA21581 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:675254:675673:-1 gene:PPA21581 transcript:PPA21581 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCAVSCGYKFDHELNGRGQHLNEEEDFFGPDLLQVYGINTKARPAPDLIFMNFIHTKERGGGINWITIGGICNSGLVVSIAFTVIAYCTVRIERKFKTSQ >PPA21561 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:582100:582468:1 gene:PPA21561 transcript:PPA21561 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFLTNPDFAPLMQPDMSHLPASLVLTCQFDMFRDEGIIYAKRLERAGDAVDDITEWTMKLLREA >PPA21584 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:682118:683731:1 gene:PPA21584 transcript:PPA21584 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGLNSSSNSLSALDESILCDRQIQLERTKWTLMTSGGYMIPELSSVRFDKKIVDTTKAKVLSCSEENGELAVTMAEAKMLRQASDSQQMYDDGSMLVSSRKNYIVELNGTKTYVKECVPDDSGLTVLCERLHDSESIPQYKVPVNSRERILWMLELRDAMIVVTNAKKLLYREVDGTDYGETIMKPNLLIRCKAGEVFSFGQDKVKCTTRQRRNLAVRSQEVMATGAKPYIANLSSLVKAVEGRIEERKESFFGNLLGNFIGSSF >PPA21555 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:566180:566427:-1 gene:PPA21555 transcript:PPA21555 gene_biotype:protein_coding transcript_biotype:protein_coding MFVICIIACMTPLIVAQCPSSNNARCSVWLQGGFCGSNFYTTLQKQFYCGTQCGLC >PPA21459 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:191609:192415:-1 gene:PPA21459 transcript:PPA21459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:H3FGR5] MSQSFYEFKVKDANGKDVSLGEKYKGQVVIVVNVASKCGLTPVNYTQLKEILDQYKDRGLAIAAFPCNQFADQILYIKCLEQEPDCSADIEEFVKKEYSLEPDLYAKIEVNGDGADPLWKWLRKQKSKGDSVKWNFTKFLISRRGEIINRYGPTVEPKSFIADIEKALAKPSKL >PPA21451 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:158369:162270:1 gene:PPA21451 transcript:PPA21451 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKCLRRGIIERWDYPVEIHEVTTKDGYILDLHRIPRGQNESKSSGPCNRPAILLNHGIASSGAQWALNLPNQSAAFVFADAGFDVYLANHRGTTYGKKHVNKGTGLFSDFWQFTLDEMANFDLPAIIDKVLELNGNTQLYYVGHSQGNLVGFLTLADNPQYNKKVKKLFALTPVGAAHYARGATQFAYLAHNVFRPVTAFYTSVLGPHELFFNIPWLFRLVGELVCKNPPLNYICKDLIEISSGPTGPHSNMNSQAKKAMHFDYGNALKNLQKHGRVSIHAFPHPYNYSNIDTELYLCWSRNDWLATPADIEKILVPSLRSGIIKGSFEINEYNHIAFALATTTKDRFDGRRIDKTFERLGKDQAGFYTVFMPFPNVQITDYSILREAFIEKGVIQSNGDTWREQRRAAISIMRDFGMGKNVMEELVRSSIGEFLEHLEKLPDKSNVDLYWPIKVLVSNVINEVLFGYRYNYDNCEPLMNYVNRFNAQKYPQINQYIVENVHRSLKEYNVDDEQTCFAHAYKQRMEENEHLNHWI >PPA21428 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:49428:50667:1 gene:PPA21428 transcript:PPA21428 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPAHIIVDEGSDLLWEQFRDAVRGLGWTADDNQSVFQVLALLPSLPSTRCVFARKDDDGSFLGCLVWMEYDELAFIGDYICVEELRGAGIGSRMWNRALERIGKGKILALRGAPAMAPKYAARDTPVELSRLRTNILTCAEMRETCDSLNPSTSPILLKSTLSPVQIEELLEFDQEMTGRNRRDLLVPFLASPSFECAVQLNAKGEIAAWAGITSTGFEKDHLFKLGPVYASSLSQFASLTKSLIPFCERASCDSKIAVHIVTGTVGERELEPLLS >PPA21519 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:427887:429179:1 gene:PPA21519 transcript:PPA21519 gene_biotype:protein_coding transcript_biotype:protein_coding MVHYKLYYFPSRSVAEPVRQMFAYAGVEYEDIRITKEEWLANWKNKMAFDQVPVLEVDGQQLPQSLAIGRFVAKQYGFYGKTPFEAAWIDAIADQFKDYWVALAPWFYVHMGYAEGDVEAARAKHAAPAREMLFPHLQKLLKESKSGFLIGDQVSWIDFMIQEHVTVLEEEFPGYLKDYPEVFVHAAKIRALPAIKAWIEKRPVSRG >PPA21515 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:414633:417951:1 gene:PPA21515 transcript:PPA21515 gene_biotype:protein_coding transcript_biotype:protein_coding MMEHHRLPDTPPLSMEATSSRFRHCLICGVQTANSHMGIDSCRACAVFYKRTLTGTRPLKCKLGGGSCILTELRPNLIKFEKELTHEEPYYPMSEEPEEPHHEEPDQEEYEDAKDVKLIVMPSTSFIDHTKYFELEASSGAPLLERIRRGYSIFCMMRKMGESSQQPMNFCKKASFTRQNMNFIPATYSNTLKYGRVYVESLLDFAHSAFDDYRTLSNEEKKIFFKNNFGLVGAKLSNNIEFNFLFVSYTTVLNNESAANFFDDSPPETTETNKEDAIRTLRENLHLGNRLKKDPFRRVMPSEDEYIALLGLAFWNTELDTPCENLTRLAAINRERIMREMHQSYWRQGITDYAARIGELFCLLKSVSMLGEEIQLYRLLNVFDETSVQEIV >PPA21470 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:226279:227410:1 gene:PPA21470 transcript:PPA21470 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRSHVTFMGKLADILVEEGHEVTLVGPIFEAHLGTHGTKLAKVIEIPSCPQMLDYQRDKAEEYVTNVWRAKSTFGFLSEINKVKDAWMGQCNATLSHPGLIEHLKEEKFDAAFYELMDLCGAALFHLAGIEKFALTFSMASFEGSFHYTGVPTISSYIPGPMSAHSDKMTFFQRVKNFFSLFIVKCFFPFVSRPYQALVEEKFGADFPDLLYDIAANNSLMFLNDEPLIEFPRLISHKIVDIGGISLSQGHQKLNEV >PPA21599 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:740101:741035:-1 gene:PPA21599 transcript:PPA21599 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSCSTTADCENECLTNSQCDAYVFSTRCALFGVDSGKPQCIQSGANYWQRLPSCGGAKPASTVTPACAFHDNSCSCNDDIVSYDNNNESVDYYHNWNNHNHETNDHDYYRRSQSMRCSRLSAICRLRGWMSIAYDGNDGDNGQGNLTCVGTDWTYVYIGSPYTFAPRSTVYCVNTMG >PPA21457 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:179262:181221:-1 gene:PPA21457 transcript:PPA21457 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRNVLKQTGKAASEAKSLNPAGRIPVLIHDGRVLTESLAIVEYIDEMFEGPKLLPTDPVARANARAVALHVACGIQPLQIVRTTKRVDEIAGVGKGAEWNAYWIKRGLEELEEIVKKTAGKYSVGDSVTIGDVVIPSILYNARRWKVNLSPLSTLLSIEKNLNVLPAFIAAAPEQQPDAPKK >PPA21461 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:195897:196914:1 gene:PPA21461 transcript:PPA21461 gene_biotype:protein_coding transcript_biotype:protein_coding MFPEYIKPPAKVVIDIIMLYAWLAVVVFPHHNIFSRGRVIGLTICQHILSMTMSYLVQFELPCCRITFIPQVFTYSYLRKDGVFNYAVMVDLPLNSLASMTPLISYSVIVYVMNRTSKIAGLKPNKKEYTFAIQFAVMAAVYTCVWITIRVFPKLLYGTDKLFLYGMTTFFAFCNLSSNATVFLIRNSLRSIRHGVPVSKWANSRDGNDQKSKSSVATRH >PPA21517 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:422521:423854:1 gene:PPA21517 transcript:PPA21517 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLYLLSLVPLLVISVLPDVSSEDVSSLPDVSSEEIIPDLIPITRPENTSAAVEFPISRKGRQVYYQCRIGSYTILSRVPCNNLQQCAAGDANVKLGVGCNNAQQCTPFFQGPVKCVNACCCTAVAGTNPTQPSPSNSGVCYHGQLSQVGCSARGQCAAGQTCMNGLCCTTTGNEYTAACGGLAAVASCTNGGCAGGLTCTPSNYCCECPVGRSAGRCTNGACAAGFRCMPNGYCCASCPNNATPFGACRNGVCGNGKTCSAGNICC >PPA21559 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:576332:577700:1 gene:PPA21559 transcript:PPA21559 gene_biotype:protein_coding transcript_biotype:protein_coding MLQWTRWSMNFLSWVVGPKTDYESPLLMETTVWDGVKVRVYHPRDESKANSDGAIIFMHGGGFVMGSTEMFEPLTRTMAEQIHTHLFVSIDYRLSPETIFPGQLEDCEKVFEYVIANGPAEYGIDPRKIIVMGDSAGGNLAAAMAQRRRDRGDYPRILGQYANRSVDPSPPRVYK >PPA21486 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:269141:269777:1 gene:PPA21486 transcript:PPA21486 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFLLTVIFSFSSAAKDKSVGAQGQLKCGTAPLPLTEVTLWAEGGESDGVVAKAKTDEFGNFRLSGSGDSSGMGKPEVRVSHQCSESGVVSQTECPRNVSFAIPASFVSDGSKNYYWFKLGTRNLATKQPNEQQANCTMVSTTPANPETPESP >PPA21475 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:238851:239143:1 gene:PPA21475 transcript:PPA21475 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSLILFVTILALLALVSQAQTYYYYPSNGYNNGYNYNNGYTYYYYPSYNNGYSNNGYNYYYTYGKK >PPA21497 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:335042:337675:1 gene:PPA21497 transcript:PPA21497 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLIAIAALADLLPPEQNLLKDAPAEMWERLESNIKDMHNIECETYDFMEHFDKGIAVPKRYYAKEFNDENLLAGQICMEFMENAQMMNFHEKATLDQMKQIARALGKLQAESTKNEMVSESIKTKDIFAEFTKSKPKEQYTMLFGPLTTFEASLIESVEAVESVMGDYYGATLPSTIHKQLGLTPVFINGDLRTENVLVDKDTGDLRALIDWQCTHLGVGVEDLLRISFFAQSSEDR >PPA21602 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:748903:756027:-1 gene:PPA21602 transcript:PPA21602 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPAHHAVVASGEETLNIYGYRTSKARTFLFWALSILTLGIFRLLMHWSEKLYMKVRAAPCSLDQADLILVIDDNNVLTIRPVVETKAMEGEHLVLPNEKGDEMTRVDRFKWFTFRKMKYVWFESECKYITNADIDSTVASRVLTYGSNLIAVNLKPILVLLFKEAITPFYIFQIFRHPMWFTDGYDYYAAVIVILSLLSLGTDVFQMRQQEKKLRAMIHSVDQVEVLRDGEVKTISSEELVVGDTLIIPRNGCTLQCDAVLLNGTVIVNESMLTGESVPITKVALTGIDEDNSGGQVRFNFDKHSKHVLFCGTSILQTRFYGGQHVKAVVVRTAFSTLKGQLVRSIMYPKPVDFRFTRDLFRFVLFLGSIAGCGMIYTLVIMILRGNAVKDVIIRSLDVITVAVPPALPAAMSVGIINSKMRLGKKQIFCISPSTINTCGAINVCCFDKTGTLTEDGLDFLTMLGVRPASPLNRQQFTQELDKMDPAGLPLNGELVKAIATCHSLTRIEGKLNGDPLDLILFNQTGWTIEEGNELSADQSQAESGLFDAVQPTILRPPVSHTYDNNEYTILRQFTFSSSLQRMSVIVGAPDDEEQQLILYSKGSPEMIQSLCKPETVPADYNDIVNSFAQHGYRLIAVARKTIDMGYAKAAKIARGMVENDLEMLGLVIMENRVKPQTLGVINLLTQARIRTVMVTGDNILTGLSVARECGIIRPDKKTFILEHKKDEKNIEGRTRLTIKQSVSSSEDIIDEASFLTDVETKRRIDSSYHLAVSGPTFAVITHEYPELLHKLVCVCDVFARMAPDQKKQLVNTLQAAHAGVSLSEAEASIAAPFTSKIADISCVPEVIREGRAALVTSFGIFKYMAAYSLTEFTSIMQLYWLGTNLTDMQTHGENAPSLHTCFNWFTRFKRGDYNLEHQPHPGRPSSRVRGRVLRELKANPKSSVRDIEKTIHIPKTTVARILHDAGKTPKLPQVIPHDLTTAQLKKRVDVCQGLLHRRSNFNWVSHIVAMDEKWITYDNPERKLQWVDVDEKPQQAPKAELHGKKELLCFFFSVLGPIYWEILPPGITIKADLFTTQLEEVAVRVPPKLLSEGKILMLMDNARPYHAKITQKKMDELEMEWLPHPPYSPDLSPCDYHCFRSLSNFCRGKKFKNRDALVKEFEAWINSKPQAFWKRGIETLPDRWRQVFLYIDLFLITTAALFFGNTPASKGLSSTPPPTRLFSVASIASILGVLCIVAASQISVFVLTTYMDWFVPYVLNLDDEADKMSMQGTAIFGVSIFQYIGLAIMFSKGAPYRKTIFYNKIFLALLVNIWVVLFPPSFIATFLDYDPIPTFGYRLFFVLVSIFSIALMFIFETFIVDALLHNKREIARQDGLLKKSSSFVSYPNMIEAARYYSETQDNTSIYKQVKGVLSWLRQKKMRSEEGAGQKWPGTCQQTRWHRNHPPE >PPA21604 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:768778:770015:1 gene:PPA21604 transcript:PPA21604 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGVYGAQFSSTLCPSPHSIGASFTEIMFNFTHAQALQWNTYDQAIVGVLTIAVFLIYAFTNYTKHSIARKHIEFAEHLEFKLGTMQGVNQEVGSIARVLGPLVMSSTFSQFGPQATWGINIGLLGVVIGIWMVGYRRLVLKILMPCTNANQIKRMKSL >PPA21612 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:793490:795181:1 gene:PPA21612 transcript:PPA21612 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIGLTTDIVAILAGILFGIAPSLGWFVEQYTATEVPLRLFYFFNWFTRESSLKTKYSSKDKKARSLLWIAVVVISLELVYCVYFFFAFVDNPTEHKRKE >PPA21605 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:771398:772054:1 gene:PPA21605 transcript:PPA21605 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLIVLAALIGASLATDCLKCICNKESGCKPIGCVMDVGSLSCGYYQIKSPYYQDCGEPGKKSGEDLDTAWKRCADDYNCSSGCVQAYVNRYKSKCPNKGSCEQMSRLHNGGPNGCNNSNTVGYWNSIKSCCGCS >PPA21417 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:16321:16694:-1 gene:PPA21417 transcript:PPA21417 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLNGLIKQRAGQSAKETLTSSKGRVIRKRGKELVNEESVSELSRDSAQRS >PPA21502 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:371311:372114:-1 gene:PPA21502 transcript:PPA21502 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSLLLIALVGVAAVFGCSSKPSGGGCGCKAKARGVLKLDGDNPVDEDFGYVEGLSLWANPKPPADISLKEAQDPNTHFQRCCAGRGLSSACQAHCNFESYNQDLLQKMLIGADECPLDSLSEMHFCAAQGRDHSTCCQARGVTDTTAGDKCLVFCDQVPDKFTPIDYTYAACFGKFDEMKQCFHSTIKSKAKAFFRHH >PPA21514 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:413129:414188:1 gene:PPA21514 transcript:PPA21514 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEESFIDVITGPCTYFGSRSFCFALYAIALCGHAFFASALEAATETMSTRTKDAHVEIIKGLVLQSCLPAIDVCALIFYAFTQFYEMPSEIAGHEMLMVLRIHCIELVASLHPLISLYFVRPYRIAISSILRRSNITPLHSQSQRSVSDHRTITNRR >PPA21607 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:779997:781610:1 gene:PPA21607 transcript:PPA21607 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSDKEDIEREALVPQSTTTFTVTDAIEFSGFRKFQYVSCAICGLSWIASSTLIMLLAILGPSLKCEWQLSTVEQALCTTFVFAGWMVASPVWGRICDIYGRRKGLIATAAAGFIFGIFTVFSPSFYVFLACRFGVGFAVAGQAQSYSFFAIGTAILTGLAIFILPNFGWRWLVAYAAFPMGFFGICCWSVCLSVCLSVCLSVCLCLTN >PPA21433 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:71190:77357:1 gene:PPA21433 transcript:PPA21433 gene_biotype:protein_coding transcript_biotype:protein_coding MGSARQRSALLRVESVDSKSLSGFWRTEGNNSASLTILMNVISIQVVPSSTIDVYCVSFANQESSALIQGQWIRAFKYSFKYSRAAFSDFAEMTFPDFKRLSNKHKRTIVGCSLKLVKMLESTYRAARHFPYCDTAMPSYLTTLTVESVEKLFEDCPHPINKAEVVEEMRRNLSRSIKLTKGYFLNLQISDDEFLALLGLAFWNEDIIHADGSLTEIVERNRSSIMREWRLVYSKNGIEDYASRIGELFCFLNAVSLSHEDLRVYQLLKMFENLLITKPKMVVDTSTDEEIKVCGESLTGRPLIASDEEFSFGFNAGVINANGESWLENRRLTITILRDFGMGKRLMEQKVHLSITEYLRHLASIEDEDNVDLGWPTQLMISNIINETLFGFRYPYNDCQPLVDFAEAFAVLSESSLSNLIVRFSSYTHRFLQKIPQLKELTRKVHGENIHKMKSYIENNTDRAMESFDENGDDECFVHAYSRKIGSSPNLTKMQLYAVCLDVFAAGQEKGTTTLRWAMLLLAANQEIQERARDEIVRVVGVDRLPSTADKHLLPYTSAVVHEVQRRANIIQVNVNRRTTEDVEIMNPEEFRPERYLSENGKTMRKDLVDRTLPFSMGKRQCVGEGLARVELFLCIAATLQHYRILPSSDEPIDLENLASNVNRKPKQQNLKIVPMLRPRAESADTIVSPKFLEDQPSCSDTPLLDKIISESLMCQTRKSGETATKPVSYHLSQGEFDGSKIFCNLHDIYE >PPA21415 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:13400:14366:1 gene:PPA21415 transcript:PPA21415 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYKLTYFNTSGRGDPIRILFSLADVPFEDVRVGLQEWPALKAKTPLGTLPVLSVDGVEFGQTQAILRYLAKEFGFAGPDNLTSAIADSLADQMADFMTAIFPWHGVNVGFAPGDKAALYQSVFIPARDKHFPFFEKTLSNTSTGWLTSTPSLTHADIFVGCVIEMLLRLVPEKEKLLADFPLLAAHQTKFLGIPQVANHIANRPKDLWF >PPA21553 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:559831:562399:1 gene:PPA21553 transcript:PPA21553 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFDIVVYGATGFTGAYIVKALATSPLFADKSIAVAGVPDVSKYPIIIADSSDEESLGAMARQAKVIINAVGPYVLYGEAVVRAAIENGADHLDISGEEVFLEHKYNEAAKAKGVYIVGACGFDSVPCDFGTEFLKRDYEGNLAYVETFMRLNKGSVGYPLNTGTYNSILLAIASLWNFNAWVVKRAIAPKNAPKAKYSPPLRLPITHQQHPALDAWCIPFVGSDKSIVDRSQYDDFATNGKRPVQIATYMVQGSFIKSVLALLWLGFIGFFALFSPTRKLLEEHPELFSFGMFQNAGPSKEQIDAASFDYFVFGTGWEEGEEANGREPTKKAAIVCRSADPGYVATSACISSIALALLNDRPQLAKDGGVFTPVSAVRDTRIFAYLQKMGVNFERI >PPA21578 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:664129:665077:-1 gene:PPA21578 transcript:PPA21578 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQYLYDLKKAQLKASSNTSALLSGFAMIALVELHYDADTPHWLLIMLGVVTALLVSVHLLALMISTCIQPYMQAAGPTQYSPHIRLKFLIDLSWFFSTCVGLILFLVEIGLIFYIKFRAVGFEQAGWITTAILVPVLFILVAVSCFIHRNRASHTIYRMDRKVKLDVNSFLNFI >PPA21598 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:735346:737286:1 gene:PPA21598 transcript:PPA21598 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDRGGNTLHIKVDLFVKNRKRLVDALRGKVPAKSAILLQGGKEHFRYNTDMDDLPFRQESYFFWTFGVHESEVYGAIDIDTGKTILFPPRLSPDFEIWEGKINPEEWFKDKYAVDEVHFNEDTKIYDILKANGAAKLYLLKAENSDSGNTLLPPNFIGIEKFPLDEYLLYPIMAELRVFKTDDEIEVLRYASKIASDAHKAAMKHIIPGMYEYQLESLFRHTSYYHGGCRHLSYTCVAASGCDSAVIHYGHANAPNDRKIEDGDICLFDMGPEYNCYASDVTTSFPANGIFTDRQKLIYNAVLDANRTVFEAAKPGVRWTAMHELAEKIILTHLKEGGLVVGDVDEMVKARLGAVFMPHGLGHLIGLDVHDVGGFLGDALPKPTVPGLKNIRTTRTLQERMCISIEPGCYFIDTLLDPALADPILSKFLVAAKVNEFRGFGGVRIEDDVIIW >PPA21550 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:553578:554578:1 gene:PPA21550 transcript:PPA21550 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGIASSGALCFVAISVLYMSSLVSDLQSLQSEVSENMDEFKVMAEDTWARLVKMHINPTGSSDAAPTFATLLGRNKRQANSQCNCGPSSRGCPAGPPGPPGQPGERGQDGKDGEPGRQGPNGIALAVTFDTPGGCIKCPPGPPGPDGEPGHQGPAGQPGRPGSSGPAGNPGRPGSDGQPGQPGQRGHDGKPGAPGQAGQPGVNYTPGPAGRPGAAGRPGPKGPAGQPGQDGAPGQDGQPGENGRDGQPGRDGQSGQPGEAGSDGLPGSDAAYCPCPARAGAVSEAVEAPTSESSYAPPAPQEYRRRAAKRA >PPA21500 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:362483:362683:-1 gene:PPA21500 transcript:PPA21500 gene_biotype:protein_coding transcript_biotype:protein_coding MICFCKIKKNVKIKKIQKVGLTQDQETMMVFGFFVLALFYFLPNFVLLSYQ >PPA21614 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:796926:797387:1 gene:PPA21614 transcript:PPA21614 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSHKINWRELVAGNYRSYIERCNWLDKDLPTCAPGLFCLRDDWRCVTNVNCRHKGGWCVPNFTANLKEEVEERRRLRDSDESEDRE >PPA21530 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:456598:460731:1 gene:PPA21530 transcript:PPA21530 gene_biotype:protein_coding transcript_biotype:protein_coding METSQTWTGTMFSPQYHNRAINDISRAIQEVITVLAITVNLMLLRIVFTSQRREIGSYRYLIATFAVSDLIYTSVHWLVYPIPEMYGNSYLLSGHNIISSRFGPCLYCTVYSQAVPILTFHFLYRTFAIRSPEYLSRPARFFGALFVTTVIVDLDGFFVMWVLFRPDEETLAKNAPFFAGNTSAPVIHRIETAGDHVQALYWSDDTFEKPRWLNLLGAFDMMAVISATYIIVIVCGHLINKYLKTRFLQWIGLGKCMEHKDTSAEHHSGGYIRSRTSVSHLQPEFLQELLALTVNCMLLRIVFTSERRDIGSYRYLIATFAVSDLLYTSIHWLVYPIPEMYGNSYMISGHNILTSRIGPCIYGTIYSQAVPILTFHFLYRTFSIRNPEYLSRPFRFFGALLITTIIIDLDGFIVNWVLFRPDEETLAKNAPFFSGNVSTPVVHRIETARDHVQALFWVSTKQDFCNGSGWDRAYRDRLKKSTVDERALPFRMVQCYS >PPA21440 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:105578:114537:1 gene:PPA21440 transcript:PPA21440 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLELRLLLWKNWLQQIRSPWFTAIELIIPLILIGMSLGLMIYFRPEYEQEIDAEIFPRWPVWGTAHDFVTTDGGESIIDPWAFQNESYMECFDTEAEMVDYMVNSFGNQCDNPLLAGIVFPDEIANPSNPPPKQLSYKIRLSNTKRNFNYGSSYTPWDTTQDFATQYLSGPINGNWITGGYPGYWDEGFLTVQHALNIVLGEKFRGVEGTNAPTKDNLIMLGRTPYPSYTQKIIEMASFYLPVVLIFSFLTSVIYIVRTIVMEKENRIKEYMRVMGLSQWVHWIAHFIMNYLKMMLAIILVTVLLIFLMDYSDPSVYFVFFLLYAFNALYFGFAVSTLVQSGQAGTLLATILWMLLYFWSAMFISFDTQSPYSFTVRILNCLNPDIAMTFGIQLMVQYETQGDGLKWSKVFDRVTPDEELTFGLLFIMLVVDGFILILITWYIEAVNPGGEGVPQKPWFFILPSYWFPGGNKARVSAADQQAAFQKASSKQQAKMEEIDTSLEVSVSVAGLSKTYGASFFKKLFDCKFGKESEKIAVDNLSLNLYKGQITALLGHNGAGKSTTFSMLTGVTPPSKGTAYVDSLDIRSSLPHIRRSFGLCPQYNILFNTLTVWEHLEFFSILKGRGFDKAEATDLLVRLKLDFKKTARSGTLSGGQKRKLSLAIALIGGSEIVLLDEPTSGMDPGARRDTWTLLQDEKSSRTMLLTTHFMEEADVLGDRIAIMAHGKLECCGSGMFLKKHYGAGYHLTIVYDNHVQLATGNAIEDTKALMSKHARYELQSVVGQEATFLLEAHSRAVFPHLFGEMETKQTQLGIRSFGVSVTTMEEVFLKVGNIADAALAKGDSSDENENYVDNHNAQCSDLSNLKATRRLTGFSLFTAQFHAMFAKRAVYFYRRWTQFIPQLIIPIFYLAMMVWLTTAVPTAKEMNPLVVDLKPYSTDDRPAVILVEQPQQDVSLIGKVVHAMDPIPTITGTANLNEEVFNTIHTLGPRGFGVQYPVAFTSQPIVTEKPLVALFDNYGYATPVLAIALADSILGLTMHNDGEPFVFTAVNHPLPPSSADMMKGKTNSQATSFLIEYAIIVSMSMVVAGYCTFLIRERKKNSKHMQLLSGLPLWLYWLTSFLWDAITFLLPLACFMGLFFAFGVDELVGRATSIIDVLVMTAIFAWTAIPFTYTLSFLFTSAPKGFTLILLFNMISGMIGAIAVPIISATALSPEQDMSYTFSLIFSFLFPMYNIANMYQILYNNEFVRNSCLVLDCTLSIIAEANPQCCGSAEDRVYSDNVLTDFSQKGILIGVLIFVAEGFLYWYYVVAIENGWIGKLVSCFKSKPKPSTDEYHSVEDSDVIEEKTTVQGLRPDTTTVVARDLRKRYGANDAVRGVNFHANKGDCFGLLGVNGAGKTSTFRMLTAEATVSSGDAFLAGYSVKSDWRKAGQHIGYCPQFDAVLKELSGEETLRMFARIRGIPKNEIDRARVVDAIGIQQYAKRQIKSYSGGNKRRLSLGMALVGLPDVLLLDEPTTGVDPKARRTIWGILSKVREAGSAIILTSHSMDECEALCTKLAIMVSGQFRCYGSIQHVKSRYGTGFSLLIRLKHPSDAEKTRRRVMETFPGSIIKEHHVVQMNFEVPRSGSWSALFAKAEALATELHLEDYSLSQTTLEQVFLEFSRAAAAGETSLQPMKMIDEELGVTSYESLSPASAKKYYENESFDEDERF >PPA21576 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:658439:661530:1 gene:PPA21576 transcript:PPA21576 gene_biotype:protein_coding transcript_biotype:protein_coding MISLKAKENIGADRHPTFQQGPSEEAVELQQTGDILQETTRILIEKHGADILTKLENVDALAALDAQLDSQDGAKRKKRQATDPGTISTSDPLDLSCIDRPPTCDRRFPYRSISGWCNHDDTANRGWGSTMAPIRRFMGVAKYDDGFNSVRRRSANGGVLPSTRDISNKIFAEASIPSFDPRYNHFLQQFGQWIAHDIVFTPTAVGPNGAGLDCSLCESANITSNCAPIELKLFFKVPANDEFFPTRAPNGRQGCIRMTRAINGQTALGPRAQINQNTHFLDLSLVYGSTDCVAKELRTNRDGQMIMFTADGFNLPPRAANDSNCQSQTTRPQFLCFTAGDIRNSLHPGLIPLHTIYLRQHNRWAAQIRQLRPEWSDNLVYQETRRLMIALYQHHVYSEYLPKIVGQRKMRELNLTPRGLRNHYDSTVNPSVAVEFCSAAFRFGHSQARRDIPRTTNNNLTVGAKIDLGQHIFYSDPLYDRTATVSTMTMGMVNSPGMASDRQFSFPMRHEMFATRGRRASGIDLPAVNVMRGREKGIQPYNEIRARIPGLSPVASFDALRREMDQANIELLRQTYDSVDDIDLYVGLLLERPTDPTALLGPTGSHLIADQLSAFKRGDRFFYENTATPGALSQAEYNAIRTFSLAQLICENTEGMELVQRDIFQHK >PPA21495 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:329516:330259:1 gene:PPA21495 transcript:PPA21495 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTNESAMRGRECITFLLMVNITMFFFGIYESQNDRFGFLDQLKSTQLYMKLYAAPITVFYRYATKSHENADSTYYSPTDG >PPA21570 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:634247:638216:1 gene:PPA21570 transcript:PPA21570 gene_biotype:protein_coding transcript_biotype:protein_coding MHHFDKRDQILLSIRAAEELTLAGNSVTMYMMHMFDLNPPPLPIDERIRVLHVNGSTGMNGTKFQDDQAFYAFNDVPVWDAEFRAVMMRWGELHKSCERFIANTTFLADIEDSKFDVAITHIINACPIGIIHQTKIPTWIWFSNGLLMDNVAELMGVPLPPSYCPPIMMDAGEELSFIERVKSFIGYIIYKKTWRSLVSEVETAAFRKEFGADFPHIEELMAKTPLVLVNSNELYEFARPTLAKIVNIGGIGMKMGAPKQLQKEFAERVENSKGFAVFTFGSLAPMHMMPDHWKDAYFHAFAQFPDVQFFVRHENPPEIAHLLPPNAIATKWLPQTDLLQHPKCIGLITHGGYNSFQEAVHAGVPLLTTALWGDQFRNAHSAVRLGFGVNVQKTAMSRETMTTAVRRLVEDKSLKRAALRLKAMVESRPVSSETLLVRWTEFVAEHKNLDNLVPYGAQLNVFVYHSIDNCKPHIPKNTGIIRVRH >PPA21436 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:86847:90545:-1 gene:PPA21436 transcript:PPA21436 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGNSQALLAKYQSQVESHLDKVSLQLDEDIAAMRRKLKENRGMIDNHNERKTILEKEISKYEMEKLEHDKLYEDTLRKVADREESLLRDEILLQSTKDRAQRGKATNDDLRIRLDAANAKIAQLQLHLGGEKERKGHHRGMTRVWEKAREEGQRLAKEKNKQDAHIAQLRKDVAAADGALKKIREEVQNEIETFESNPKHAGQLRERANAFENEKIIGADHFGELMSKLKERTAGSAKTDSEIVRAIRDELVKVQKLVEKKKNYLEEIVKNSEETMDRIAHVRAELERSEIATRKSQSTVSRIRKQYSNLRQAKTGFVEDAESTDSELENLDGQHTSMALRSEMLQRQAEEMEKLILSSAVARSSKSTRPSRPKTVRPGGGRTAHTGSTEESTDTLPMRRTPKKTVGVSSAVEKAKSRNVAAKRRVTAMKVAKDRLTIQLDEAEARVAFEREEVKELAHRLKKLEEDRIEVVRNKEKNAIDTVTVETMESREGKRATERAVELQQQKDLVDFEVRELQSQIESEEQSLHDLRKKLEPYEKMDVVAYEEERKGHAIELRRLKNYHTDLKKAIREKQKELSLSVVQRESAVDKANATLNLDTSVRVERQREVEEVQKKIEELEKEIQTMGLSAVSL >PPA21566 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:621561:623171:1 gene:PPA21566 transcript:PPA21566 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYGIWISTARVLIPIEVTLTVDDPVKTRISVADIAALLLLAFVQKTSIKIIKISVVEGQANYFTAIAS >PPA21488 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:274307:276970:1 gene:PPA21488 transcript:PPA21488 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEFSMYENRFGSRDLSLQAAAAAESLNSTSFLDQIDDGIGELRDWAEQLERNPSSSRVFDVDKTGLGVRAAYVHPSSASSSLIDQSDDDMGGRQSTTLWNADNPSSSRVFDVDKTGLGARAAHIHLSSTRSSAAIHGMEEDDSEEKDEENEGGRGGDQGSVFLDDSGKYFYHRPLESCNEDLSMFLLGRSKSCGGEDSLLHFNRSLNSPAINYYAYHTASTVLKVGHSIHQTFPTHFPISS >PPA21476 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:239508:239775:-1 gene:PPA21476 transcript:PPA21476 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSIIVFVTVLVLLAVVSQVQSYYCYPCSGYNYNNGYNYNYYPSYNNGYGYNGYNYYGYGKK >PPA21565 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:617036:619523:-1 gene:PPA21565 transcript:PPA21565 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSNGIGRGTAVLFAKQGAKVTITGRNTTTLKLIGDVTSESFCDRLVSATVEKFGQLDVVVNNAGGASFENFGKPIMDIPIAEFDQMMELNVKSVLRLTQLSVPHLVKTMGAIVNVSSIGAYHHLSPMVYYAAAKSALDQVTIQMAGSLIKQGIRVNSVNPGPVLTNAVVTAGASKEQQDKMFEGIAASKVMPLGRIGTPEDIGKIILFLADRSQSEILIGNIVTADGGETKRQCLRAGAKDEDILELLGDVTDESFIAKLISTVEKFGKLDVLVELRSLTLAKASFDQERHQVPDQFVPMESSRLAHIQMNKARVWSYDS >PPA21421 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:21622:23470:1 gene:PPA21421 transcript:PPA21421 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEPERCLICEVPIAEMHLGIIRTLSQKRVLRCKEGDGQCILKNPKTTCRKCRYERFSSILSACAEGRPAEDISSDSDSMDFTPSPSCFLDHTTMPSYTTIFRVDRVDEFLVDCPPGVNKEEAGAEFVKNTKRSLVTNKAHFKRVQLDGEEFMALLGLGLWNDNTPNSDDEMIELVKKNRSVIMRELHKHYARKGRTDYAGRLGDLLCLLVNMQKVGDLHKEDEQVYMLMNMFNEYVT >PPA21425 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:30598:32663:1 gene:PPA21425 transcript:PPA21425 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRFDIVVLGATGITGGYIGKSVAVAGRNEAKLRSVLEEIEKDVVIAACFTLKSETRLKDKWYDKERVESMYIMTSGNSDVSSYPVIIADTSNEDSLATMAKQAKVIINAVGPFRLHGEPIVRAAVENGASYIDVSGEPAFLEKMELKFHEQARKNGVYVVGACGFDSIPSDLGIDYLKRNFEGTLGYVEAFLSNNFGPSGYSFSTTSYDTLMLGFSSMDEDNLGICSSIRILWKLDEEKLKGWVLPFPGADKSVVQRSQYYDYHVNGKKPVQMEAYFVLGSLSNALLLTGWIGMFVGLSSFEWTKKQLLNYPEQFSFNMFKKSGPTKQQMEESFFEYFFYGRGWEPGENVDEINPTKKVLCDHFSYYYFSFFLALAICRGPDLGYIGTSGCVASSALALLEDKDTLPKQGGVYTTASAFRDTRILDYLKTFGITFEMVHEKEKSKL >PPA21463 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:202338:204664:-1 gene:PPA21463 transcript:PPA21463 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPKCFCSGETDSANKLSWSEWKRPLVAIVLTFLCNVESSMLAMGEWPYMSTIDPEATASFFGYATAANKAGHAISAFAFAIWAHKISGIKIPVLAARILTLVGCIMYFFVEFIPENRRIWMLFCYILFGVGFGTSPLLRSYIARVTSDDNRSTAYALQNGAMVMSVVVGPIAQLSFAGLPYPGAIIIPPNIKLNIFTAPIWFAIITNDTEKIETKREESELSIKNRFAHLRALNLPWILVVLVIIEKMISGLFNATITAIAGPMMTSMFAMSGQDIVLILVGVIALCLSVAFFVFKLGKRVSCRVLFAFSNIVVFLGYLLTYPFPFTSNPMQPYNATTRTGCNPLEYSWCDSQLVTNLILFMVVLTILSSFALPSAALSLDTIYSKIIGNIDQNVLQSMFVIADDIMMIAGPIYGSAIFTAIGINYLYIINGVIYILGTVVWLAAWRWLRPYK >PPA21548 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:550066:551070:1 gene:PPA21548 transcript:PPA21548 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGIASSGALCFVAISVLYMSSLVSDLQSLQSEVSENMDEFKVMAEDTWARLVKMHINPTGSSDAAPTFATLLGRNKRQANSQCNCGPSSRGCPAGPPGPPGQPGERGQDGKDGEPGRQGPNGIALAVTFDTPGGCIKCPPGPPGPDGEPGHQGPAGQPGRPGSSGPAGNPGRPGSDGQPGQPGQRGHDGKPGAPGQAGQPGVNYTPGPAGRPGAAGRPGPKGPAGQPGQDGAPGQDGQPGENGRDGQPGRDGQSGQPGEAGSDGLPGSDAAYCPCPARAGAVSEAVEAPTSESSYAPPAPQEYRRRAAKRA >PPA21452 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:163729:164726:-1 gene:PPA21452 transcript:PPA21452 gene_biotype:protein_coding transcript_biotype:protein_coding MARGCCFSDLLVKYIVPNHSLTSFKVVSRILASIVACGSLIELIIFLLKGENVWIISIRAIFCALHLFSAFCSFFGIRTQRPKMMIPVIIITAITLVKNTIVVTLTSLAIYTVNTPFAQYLKWLRQNNQWYHDFAATYETEEDYIRMYAIGATISVGIILLICIRAIYVHYCAFRILQNRSINRQILNNEMMKNSQISIISKA >PPA21551 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:555878:557772:1 gene:PPA21551 transcript:PPA21551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mec-3 MVAPLSAIGQVIEAIGMDDSEERKRLCCRRCSIAIRDRFVLKVGKSSYHETCTRCVRCDLLLEEKCFEKGGLLYCEEHYFKECSSFRCAGCNEGVNPRELVYRHTGGIVFHVICHRCVVCTRQLDPGEQISVDANSRTIACAIHYAEPPMMDMGEQGLLLLPPQDDFLSGCSSSSSLPMPPPPLPPMPECMPGPSNEDTHQYMPIDYSAIKQEFPAFFSSFEAFSEYDDESKMLKRRGPRTTIKQNQLDVLNRIFSTTPKPSKHARAKLALETGLSMRVWFQNRRSKERRLKHLCNYLRHYEQRGGMMAGMAAGMGGVFPTGDGGIVDPNCEF >PPA21481 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:250056:250553:-1 gene:PPA21481 transcript:PPA21481 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSQDDVKPYSANLDELPAALKDQVLQSQQRFVNPNFNSPQYYSNGPQQPPNGYPSTYNGVSNNGFQQVGPGYNGQYSYQPPPNGYNPNQPFYPSNQNNGRRRR >PPA21541 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:521888:523132:1 gene:PPA21541 transcript:PPA21541 gene_biotype:protein_coding transcript_biotype:protein_coding MALFGGVVHMCIADRFLCIFYGHVASLPLVFGNALASVSIFLAFSVWCLIPAACILQYFALHRPEFPTAKRLLLAYAPCIVLLAWSIRYCPTFLAGPKLKQQLIKDVTHLHELREDDKFMVYGVSLHGTKENSYSMTKLMVEGVLPTYFIAYLIFVSSSLAIRRRLNNFGIQLSKRTAIMQRHFLTALLLQGWLPLAVITIPLTIIGIGMLSGYEIARLTVLLSFSFYLVPIIQAIVSLSFVLRGLTSSTPTTKPRTHIYSRTDTQFTSENTRSTNAVR >PPA21505 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:375694:377180:-1 gene:PPA21505 transcript:PPA21505 gene_biotype:protein_coding transcript_biotype:protein_coding MNAQQFTEAPKNPRPKGWALRSTKKTGRYDPVARKLVDDLFEQYFSNGKKRRPDEAQKRMRERNDILPAQRMTFDQIRNRITTLLSQKKEHQRKEHGNRQRRYVKLIDDFERDLAEEGISLDDIEEEVDLERPLDEDDLIITSDEIYDLVHSNMEFFDNPSEPVFSDFGEFEQ >PPA21587 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:701991:702991:1 gene:PPA21587 transcript:PPA21587 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVFSLLYLDIRGNVRKTLGAFTDAEYDEMLRHDLLQLQNVLGQKQFLMGGNPTKEVTRIHDLLESKEMSALKEYLERDVYLRRKELLTKAKEWERDFLRGIGINIFVHKLARWSDREWLPDQRSGYPKFYKCL >PPA21526 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:442009:442773:1 gene:PPA21526 transcript:PPA21526 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKYYFNNCYFNDIHIDGFNHLSKHDDYWIDVSTRAAAKCMNGCIEYTHRQVFDGAVWVTVANNFIGCNITHNWCSYEHSLTNDPSLGGIFVRCDDFLSATGVVNTPITGASPSCPTV >PPA21554 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:565507:565835:-1 gene:PPA21554 transcript:PPA21554 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIKFTLVFVLCLIAMMTPLTSAQCPSSNNASSKNLKNADSFRCSVWVQGGFCNTNFYTDMQKQFYCGNECGLCS >PPA21471 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:227438:228227:1 gene:PPA21471 transcript:PPA21471 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFFPRVEKLTNEIARRTSIQPDCIISQTWSSVLDLRPHTVLFSLGTVARSYLMPDEYKKTLRETFAKFPNVTFIWKYEKPEHKISEGIDNIYEATWVPQNDLLYDPRLSLFITHCGQGSTTEATTAGVPLIVIPVLGDQMRNAQLHGAGAHNW >PPA21569 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:630733:633241:1 gene:PPA21569 transcript:PPA21569 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIVDVDIRFRPNAKNESGILVGWSFGVCLPASCSSAELEDFFTADTAKHNPVCRIQRTNDSIEETGVGFYVTIILMGGIFSLCAVSGIADFFFSDYLREKAVSKANPLDLFALTKDLTTEFILNAFFSVDSFFFVGGLLLTFLWFKNYERNPKLTNSPGAWAMLYVHRILRENWWLEFTYLHNIIDSKQQCLGYSWYLATDTQIFFFAPLLIISLALKPVIGFIVAGVIFVISSALNIFLVYHFHWPANLQFVGARDPEMTNVDDYMPYMYMSPIIRCQVYIIGMLVGWFLQRQKRMRINPIINVIVWILTFALMLYLILGLHSQSTGELIPIFWRAMYSAFSKPAWALVLSWIIISCYYGYGGI >PPA21473 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:231078:231735:1 gene:PPA21473 transcript:PPA21473 gene_biotype:protein_coding transcript_biotype:protein_coding MITFTVLLIITFAEPTRSSFSNVDKTSADFSGNGGKFEHTEIQNSDTDRQYFDLNPQKLRQLWHVAMVRALLKSKAKVIHSKLPAIEQIVYGQCERDARTLVHLARCIERLMNERDRVEAEGKTQGTKVSISSTGH >PPA21465 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:208333:209927:-1 gene:PPA21465 transcript:PPA21465 gene_biotype:protein_coding transcript_biotype:protein_coding MASFWNLLSRIIITSEGVIGLMLNPYYTTFHAPPQQRPDFENITRVVHELNEEDVFNAYGALLFPTARYRKACIDFAIYAVLPSYFIAYLIFIWCCVMISKALGSFGVQLSQKTIGMQRSFLKMLLMQGLFPLILMSAPLGGFVTALITGVAMDKLTLLVSFSLWAIPIIQGAIELVYVNRMEISSSRDSRETSKMTKNSTSAVATF >PPA21443 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:128734:129873:-1 gene:PPA21443 transcript:PPA21443 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTTVRRLASATARSTTQATASRDPTIKYEFVPAESRDKGEIMDLTLNHFFTIEPHSRALGLAGEDNKEFVDWIVSKALKYPHSYRIVHRESKKLIGIRLMSEWETATKDDLDDIDISKLDENTLIILGILENLKKRFCELRPGAKKVLRREMTFVHHDHQRQGIAQHLVHLGLDVDEFRARGFDGIMSEASSIANQTLLAKNGYVELARARPKDYIRSNGEPIVFPDETRALKLFYLCLKK >PPA21537 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:501562:505946:1 gene:PPA21537 transcript:PPA21537 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSTLIPKLSKSATNKALKTSEEANGISDECILNVKTVASCNGQNQMIEKYASSLQRGVAAAVKVAWTSGFMDATANLVYFSFNSFGLWYATISFHNGRVASAGDVFAVVYLALAGTNNFSRLGPNLLALMRARVAAAKIYETIDSAKSDIEEEAMPLLDPSRTDLHVEFKNISFSFPSRAQPVLESLSFDLEPGMSIGLVGKSGCGKSTTIKLLTRFLSTDFGQILLDGVPHEKYDKKSWRQMIGVVSQEPCLFSGSIRENICLGRPFDEEEIIAACKTAFAHEFIMALDKGYDTLIGFSGVSLSGGQKQRIGIARAIVSNPRLLLLDEATSALDTKSERIVQEALDNATQGRSTIVIAHRLSTIKNVNQVIVMESGRVVERGGYAELRTKPDGIFARMITEQAIERRKSRTSVNVEEESDDSFEEVKADDTEVELIAEKIEEQSFPTMKGGLIALLSRNKCKTFIVLFIGLLRGVASPLFALRYLFVFGSLEDSDYETLLYWLMVGTMAVGVYNFVCQLLSQPICQYLAETVMNDLRVSCLRSLLHRPMAYFDRQSTSPAACAVLLTQQPPMAMSMIDNKLAIVIDGLSGCIAMLVLTFVLCGPAGFIGFIYLVTYILILIFFEKFSDKAYKDVVNTDKSGEAALEIFDNVATIQQLSVEQHFQDKYNEILDRRDVPLAMKIRYQSVVHSTNESIFYFFDFLATAIGVYFVYLGYYGPKLLFLAENLLSTVGFKTFTMSESFKEMVSASSAAKLVFALIDPQLEEDELTPATQYISDGAVKADSLSFSYPSQPNKRVLNDVSFSIDQARSLAFVGPSGGGKSTLVNMLERFYDPSSGQLSLDGTPFTSLTPFQLRSNIALVGQEPILFRGTITDNVRLGVDHVSDEQVRAACKLANAIEFIQDFPEGFDTFVGEKGRSLSGGQKQRIAIARALVRNPKVIILDEATSALDTQSEKVVRVALESCAHGRTSVMIAHRLDTIRHCDEICFVEGGRIVERGSHAELITKRGKYYEMTEQQRLH >PPA21525 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:440741:440994:-1 gene:PPA21525 transcript:PPA21525 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSYSGAISNGRGGLGRYIRHLEHHDATSYYGNGNNGFGSYGIAPNYGTFGFFG >PPA21478 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:244203:245785:-1 gene:PPA21478 transcript:PPA21478 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDALENLERCHLMQIGNRNRRMQWNNFRLKEEKEAKKASFAVDAVFGKEDSSFDSSSSNSSRSSSPSSRSTSRSRSAGPNKEDLAAKRPVECLEELKLTRLSRFKLARFVHAPFFNKTVIDCYVRIGVGKLLGNPNKDNYKIAQVIDVVETDKVYNVESAKTNKGLTLRIGTEERNRSLPTMGDIHKKMKDIASPVEHNYTNDEVNQLAESLRGEMAREMEGITSPSASWSSANDSDD >PPA21429 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:50914:52597:-1 gene:PPA21429 transcript:PPA21429 gene_biotype:protein_coding transcript_biotype:protein_coding MMTNKTDYDTSRLPYYTIFLPGPVNAELKKFMLMLKIPSRIGVADNRADNVT >PPA21489 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:279187:281027:1 gene:PPA21489 transcript:PPA21489 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNATNGSGNNGTTHGTNRFPVPSETEKMLLPENNNEVYVIGTGAAPKKKTFWHYLSLRGLFGILGKQVGTSPFRFIIIAFLISLSSIGMYKIVLKDRITDGYTPINAPSRYETDVLREFWNSSGDPMQTMLILTAKDEGSMHRKAYLDEATNVINFFNSKFSVTFEDEQLV >PPA21491 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:289422:290082:1 gene:PPA21491 transcript:PPA21491 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLYALCIRVCLAVEQGDMVTDASPQFLNPKPQTRRPLALPPPSRTRQTDGMDEDYTQSDQLDMDVTYPATDDTISFTEAPSENKESMFTRLKTAGRIYNEEKKREAEKRKMMFDEKMMKRR >PPA21450 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:156761:157545:-1 gene:PPA21450 transcript:PPA21450 gene_biotype:protein_coding transcript_biotype:protein_coding MACGYLIDEALHSEDEYLTLFANVALGIIELSVLVVGAIASSVCGIRYRSLYGISSLTERYQAKEAYEMSKAMIPDYVISFIIKEFESETRGKARVIEIRYRNLFLDVVCFME >PPA21589 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:705958:707304:1 gene:PPA21589 transcript:PPA21589 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLQELNFEKDVVYLFQFAGYDTVPSSSPYCIKVEAFCRANNLKFERRNTKVRGANGLLPFIELNGVQTCDSESIFKRLIDQFHLQNADAHEDAISYALERMIESHTLQLEEDHNVILLRLDMCRKASDFIRLMAGGKVPGFLHGVVSSLGGWYMRRMMRGTARKALGAFTAAKYDEMLRHDLLHLQNVLGEKQFLMGDTPTKVDCVAFAHIGYSYYIMPHARTRIHDLIESKELSAMKNYLERAKKTLFGDEFKKKK >PPA21590 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:707474:708636:-1 gene:PPA21590 transcript:PPA21590 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFLASLLFFAALAFDVEGQSTASVSVTKDGQETSMTVNGEGSVGASLAENSDGTIDASTVNEGIPTKRVFAAHSSAFKYSFASSVMTIPEECHAGPSGLVGRRQCNWNYNWFWRQWRWNC >PPA21516 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:419507:420951:-1 gene:PPA21516 transcript:PPA21516 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGFVVPCINSLGGWWFPATEKSSMAALYISGVQLAAGSSSLIGSRLCEVEFMGGWPLIFYLYGSLGVMFLVCFYVAITDHPSDNKWLSKEELIFLESTHEDAERKKEELALPLSTNGLYTMVPFFSQLFFKNVFAYAADYAKRSGRLTPTQTVKTFQALGSFGSAIAYICLAFLPTCERTWIALVWLSFSSGVCGFFTCIMTIAPAYAGTITSLAMIFGQIGSALSANTVSFITYMAIKHLGHAEKRV >PPA21582 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:677008:677339:1 gene:PPA21582 transcript:PPA21582 gene_biotype:protein_coding transcript_biotype:protein_coding MPDMTPQQRAQYDSMKAQAVSRLSSSGQQAQMRLDAMDQQIESYYQSLPQSTRNELDSFHNQMKMATRSIFGSTMGGFF >PPA21547 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:544179:545721:-1 gene:PPA21547 transcript:PPA21547 gene_biotype:protein_coding transcript_biotype:protein_coding MEFALRIIWLLQLVGLGYAGFAMLRCGNSFSIIADVQPPLFQSSGVLVDWNLFSNDEFCSDPPNMPQFKNLFLSEVSLISTCPNCPQCSVVLPQQYELPITTTLERIFLVTKSLPYNRPRTDIRVHYVIVDRLPPSIKNCELVVGGRSASNMSNDLAHPPMDW >PPA21460 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:194068:195318:-1 gene:PPA21460 transcript:PPA21460 gene_biotype:protein_coding transcript_biotype:protein_coding MASDELILYTIFRSSAAWRVRIALHLKGLSFESKTVKWDDEKVMADIREKNPSVRIPVLVHNGKVLTESLAIVEYIDEVFPGPKLLPADPFLRHQARAIALHVTAGIQPLQIGRTQNKLEDMAGEGKGAEWAAYWLHRGLAELEEMVAKTAGKYCVGDDVTIADVVIPSILNNARRWNADISKFPTLLKIDKNLAEIPAFIAAHALNQPDAPASKQPF >PPA21413 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:3619:4306:-1 gene:PPA21413 transcript:PPA21413 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGHALLRLGMLVTFFFILVAVVICICCFKHCKESEQRRRNIENFGPNDYQMTVNNPPQPVESVDFDERPREPPPVPRMHFYAHEEPRPSFAPPPIPPPDRNANQSKRY >PPA21577 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:661799:662924:-1 gene:PPA21577 transcript:PPA21577 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLVELQYDEHTPHGLMIVLGVVTALLVSVHLLALMMSTCILPYMEASGATQDSPHIRLKFYIDLSWFFSTCIGLILFLVEIGLIFYVKFRAIDFEQAGWITTAILIPVLFVFVIISCFIHRSRANYSFDRIDSKVSGLKKMLNSSEENIALMKVV >PPA21423 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:27884:28098:1 gene:PPA21423 transcript:PPA21423 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAFAIGRAIGKGLFPITDEKMMAAAKKGEEKRRRKAEKKAKKKYLKRNLRAFPIT >PPA21447 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:147957:149315:-1 gene:PPA21447 transcript:PPA21447 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFLTGWLINSNYVLLSESQAVRQILQDKFAAEYGVDLIHSYLLTVDVNHPREWLLPIAHAAN >PPA21438 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:93875:96010:-1 gene:PPA21438 transcript:PPA21438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:H3FGP4] MSTALRVLITGAAGQIGYSLVLQIAKGDVFGRDTPIELVLFDIPLMTNALESIQMELIDCALSNLIGVEAVTSEKEAFTNVDFAFLAGSMPRKEGMERNDLLAANVRIFKSQGKDLAEFAKPTTKVLVVSNPANTNAFICAKYAARKIPARNFSALTRLDHNRAVAQIALKAGVTADKVKNVIVWGNHSNTQFPDASHATVTKNGVEHKAPFAVGDSNWIENEFITTVRDRGGVIIEKRKACSAFSAAKAACDHMYDWITGTPLGSFISMSVPSDGSYGVPEGLVFSFPVTISDGEWTIVKGLELNEFAKEKIALTIEELLNERDEALGACEEVEAVV >PPA21427 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:36654:38511:-1 gene:PPA21427 transcript:PPA21427 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSDAWSIILFILGYLFLALIALRLLASLYRIVAPYLLCPRRNLHARAGARWAVITGATDGIGKAYAFELAEQKFDIFLVSRTASKLVTTKTEILEKFPGVKVEMCAFDFTVPTFEDYQPLLNELASIDVGILVNNVGMAIDCPEKLYEVEGGGEALARISTCNTLPVIILSSAVLEQMVKRKAGVIINISSMAALNEMAYWNVYSAGKKFMLHLSNILRQEYSVHGITVQCVTPGGVSTKLKIVDGAEFFEPSATKFVKSALRTVGISEMVGLMPHCLSNRLFAIFNRKLRESIHAKRNQ >PPA21596 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:727855:729463:1 gene:PPA21596 transcript:PPA21596 gene_biotype:protein_coding transcript_biotype:protein_coding MKILDRVPENLNKAFELAEVFEYNKQYEERFPRRSNLDDDDICLDRSFVAQNGKSSCDRVAAQSGLKGLSVYRATVKREKREKAEKALGKLLRPKMEGISGFGHFVFDKNTIRVWKMNGIGDGRLYTDLSGFTRVLKIEGEGGFLASSESSKADEASIQKGDNPERFWTAYLTKKNSNEEEIDDVDEIDDHGHEMSADTKEAKGLFTNYGNIIRHMEIGRHRIRPEKIHIYDYALGLFKRNLEDVQAHNNVLSEVSQAMTEISHGADLSSKAGWALRLKRKRGVYSEKAKRFAEKLFQRGDVSGRKMDPAEVERLMKEEESIIPYERMNAQQIRSYFGALSKEKKAAKEPKAPKRRKTQDKNEEDEDDDEEMMLNEDDEEDYGDLSEEDEENIDDFGRERDDVIHDIIRESFMNFSTRMMIRLLTMMFESRSNTA >PPA21534 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:474160:476504:-1 gene:PPA21534 transcript:PPA21534 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVIEIGPYISRERKENIRWSKDGREVIYRIHRQWVFKQSLSCPTCTENDLILTPNTAYAATTFLVLDNEMHPFISTILDVSTLVTGSAPLQMVKVGDLLFHSFYDPLISLQTFTFTKNFLKTMHNTLFGYQLPQYPHPGLLPLYNNTYDPEYRVRTGLGDISDIEKIISYGGKKSMEWFMGNAAQFQDCNGGGFNRQFLQHDDKLHIFNAFVARKFDLEFYEESYHDSIPTYTYRLMSDGYDANAEKNIGMRYANVEGIDYAPTWPKCPAEHSYNPNGTECARIECAKKENFCDNCCNGSHYGPTVFLPSGFYPLGVFPGRLGRVPFPLFFSLPHMLSLYAGQHPDENRHQGIEWKVNPTLGIVVHGDVKSQLNLAFARSIITQSSQLANSISPIFWLHVEVKMHQEIIDLVKIGALLVVAMLSMAIFALNEIYFGNNHDEKTDLKTDKAIKLSKIFAF >PPA21419 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:17747:18721:1 gene:PPA21419 transcript:PPA21419 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYKLTYFDGRARIEVARQILYLADIPFEDVRVSFADWPVLKPTTPFGELPVLEVDGKPIPIMYAIYRYLAKEFGFAGQSPFETAWVDAVADQHADYFREMYPFLAVIWGFSNEDRDTIIKEVGEPARDKYFPLLEKIVKDNGLNGHFVGGSLTWVDLLIAEHVGSVHQHLPGFFSDYHNVVETGEGHESKLVGPTTTCMHPAVTTVISTAVDSVLSLN >PPA21610 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:785930:786913:-1 gene:PPA21610 transcript:PPA21610 gene_biotype:protein_coding transcript_biotype:protein_coding MQENNSPEQRATLLSSPAEIEVVSTIEVQVRQTWTIPHLRYVVLIIGTMANAVGVSCGFAYNFAVVCNVDQSTNVRALYELAPSNSPVMYYPGGMTNLIYSSLPAGNIIGLFGLIPLMRRVSMRTQVIIGTAISTLATALIPISFDYWPPSTLLLKLIQGTAMAPTITLIGHIVANWTPLAEIGLFIALLSSHSQIGLFITMSSAGPLCEYSDGDRSSISMPLARNNFLSPP >PPA21543 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:532899:535295:1 gene:PPA21543 transcript:PPA21543 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGIPVGAVTAVGFSFFCYYEWAPIEWELSRYISIALFDLFVVQFAIEGGRDADAIVNWLEKKTGSAAVAIESSDDLNAFAEGNDTVANFEANLAKFAFDFTDLTTENIVSFNERFLAGELKQHLMSADVPEDWDTKPVKVLVGKNFNEVGKNSGEGLLVKFYARGTSFSSLPYRLLVRTLQVARARLGSDKVLIAKVDSTQNEIGETTEEDKKGEHTEL >PPA21493 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:312224:318478:1 gene:PPA21493 transcript:PPA21493 gene_biotype:protein_coding transcript_biotype:protein_coding MLACFLLVAITSRSIGGHILTVFDERVFPFDFDPSEIDASKLTATVEMPGVSTKDSAEIIDNHDGTVLVKYTPKTSGNHVLSIVHDGVLVQGTPISFYVDKYSDGFATVYGPGTQGGVVGETAHFTVCAKHGHAKELSVSIEGPAQANIKIHDNKDGTCSVSWIPPMPGQYQLHVKLAGKEIDQSPFKVLVAGEGSKRAHLSVGSTSEVALNISEKELKGISASIKSPSGIEEPCFVRIIDGGRLGVSFTPREAGEHLITVKRDGKLVPKAPFKIKVDRSQVGDASKVEVTGDGRAKALTQQWNEILIDTTKAGYGGLSVSVQGPSKAELQCKEKKTGLVQVLYKPTEPGVYAIAVKFADHHVLNSPLTVHCTGPSGGAVKQEIEKRIEQASISLPGQETNLFLKLPNTSPMDVVARIMDPKGNTEDAEMRDQGEAFYVIKFKPKVEGSHALSILHRDAHVNGSPFQFTVGSFSEGGAHKVRASGMGVIRGETTSWQSFNLYSREAGAGKLAVAIEGPSKATLKFEDHKDGNCHVDYKVTQPGEYVISVKYNDAHIPDSPFKVFIAPATGEVRKLELASFHDSGIPVGKAFTFMVLTHRARGHLEGKVLTPNGETEQIDIVPIEDGESYAMRIVPKESGNHYIHVTLDGAPMRDSPFRLRVGGKDECDPTAIHASGEGLVGCTTGSKGEFVVATANAGAGILTIQMDGPSKVTLDAYELDTGYKARYTALAPGDYFAAVKYNGIHVPGSPYKIHIDGKVLGGNGYNETAVVKIDAVAKTTKGTVAVAPVYKGDASKVVAKGAGLNKFFPGRPAMFTIDTALAGNNLLMVGIVTTKGPCEEVVVKHQGNGHYICTYRIQDRLKGFVFIKYGDVEIPGSPFSLEF >PPA21454 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:169100:170202:-1 gene:PPA21454 transcript:PPA21454 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLVIVFLAFGVSFSSATLGIDTIASISTSGFQCLASSGYWFYIGRVGQSNGGIDQTGIQNIKNAHSGGDCGSAKTQVANTVNALNNAGAKFGTLWLDVEIYNWPSDQATNRQFILDMVAECKTLGVTVGIYSNNNNWQSIVGTSWDGVASYPLWWANYNGAANFNNFVPFGGWSKPSIHQYQGDVKGACSVGNVDMNWYP >PPA21532 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:468969:469387:1 gene:PPA21532 transcript:PPA21532 gene_biotype:protein_coding transcript_biotype:protein_coding MSENRQVLLTILPIKLIESLLDKNNYHFTFATLSNEDIEKVVTADNEVDHFAKLKQAWN >PPA21468 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:215735:217255:1 gene:PPA21468 transcript:PPA21468 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRASHSAEPVSTLFLRIGTMVFGCAGVVLFGLELYLLVVDELSIPYFNMSVIENIHGAAFVIMQVHFLNVLVRTSRNICRFGFMHCFALNIWLWYRFAQAKTSKTLKKVRKALFNHYSVSAQHITYEQTMRYGDDSSTFLSNITNDFPVAQTSTNSWTDVYMETKSAVPSLEHFGNLASFLNTCLIEYTVIGATVMFVFWMRLDPAYPVRIHEEKHSARMDFSSSRYGFSTGIILCIVGAVCCGVYAGLRHAIALNDNSLLLLGGFQCLAYSCCILAVISAIILMRSLVLSPHGHAQPIDLYLLFEGFCGEVVWCSAELARFIDVVVELIVEKGTSGDVTIFVVVLIRLAHVFMQTWFILIAFKSLIISTN >PPA21441 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:114641:115495:-1 gene:PPA21441 transcript:PPA21441 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRYILPDIWRHPATLAVLTSHVSLYGDLNTDDWAPILLYDMDTDDDPMEIVGKSDKTSTWEYELDTSKFSVAWDALTYWRNESFTIRYEVDFTSPLPPVESKTSPTTMKTTISHAGTDDLSYRPNNNCSLEYCSDKDGSGRNSHYVNQY >PPA21414 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:9488:11161:1 gene:PPA21414 transcript:PPA21414 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILHLFFSLAVALAADELLSVNVVIRHADRAATSGWATPQSSQILFRGNGELTDLGIDNAFDQGRDFQQRYVMSGFVDKRFLPTSKGRSIVPPVFTKEQATDGLLVPLLTCGDGWQDVIARYNLSSNRNVQSAALIAMMQTQWPVACASVPPILVDAIIAEAPNPLINMPANYKACAEGAGKEFMYKARSILRTSDILKKFQYIEMLAGAGPHFNELRIKRVAGMLTTELIKNFVDAANCPSIPCPGQPKLRIYYSHDVNLLAVSHIFGVLDRFNGVTPAFSSALVFETRRNEYGVYVKILLKNGQKSDFVDTNNCMGNCTLAAVIAVKAPPLSPFHR >PPA21521 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:430933:431706:1 gene:PPA21521 transcript:PPA21521 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIKASEEELLDIGNAGQPFVSCIVADDKGATHTEECPIEQGYALVQWDAWLCGRALCCLNHQCKRKSCISSFSSKSVGFCCCFGDLCNQKYSDAE >PPA21509 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:392965:393238:-1 gene:PPA21509 transcript:PPA21509 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNRLFPLIVIFLLLNYSTASRLYRHDAHERVPLRNRFSGQIYECEEGHRQRGAV >PPA21542 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:527576:530727:-1 gene:PPA21542 transcript:PPA21542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:H3FGZ8] MANHQIRSGDNPDLTKVDSNPDLVNAVPLEFLSREKRMGVQMKKTSKLMSKIFDIADVSDQDEMTHLISEVFGTDGFPLAIHSIAFVPVIQGQGHQELVEELLPRAMKLEIIGTYAQTEMGHGTNLRELETTATYDKNTEEFILHTPTRSSMKWWPGNMGKTANYTTVTAQLMIDGKNYGPHNFVLQLRDEKDHRPLPGITVGDIGSKMGLPSIDNGFLALDRVRIPRTRMLMKYSKVAPDGTYTPPIHSKLSYGSMVYIRAHMIYLLALNLSSAVTIATRYSAIRRQGRIEQDLPEVQVLDYQTQQYRIFPQIARAYAHLFVGKEVLELYNKTMEGLDKGETDLLPDLHAVTCGLKSVVSFSSALASEQCRMSCGGHGYSEASGLPKLYTTIVAGCTYEGENMVMLQQAARYLMKCHKHAVRGEPLSYSVEFLGKRGESRSRIGMNEGNFEKEIENLFLAFEHVARRVIADASQLLEKRIHFGESKEQAWNGVTVEMNRAARIYTRLFIGRSFHRKVMSSPSTVRPVLTDLLSLYLHYECVDMASHLLHDGYCSGEQINYLKKRLYEDLAKIRPNAVSLVDSFDHSDRQLNSVLGRRDGNVYEALFQWAKSSELNYTDVIT >PPA21597 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:732161:732371:1 gene:PPA21597 transcript:PPA21597 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIFYLELATSPSMLFSIVFVLIIEWRVARRVRSVVTEDGRDINHFDNLRRVWQT >PPA21434 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:77470:77930:1 gene:PPA21434 transcript:PPA21434 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKSMNREFFCRVKPDDVEFLALLGLSFWNTEVAIVNEELSETVEQNRDAILKELQRVYKARRKKDYAARLGELFALLDNIVENVTLIQHDIEVYKLHNLFNEAFPAECMDPEIPESFVSR >PPA21458 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:181826:188649:1 gene:PPA21458 transcript:PPA21458 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSNFAVRFAAVRGGWIKDYLTNHYLFYGIQFTSTMGAYIPLIVLLSPTPELSCACNVWRDYITWDNIYVHQSIHLMAVAFQGINLLIMLVFGAIFSFLFLFMPGLLMNSTMLLRMDVSFIAPYISSMFTFGTFFNPFLDYTLTPGYRKRILKTLFKMDDKSSTDKTVSTVTVQFARNTAPPRIIQIDFKYQYKSFKTIGKQNKGIYTLFVPLPFVQLTDHETIKEAFVEKGEDFIGRPENEIIKEVLSFAPNAGVINANGESWRENRRAAISIMRDFGMGKNLMEEQVRSSVAAYIAHLDSIEDKEHVNFRWPIQVMVANVINDALFGYRYSYENCKPLMNFVNGFNKVLEDMTNNFGLLIALVFPRIRHVPFLGWHCVGKIKHTQNKLQEYIVDNAEKNINMMATCSDFFIAGMETTTTTLRWAMLLFAKNQDVQDKLRREILEIVGRDRLPEMADQIKMPFARACVYEVQRRANILPTNLIRVAGQIIPKDTWVNGDIHFLMANDPLFENPEEFRPDRYLSDDGTTLRKDLIDRTVPFSIGKRMCAGEGIARVELFLGLTATFQKYRISACKGQEIDLDAPSEFSPEEI >PPA21579 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:667798:668672:-1 gene:PPA21579 transcript:PPA21579 gene_biotype:protein_coding transcript_biotype:protein_coding MATKFQFDLGKAQLKNSSRISALLAGFAVIALVSILKQIVASCENNPPTMNLV >PPA21588 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:703666:704909:-1 gene:PPA21588 transcript:PPA21588 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFPGLVATPLGQFKSKCKEEDYRKKDLVKLQHGEYVSLAKCETALRTCPIVENICVYGSGLEVGVSGSTEELCANAAAIRHSRRSSMSTRLNKLSRVEQPGAIHLCSVVWTPDSGLPTEARKLKRRPITQKYEDTIMDLYAKAGLNYENSLLPFRYSRYPAFYFIVTTILS >PPA21523 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:436031:439404:-1 gene:PPA21523 transcript:PPA21523 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFFSSFIANETEVSTTDDLYCTPDSAWNFTQTCDYIQTANACLGGGYLEWTTFVFCCEDPVAKWFIVAGGVAFLVLLILMLSTSADEFFSPNVSTIVAHLKISENVAGVTFLAFGNGVTDVFTSVASVLSSPQPKADLALGELIGGTIFITLSVTASIVITRPFKAAYWSTMRDLIFLLITASLTLSFFVFFDEIQLWQPLIFLGLYVIYVAIVLTTEYFKRKKIVETREMSKRNSVVPNALLTAADIAVSTTKVEGNLKRGSAFKRMSIAVSNVLGTGHDNEVFVEDPENDTDDFIVMHNRVYHGEHLRSRAGTIRSITAHGTRRGSLSAESAKTSRGCFPEFLSFFAPDYGDEETMTFSRIKTYLLWPIVTLFKLTIPKAEADWSKPLSIIHAILCPQVILFNTQLMFFVPFEGGPGLWAYVPVISVILIAFIAFATSMDKEPRFYEITYALAGFAMSIFWIYCILAEVVDVVDMLGVVSGIPQATLGITLLAWANSVGDLVADISVARQGFPRMAMAASIGGPLFNLLIGFGAPFTIAKLKGDIVPISLDGVNVIMITFLFISIIFTTLNLIIFKANLKRIYGICLVVIYVAFLVFVILSVTGVLVWM >PPA21432 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:66637:67059:1 gene:PPA21432 transcript:PPA21432 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLNRMSKEYYKKVMPSDAEFVLLLGLAFWSHEILLAVEISTVNGKLAPIVDRNRNTVAKELHVLFKKQGRADYATRLGEVYCLLANLEEVCTLAEEDRELYRLMNFFTESKSQ >PPA21606 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:774508:776757:-1 gene:PPA21606 transcript:PPA21606 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFLSSALLITFIASSDACMKVTPGTPGMPAVRACKTCSPTLIMLTQVGEGSHGFDTDTTSTTGACAVRTLTCIGNNPTITVNGDGGALMGATTVSFMATCNAAGTAWELRDSADIIAVLFASLIALCDPAYLPCFLYRHQEALIPDSDKLIWIDGPEDKSNASTTYGFTNYTCHLITSL >PPA21600 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:743837:744127:-1 gene:PPA21600 transcript:PPA21600 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLFLATILFTATVVDSTRTVLVGIRARSALQAALLRELLMQGIVHDTEIELSAKTII >PPA21560 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:577932:579150:-1 gene:PPA21560 transcript:PPA21560 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPSPFYRSAIIDMTARGGAAARPASAAGNKTCQFKLVLLGESAVGKSSLVLRFVKGQFHEYQESTIGAAFLTQTVYLDEATVKFEIWDTAGQGRYHSLAPMYYTGAKTAIIVYDITNQVIASITPGTHNRGCHQSPIERQGKRVSC >PPA21439 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:103585:104214:-1 gene:PPA21439 transcript:PPA21439 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFKRKVVIVTGSSNGIGRSTAELLARAGAKVTISGRNADTLMVTNDLCLKDGAGQQDILQLIGDITDESFLEQLVKKTVEKFGQLDVLVNNTGASIYDLSDKKGLSWVEKIRR >PPA21564 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:594208:597352:1 gene:PPA21564 transcript:PPA21564 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKDALQNEPQVRPRTCVACGKAPTGYNYGVSKLHLARRVEHSSEEQYSKSTNISDAREKEDAEQLEIQPVVNQITPAVEKYDGDLDLKTKCNSTARQLQEAAFVTKEFEPRRKKQTELRIMQNPMSLENEVDRLMQNLIILENALQRLRISTYTPTLVPELEIDNFLTGPSKLGLKFGAELDKHEYALLKIIIICNPLLEGLHPSDISLLQNEKERVNK >PPA21545 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:537803:538802:-1 gene:PPA21545 transcript:PPA21545 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDPESTAFMQPIFNGTIYSPVLHTPERGPLYLVCVYWTQGTFKGGRIKNFIALALLFVVMISAYTGKYEKFGYDATAEATVQVSPLSGDQRLFLSSPPISLLESASSRLFSDSPGLLSLSSFQISAVFIRSLMASSRSLIKFLTCVRAAKVKEMTSGVKQRSSGTTGTQQPATLTLSVT >PPA21503 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:372393:373557:-1 gene:PPA21503 transcript:PPA21503 gene_biotype:protein_coding transcript_biotype:protein_coding MMHYRWYKFSVPSFIVNIAKFYGFFHFGFVLQIIFTQIPKYFVGRLRPHFMDLYLKSRLATRNIFPKWLPKLQIALVIAGLAVSYTRLVDNFHHPSDILVGIVYGIVMGYLTVKHIVGLFIYKDGHIAVENPEGMRVFANFVQPVEAESKSE >PPA21483 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:255724:258550:1 gene:PPA21483 transcript:PPA21483 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLLSPSIICGDIGKFDMQAKKFYSEQQCLFTKGSDDCRPSLSVCLDEIAKAEPLTGSGIGPPPILVLGGLSGRVDRAMATVHSLVPAQNTKSSSVSAANPSYILDGNNLVCILPKSETRVTTRGFRWNLDNESLSFGRMISTSNELVNDEVEITTSAPVVLTLELLGTLNEVCFMLTSSTVRRDSIGVVSAASQIHCNLQCITTPGCDACMFYSDRGKCVFLGAARAPPPGSCPKAYTCYEKRTSGCPVYSAPMVDRAYAPGPCSRLSDVIGPPIIGPIPTGVLLRNVCGKPSNGQRRIILDAELHDGSRLILENEGQATVDWDQHLDSWYYLLRNVPYYFKRATCIRPPATPLSPSCDCAPLPFVQPMLPGKIPSSTVPSIGPAQVCPGNVQVYYKHTRQVVTLSDQGWFPSTGDYVYCAMGEWINVNEVGPVYNEAIIVAATCLQN >PPA21556 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:567216:572736:1 gene:PPA21556 transcript:PPA21556 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSGWLILLSTVLPGAWSVTEIRVGMIVAENVEPTAIGYSTSGGAIAVALDRVRNESLLNGYNFTFFVETVDCDASKTVGALIEMIKTKKVHAVIGPPCGGLYAGTMSTAYNLPMFMWGYTFISDLTDDDRFPYVSTITATSLSLGYGFLKLAEIAILYTRDSVGYCDNIIADTETAINDENTYQTNLAYKAVLDESANSTYYARMQSARERARIIAVCFPSGPIKRRFFARAAQLGMATNEYVYIMLEVKGVGFGQAGNAAERLPSGFASFWADVEKNNTDGLDALAKKGAARAISVGIVFSSMIDTTRRSDDLAKAFNDEILDRIIGPPLNCNTTACLLAAAGGQSVYAATYSRSLYDAFYLYALGLNRTLAVDPVNGLNNSALLKRSLTGTFYGMTGDVTININSSRAPLFSVVALNSSGVTAGYFNITVDATIMSKSVGCIGCAAISPWFSGDPANSLWLPWGGKAPLTVPICGFLGTNCPKDFWATSGVYVGIGIALALLIVIVAIIVAVYVWRERKREIERLNSLWQISHRMLEKPTRKKEGQSMRSLTSSGASSGNSKLTKDSELAETATTAFFYYNKELVMATKHAAIMKFDHTETVEFRKMRTFDHENVNRFIGMSLDGPQILSLWKYCSRGNLTQIIDRGTMQLDSYFVFSLIRDIVHGLDYLHSSFLQCHGNLNSASCLVDDRWQVKLSDYGLRSIRMRDKVMKRIPAGQLWMAPEILRDTSMDPNNKTDVYAFSIIASEIITRKPAWNMQERGESLDELIYMIKKGGGNPPRPGLNVAEGIELNTALLHLIRDCWSEKPDDRPEITQVKSIMRSMVNGRQQNLMDHVFNMMEQYAGTLEELIVTKHRK >PPA21430 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:53421:53823:1 gene:PPA21430 transcript:PPA21430 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVIVTLATPLLAELSFNALFAARLIMGLAEGFVNPSAGSMAGRWFPPLERSTLTGIYTTGSQT >PPA21591 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:708949:709269:-1 gene:PPA21591 transcript:PPA21591 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIIILLFFVVLCIPWLHAWGWGGSGFTTGGINNGGSGLTVGGINNGGSGLTVGGINNGGSGTTVGGINNGGWGWGRK >PPA21511 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:397109:397569:1 gene:PPA21511 transcript:PPA21511 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTAISVYSCRAVMDYRTLARLTYYKIKYTDMGFDFIETSVASIDEEWNTRNGEDEYFVLAQDAKT >PPA21418 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:16866:17476:1 gene:PPA21418 transcript:PPA21418 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLSRQPGLMLSRINMLTISWVIRPLFFVIWGFAQGDREIGAPARDKYFPILEKIAKRGRSPFQDNGLNGHFVGESLTWVDLLIAEHVGTVHQHLPGFLSGYPNVNKINSTPRLKEWNDKQAPTAF >PPA21601 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:744590:746942:-1 gene:PPA21601 transcript:PPA21601 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTLLLLVLVSLADAVVFKAPVHKSASLRAQLIKEGTFSDFLIRQNLACATSASLILSNSAVGKQPFIDYYDDLYTAELSIGTPWQNFTVKVDTAQTDIWVIDIICKTPACRGYAESGYMTQFDMARASTDVMNLGGIVSEVNQGFGLAQEVIDIFEQLPIDGVLGLAWPAQGEVLVNLLLRNNLDKLDASLFTIWLDRRAEPKEAKLGGLISYGALDNEHCDSQVIGCRLRDPLLLSNVLTVPDDSLLNRILLFQCKEVISDTGNAWVGAPASAVDGVAKATSAKYDSLNQMYTVPCKGNSPDMVFTIGGKTFNVPSSEYVIDVSLGNGKCALAIFEVAPAGWGPDWKFGNVWIRTY >PPA21507 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:387475:387654:-1 gene:PPA21507 transcript:PPA21507 gene_biotype:protein_coding transcript_biotype:protein_coding MPKEADIMKKYNKGKVLFFVHGAWQMRSKHYGGGFMTQCQIWKKMITDVHR >PPA21572 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:641747:644592:-1 gene:PPA21572 transcript:PPA21572 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLTSSLLVSLTAASFCGDSAIPFSLEVLPGGQPVLGCARPTCFGFATTGHPVSNAAGFYRIDDHVDGFMRAGVETIPPFGANDARFYRPQAAAAGIGRESDVGHEEHNLTVEPHHRVEMELQMCEPTPSSAGCPGANQWVGGIAPLLNLTAFPTTLQCCAYDVLLQSEDRGLASIEGGQIVRGGEVFNGDLQVGFDYISDVAKIVRADGTVQYDVAVRRMPCADFAGASKKTDVAQAFQAPNLPVNQPLPLPQGPQGPQGPQGPQGPVGPQGGSQETLEELVQEEAFQLPPGTQFQPPPPPPQPQFIPQQPQFIPPPQAPAPYYPPQTYYGTGGGNNQWCFTDDSTVELIDGSTKRMDQLEKKDWILAASGEELEYVPVEFWLHRVPEQKAEFNVFETSDGKTIKLTDKHYIFKGDCSRVNDISVDPIFISQTAVTADSVHAGDCLYAVEKDMKMHEVRVVRASKITQTGIYAPMTSSGRIVVNGIHASCHAIVQENVVQQSAFDTVNKIYKAFFGAVPEDVVETPTTLSTMLAMAEVLIPKNFVEL >PPA21533 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:472077:473596:-1 gene:PPA21533 transcript:PPA21533 gene_biotype:protein_coding transcript_biotype:protein_coding MCDKALVPTQPRKCTVSRKKRKTKTDKIFQFYHKEAEFIRYESEILEGEGEFLIKLKGKSRKEWLSADKCKKFAPEAVHLYFKNKRDTRQKFVKGYMDKLTHISSLDDIICDGIEDETDTHFKLRIEGPTEDFMFELDHPEWGKHISPRFEIIREGDAMYVWAEHLQAEYHFNRYVRWVNTLKMNSPAEEDDEIDFETFRDTKKFVIMETGQLYRFACSSKALPALTYTMP >PPA21480 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:248990:249336:1 gene:PPA21480 transcript:PPA21480 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSLLVFVTVLVLLAIVSQVQSYTYYYYPSNGYNYNNGYNYNNGYTYYYYPSYNNGYNNNGYTYYTYGKK >PPA21568 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:628624:628970:1 gene:PPA21568 transcript:PPA21568 gene_biotype:protein_coding transcript_biotype:protein_coding MYWTQIAKIFCHPTVMRTFGHLFLRETVRDSSGRFSQAILKGHIYFAGHYSECVQVDSQLSGRDRRFKADYFR >PPA21580 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:669313:672885:1 gene:PPA21580 transcript:PPA21580 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPIIGNLYHVNQTGILVSDGEVRMEQRRAALRIKREHGMGKNLMEAQVNQSIDELLKQLKETNDGVKPFDMNMPIQLCVENVINETLFGYQFKYSNTAKFEFFINCLNRHLRGLRYQNFIEEEVNKVAKVYNTNEEPTNFVQSYLAEMKKNPQLDMANLYAIVVDFWLAGMETTSTTLRWGLLHLMKHPQAKMLSVVGRERRIEMADKPNLPYFTAAIAEIQRAANIISFLGTADSFIGGHFIPALTLQIYSVLKDDSVFERPTEFVPERFLEADGKTACKKQLDRLIPFGMGKSQCVGEALAPMELILGTLLINYRFDACEPTDLTPIGGQVNVPRQKESAHVVTEDGTGSHLNHFQFFIL >PPA21594 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:720563:720805:-1 gene:PPA21594 transcript:PPA21594 gene_biotype:protein_coding transcript_biotype:protein_coding MQRESREWKGREHVKQRETKVQQTTKTFQYALVKREQFRMQRLFERTSTLFAQYAP >PPA21552 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:558022:559578:-1 gene:PPA21552 transcript:PPA21552 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMALVDAFLRFEADDNAKVAILHGEGSIGNGSELLAAVEGYAVAGGLELALLADFRVASSTAKFGVFCRRVGVPLLDGGTVRLPKVIGLGRALDLILTGREVSAEEALSIGLANRVVPKGKGRTSTYNSLSAPTLQDALDFEYTEGVSVLAESVQVTGSKNVSSATHFITRYKF >PPA21536 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:481829:482043:-1 gene:PPA21536 transcript:PPA21536 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLDIFFVFTTIHSILDNFAAALISFRVIAKKLLFFVYLSSNHNCDNLSAVKRSLEE >PPA21412 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:675:1800:1 gene:PPA21412 transcript:PPA21412 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGFVATLYGPLVFHLPGKFVDFLYVAFATDSSSIATSVPITVQALLLLGFVMKSKRGNSVSGATSVTKISQYPSA >PPA21613 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:795782:796290:1 gene:PPA21613 transcript:PPA21613 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLKTLLLVCALLALALARADREDRESHRANKHAAQRRVTDNGENNNKHEMNLRTFIFNAVLRMAMDEINWENDGI >PPA21485 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:260476:263322:-1 gene:PPA21485 transcript:PPA21485 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEQSDLPGPLNLDTDELKVTYNPSMPESSFSPLSNGSNSSQAPLLLSGSDNESPFRPTLRASQNHYLYAGCSWTSKRLACFICGVLLFALGVYVGIYYYFFWPSSSYPITTYAPRAPIAPMAPAAPPPHMEPPRPTGPVDPICHPSNIPEAFYNGSGRFPEEGLYFLPCVNAFRFNVDLMTQVKNRKEEGMWNRENLTISPVGGEDAYKKYSIEYALALARDTNVTYEELDGYWGKEYNKVKCLSLRRMNDELPSRSIDCHFGQAVYSDSATDADRAIYPSHPEMFLHYTQCRVILFALVFGEFKQVMTEVANHTAGRENRFYFVETFFPLVEKNDRAGLVDFINGVWLDSIQAEKRAQIIGRAADGFLRRLEACMRTFNPIPGQSFADCIMTAEERQTFEKLDRKWYGWGYVDGRGEVDPRAVKDGRGKRDGRDLEDYEFF >PPA21467 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:212894:213285:-1 gene:PPA21467 transcript:PPA21467 gene_biotype:protein_coding transcript_biotype:protein_coding MCKRIHHSGHLDQIPPKMVQVFIIAFLTNAVLASPLLKYEKELVKKGFDIKVLHEIAKASREVEEEFGTKEIRDEIASLRTNYEKAAVNSTN >PPA21435 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:85868:86731:1 gene:PPA21435 transcript:PPA21435 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCVDFLIAGQDTTSTTLRWAMLIMAKHVYVQENMRQEILEVVGTERLPTVADQVKGHKIPAGTWVNGDVRYIMSNDPVFVKPKEFKPERYLHEDGVTLKRDLVERTLPFSLGKRACAGEGLARVEIFLGPTSTIQHYRILPPEGMEIDLEPNVAATFRNPKPQNLRLEKVV >PPA21611 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:787327:788852:-1 gene:PPA21611 transcript:PPA21611 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPPIIVLASVYLLYTKFLLFFPCVLLYLAWFVHSKDWPECGCMPSDWARTHVTFMAWCADYFNYRIVKTAALPADRNYIVGNGYPYYTLNYLLHRSHPHGMMAGITELYKGWKTWTVTLAGQFHWPLRRELLMIGGAGISSKRNLTWILRQEEKGQAVTVVVGGLNEAIMSTPGKYRLKLRDRKGFIKLALTEGADLVPMYHFGENETYHPVSGICPKRLRNMQAHLMKTFGFCPPLLLGRSLLGLPWGGLVPHQVNLESVIGDAIRVEKTKNPTQEQIDQLHAKYCQKLTDLFDKHKTNYGIRADQELIIY >PPA21501 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:363894:366361:1 gene:PPA21501 transcript:PPA21501 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRIPCRQQIERGKAQSKESREKVNFGTKPFWRFAKQFDGIYTLFAPFPLIEITDYNLIKEAYIEKGDDFVDRLSAPGADDVFNYIENGGVVQSSGAEWRENRRAALHILRDFGMGKNVMEELVKNSITEYLQCLKSIENKDRVNMRWPLLLMIANIINEVLFGYRFKYDDCEELKDYVTDFCTVLREIAHAKLLPLGLAFPSIRHVPYIGYYALTIHKERFQKINAYVAKNVRETMKSYDPDSPPTTFVHAYAVKMKTNEILTEDQQIATCADFFFAGMETTSNTLRWAVLYLAKYQDVQDKLREEILTVIGKDRLPSLSDKPNMIYAQACIHELQRCANVLRINLLRCTKRDVTIGGHNIPAGAAIHADIHYLLANDPLFVNPEEFRPERYIADDGKSLRKDLLERTLVFSLGKRACAGEGLARVELFLCLLTTIQHYRIYPTDEPIDLVPIPQNFSVPREQNVRLVPV >PPA21462 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:197711:199595:1 gene:PPA21462 transcript:PPA21462 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLFTPMPTLEITDYALIKEAFIDHGEDYVDRQKLPGLEAIFSFCKNGGVINSSGDNWREQRRVSLSILRDFGMGKNVMEELSIENKESVNFRWPIQVMIANIINEVLFGYRYKYDDCDDLINYVEGFNKMITEISTSKMLPLAILFPSIKHIPYIGYHAVEKHAANNNEYVVRNVAKALENYDEDAEPLNFVHAYKQRMQSNPYLDQDNLIATCADFFAAGQETTTTTLRWATLFLATNQAAQEKFREEVHRVIGMDRLPSLDDKNKMLYTQAVIHETQRLANILRINVSRKTHKPTILAGLTIPENTSIHADIHYVLWNDPLFVNPKEFRPERYIAEDGKSLRKELVDHTIAFSLGKRACAGEALARVELFLALTATVQNYRILPMPGQTIDLTQQQKSIGLPIEQNLRIESVV >PPA21490 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:281691:283661:1 gene:PPA21490 transcript:PPA21490 gene_biotype:protein_coding transcript_biotype:protein_coding MCEPYCGMTTAFDMFKTEFDNVYSAAVKGDNVTVMALLHHPVANLNGFEVHLERYMFGVKTREAGASLPPADAIERRITNMDYVQVIMMIFRGDKGTDRLNKMMETWELAAYDETLQFNNSLLDVMLFGTDILDREMIRDGERLKPFFSTGFLIMISFVMICVLFTAVFLDEMDRGKVLISCVATTGPTLAITASYGIVSLLGLRVNSFMLVMPFLIMGIEIYIKQ >PPA21474 pep:known supercontig:P_pacificus-5.0:Ppa_Contig53:232611:236433:1 gene:PPA21474 transcript:PPA21474 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNLGLPIDTRSPRVAASNEEEIMENLLSMVNHFAGQADHLESNAKKDESKWSVLSPKLFNLFPGGTKTERIVSKGRVLSPNLLSFHKDGIFSIPDIFNILQIDRSSHSAMLDMLLDMSGASIALDDAIAKLEKEINHTRDYQYPLVQELSKMDYGWMQARKTYTPEQRKQIDERGYAFLEPHQLKNVYGDKISELALDLEKYGQMAEHEREARIERDIRKLANMGDLRRRKRATGNGTVIGPEGPEDHPRINGILFETLSPFAFTNVVNHGGALEVVTLSPQAFLGEIIAPEALIVRLKQSTTVIVVRQLSTLSPRAFIATILSPAALVARILSPTAFRAEVLAPRALYTWILSPEAMIAEVLTPHFLEPRILSPEAFIINVLSPKFLSPNIGSPERFAVLVLSPNILSPRIASDEKFVVEVLSPHILGGPHTKHEEDGSVISIGGIKEHEGEAEGGENHPAHGPEAAWEKVQVLIDGTVGASWIDLLFITILALVALVSQAQTYYYYPSNGYNNGYYNNGYTYYYYPSFSNGYNNNGYTYYYTYGKK >PPA21593 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig53:716363:718094:1 gene:PPA21593 transcript:PPA21593 gene_biotype:protein_coding transcript_biotype:protein_coding MANFYAVIEIVTKASLVPGIFLNILLIHLVQKAYLCLLVLSPEDSAATQAIKEEFCRKFGRSIENGWPNGEYDWTVICAAFAVDAMGVGIVIIVVTLASLTYQHISMAFTLSPTTRGAQMKLLATVCVQTFVPMVCVMIPYFSNTTLPAFGITFPFIADTSGIFMSLFPSWDPLAVIVLMKPYRLGLWSMITRQKAKGRKVSASVQTFAAAPLKRTRCRVADWKT >PPA21620 pep:known supercontig:P_pacificus-5.0:Ppa_Contig531:9782:10632:-1 gene:PPA21620 transcript:PPA21620 gene_biotype:protein_coding transcript_biotype:protein_coding MESIEPPRRGPKIVAKPSSSVTSMSSTMTTTTPNATSATPAPASSQPLSPPPGRVGYINIIQPSMDAPKPKPVPPPLPVADGTQKSSSSGKNKFKPPSEGSDSGGKKHKMVNNAVIKKDRPKVGSHKKKSGSNKKSKFGPRGHEQMEELGNVRLVADAVKAGEIEADPNAQDAITHLIKKATTPLGGKVLKR >PPA21619 pep:known supercontig:P_pacificus-5.0:Ppa_Contig531:8365:8765:1 gene:PPA21619 transcript:PPA21619 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-snr-6 MSGRGGKMQKVMVQPINLIFRYLQNRTRIQIWLYENTIHRIEGYILVHEHRVGRG >PPA21617 pep:known supercontig:P_pacificus-5.0:Ppa_Contig531:797:4352:1 gene:PPA21617 transcript:PPA21617 gene_biotype:protein_coding transcript_biotype:protein_coding MTLETKVANWLKWDKNEATRAEIQSLVDSKDDAALAARMNGRLLFGTAGVRARMEAGFARLNDLTIIQLSGWITLHRRPDCATLEERKRTGQSAFFWKDTSGQEGREVAGEALDGVIAAAIFAEMAAFLETEGKTLEQQLFALYHRYGYHLVRSSYWMVPSPSVTQELFATLRKDLKFPEHIGKEVVKYVRDLTVGYDNSQPGNKPLLPLSTSSEMVTFTLENGSIATLRASGTEPKIKYYIELKTAPGKNQEVSHWDRYQQELVYYDVHN >PPA21618 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig531:6635:7674:-1 gene:PPA21618 transcript:PPA21618 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMNSIKKEIPGVYMYSVELGSNTLQDREKGFLANMNDEIEGICAKIAVDPKLAGGFNAIGLSQGGQFLRAVAQRCPNPPMKNLVTLGGQHQGVYGFPHCGGDTPLCDEIRQLLDEGAYIDWIQNTIVQAQYFHDSDDEELYRTKSIFIAEINNELKQNDAYKTNLANLEQLILVKFAADSMVVPRDSSWFGFYAEKDIDTIVQYNETKLYTEDRIGLRTLDQSGRLQFYTMEGDHLQIDMKILNEILDKYFK >PPA21622 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig532:8234:9942:1 gene:PPA21622 transcript:PPA21622 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQLKKRDNSYLGSGGLDGLSGQNGGLGGSIGGSNGGQIPVEPSPIIEGPEGVHMVIPSLPSTPDEASLPSFPPDLTSGPDGSIRPIDEFAPEGEFTQGGNGGELEGKEGITHGKNGGNGGETSEEDSGSGSKTHVTESHGSGSGSGHSGSGHSGSTGGSFLLESSEFGSNHGSGKSTDKGMTSEEEFFGGEDESNGKGSQGGSSTSGTNGGFGGNGGFGGSEGDEFGGETSNNGENGAGSPGFGPKSGSGHTQTTGSSGSTNGGFGSSSSEEFEGTGGHGSSGSGSTSGHGSGSGDGGFGGSH >PPA21621 pep:known supercontig:P_pacificus-5.0:Ppa_Contig532:1506:2181:1 gene:PPA21621 transcript:PPA21621 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNGKPMTVMSYEIWKQKCAQEKKEREANKKENPIPIGRKRTYPFGDEGRDFTPSPSTVRGTYVNLPTIPPMRVLVDGDKKIIISEPRGISPTLRLQIDS >PPA21623 pep:known supercontig:P_pacificus-5.0:Ppa_Contig534:1700:7127:-1 gene:PPA21623 transcript:PPA21623 gene_biotype:protein_coding transcript_biotype:protein_coding MSEWLRSLTRNQMGSARFFVVFLLLKKFFNHQCPDNYHNGLSKISELQYFKFQVMKITAFLLLILLPLVACEDAKDTVDWTADRITSFWNFPMDKCPNKFNVSMPVREYNIEHNKEFKFIGDKEFKITRDKVAVLYEYGHGEWPYLEEIKKKDAKGKDVVIRTIVHKGGLPQNVNVKAHLATLGRQIKHEIPEGFNGPAILDVEEWRPMFDQNWSDKRVYQRESRALVRQKHPHITDKKKIDELAIKQFNDASKKLLTESLRLGKQLRPNAKWSMYGFPLCNPHSGVEEGDLKCGYERYNDNLRWLYDEFDILTPSIYLESSWLKPEYPKYAKPKPIVPFTKFEYNPYDNPIERHIYYSTRDLCNALMLSGRFGSVGLMHWSTDGTISEQRCKNIRSYFVNTAGP >PPA21628 pep:known supercontig:P_pacificus-5.0:Ppa_Contig536:7881:8594:1 gene:PPA21628 transcript:PPA21628 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVARTGVASVKNSVRSVSALATRDVLVKGPHAEVSELKNGLRVSTENNGRPTTTVGVWIETGSRYENESNNGVSRLLEHMVHKGTGKRSEAQLESELSSIGAKLVSETSRDHTAIFVQSASQDVEKGKY >PPA21629 pep:known supercontig:P_pacificus-5.0:Ppa_Contig536:8635:10250:1 gene:PPA21629 transcript:PPA21629 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ucr-1 MCLPMFSLDSAAIEGEKKHLLSALEEAEEDHMGVVMDNLHAAAFQGTSLARSPLGNTNGIKSLDASTLKQWQADHYRPVRMIFSAVGGVEHGKLTQLAEKYFGDLSNSYPGQIPHSDGVRFTGSEFRFRNDNVPHMYGAIAVEGVGHGHHDALALRVASQFVGQWDVTHGSSYNAPSKLVQQVSHGYNLHAFQNFSLNYKDTGLFGVQFICKGDSLDDATSIARWLQHEWKHLSSAVDEEEVNRSKNALRTQLYQALETNTQKAAFNAKELLHTGGVRSLVDIEDSLEKLDKTAVREAVSRHVYDRDIAASGVGRTEAWPNYANLRYGMSWWK >PPA21627 pep:known supercontig:P_pacificus-5.0:Ppa_Contig536:4250:7091:-1 gene:PPA21627 transcript:PPA21627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-kap-1 MSERSTPNSASVNATMCEVSFLILTISSYITAKNRGSLCILELAKNPANLLVLSENETLVSALSRVLRDEWRKNLELAKTIISVFHLFSSFSQTQHIVIEQKMGAFCMNAIELELDRGRDWVNQMKTADEQTKKKCEIALRREHAMLGVSVSLLLNLAEDLSVETKMLRKGLLTLLSKCLFHATHVAGLFPMGESSTRRIALCLLFNLSFHPSIRSSLLSTGLIQHTAQLCKKDEKALNVLYQLTLSHDSRSLLPFTDAIEHLISDTLEGHASAVTKAILLNASLDKRSTQKWIGSLMSIVMEDGLSEEEAKEARATELLAISTQCRGVDWQKLNEEMRICEWLQSTLTSTKAPEHEPFQLQQVILASRLASTLEGAKSVVGLLDHFLSLLNSLQEDDELVVQLLFFFLCLLKHPQNVRVMEQADEAARVIASLSPEWNKRFMTEKFRYYNAQWLEMVEKEGGEGEEEELTFDDRYMDYL >PPA21626 pep:known supercontig:P_pacificus-5.0:Ppa_Contig536:1424:2889:-1 gene:PPA21626 transcript:PPA21626 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-clk-1 MAGSVDVRVRRDADEQKILAAVTDKLVSLVPNLSIQVMKDAAWGGHDTQSWGGGQTEVRYSTNENHHGLSHEGGGHGHSHDGHGELAADRIYAGQLAVLKRMTSALGGKKGAMGCTMAVEELIGSHYNEQIKKLIEVAPEEKKLLETLSKLRDDEMNHYEVGRENEGEKSSLFSTLKPIVQTGCKIAMKIAEKV >PPA21632 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig537:7883:8282:-1 gene:PPA21632 transcript:PPA21632 gene_biotype:protein_coding transcript_biotype:protein_coding VDSRDCAVGGTKAWAIVAAVHRDIKSSSLQVPGGAEKEEQMEADYDKLMREVRLRSKTQKKRPLC >PPA21631 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig537:894:2022:-1 gene:PPA21631 transcript:PPA21631 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTFLSGLLRASPKTNTSPNESYNSIINMYAPKSRACSPKWYEERVKLATMHFNNLALLSLLNLREERWNTSVNVIGRQANAVKRKMTKAVHEWRNEVVSDTGTSMIAAPFDVVEKVAVNAGANVQWIRFSFDDPFFDRTSGYFTLDCDAQIDSFNLIIGSHEYSIESENMIIHRLGHSRFTTETSII >PPA21630 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig537:105:586:1 gene:PPA21630 transcript:PPA21630 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPEIVINSVKYSLTSMLDSELFELANNALGAASNALLMYLITKFSRKELGTYKHLIFAFASFDIFLCFLHAVVKPGTVKHEVKHDVNA >PPA21634 pep:known supercontig:P_pacificus-5.0:Ppa_Contig538:4330:7922:-1 gene:PPA21634 transcript:PPA21634 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVLEFMEPFADQISIEDQAAIRHKIRPVQILAPSAEGDRYVLNEKGLDSVLGGSSVHDKKVVVISVAGAFRNCKSFLLNFFLEYLYNLQRSQLTKTKLEWLDDATTVEGLHWKSGAARDTLGMWMWGEPIIIKAPSGEKLAVVLLDTRGAFDHQSTYKQCTTIFALSTIVSSVQIYNVVDAIQEDALQNLSLFVEYGKLAKQHAQQFGSPFQSLCFCVRDFKAPQDFAFGKEGGKAYMDQTPFKQAAELSATRAQLTSCFESFSCYLLPHPGFRVAERKSYKGEAKDIRPEFRDEVRKMTESLLAPGALQPNKMNGKQVTCKKIMQCFKEYAKTFDSSTIPLPMNLLQANARLLRYDAIQEAKKVYRAEMDKETTGNSLLSERKLMRLHIQCQETAIQAYHQFPKITAEDDDDERNCEELKTFIEIEFERYKQLNNAKTLLGCRDTLMLGVGLGVGTTSAIAGSVLAATAGIGTAGVVGVPNGDPYYAL >PPA21635 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig538:8276:9190:-1 gene:PPA21635 transcript:PPA21635 gene_biotype:protein_coding transcript_biotype:protein_coding LLNLILLLVGAGILGGSTGGFYKAAPALSKQFSHFVTGCFSIVISAAMLATPFIVDGIAPDQTQDEWHIVFAIVAAILIITNLIFCVLIRGEPCEWTTDEWIQKNFPPTEQKNEEKTTDNEDANGQADENVMQKTVNKLRNRLCC >PPA21633 pep:known supercontig:P_pacificus-5.0:Ppa_Contig538:1755:3079:-1 gene:PPA21633 transcript:PPA21633 gene_biotype:protein_coding transcript_biotype:protein_coding MVADDSDYSDNEIYDEVWPEDYFASDVEDCSLEALDLNDPSPAELVPIEILRKIVEPLGLRDRCSLSLVNRAFRKAVQASTWHVHRLAITSNEEDVPRNRSYHHLTTMPKY >PPA21638 pep:known supercontig:P_pacificus-5.0:Ppa_Contig539:6645:7583:1 gene:PPA21638 transcript:PPA21638 gene_biotype:protein_coding transcript_biotype:protein_coding MECGKLVKLWMHMPYTNQPTVHILELTDEIVKFSLEDTDLSVANSLRRVFIAEAPVMTIDWIQIESNT >PPA21636 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig539:1521:2530:1 gene:PPA21636 transcript:PPA21636 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNPNDPKYKSCCCGCHVTICARVLMVLSIVGFALQTLKALIAGTVLSIIAVPIVLSSPEFDTREVRDMKVPVGIIIVAFIAVISFFVIKCCQCNNQY >PPA21637 pep:known supercontig:P_pacificus-5.0:Ppa_Contig539:4889:5653:1 gene:PPA21637 transcript:PPA21637 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFNYNAPQYTTCCCQFPKLSRVLIVITLIFYLPNLYFLNNHGIAGLLITVLGLYAVFKEHRAALLMYIGMMINLLDPHGPINSKRERATT >PPA21784 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:693764:708532:1 gene:PPA21784 transcript:PPA21784 gene_biotype:protein_coding transcript_biotype:protein_coding MRILPLLLAIAGSASCAVFFSATAHHKNIEEGVTKEIAETFLLKEFRSLFTSTRDVQITNARTSSLDGSSVIVDILITFETGISREEILKSLEETPLRVIRLSQAHSKPPPPRSLSPTISILDSSSQPTPCSGHGVILPNATCVCDNFWVGEQCDQLLCFGGVAQDGRCACPPGRFAEHCQPQTCMPPMNDNLSLKKRSIVVALNLRNTMARDLQYIIPDLGSTIEELTKANKNTYDHFVLYSYLAFANTSIDDVFETSDIYAFEQAMQEVAISKGDDRQPTLGALRKAINASPLIHPRSIVMLFADSLPSDSTDWSPTEVDQTEEVQLIEQILAYGHRLVITLTDQPNLAIDPQDADFESLDRVASTVHGDLIHTAKNDLPTTTQYFTPLLHQSENVFIKTRFTCGQETDTIVEVDHDISDFFVAFAGTDKVQLYAQGSAAESEVKALLTVQNFVVFKISSSLTSIRVVTPTNSAPFCSFRVFVQSPDAVLVSFANDVNIDVGSATATQGANQFISLYSSQPTSSHKIQMRDMTGNGLGSNVDLNQRTDTCAFTLQTQSDALCTPGPIQMKVTAVRADGVRTFTRIVPGMCEKPAVREPAPWVCLNGGEVVPTSQGGEACQCARLFDGAHCEIPQCHNGASRNQFPVDGEPLCWCQDGWAGKHCETLADCPAPVDAEFSTSNRQFILVIQVSFSQNFIYDDLISALKNIKTTYGSYVLVTYNRVLMNGSPIDNIDRFEFSSVQGIIDQLDKVKYTYAASDIQPIARAITTALGNKTNRNDAIVFVLTDTNDGAAGDDLVEMKKSATQAGAPLHVIRTQQFGDTCPPFDDSTIVNFARESGGVYVDTCAKGSSNDEVSQTISSLASHPTTTQLVDVVPQLYEDCTGMTFPIIYRANGDAGLFVRIVSAWTLDTDVVAARLIDQTNLDTKKTLSMNTVHEWDLPVDIGDMGIDRFEANVTVKSGGACAIFLYTHSADSLWYSYGDMETDTKDRIAFYGWATFPRLHIQGAEQSNLRPWPADFYSFDLNGNQTYYSGAGELRGNCSYELLYPDEMACPANGGAYLVQASVSHLDSIVQRTWFAFCQSLNNYPCVHGSGSGTKCGCFSNYDGDFCEIPQCVNGVADNGVCKCDKGFVGTFCEYAQCDTLDFWTAGDERGRDFRSVTFIMELTNNAITANLMFQPKLADIVNSIDSIGGAKQFNLITYDDEDITFAASSSVASFFISEFNRIIVAENHKKTSPKTTNAFSAVDQAMRINVAQPNLIIAFISSSSSPNLDEFTRMRNQHPGVQVNIIYTPSTPDPMLPTSNPYYLYEAATYMSGGRVIPTSQLNAFYLSEVIKSMATEDVLLTAEGSSDCTGGYSAQFEVESNAKRLVVQIMGTGVDASKVVVEHQGKTMEYSIRKDLFWDSAAYSFEITQEGKTHSGNLNDYGTGSYKVTATTTKGRCNIQARLQAKIRTELGFLTDPHDDFVNDLPFTGNADKDHKATRFAISIPADDAQHVKFTSASLYDFDFTSGKRTPKGSVSIGLRDYGGISKVNPGCAYQYISDEFTLPSTYTSIVVQGFDQYWAENIQRTFIVSSGAQGKEICLNKCNGGQFKPTGECICPDGFTGDSCDTALCANGGSSSGSICICTSGYTGPHCEEITSPNPTSTTKTTTLATTTASTATETSTQTTTALPTTTKTVPVCYPDATTYNIAMFIENSKFTYVTPEASNSIILGLAALYQLGVNTQRSDTKFMMNGVSKEYFYAGIQQSVVEITDATDAIEDQLDLNNAGFDLSKALTSFKTDHMSEFTISKPFAVIFSGLASSNDATEVVQDLKDADYSFVGIAFTPDAEKYLLTLTDDVYFFTNDIDEAAQFIEAPMSTTKNSYCIECRGGGGRGGGGRGGGGRGGGARRGWNRPWSTLMKVPVRVLNASAPVTCASDFTHLPEFTPFTFVSSSGSQ >PPA21695 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:312649:316722:1 gene:PPA21695 transcript:PPA21695 gene_biotype:protein_coding transcript_biotype:protein_coding MKLILSLFLLSAVVADEILFAQTLWRHGDRIPTSTYPSDPYQEDFWGVPWGELTTRGQKQHFLQGQRLRRVYVDGYGLISGTYNRNETVVRSSDYPRCIQSALSNLAGFYAGSPTHPTDIDNWPTDWTPIPIHTVPQDEDRICECPISNKKVSCPVAQKHFEDRIHARNFVDWIALQWKLIADIMLHGGDTLESEFETIAHIYQAISVEREFFNLTLPDWITDEVYDGIESAVETGQDYVVGGGKQTNLIRRNFLDIPAGFDYPEDEVMVKLRGGFLLKEWIDNMQSVINGTNTLHYQAYSGHDYSIVSLLMVLEAKTQLLGLKMPEYASVVACELWRTDKGYSVKFTYSKDEYSEYVPITNLIAGCPSNSDFCALDKFIDGSKKYIPTGIELYRHGDRTPTGTYPTDPYQEDFWGVPWGELTTMGMKQHFLQGQRLKRLYVDKEKFLSAKYSRYDTSIRSADTPRCLQSAVANMAGFYSGSPTHPTDVIDWPVDWTPLPIHTIKHNEDRELEAGVNCQRADELVVERVLKRNFLDFIASKWQIIADILLHSGGGYEADFDTLQHIWGAISIEKGVYNLTLPDWITDDFYNELGAAIEEALDYVDGGAGFGYEEETELLRLRAGFFLNEWINNINNAHDSLVNDFMLLLHAKEALYGKGNSEYAATISCEVWRKSDGYYLKFMYSADAYTDFVPFTRLISGCPQNDDFCSVDAFMTRSQPYRPKSDSECNV >PPA21797 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:809724:811562:-1 gene:PPA21797 transcript:PPA21797 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEDDLFHVLNTEKEDKEFIVTHPPSPMEIHLRIHAIVDIGDFFLGFTIEDIGGGHEARARIFDLHTRAWLPTTVPILSSADGEELPIDGRWQMEMIDYSTIICIYSNPSQCRLIYLHLDRSPTKFYPLSLFVSHSRNIRPVLSNLLDCTLNCTVLYERKDCVEKVTIVTDNYQFDRDSVRVHVEDEEGKIVQIGPCNLTNTTDNHLIARCHKGNILLTRKRGEGILVDGETGVEKSVEILLGSQDELELFYQSSPFTVVDSSGFLWSLDANELTWKKKSMRLPSGNIYSITQSWNGRLLSVKNFKRKKCGRNAEAYEVFQPCWQWMQPASLKELAQSAVNDELSMTGEEMR >PPA21757 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:549814:552897:-1 gene:PPA21757 transcript:PPA21757 gene_biotype:protein_coding transcript_biotype:protein_coding MREIVHIQAGQCGNQIGSKFWEVISDEHGIDPTGAYNGDSDLQLERINVYYNEAQGGKYVPRAVLVDLEPGTMDSVRSGPFGQLFRPDNFVFGQSGAGNNWAKGHYTEGAELVDNVLDVVRKEAESCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEYPDRIMNTFSVVPSPKVSDTVVEPYNATLSVHQLVENTDETFCIDNEALYDICFSTLKLATPTYGDLNHLVSMTMSGITTCLRFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTSRSNQQYRAITVPELTQQLFDAKNMMAACDPRHGRYLTVAALFRGRMSMKEVDEQILNVQNKNSSYFVEWIPNNVKTAVCDIPPRGLKMSATFIGNSTAIQELFKRISEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLISEYQQYQDASADDEGEFEDHDDREEE >PPA21752 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:528324:529405:1 gene:PPA21752 transcript:PPA21752 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSNLTAPHVHSDIALVIEDKRIYVNKGYLAFHSPVFHALFFGEFAEKGEEEIEIKDVDYKEMLDMLQLLCHAGAALDESNVPNILKLADRFQVQALMKVCEDFLLTSSIAFITLLPLADQYGLEKLLEHLIEHTNEECIREAQQEEYFHKMKSSTKDDIINRLLDLIENKRSI >PPA21782 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:691035:691839:-1 gene:PPA21782 transcript:PPA21782 gene_biotype:protein_coding transcript_biotype:protein_coding MLLADDYNHHCEGGETFRAMESVQHSGIVKEEVLNFDESQHWHGIDDNATRFDYAQACVITRSHRDESSHRHPNGGWSWVTVPAADVPTLTYIKHFLAKVGTVVVEVPVVHSFFFYTNGIYSPDCRSSSLIGYHPALLVGYGMRNGTNVWILRNSFGTEWGINGDFEMARDTNCETFEYAFTFYNSV >PPA21766 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:625132:625543:1 gene:PPA21766 transcript:PPA21766 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSKVSTPLARALSTSSSSLAPIKNVTIIGAGLMGAGIAQVSANAKLNVVLVDMNDKVLEKSRASIDASLARVAKKKFPDSAEASLNDIERIDIFY >PPA21694 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:311149:312043:1 gene:PPA21694 transcript:PPA21694 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSAARRESGASSPKTSSSILRYSSSSSNDPRSAKLSLPPPPPIRSLLPTSSPAPSSHSSFQSGSDRLLIEDRSRRHHPPSRHRDRSCDPLLERARMAEGGMDQPMISAKQRGGVKKRMERGQAAPAPVGLGMQMGRLSSSVVDLRSLDNFRRPAYFCQPIGVRGGGGHTKRV >PPA21742 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:494860:495156:1 gene:PPA21742 transcript:PPA21742 gene_biotype:protein_coding transcript_biotype:protein_coding MNHEFTTILRKREKERRRNKIANEWHRIFHRIEILFVIFFQLVNIALLIAFISFISENSKIPLVPVYF >PPA21815 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:871715:874054:1 gene:PPA21815 transcript:PPA21815 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNAFYMLSSILIVPSLSNYFRCKWVLVLCSLSFIIYYVSFQYLNRYLYFICCGFFGFSLSAFNIGYSGYLTEFSSNESLPRSQALSWGLTNVSVLVAGVVNFLMANANSGTSSSKYRQYSEGEIRVFFGIETTIAIASVILYAMLPNKEVEGSISHSHVKPDSVNEQIVEMVSVLANRQVLILIPFYLYIGLFFSFWVTIIPTTFQFTMALSKNVYVPIYYGAAFTAGSVTTSAVIMKLSSRIHNLCCKPLMVFTFLLHLSIFALTIAATPEWSTVRPNDEPALLIQPSTVISSMVMPAHRQQIFGVSRCYHGMAAAALFFGAPGLSIYSYAAILTVFLIAATMVYLYTCSFLEKQETEEKIDCESHSTYHQQRIAPLEVRKLPKI >PPA21737 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:485757:486201:1 gene:PPA21737 transcript:PPA21737 gene_biotype:protein_coding transcript_biotype:protein_coding MPKWTHQFIARTAYKQKMTFLTDEQIERLKKIQSESVSEQMKKRENERILAEERKKVFNEVYGQGCSCSNRRHTRTTHSSF >PPA21735 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:482028:482647:-1 gene:PPA21735 transcript:PPA21735 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFYVLLFSSLAFSVYAGEQAVGARGTLMCGAQPLANAEIKLWELDTWPDPDDLLATVYTDAQGRFQIQGHEAEMTQINPVVKIYHRCNNKGLFNLPGLCKRKITYEIPKNYISHGKAPTKYYDFGVLNMEAKQPKEATECI >PPA21765 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:618341:624135:1 gene:PPA21765 transcript:PPA21765 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-czw-1 MVYKNWAEFNRMKTQLMALEITQIKNRRGDAHRSRMPKEVAETTAKNLYKLCADLHRLTFHLNQKDDITKLVDEALTLAEVIAPLYGSKDEFVDSRENTSCNVSVSNTSNISSAESSPAPSGLYASRVIDEPSLRQKNPDYYSDKDLSRGIANCEPRASKESVKSQPAAAAAAAAASAEVPEQSPSSISISMDSDQDPLEKLKKKKKSRNRSTTVVGKKSICLYYKMAASELNFLMEDVSKELAAVHDSLKRKYVEFIPVLKTERHKITGTLIEMKDSLGSQLDVIDKNMDEEQIRSTIEEICQLRARSACLRECRVLLDKFSTVEAAMEEMRMQLDQNTLKCSETIKFASSVLPRLDEMTATKNEITEETTMAITRILYSGVANFRNLLLGKLHSWFKQALVFPPSRVANITQMNVCVGDAVEGTMNISAMSNLNVLNDWLIKLGDNIMRNLIHPIINTSAVVSTTTDPVKSSLYIFRVRSKKKNGGETNVDEIFDHLLSIFTHLNASLVGVESNSNSLASVIMKIVFPQLQMAILKNVISPRSTNDAEIDETMAKAEQFREQLVESGLINDASPSFKRFAETHGKVFTDRKCITRVVKARDLILMPYVDLTTVGYGEEEHDENKFDDDSLAALGIRGDEASDDTDTSYPFFFRLLKCKISTSTKELVDLVRETVYEATKAENEEMAGRLMLTARNMIEMFILLTKSHSTAFSSVPQMAAIYHNNCHYIGHRLMLMVFDIVNEKQAVILNSFRSFFAPFLLQLRRSAAQVMSNQLLQIRRNVSTLLADASMSVVSSIERGSNACTMQLTSIANVWKEVLPELVYCGAMSNIIGFFFDQLSHLMLTVEDITANDATFIANTLSTTLRQMEKLLVIRGSPMLHKECAKQYFHFKEIIFMLNASLQDIDDRWCDGVGPLAECLTVIEIRHLVKALFSNSEQRKRLLDRFF >PPA21820 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:893156:897785:-1 gene:PPA21820 transcript:PPA21820 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSANTAKLVALILNTLFGIIEHSRSTRASDKPSVDLVFGLRVIQGESDRLAEQLASRSPPETLVDILRRVSKEAAEAADSLGNLTRDDSPIQYDRLRRLLEQPYPLWQRLSERKTDHHLIWSARTMFSSNLTDEISNRCISELFSSSADGDCRPSKECLEVMLNTKHLANYALSRQLIYFTVATRQASATPPTTFLPYTTFQKLCRKAMLSYYSMNQTALTVHLTEFCSNVIHEMDLFLSRAPKDLESLTPLQKDLLMQHVFVCGQHGFVDVIQPRVLWMLLGQQSEVGKKNSSKIKDQSQAGCFPLPANDAPPEIGRRLLAVAQSRPGCSGHSTSVAAGASMVYLNLLLSSSNWADFHLADAAFIVPHREIPQNRFTQMNWQLWTKNRQDSLDADFIPDGEPPRLHNIKSDIIAFIAIFLGLVPLVSASSSPPVDDPQGKWKVADEHGKTCIMMNAQIDLILSYVKEDDSMTDVGTPISVPADSTTTGSSCYEKVPVGDDTVDSQVLQLNFPHSEGWNVRLAFTQDPRLEISNGDWALWQVTVTANYSSMSDTFPDAGVGHTHVYYSVIDFSEPPEIADIEFTHNGDSLFCNAAQTFIINSDTKNGPAANVKLSNVWMQAYMEGSDNFGTKVVCSDDQNEIDLVPVIVSGVLAFLVLFTLIAYFVYRSRLPTDILEMTEPEFEEDDHHHSHEKEHNSLNHHDNYEESGNDKGNEYGSYSND >PPA21790 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:732220:734172:-1 gene:PPA21790 transcript:PPA21790 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSDDDRYSSQANAILYDALHDMLQKSDSYKFLRMSDDMHEVAQYLNDIRICFIVITVTGYIFIFIYLIMLCVRRNRRNAPRRRNWDGYQVGKVGDGNFSAATSNNEEQLTSVRTETVAPYMRNHHSRLGADRNPPSFMRHGHLNHQQTTRLISEQDGSVVKHQN >PPA21646 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:35556:36086:1 gene:PPA21646 transcript:PPA21646 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNIDPPETSFHAGGGNVTVKIVSTSESKLAFKVKSSNNECYRVKPVFGFIEPKADSPLEIMRIAGPPKDDKLVVQWAEVPPEETDPKAPFAAQAQQGEVILPLKAA >PPA21653 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:78678:80096:-1 gene:PPA21653 transcript:PPA21653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpl-19 description:Ribosomal protein L19 [Source:UniProtKB/TrEMBL;Acc:H3FHA6] MSSSNLRLQKRLAAAVLKCGKRKIWLDPNEVNEISNANSRQNIRRLVKDGLIIRKPVAVHSRYRARQFAEARRKGRHTGTGKRLGTKNARMPEKVIWMRRMRVLRRLLKKYREAKKIDKHLYHVLYLRAKGNAFKNKRNLMEFIFKKKVENARAKQLADQAQARREKNKETRKRREERVAAKRVETLRRISESDKAAEKK >PPA21651 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:71057:71939:1 gene:PPA21651 transcript:PPA21651 gene_biotype:protein_coding transcript_biotype:protein_coding MSELGMRNVDLMDLDALNQMNSSTLITPTEDYIDYSTTCTPSDTNCDSTPTTSFIPLPLPHSSSTSSSVSSSDSLFLCAGCGYSIQDRFVLKVNDDTFHESCLRCYACQLPLNASGTCFSKDGNIYCREDHAG >PPA21640 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:8313:12558:-1 gene:PPA21640 transcript:PPA21640 gene_biotype:protein_coding transcript_biotype:protein_coding MQANVPPKKKDKVKTKRAILKAGNVISSPTHTYTVVKLLGSGGFGDVYLVEDDTSKARYALKTEFYGAAKTLFSRLKIEAHVLDKCTKAPAHSRRHFLTLKDKGTTSDFKFICMDVVGPSLDSVRKKYCDGEFSKGTALNVSKRSLQAIWDVHTLGFLHRDIKPANFSIGLGPEQEKCIFMLDFGIAREFKNPNGTLRKPRENIPFLGTPRFASRACHKKEEQSPKDDVEVWSVTPPPLSHAPSKLRPLLRIFMVFDLFDVVSGIPWKGDSDRPTILKKKDEMMLGKKLPTKKCPKEFLRVVTYIGKLEYVDTPDYAWMISVCEHMAKQLKVDIGDNAVDWADKLNAPPKNAGGNEKNDSDKQTGSDEDEEEGRTGGIFKLFGKGRKKQSTPNKKPKSNGSNHDTAEEIKNNTSLTPLTSTFSIMASPASTIAHLLDGLQHPHMVQSTLMQLHELGVTENILKSTGAREKVVRFTTDSFFGPLARSILNEWEDQIKEFSPPYSPSPSSMDCESQINELLLKLSDSSEIKSALKSMGELEVTCDLLIKTQARKKIEQAIFSHKLVNTSMGRRACRILAQWSEEFPEFAILSNRSVSHAATEMNERKRRNSDDSSSIDIKKSCPDTHAENFEDMFKSRKSHTKLYAGRSKESTMVLRPSSNSNQKTSPPSQICEAAKLDEIKKKIALRMTQSKEGKRKILQVFAPLSVRNPRGSRY >PPA21700 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:337537:339072:-1 gene:PPA21700 transcript:PPA21700 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVRHLEQPSSAGSVYEDSRYSCLFNEECARLDAEVIAGFSNNVKMFLKVYAWKLYDRWFGNSDGCQTPHILVLISVDGLVNDARKIPYVKIHSGDNRLRFPLNNIEIETANALVQNLPIQQVLKDLIVDLGIALKELHDLNGEPRDHSVPRWARHLGLLCVGLIAFALITEWYIVRRKLAVKKSASGIKIVSGKSKTHLMF >PPA21705 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:354703:356576:-1 gene:PPA21705 transcript:PPA21705 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGQKYYDCAACTSATSIALYPLSSSHEQESPEPEQVAPSRGNSTASSYKSAKGEISPSQSETNTPRRSLDSDAEEMQNDEENELKLHELSDQDSSSDDEEMEVHANTQVTTPPNLHGPSNFRRTSLVMKKRKLTDTSDEESKSQKRIKKATKVRPSPSSESTTSNESVEIVDEVIREPTRKNRRMSILVREKVDNESETPISTRKRLSNKTERFDPSSPAEVTRAKSRKENDTPSTSKVSSGRKEKEKEIPSTSAAKTNVAILLKQKTFATPSSSKSVKKSRMERDDTLSSVSSMSRLNVRASTPMNSRPMRACRAMTVSPTRFLRDTVTPSRSISKVNTEKRGRGRPPTKKSPLVIAEI >PPA21807 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:839668:842642:1 gene:PPA21807 transcript:PPA21807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-chk-1 MADTAIANQENIKPESLDKPNKPSSGDDQHFRIIRTLGEGAYGEVLLVTDKKNTNLMAAMKVMNLQHSKIAERECKKERVIQQQLTDAGNHPNIIHFIGYRVDGTLMRLFIEYADGGELFDQIEPEKGVESTWKARHYFRQLMEGVKFIHQQGICHRDIKPENLFLTKSDVLKIGDFGLATLFFYDNKERILTMPCGTRPYASPELMNKSYRAQPTDIWSCGIVLVAMLSGELPWQAPDRAEESFRVWIDGEKGIEKKNPWSKMERQALFLLKWILNDNEKTRATVDQILTHPWCTERETIATRPNAKRHKGNNDDSPVGLFSQPLHSHRGIATSPIARGDVDMAAASRVAFSQPATIESLLLSESQMSQSQRLEGWDALSKLARRMTRFCVTTDVDLTTQKVLSVCQAHGVTVHYKTPTEMVGTQRDVSFIVTIYQMSTIHDDDLVMVDFRRSRGDGLEFKRIFHQLRSDFSPIICEMGTNWLEQHGLTKSQMPPPS >PPA21800 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:815294:815730:1 gene:PPA21800 transcript:PPA21800 gene_biotype:protein_coding transcript_biotype:protein_coding MSDCPPSKNLKIECHEGEETEILKLKRELEKKRTLIVGQERELEVKENHIVEQEREMERMRRREAILLSWLARYKKMDAA >PPA21673 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:201475:202539:1 gene:PPA21673 transcript:PPA21673 gene_biotype:protein_coding transcript_biotype:protein_coding MLTREGHIKMADFGFAKELKGLTYTLCGTPEYLAPESLNHKGHNKGVDWWALGILIYEMLAGRPPFRGNNTSEIYESIIQHRLKFPRNFNLLAKDLVKRLLENEKSERLGCLKGGALDVMEHRWFEKISWRDMQRCQPPIVPTLYHEGDTGNFDEYGEVEDDGPFAPARERDLFQGWEQFIPIR >PPA21770 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:653126:655271:1 gene:PPA21770 transcript:PPA21770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-moma-1 MGREEDKPVVEKIGEVGSKASGVFAQWWSLTTSDRRPKPVPERACTIDQLPIYPCDLPQTEYKFIPEEPLPLQREFATVRVSFLREYERVASRFSIVDSAVNKTTDAAMKTDVCLPEVNRYVNEEWTVLPKAAAITVGGMAGFVLGLKRGSFGRLLTSSLGLVTMAAFCYPHEAVDVVRTGIAHSEQAWEGFKESPNPSEVKKVTKDALTPPK >PPA21812 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:859721:864649:1 gene:PPA21812 transcript:PPA21812 gene_biotype:protein_coding transcript_biotype:protein_coding MREKKGHYLGWKFPGGLADPNENIYESASREVREETGVEAEGVAVLSFRQVNAAQWKNTGDIYFLIVMKPKDESKKEVTPCTVEAADAKWMTRSEINDLPNELFHSTLRKTLDTYDKWVASGSKGLAMEQTNSPIRRHVQKERWNRVSCNVLSEWPTMLPRASNSSIFLVLFLTASLWFYLFYNYVHAPSIDPVSSISESEIAELNKRILKLDVLVAEERSALRAMRTELEAAERENQIVRKESGSSFSGAWPDPIPILVFVCNRAEAIKNHLEHLIRTRPSASRFPIVVSQDCDSEDVRKTVESFGDKVLYVKHLSGAKANIQIPLNHVRYTTYYRIARHYKLGLDHVFNKLGFTSVVITEDDLDVAADFFEYFSATRKLLAEDDTLFCVSAWNDNGKENLIDSNDPSRLYRTDFFSGLGWMMSSDLWKEFAPIWPSGFWDDWMRDPLRRKDRKCIRPEMSRTAMTIQGKTGASRGLFFDKHLIKVKLNQIPVNFTTLDLGYLRREKYDADFHRIVYEESILMNSDDAQKELINKARANKHPIRIEYTGNMDYIKKADKLHIMHDFKYSALGVTVSIQAGVPRTAYQGVVSCFINGRRIRFQSIKRYGYGSW >PPA21681 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:243891:246008:-1 gene:PPA21681 transcript:PPA21681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mec-2 MTEIKKARGNIEKTNIINLILSKDSVTVAVDAVVYFRISNATISVTNVEDAARSTKLLAQTTLRNILGTKTLAEMLSDREAISHQMQSTLDEATEPWGVKVERVEVKDVRLPVQLQRAMAAEAEAAREARAKVIVAEGEQKASRALKEAAEVIAQSPSALQLRYLQTLNSISAEKNSTIIFPFPIDLLSAFLQKSSPKTHIESRSTPMQQQVPCELRQRLGSDSSSSHAAPSAPSTSQQHTTTYSSSTSTWRPRPSPLTGLSDTQTTVVRRGLAEVSIDMERNPSGESLSSL >PPA21794 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:793265:794081:-1 gene:PPA21794 transcript:PPA21794 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEKKKTLHELGIFQTRKPILSLPEEKPSIEKKKSIDKPTELFPPPSPSTKNEKENEEKEGDEDESKKDEMTSSTISSGFSSGTINRRRREIVIPIRPTGETYRRGGSFKERNTDPRPLDLMSTSMISLPSDKRNFVVPQINLPR >PPA21703 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:348506:351224:-1 gene:PPA21703 transcript:PPA21703 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDIFARPYRGRIVRPSSADDEFGDFICEMRELMDLKEAQPAYDVQECAICAEEFEMEGEMLPMLLPCCYKNMCVKCIKEIRKTPDLRKAVHTVVNFLFPKARKPHHRFVCLTCRFQIGKWLLSGREEDRENIKRGALCTPCSFRHKLWHVKEKKETNECILMAKRKIRVKNCSRELRTTKSVSATVRTLPKCELRTALLADFSTFFQTMATDLIDWAKRIHTDSSAVVDSKIKEKMITLGVEWAHAVSYLLKNVMEFVTNNCRQVIDEMWTMYLDETCKFIEASWPEPYLAYGTLLKRELVCIRAEANVESDHKLVALQIMYDMKCIEHEKWRREVAQTAANVTHVTQEINKM >PPA21677 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:217295:218834:-1 gene:PPA21677 transcript:PPA21677 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-dpy-7 MEDPKSSSSDRISRQIAVGASIVSLFSLGCLVVVLPLIDNQLQSAQNRLQLRMDAFKYQSRSIWQEIIVVKSKGRRVRQTGYGSGDANADAAGGQCTSCVKLQCPPGPPGPPGVNGESGVDGLPGRPGKPGLDGLDIPLDPEPAFPCVICPAGPPGSRGPQGEPGRGGPPGEPAAPGLPGRPGKPGRVGEPGPQGEPGEPGDPGIKGPPGDDSIGGTGIKGPPGPPGPRGPKGPPGQNGLPSPNPGPPGPTGQPGPTGPPGPRGEPGPPGPFGPPGDSGEPGGHCPSSCGVQEIISPSVADIRPEEKYAENVPGSSYLRFQ >PPA21718 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:419517:422150:-1 gene:PPA21718 transcript:PPA21718 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGPITGFSEDCLHVNVYTNEKCRTSSSCSVIFIIHGGLGIYESTMKFPDETLVTNFVSQDIVVVTTAYRVGAFGIMALGDENALPANLAMHDVLAALKFTRDEIHAFGGDKESITIMGHSTGAQIALNFAFSPGISPPGVPRLFSSVISMSGPLGLEKEEKQVERSHLVANLLKCSGTAREIIACMKKKTTESKLLFLSEILDAAFDVQEGDIFNERPIRMMIGTTLYETKNFGLYNSTEVDEDKVNRVLGIDNDEECFEKYLDDTKSREFVTEHSTDSQALFMTAYSLAKEQSESGGEVYLYSYDYPKHALHTDDAYFVLGFHEFEKDFNEEWLSRVYPRYFANFVNGGRPAPDWYQLKPNLMNYYSVNKNETSDDVPHMKFGYQKEIADYYTGMMEYDRRLTTRNHVLNAPVEYH >PPA21648 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:44483:55067:-1 gene:PPA21648 transcript:PPA21648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-npp-11 MFGQGAFGSGSKPVFGAAATTASATPTFSFGAGAISTAGTGGSLFGAPATTTTAPAASTFSFGAAAPATGGTSLFGAKPAFGAPAATTTASSSLFGSTAPATTGSSLFGAAPATGTSLFGSAAPAAPAAGGSSLFGSTPASTTGTSLFGQPAATTAPSGGFSFGASTTAAPAAGGGGIFGSTTAAAPAAAGGLFGSTAAAPAATTTSLFGAPAAPAAPAATGGLFGSTATVTPAAGGTSLFGTPATTTTTGGTSLFGSTAAPAATGTSLFGTPAATTAAASATGGLFGAPAAPTTTAATGGLFGAPATTAAAALTTGSLFGSTATPAATTTGGIFGAAPAAPAATGTSLFGAAPAAAPSTGLFGAPAATTTAAPTGGLFGASATTTTAVPTTGGLFGAAPTPAAPTATGLFGTAAPAAATTSAPSLFGTPTGTTAAPAAATGGLFGAKPAAAAATPSGGGLFGTTPATTTATTAAPATGGLFGAPVATSTPVAAAAPTSATTLFGAPATAAPAAAAVTTAAATPLFGTPATTKAPTAGTPLFGSAAATTTSAPATRKVKEWTLQSGLFGTPSTTSTAPSGTATTSTSSAAGLLFGASKPTTTTASPLTIGSTAPSVSVSAVGGATTTTTAPTLTAISTTNPLLYGELETILVGLTADFDAQEKMFLAQSVEVNAYDRVVRENETKILAVDDELRTLEDARDQINHNIDFIENQNTELEKMVMELEAKLRLPEWSDQDRLLPLDMSCATAADVQRHNIMNLLTSVDSQTKNVEGDIEDIVKHLTSLRSSHDTVDACADSTNLDQVCLILHKQMGSLAWIEQKTEELQSRATERLKTLVDERVDGIQRGNGLTFGVFDECPPLVDLSFYKHPTPSQPIDLHPQVAVFEMALKYDAKHDPQPLPTSPVDPICHPSNIPEAFYSGTGRFPEKGRYFLPCVNAFRFNVDLMTQVKNRMEDGVWDRENPTKLPVGGEDAYKKYSIEYALALARVTNGDYEELDGYWGKEYNKPHFAEGEWLVLWQEEVAGLSQTDWIRHNVCMI >PPA21683 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:260849:262840:-1 gene:PPA21683 transcript:PPA21683 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDRSRSRSIKDKLPQSVPTSPAGRGNQDPFEGILSSSTKRSKLTKSTKTVVSSPPPTPNANLSVDAVEQIKHQIYRMRSLSGEPRGSSMEDSLLPVTTTTGPMEHRASAPPSSSPTLRNLRTFRMAHESFRARMFHEQHNVRPFEPVVFVTKKRTREAKSVSSEFKGGDLNKYTARSYAIQNGNEVFGVTPSHSASFLFFHSLHRLVVVFHQNFLIVNDRKKGEREESSVFFPSSLGIVPTILFFRPSVSSSLRLAQSIRPRLSIEMIAKQTRRQAPVRILKHQPGLDFKAQQIFHAKRGRKLSIADFSIVKGCVFQTIISAKWNVFGSI >PPA21639 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:398:7829:1 gene:PPA21639 transcript:PPA21639 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAIEFDNPYGVFVPGTEVTGSVLLAVREPTKANSVLISVHGEAHTHWTIQEARTRMVTRTNAQGQMTTHSETYYVTIPFTGCTPYADGSAIVWTPPSGLSTDHIPPGNHRFPFRFLLPPNCPVSFEGAFGYIRYYCKARIDRPWYKFDKTTKRVFTVIPPSDLNFIPNTTLPLQVSQTKETGVLFFKNGKISMTVKLHKGGFVPGEAIALEADIVNGSKSKIKKIGVKIVQCSHYVAYRGSETVQANGQPMSNYSRIPARREDHREVFRSEEKVEIAKGSSEKMTRFVPIPPVVATFNNCPIISVEYFLKMKITTNETVSTVVSAQLPIIIGTIPLRQAPVPSAPPPQPGAPPIYPQIRKHHFIIITNLLKFVFKIRTQSKEIFTSRTGDAPPPPSYAECVLGAGKVKGEDETDDFVTEQITVLRPASIVICTGTVFEEEFIKDLLTKEGRLQRLHKYDNVYTAHADPGDHVTLEGRIFVDQDFCHTASAEIECDGAHHNLGIPSVRKRARDELYSNLVNSMRGRTMFIIPFSAGPIGGRYSVNAVQLTDCPYTVLTTRMLSRVSSAVWDSIGTGDFIRCVHSVGAPRPVLSSSLYMWPSNSPQAFVLMNMKSKKIFSYGSAHFANAICRSSMCLRIGSVIGREMGWQAESAAIISISDPSGQEIFACVQGSLGSGKNTIAMLQSTLPGWKVRPVSSTFAWLRWHTDGKLYAMSPENGFCLQWSYREPMQEKQPLEAAAIRKRSLLLNVSENAAGELHWVGRDDLPYQEEASSPVSPSSAHLPRAKSCAVISASDIESLHPQWDSPMGVPISAYIFLTNRPSTVPVLQESPTWKEGLSLAMSMRTIKGTGIDHEQLIYNPLGMSTYYAFGLNDYMKQWFEMEKEGRKMPKIFHMNLFRRPPSGDSTPSALSPASSLSNSSTSFDVKSDKSSIFDQPLTPQSDPKQAPKSVVDSFSIPTVTFSRDFKTVWPGFGDNIRLLAWVHGRVLKKESAIGKPFPLGTVVPSNVDVQGLKDVDWEACVEYDGKGWKSEMKEAMKKLKTIALYEEQHKFVLSFLTKKRGIVKETLGENKELMSEEPTKEEHKDGTIGTNLLAKPKVEPAPVPKSSPKTVPKEEPKVGHKLLKEEPKPEPKIEPKPEPKEEPKPSEPKVEPHRETKGEPKVEPKGEVKEEGKEEHKEEGKEEHKNGSKEDSKDESSGKEGEHKDGSKEETREEKTQPPDSQEEKKE >PPA21660 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:120981:121880:1 gene:PPA21660 transcript:PPA21660 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKEEFFIRRPLANAWCRRGVDLLSSLPIDNSALSASTLVQKMDAFLEDGQNLELDTLSTSPSVNNLILLTTTETTTLLAQKA >PPA21825 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:932752:936556:-1 gene:PPA21825 transcript:PPA21825 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLIEKYYAPIIFTKKGRYTSIMLQFLLISVAVVFIPQLPIGLDEKMSVPADSYVHKFMSTLPDTLASSMPVHFVVHSQSGEPLDVGDERFVRLFCTRADCAKNSLGNLIAEAVKVTKTDLISQPAMNWLDDYRRFRAVSSNHRSCCMVDRTKGDYCSIEEVAMHGGPSNSTCSSCLARGDHPYREMTRDLRHFTRLLPTMDCGMSGGMAHREAISFTENGEVNAFYLRSFHRTFRNSSDFIDGLRLSRMVSEEFKKVLDQNGYTDVQIFPYSFYYLYYDQYLYIAFSTSSQLALSAGIAFIGLTLTTVSPTTALIVALNTLSSTLLLIGYMVWRSIELNALTIVNLAMSLGIDLEFFAHLCFAYANSGRVDRIGRATDALVNVGSTVFSGIIFTKFLGLIVLYNAQSQIFKTYYFDFYLGLLPIGTLHGLVFLPVMLTFWGPDTYLRRYMEQNDKASLTTGGEGGQGSEEGRGESTSSPRSLVLLATAPSSKSSSRAPPLYGRVAAAISPATTQMTTHSNASNGLVRVCWKNTVITLELNSEDSKGKW >PPA21690 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:302309:303226:-1 gene:PPA21690 transcript:PPA21690 gene_biotype:protein_coding transcript_biotype:protein_coding MKANCEMKVAESAAPTSLRTLVVDCQCKETGCDKTIDTFKKELDQMKAQSKPVLGDKVTLFFSQSIDNLVQCKTVSDMGVLVYSILFGLVLAFIPAIIFFLVRLFLSKRKMDSLESKVTSSLRQLQQDMVGNSKNVHDKEELVVERRWEEDRRRESKEEKEKGKGRRRYPLRTIEDKKDEKKEDPNVVEPNVKSVTLGPPQ >PPA21680 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:231679:236689:1 gene:PPA21680 transcript:PPA21680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-klp-8 MAAGVLGLTSIPGFLFYFSVVLIQALFWESKSSFDWPSYFLDRTASVSHSLVSGLFTYILFWVFLYGMVHMSAKEWQRKCEQQEKQMELVEKRLRDVIKAYKGLEVEKKSLEEIVVAWKKEEGGNGEEEKTEGEQPSTTEIEWMRERLKAAETESKEKTEQLNRAIAQAESDADKFEKLIKHQHDKMVVVKGELERERTGHGESVAAIHNEYANERRKTEELNRKLADAYTKIHEKEQLPESLKKKEKEMKDEICRWKKEAEEWKDKAAKTPTAQLLHDKLSNLKTEHERELAEAKSQAEAKAKTDTLAESRLRSSEDRLQSLASQLAQAEGARVQAEEEGRIAREESAQANVELDRLRSLGLLSSDPLERLKAAVREARIKRPDEDIRDLLGGDSSELAESKGKYERLKEEFERYKLKAEAVLKSKQPKEDSSSSTPSEEEEGLRLLVSQLHNKLRSLEVAHSTDQAQFEQESRNLRQRVCDLERAEENSRTEMRREIASRVSEMESEMTKQRQRTLEVLAEKERELEATRSILVSLRSDQLSVSSPLTGMNPPSSSSAPADPVNEDKRAAKIGSSNRRSGEWRKYSENRKTSVESSHRRSMGSVSSAIEEQPVVQQQIALPIGNESRNIYYEEELSKKEREIGDLRQSLHQLDYRMREMEQNYLCRDLQHHEMTEKLKEELRILEGKLALSQAAETGSSERWELY >PPA21779 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:681728:682569:1 gene:PPA21779 transcript:PPA21779 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGESSSGGLIRALQEQKREEVALLLEMMMTGGLRPVKATDWAEKTNIDEYYLGNYARDVCNLFPPGIVKMKDDNFVMGDALTKYVNHAKTIYAHEMMVILDEKEKMSEKEITSNLGVASLEALLSMKTIQDIFYVENMNGELFVSMRT >PPA21747 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:504604:517992:-1 gene:PPA21747 transcript:PPA21747 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFVVPLNEQLLVLFQQKELAEENTQSIKDKVESARRHLKSHQKQFHTGEDSSSGESVENRSPHHIHRSRSSPRETSTKSRGKDKPTSSVLYSAELQHARRVTGEARKRDSSAKNLPRVSPAKSISPTRNNSSFHDQSQFGNTFTTSPRRRSTYVVGGKRDSSFAFRGDATFTTNRRETFTIDRRDDTTVSHDETVIAPGLLEEEFHPRKQRAYSHGSSSQFHARCIPNDAHSHEVSNIGKWEVEFLPGSLINAKKVEKDRRSAAVDLLTPNAESLRYQEERTRREEEELKRIVREQTNGRSTKEIFDNWIPLDEERRKNREGQGESAKKSTRRLWEAELNDEEGDVLRRLDGSERRVVMEDEAGYAMPLPRDVLSRPLRTPSPLTHRQPSPDEQFFTPVATSAPRLSRVSGLTEKMADGETPILGRNSSRVVTSTLVWDRMSGVNRSGNRLRGGADEANRSVGSSFERTPDRRDESIEGRGEERSLGEYATPIGRRGVEGRRREEGVGESPFLNRSSHDRTRGALNQSMRVFEDSLNRSLDGLSSLASRLTSQLEASKANLSAIAAPIGSAAATPQRGARGLEGVSGERRLGMEASILGSLAPMSEERHSMGGDELRKIMARSAEREPRNSLPDEERRADETMREERVQRVTSPIPRPRSNLPPPSSSSLTHSSVHGLASLLDANIGRMERSQGYERDAERLEGVVREINHMESKVENAVKERPLTPPIPSPRTTRPPSPLSVPIPRPRSPSLIDHTPPTPSSAPLSASPRLQVAIETAGGRDELMEMYEARHRNWHRRGDDIPVPSPRASMSRSPSPVPFPLSNPAELRERQSVQGMLDQRAKAVRGAFLHLLQRLYRARFERRYDEKRRLGGDAASETAFAEEQKRQEMEFRREANEAKMKAIDPSIKYRDFMEWMKNESERMEAEIEYEVERRERKRLEKKMRMEGIDQGLLDSSRLSEANKLLDERARKALRARENAHKHVEKREQVRRLESEARRAVAFAEIPSSARSSIDDVSFLLQQSMRRYSIGNEPLRVASARGGSVADFENSKSRDESRQSLADVSGREGRRKEMSEMKERLEEKRRQADEVRRAMEESERRMTETELISVAAQLTAHDEYIRGMENVGRVIAHNVEPRPPSGLPPGVEPLDLSSLDEEGEKEEEERKSMENSIEKSTDISVKRSALSRDSLDALPLPSSIPSSVAPSSSSRGASHSKSSTTSSSSSSSDKTLRATEELSERLAEMTLDEERKKEEEEVPPQFSPLSDGRNDELDRSLPSTAPSTGRQELSVDTVPLPETTVPEDTLPSLPVETPQVPIDTVQDDEESIPEEIMDDTVERSTVPSRALFSPIESSSSRSEESENSGGRGGITVDGGKGTVGQSIEEITGSSDDTEDEKIIDVSAASLKKAISVVSEMADPFDGFESNSDEDSRRNEEKKETGKYQPAASAATTGTVTSLDETTILEKSLPIEEKEEEQEISWGDGSGGGLEVGGGSARSADFDWGLSSDEKREDEVKKNEEVVEKPQITEIPKVEEKKEEEKVEEAKSRGKFASLRDRLNQISLDDDEEEKKSGKPSYSSSSTSTSTSSSRSTNSSTTTEKTTSSEEKKEGKEGTSGESSERKDDEDKTPRVPSSAGLSSASGSNDFDVDVALPTGENASARTPRAPSQSRFRLSLDDSFPDSPRDGVVIHKSSSSSSTLPNGRIIGTASPRLTTPTSPSTTPRAMHAVSPSGAASPDGRRKYGIEDTSIHSSNADITSIRKKKHFTKGFPPILSSLPPLSSLPPFIPSSRPSIGQSSLNGSSIEEGDEMDESVYDLMDVKTPAASVLSSLPIAHLIGEKSDEEIESPPLTLTARSNGILHEQCQAMNKMEFMLPRIDREVDQIWKIIEKKGFFTKEDGRSFASMDLEIEGMDEIATLKNERIRLIRGVSTEIASSLYARDDRRTEEGKTIPKEILPLRRLRPSISKERLRKEIEKELQTKEQAPMHTTRMHNLGMEFFSLPVDERTLYSELIISSIPTRKEPKSCRN >PPA21723 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:432882:436190:-1 gene:PPA21723 transcript:PPA21723 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSSGDNVFTFVQPKREILNQFDLNKWIHSKAYADYMKLLHDLNDSVKGLRTTEDIQIPGPKMDIIYMLDPLRKWIAEHPPEDMGNQRYGNKSFRRWHEQFQSSVHNLIVSILPEDKKGAAIELTPYLLDAFGNPTRIDYGSGHEASFLIFILCLYKIGVLNPEDHKLVVLRFFNMYLRLCRELQSEYKLEPAGSRGVHAIDDYQFLPFLFGSSQMIGNKRFPVESYTKKDTCEENAHVSLFFDAVAFIFKTKSGPFYEHSNQLWNISAVPNWDKVNGGMFKMYEAEVLRKFPVVQHLVFGSLFDITKREDAPNDSLKELLVSLTFPLSLIEWENPFVPKGIEEREREVY >PPA21688 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:285666:286786:-1 gene:PPA21688 transcript:PPA21688 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVSEMRKSFNSAPRMVNHVKRLAEGHCLLGIIMLFLAATADYLSSRNNTIRLSGLEECCSFYFLLTGIIGLAGCTTSRRGLVAAFLLMCLHSIFIFVPGIIISSSFDIHFYQIK >PPA21809 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:851573:852262:-1 gene:PPA21809 transcript:PPA21809 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSMDMPMDDNSTMPPMDMQMDPMWMWFHTEINDMILFHFWQIHDVPTMIYSCFIVIAAGIFLEGLKLIRHLVDNKFPHKNGASYFQKLFSFPHLLHTLLFGVQMVWGYLCMLMFMSFSLYICVSLIIGIVIGFFLFGARIPSN >PPA21641 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:16015:21143:1 gene:PPA21641 transcript:PPA21641 gene_biotype:protein_coding transcript_biotype:protein_coding MNEELIISQSDSPTYPQNTNGWPSAWTPIPVHSRPKSEDRELEPGVSCPRANQLANSREQQPSFQNFLASNWQLFATINANAGKTYEPTMETLFEIIGSLTGFGLPEDTELLKLRGGFMLKAFIDNIKDVISNSTTTKYYVFSGHDTIMRQLLYCLGVKNSVVGLGIPYYASMIVNELWMMNGQYYVKFLFSANADSELKDFTRNARSIDSLLDIFSSVRAFDPLLEIEKVALLSIVPPLTMILVSTLLCTVLTTVLAEDRLIMAQTLWRHGSRTPTGCYPTDPYQESFWGVPWGELVTTTVRSADTPRCIESAMANMAAFYSGSSIFSVSYILVYSYSPTYPSDANGWPTSWTPIPVHSRPHDEDRELEAGVSCPRADQLRTARENLLVFQDFLASKWALFAAINANSGGAFDVSMYTLSHFLGILRVENKLKRDDFNLTMPSWISDEFYSNLMQAVDEGEDFSVGQAGFGLPEDTELLRLRGGFMLKELVNNINDVIKNSTTTKYFAYSGHDTIQRALLLTLGVKSAIIGPGNPDYASVVACELWMRDNEYYVKILFSPDSRSDLVDHSSILPFECIDGLCPLSSFIQYSNLYIPNGDQTILILSLAVLAVFAQETGRPTGRPHHGHHGGKHGTYPPLTEEQKKKYEEEYEKKVAKLSPEAQEAAKKIKKAYEDNKGDFKAAHKAIEEITSSVSESVKKELESLRPKGGHEKKDHEGNGHKGHRGTRGGRGTTPSL >PPA21691 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:303576:305484:-1 gene:PPA21691 transcript:PPA21691 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLIFLSFVVAVYGSAILTGQKDDATMNGLWCPICKDTIAGGEKMGEDALEDWIGDFVKTECDKLPMKKLQEDCYNAIMGKADDLVVGIISKADPTALCTLMTLC >PPA21759 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:557275:558134:1 gene:PPA21759 transcript:PPA21759 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEVVQSGGSLRHLLPNSQADDSTYGDLNHLVSMTMSGVTTCLRFPGQVSVMAKV >PPA21787 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:727038:727618:-1 gene:PPA21787 transcript:PPA21787 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSIDNPEQIGLLTSALIGRMDDTWFVFFLELLYVFIPLLALYSASSLPHRLNRLRHYFNPIDNPINVVPPTVPVAAPVRVRTHIQDLPKLSEIGEIEQHSEETEELHSQRDMGMTGDN >PPA21663 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:132922:135145:-1 gene:PPA21663 transcript:PPA21663 gene_biotype:protein_coding transcript_biotype:protein_coding MVIITAFVRVVAAMHSFVVQRGGRCPVDKRGLQTTFVVRVVPVVYRSALLWEWIKEVTIIQIPHTVQPKKSNTGIAEGTGGSFDEDHHAIGGSANGGVGVAGTSLCEDSVIKTEPVIGTSGRSAFTPIGAALTTESLMPAVVPSPPTGAGLITSSLTMPLQSPPHLRLTFAYKEQLTKRRLMLCRSLEEVILGENQLQLRGIASGQDFVDLFKVQVVLMFEWVESLEEFRAIKVPLDKTRLLRWFILKYMMLDNIFHTIKLGLFDRVVLVNNVWLSPIFEPCEPGVNEVDATLRGRLHGPLTVDLLDELVKPMAALKFRQGEEIALR >PPA21802 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:819690:821292:-1 gene:PPA21802 transcript:PPA21802 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAYEFTSSDRMGFMIYRAMELMGIVIKDGTTAKNLQYFVRSLDNERKMAIGDDDERSVPLREMEASFEENVAAAVETPLTGRVDQLFVPRIPSPLVTPKEEEQPEIIIRDETVVKEELIEEPMNNSLNDGSQPDFMRNFFNSIPSSSSIRNRNQFDPTAPRETLTMDSSPSTSFSSSFRRQFDSSLLSHGNLPIDSPSTIDRVVMHECDECGKKFTTKKSMETHKVVHTGKRNHVCPQCGWEFMRRSDLLRHLKTVDHSKPVKCAKDKRKFECEMCGTPFYRLADKSNHIKIMHKEMYTM >PPA21762 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:563705:570456:1 gene:PPA21762 transcript:PPA21762 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-npp-15 MEGLDLTLNKYSTHYPALVKEVLLSESSEGLSGRCASIANGWCWLISGSQIFVWKLVEGNRSAATSAYQMSLQRSGLPFTQDNVVIYSRGANVPPGLIVVSGEGTLRRWAPLGDTHLDTVIDIASEVVLSVQIDGTYYAGSLFVLLTTTSGSMFRIDLVRALLWTVPFQQLTLSLLPSKLRGANIRVGESNQLWCLDVIPLRQGALFLFGVGTMTGGVELGLAYLDLRNNISTSPAAFNSIFMLNNRPTSFDESSFVGRVHLLMAGTTMRSKTMVHTDGVSVLHHKCIQTVFLPDSFHEKSVLRFSKINELHSNDTILGYASCSDYSFLIRAKAGIETIRVLPIGFDQESEESLKEIEDVLEEREGEPQFIAMFKKSLWYFVSKRMALAAETLNELLKIDNEHMDLPTTIHMYLQGMIDSSSSGISSDLRNKKLIYQRLILYLKNMNLFEQILVSDKTVIPPFMDSIEVWKMSACLQEVSERLDVALTLSTFTHPMEVRLLEEAAEYGSKNYGASEGGLHNAFLSKVSAMHILFPSLISSLRSHLTNHSCEYRIDALSATSRIMMAMSTAIQRNRASHTSISVPTTADQWTHGKIAQTYLDLAAAILSEMEKEGGGLSETSRMRLKEWLTLAIVFGLNEQRDRAENNSLLRRIYDMGEPQLALEMAERFKDFRLLMVITKSMEDDERRGLLDGYKRRFVDDNFELYMCNYYLKNNMMDNLLEQRGEKVEAFMEEHDSAAHSLITVAARETNTLWEQKMYASLAFLSAVCADESAEKNRLDKVKKCADETLILIGHQERIPLETISVMYPDQRVRTSPLTLDQLIGVNVFEFEDPNDRADGLFRALLMLGDLLSREKSRDVRNELKERISEIWKRTFDTAFYQIQSAEEANRTLFVAILTKLQDTDELGSETKISILPSSSLLKSSASDVCTNVVEQRVETTRMILVEPLSQFCTSNRLYK >PPA21727 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:449354:450480:1 gene:PPA21727 transcript:PPA21727 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPASVLRRKKKSTTVPAEPAVRQEPEPEFRMVRPATSTKMATFKMDDIVKLNVGGQRFDTRLRTLLADRSNELFTHFVVLLEGDLTEAKMPSRDGEGNFFLDRDPEHFRTLLNNLRFYVQLQAKQKVANGTNGAVEAEEDIRSTKSVGFIGRLLKN >PPA21781 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:689282:690523:-1 gene:PPA21781 transcript:PPA21781 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEIPMEEGEPLGATPNDKMIVTKVQAGTVSEGKLKIGDQILRVNDTVIKDTHHFFALLRFASPCARLAIFRDEKKAEELASRVHIPADRERNITRRDGFSYELVKMTWKAGGPKLGLGIKHFQNRVLVSKTDPSSISAECLKIGDHIVDINGQPVTDKDVARGILVKGLQAHGEVTMVIERPETMEAKHWTSRALTVQAVQPPSVQMNSDVRDIAQKERARLAAKVGKELKSILGGQKKAAAVAIDDRQFGHVIASDNEGKNLRAVKK >PPA21667 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:147826:152031:1 gene:PPA21667 transcript:PPA21667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sym-4 MKNDRTLAVSPATSGHEEFSSPSTSFSNPISPPTTKEVSPRLRGRSKSTREDEKEKEERERKTSMHNTSSESMQLQSSTNSSGNTISESTKPPLSVHPLQPLSSERRRGHTKTNSLDRGLTLAKSIKTGPFPPPSSKSNSLTRGQAGPNFEGEEEERNSLADRVIQQLTTSVIGEGAIVVEDDLEKRRPSQLGAIPSCSSSSPSSSASSTVSSNRGDKRKGRKEEKENGRRKEERSRKKEALSVVKESPEHAGIPPLDITQDDSDEERRGGKRTRDTHSRDTLSPLSPLGGVPGSSRSLPLHDRTLGSRMDEWAAIEDKHSPDTSYDPITRDVERRMSMKGHGQMGREEIRGEGETDSTSIAGSTLSHAGTAVKEMASGLFRGVLSRARSALHSNSSTSSKTAAESELSDSDSEENEPSIADSASMAGRQSTASTSTASIVRPRNAKKGPFDFADLKVVQEITNEHTGAVWCVKFSICGRLMATAGHDTMIRVWCARSALRYFNDMRENLTILRYRYQQKRGSTASSTPSFDGFDRTMADLESDLASSYKPASSDAGDSLDGGGSSEEGESSLFATKPFAVFKGHTADVLDLSWSKNYFVLSSGMDRTVKLWHLSRSECLCCFQHVDFVTCVSFLPKDDRYFLSGSLDGKLRMWHIPDKKVAVWNEVGVKFITALSFAKSGKFAVVGTYNGKCYFYSTDQLKYHTVVDVRSTRGKNARGHKVTGLAVHGDKLLVTSNDSRIRMYDVRDKALTCKFKGAQNDRSQIRAHFSPDGKHIVCGSEDRYVYIWRTSDLPSSLSVRKDRNAQWERVRTHTVPVSVACFAPKPAIFLSMMQRAENAKRRGANEASYRGSAAAWAADAAAAATPPLGDVIISADLSGCIKIMVNRAKPVKMGEK >PPA21720 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:425701:427576:-1 gene:PPA21720 transcript:PPA21720 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIIALITLHIATALATEELVYVQTLWRHGDRIPKDTYPSDPYQKEYWGMPWGEITEDGLQHQFQQGENLRSLYIDSGFLGGAYNREEVVVRSADTPRCIQSALSNMAGFYVDSPAALSSVLPNWPSGWIPVPVHSEPKKEDRQLQPGVSCPKADKLSSARQNTRKFQDYLASNWNLYALLMQFGGQGAVDFNFDVLKDWFSTLRVEKEQFHLPLPEWITDDVYNQLKSVFLAGNDFVDGAEGFDVKQDDELVTLRGGYLLHEWRSNLKDASTKKNAIKYHAYSAHDHTITALLHTLGAKKSVIGDDIPHYTATLVNELWKKNGEYFVKFLFIDDFSSPARPITRLINACPNDSDLCPLQQFLDNSDKFSTKKDSSEDTKLNHLVCTY >PPA21670 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:182466:183237:1 gene:PPA21670 transcript:PPA21670 gene_biotype:protein_coding transcript_biotype:protein_coding MALTCTDIPKFLCALLLPPVGVFLEKGCTYHLAINILLTILGYIPGIIHACYIILAY >PPA21666 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:146394:147760:1 gene:PPA21666 transcript:PPA21666 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEEEGEFEDALDFLPGLPTELDLPSPSRRLLPSSTPHSTPMTSSSSSVPLPLDCSTPSMPPPPPPISPRRPETIGISTPGAVPVDLTMSARQERLANLRRRLRDEFGHSTRGRTPTNNCEGSDSISLASDSTSLHSWHHSLLGGNSCPVVRAADTMSTKGSSYGQFSPSPSSPPPSMPPPPRLPPTSLPPPLPPRPASIRLPDPVAAEVLARIAEAERVKATVNGKTNEAVELEDGDRSEDVEESFV >PPA21769 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:650819:652786:1 gene:PPA21769 transcript:PPA21769 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEHDPNANFPWWVRFVAKGLGIVGGFVAIFFGILGLISLDPKCIFAMLLQLVGGCLSIALEAPFCCMFVDFIERIAQFSETRKYWHKAVLFGVMGIIPILICIELNSVLGSGMIFCCGVVYGFMALGKKADRQTMMAASDPAWSPQMWCNFSVRQIKWL >PPA21728 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:450875:451451:-1 gene:PPA21728 transcript:PPA21728 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVNLKQTVNITITGIRQLIKKCNLSNIVSSSEVEDKVKEINYYFTGDESTFTNGLCFHAFLKFFHWLSEKKFSFEEDSLARLQRLMAFCDNALRFYGVRSARLRRTEVESSDSDISPQECNKNPFVE >PPA21642 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:22242:24030:1 gene:PPA21642 transcript:PPA21642 gene_biotype:protein_coding transcript_biotype:protein_coding MMNESMVSKMTQSYHIEQLVTNRVLTAHPRSLLILALILFATAVQLLIFSVICLFFDGCPFYIGIAVCLAMILNSIVLAAFVRCCQSRPMLVLSCISASLSFILAVGLFFWNAYLISKENDRPSFTDYDSIAENGLDIDSESGYVYDNSASNPIVASTRIAMNSLQLVFAPAHAVAAAFALHILYTTVRSLSDQSVVRGYFFSEPTIGHQKHGIYMNEGDKKYSCQSNFDKFVISKKTNQIMHRLECKRVGIEATKEIYDHRTTTYSSQ >PPA21749 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:521797:522662:1 gene:PPA21749 transcript:PPA21749 gene_biotype:protein_coding transcript_biotype:protein_coding MQPFYVSLESPLLKKLLFLASHSPVFETLFFGDFAEKGKEEVEIKDVVFEVLYRAGKFIDDDNVEYILEHADRFHIGAIIEECIAHFLRFRSRVFNVPDLIRIGDEYRLASLLVKLIQ >PPA21829 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:985687:987296:-1 gene:PPA21829 transcript:PPA21829 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLQQHLLLQQLSQAAAAQPAASSSASSLATLLLLLQQQQQLTSALTTAGGGNAAAAAGANPLMQLTSAVLQQNQQQEHNLLQQRMLMEMLNNGQSGTNERSTAISSLPSTSGNNRDTGMGGMTSLTRPQPLDPQQSSTRSLLQQLQQQGAPAPAAPTTNNPVQPLPHPPPMIRGNSGLDQARAAQAAAAAARAAAVPQANMNDPSSVETRIQRLIKQNEEILEPAPVLLKRRPYHRALGPQQSIDNDSNHSGSSRTSPGLTPRFPPPTSRPLPPPTTRSQSLFELGLKPSSSSSTPSSSLLTNGSLTSGQPMNRGGGLTDTLQQMFMTGVEPSCSVNN >PPA21731 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:464374:468195:-1 gene:PPA21731 transcript:PPA21731 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-96 MTMADFSIGDEVEEEIPSQLEDTEESDAVLHHKQATVREAHEMFVTVFGSCPLNELKAAVAPGRVNLIGEHVDYCDGFVLPMAIPLYTCAMGRRALDQDRGYSLIHSVHFTETVKIHKPYTEGKDKYPQWVRYVQGVFALLEADSLPYLDIVIHSHIPPGSSLSSSAALELSVLYLLMEFLPEVDRLSTTAAALLCQKAEHLYAGTICKRVFVYSCFMQHKPLAGMPCGIMDQLVIAAARNQRALKIDCLTLDYETIPMSIAHDVVFLVTNSGVKHALATSEYAKRRSDVDRAVKLIGANSWRDVNEEILKQRSSSLTGEGDMMDRASHVVSEIARTVEAANALLDNNIILFGRLMTDSHESLRRKYSVSCSELDELVEIALSVEGVFGARMTGGGFGGCTVSMVRRDAVEDLKKEIKINKKKPI >PPA21668 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:152925:154344:1 gene:PPA21668 transcript:PPA21668 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALTGGMRAACSRLLLGGSTRSATTDAAAAAAAATPTPSGSADPLAETAAPLEDDVIVPEEIAYAHKEKYSSAAIAKLKLDQYPFYVEREWWKKGKRMTFWATWRQLRDVNRRECVQETGEQRMRLKALKWNTVLPQAIRDAAAEELNNMPKYSHPRLVLNMCMFTGRQRGKIKPYRLNRHLFRKFADHSQLSGVQRAMW >PPA21726 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:447809:448446:-1 gene:PPA21726 transcript:PPA21726 gene_biotype:protein_coding transcript_biotype:protein_coding MESGKKSGRKSGKRIEVQLAERDRIENEVHSLLPDNRKVVRSEAKKLFEEDESDLITDRTPETPYNSDKELDEEAVMKSNEVTLNHNDLLAALNMVESNTNIESNKEAKKSQSSYEPIDNATLQTANAF >PPA21659 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:119153:119721:1 gene:PPA21659 transcript:PPA21659 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLEEHREVGDGVAKAEELAAAHEEYERDARCDVDEARDLSATTSTLSLMGGEGAEAAASILPKCDELGRMADALAGALQRRGEVLRLSIQMHSQISQVR >PPA21672 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:190655:192368:-1 gene:PPA21672 transcript:PPA21672 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIRYSPGWFPPENNPARPGPTGPKRPHAFLWLASIKIGNGLIGVAECAYTAYDLCQQKLHDENRLKPKMAGNIDVYSYEIYSLCPECARF >PPA21717 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:414832:416121:1 gene:PPA21717 transcript:PPA21717 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEIPMEEGEPLGATPNDKMIVTKVQAGTVSEGKLKIGDQILRVNDTVIKDTHHFFALLRFAPPCARLAIFRDEKKAEELASRVHIPADRERNITRRDGFSYELVKMTWKAGGPKLGLGIKHFQNRVLVSKTDPASISAECLKIGDHIVDINGQPVTDKDVARGILVKGLQAHGEVTMVIERPETMEAKHWTSRALTVQAVQPPSVQMNSDVRDIAQKERARLAAKVGKELKSILGGQKKAAAVAIDDRQFGHVIASDNEGKNLRAVKK >PPA21754 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:543682:543980:1 gene:PPA21754 transcript:PPA21754 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSKDSVIAHLKEAECEFEPEERKRKRRSKINTPESLEALKESGDGEEGDTVDLHFPSENENIHWAKNLNY >PPA21806 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:834628:838055:-1 gene:PPA21806 transcript:PPA21806 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAIGIDLGTTFSAVSYVDRGDVVVIQNNAGHEITPSVVHFDEDIVLVGEEAVKKRKDGAMNTIFNIKRLMGRKHDDVFIQQRKWPFEILRGSSERACVRVDAEVYSPEQISAFILNYIKRIAKKVLLEEPVDAVITVPANFTNAQREATRDAGRMAGFNVLQIVNEPTAAAIAFCEQNDEPILRVLVFDLGGGTFDVSIVEIEGKRTKVLATDGLTSLGGIDFDERIYEEALARFQEMGIKITGLDWTLMEACENAKKALSKRNSARISHPHYGGAGFDLTYSTFVELCEDLLEQTLTLTEKVLVDASIDEDLIDEIMLVGGSTRIRRVQEILAERFPNTKIRDDIEPELAVAKGAAILADALSKLSSDDASFAEEDTYPIISLIDVTPLPLGMTLDGDRCKVLIPKNTRCPFTTYQYCTNVFDYEEKLTIEILEGDNSQASLNNTLAKVEIGINPKPKGQNLIKVILSIDVNGILNVKAIDKDTNEEVAVTIRSVR >PPA21698 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:328300:330711:-1 gene:PPA21698 transcript:PPA21698 gene_biotype:protein_coding transcript_biotype:protein_coding MNCADSVNSATCRANGVQYFPYIKYFPRNATEPTSGNLLRAFRTLSEMRDQLTKHVMDDYSVNRFDDWPNFDFLKDMTTFSELWEEMGLNSSAEFVALVFENHPSSLTGAQLLMDLLPYTDRLYARRALKNHPLVEALHLTDFPSLVIFKKGQRVPVVQAELRRLLLNEMEQFLHAEKEQSEVEVQFTARKNASEECINEPEKCKLRYYVSESDMLKAMRYAILRETARTGAPLSGSNLTALHGFLSMLHDHFPVMTVNGDDEQPLPRSSAAISVFARLRDWLEERGANVNDSEYAVVDVDDFQKEFLAAEEDAGNPFPITAEWEHCKPSLGNSVP >PPA21789 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:729188:731218:1 gene:PPA21789 transcript:PPA21789 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAKEDTWAFQEIGTPFPDDPVRIPGQQNMYVALWYKFGKPVHGRAWNNDGVVECSFAYSKVELTGKRDLGGQIQILTYKGDYNSNGYWYQWLPLKTKDEGRKDLELVRCGQSTPVMMKTKDGKDLLGYLDLGTEEAKVGYAGKSEGCSGPATQGLLAIFRTLSPPPTGVKIYDDIWCDTKYRNPLPTNGMLADNRPLTTDVGGKSNQYVALWYKHGEPVFGRAYDDGNGKVLATFGQNNQENSGQEIGSFQMLVNPPPGSEGLTYQWRPYSQSKGDGFVPVHVGNCAPCIIKDGAHELCGNINTALERASAGWNGKEKVIEGPKCAPLLVLCKKRTG >PPA21699 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:334248:336989:1 gene:PPA21699 transcript:PPA21699 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sav-1 MLTRRKKQNAHTFLEGTSANVSNRGDPLRASPSPSPTTLLPKKPSLQSINSVVDGSTAKKASTQSLAPSVSSLVESGGYHLNGRSEPRQQPHKKAPSGQHPLSSHSFNYDRPRKVLAPSLSFSMLESNGGQYDRSETQLPPPHSQLDLRAVSVVDSPSSSSMETPLPQHRFVSTSLQHLPARSTMGSTSIIEVTGNDAAVAGNIPEEELELPPNWTVESIITHKQGTNEPVTLRYYVDHNTRRTHWLHPLVKEKLPAGWRKEYSHERGVVYHNDHLGTSQYDHPGLAKSAPSPMSAIVGHNIGLINGNGGQQPMRPMQQRNDQQQMQHHFNLIRDEEVPEWLRLYCCAPHSTDHLLDWKLFSLPKLEYYWALMYRLFRQDIIDAVRKKERLMLELQLEIARREQELAQSQSNQR >PPA21827 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:953686:954442:1 gene:PPA21827 transcript:PPA21827 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLINGYLGFWLQLIAIGWTALTIIWLIGFLLLWIAWGGLQAQYDDRANYIVPLIKHIDDDKIQLFDPKETMNIKKPEDEFASEKEFKKKMKTLPKKEPKNSTNQYWRMIIQAIREEKNNKDKKEKMIALRRLFRTQVHNQLVRCYTVKDEDKEK >PPA21772 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:658599:660486:1 gene:PPA21772 transcript:PPA21772 gene_biotype:protein_coding transcript_biotype:protein_coding MSTREKRGVSTLGEYSVCSWILTILSYILVFFTLPLSACLCIKVVQEYERAVIFRLGRLLPGGARGPGIFFVIPCIDSYKKVDLRVVSFDVPPQEILSKDSVTVAVDAVVYFRISNATVSVTNVEDASRSTKLLAQTTLRNILGTRTLAEMLSDRENISHQMQSTLDEATDPWGVKVERVEVKDVRLPVQLQRAMAAEAEATREAGAKVIAAEGEQKASRALREAAEVIAESPCAIQLRYLQTLNSISAEKNSTIIFPFPIELLQRLLPSQSSSSASTPKLVDKMA >PPA21798 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:812035:813918:1 gene:PPA21798 transcript:PPA21798 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTRRKTHTLRNTHDSKEKRNIPSSTHDSKEKRNIPPSTHAKMKETHVTKMKDLTKDNSLPGSKEKKKSNKSLKKDSGSKELKKQKNAEKTSSNTNVNSRETPPHHNIEGTQNSKTYSVKAHHTRSKENEMMVSSVGRSLSHAQFYHGLMPRVDCEELMKNVGDFLINGNAVFILSVMIERKNVERPTHIRILHGNGLWSIEDEEMKKTSTILALVKLYEKEQSAHSDAHGPLLKTVVRRPDFYLIHEDIEVGEEIGKGAFGTVHKGKLKKDDYTHTIDVAIKKMKSDNSTKKNLLEFFKARE >PPA21658 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:100973:102276:-1 gene:PPA21658 transcript:PPA21658 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEIPMEEGEPLGATPNDKMIVTKVQAGTVSEGKLKIGDQILRVNDTVIKDTHHFFALLRFAPPCARLAIFRDEKKAEELASRVHIPADRERNITRRDGFSYELVKMTWKAGGPKLGLGIKHFQNRVLVSKTDPASISAECLKIGDHIVDINGQPVTDKDVARGILVKGLQAHGEVTMVIERPETMEAKHWTSRALTVQAVQPPSVQMNSDVRDIAQKERARLAAKVGKELKSILGGQKKAAAVAIDDRQFGHVIASDNEGKNLRAVKK >PPA21804 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:824204:826816:-1 gene:PPA21804 transcript:PPA21804 gene_biotype:protein_coding transcript_biotype:protein_coding MHPYDTLELPIITETTSRSFLEKLLKSESALMEYGTIEWMEWMARRLRFFDYNQEVVNFYHRSVAERDYCEQDEALIQRIRSALFEPSLGKATTSIEKMKVDAFVYACEFACSTGDQQGFVLQKSIEILLEMTKKGTGAKSLGFLSGSLGRDVDNAVMVDYSGEPLRRLIYGLGKCLSLAVNEIVKERDMAILEQSHGNASMATEGADLEFEETNVNFNLEVTESKHDPLVPVKVEELEETDNFPAFEDTVDEDCTSVEKEGSTASTSTVTHGNPSCPIDDSTATSSSTIDDSINSLISRINAPTPPPFFMMSEDRQNSHMSSTPNELSPSPYKKRGPKSKLKEGKHRCDLCGEVFTLRTNLTRHKRIHLNNSTGTRAYPFVPVRMKSPTDN >PPA21774 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:663805:666463:-1 gene:PPA21774 transcript:PPA21774 gene_biotype:protein_coding transcript_biotype:protein_coding MITHLVGVLVLSTLISSRLYEIPAARVVRQTLVSSNGNSDGKGDNVTADASAFHYKNADGTIGMNVSSTGNATSGNGNGASIENIGQGAVGSNNIGSTGNISASGPNSNVFSDIFGEIYGNQMSVNSQQEGKSFGTGDTSVKASGNANLTKNGVASPSASDNNVANAGATGSDNSEAEVKSNQILTWDQLIAQLYGHAKGSGKTNAQANVDLGAGNNNNGIEVNGLVSGANSNGGNVNAEVEGKGSIDGSGHNLSGGMNGAVNGTGNSQLVGAQNLQSNRTGTNTTISTFGDGKSNGKGDNSITLNSNSSANSNGSVAGQIDMQNAANGGNKNMTVQNGLQVNDNKGGTLAIGYGEIKGNGTENSAANIGVNSQYDQNGHAQVNTTGVGNAVSNNQNSSLTMEGNAQITNPNGTKSGTATASGNVSGAYNNLTGTNQVVVGNGGANGNAHMEANGGGPGDSAAETKTDLK >PPA21736 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:483623:484907:-1 gene:PPA21736 transcript:PPA21736 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLYVLFFSSLAFVVYARDQTVGVRGTLMCGNDPLSDAEVKLWELDTWPDPDDNLATVKTDRQGRFEIFGTENEFTSISPVIKFYHRCNNKGLFNLPKLCKRKITYEVPKSYINSGKQVGKWFEMGVMNMEAKVKGEDTECI >PPA21776 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:669832:670379:-1 gene:PPA21776 transcript:PPA21776 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVHTFESGSLALQGLGDLVGVTVDRINFVTCMFLSIPFAVIYNRYLCESSIQTRKMYPLVIGLSYCWFCFGEATKHLLANTLTCYVLMHISPAQYIHK >PPA21704 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:351836:354420:-1 gene:PPA21704 transcript:PPA21704 gene_biotype:protein_coding transcript_biotype:protein_coding MERLARIANSLVRKIFKKRRNHRLSIEGGQGGWEYPVQEKMEMEEDEEMSGVTPPLSPDHSRALEYLKASTPVRSNGILEHEGDVSNSVEEEDEVSQAEECYAWDESIHSVQVDSPEQFQIDGGDDSSSIVSEDGRIEEKKDRRGEDIAHHNEFILEDEYDEDGFDGIALLQSSFPIQLKNEEEYFYHPTVLKDDKEGYYMDEPLGYSNPSLAPSISAPLTCPNLCSYNEEDLGTLAQSIIEEDRKRLKNKKEKVKAANKMCVVYSSPSFHPSPRPDTPTPNEMISPTCRSISNESMVSASSGKTVTSLNPSTGEIVRPEYANDENNAFLRSIYEKAGLAHEIPDWMRVDPPSHKDSSLFDYSLDKSQEESKVVGKGSVINTSTPVVGAPCNIPFSSISTIGNLNGKEKMGKDQFILNSNCFYSPSLDGSLIIRGERYAVDVSSDGRSRPAVQ >PPA21834 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:1012688:1021456:-1 gene:PPA21834 transcript:PPA21834 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHHRHPSSSSISESIPPPPPSRSLMSRGCHSTQPEGSGWTRVDRADPEKAFKIYGKLKGGNDSPYYMTYPLCSPHASSVSESGSYSRPPHPDINLDPVPYAAAAPVGFSRDSAIATTMCGERPLCAHKIMAVEESVYDNMYKVPDDSNESEFKLNHLFMSAVSIKPRTGERPFRYEMAKETQMKSTHSSFWFVSLRQRNLITERAAAEAMIGSQIELDYAANEYHTVKDHKTEVKEEMKEEIKDEEMDTSIAPTDEASAVDMRMVESSRSVPTSSLGGREAKDLSMDEDLTAIVEERRRAMEWKKEDDENEAAAIHATNVKEHTMVSLGLKTEKRRARDTTSVDRMSIGDITTLLDMGPEEVPPMAKMQAQRDPVIGGYKTDEVYVYVRGRGRGRYVCERCGIRCKKPSMLKKHIKSHTDVRPYQCTECNFSFKTKGNLTKHLASKAHRRKTTPTEGIGGDSLSSSRSLRHRSEIDVVGSDSEEDDDEDGMDMGAIDDDVTKEMDINLDNGGSDDDFDELDYGEEDETVGRGHLPSADRHPYHKFGQEQILIERRAHTPPSVWVRVPDAEGDTHTPQWPDTVYSNRRCTSAPPVVQQTMKGEKDEEEIWGGGGQEERRRRDRRRKRRREGGDTRGNGSAASSKGSEEKRGDGGERGQENTVPGTSDAQLSMTNANISAHQAGRIQLQMSANYPSSLLDFPKLASALPPSASSLLTQGTPDQLHAAAVLLPSTVTAAAAAAGLIPVQGQGSKTSTPSTSTPIGSYQLDKDELKCGECDRVFRKASDYTMHVHTHNLERGKVRLLYTCPECKLSHKSKQQLARHIEMAHPLLAARGGAAAAADEIAARQRSSSSCSSAVGLNAAAAGGELPIEQTILNVSSNMTNNPRSFVCVDCNIGFRKHGILAKHLRSKTHVMKLETQKLLPEDSLTLITKRDNGTCLNEVDTTSCDTARRSILRIVDRIREENAAAASSIQVQALPSQTNGPHSVDDQRRLVVPIASHRIDPPPTTAAPAADSVAATAAALIQQRGGAPATTAELLALLTAVQRSQAAAAAATTSNESAASAAGPSTATIASAAVWMPPRAEDVQQSTAAAAAAAAASAYSQQPPINRRPSFDANGYLDRLGNGHSSTAVTPPALTRCGVCDMNFENPMDHQIHVLADHIVMRDGHDFACPRPNCDKVYPDRDALRMHILAHFRSLDRGEEESVALSRPSPPSATAPTETVDGREEGEASASDEDTMEMEGGGEESSCPSRASTVEGGLRIAEEEEEGTEVRPDGNRGGLACNLCSAPPFPTAAALQNHWMTLHVALRPHVCTIWHGPSQSVLLTRLKSAICSIDMTQL >PPA21686 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:275482:283345:1 gene:PPA21686 transcript:PPA21686 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nnt-1 MANIAGYKAVLEAANQFGRFFTGQITAAGKVPPAKVLVIGGGVAGLSAIGTARNMGAIVRGFDTRDAVKEQIESLGGEFLEVKVKESGDGAGGYAKEMSPEFIKAEMELFAAQCKDVDIVISTALIPGKKAPILITKEMISTMKPGSVVVDLAAEAGGNIETTRAGEVYRDDRGVIHIGYTDLPSRLPTQSSTLYANNISKLLLSMGEGNKFRVNLEDEVVRGSIVLHKGEMLWPAPVKAIPVQPVKEKEAPPTALVPVPDSPLMKTVKSAGALTGGLGTISLIGLGCPNPAFAQMMTTFALSGIVGYHTVWGVTPALHSPLMSVTNAISGITAAGALCLMGGGLLPSTSAQSLALAAAFISSINIGGGFLVTKRMLDMFRRPTDPPEYNTLYAIPAALFLGGYGYGLTVGAASIHSLTYLGSSLCCVGALAGLSSQKSSRVGNALGLTGVAGGLVATLGMLQPDVAVLTQMGGAVGGGALIGLAIANRIKVTDLPQLVAAFHSFVGLAATMTCLANFMVEHPHFLTDPAGTGAAKTALFVGAYIGGVTFTGSLMAYGKLQGVLASAPTYLPARHWINGGLAAGNLAALGTYLATDSYGVGLGMLGSTAGLSSVMGVTLTMAIGGADMPVVITVLNSYSGWALCAEGFMLNNELLTTVGALIGSSGAILSYIMCKAMNRSLLNVILGGVGTKSKGTGKAKAIEGSAIETTSDQVVEMLHEAKDIIIVPGYGLCAAQAQYPIAEMVKSLRDRGARVRFGIHPVAGRMPGQLNVLLAEAGVPYDIVEEMEEINDDFPETDLVLVIGANDTVNSAAEDDPNSGIAGMPVLRVWKAKQVVVMKRTLGVGYAAVDNPIFFNKNTTMLLGDAKKKYVPLDPRPDATEITPIDLLRSAEIGVTVPSFSVKEIKSMAIDPKSFIIGEIDYTNPRIAANFVVGDTMLKITILIKRRAFVTPPGHVRVQAAMTALKLSVQKKMSYMVVTCKKMPYDIFLSTSFGFTAENVNVTKNIKKFVVAEINGNTAAEKYFSVGDILIDFRGLPVENDGKTLKQFNMTFQTVGEIDVLIERPIIAKNREATAALIKGHLLKHDTPAMCDEVTKIGLLAARYHDKLWKRLTPVSITQNPGSAPKGDKSPVPAIPDVCTAKNATPEKKNLSKEGKTRGSAEVRKSCAETRSKTEKDDGMRKKSKHSKESKKSKAQKKTARGKKSQKRKKTNSTTQDRSKDYSEETRDVSVDATTMDHESTVDKDGRKNRVKIVGAKSFQEITSDVDPTTELESVGLRYTDDFEDENTQDDEDQKKMKRARAALRPIKKGLFSTMALFKKQEKEKEK >PPA21712 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:396371:396759:-1 gene:PPA21712 transcript:PPA21712 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSPPPSYSPPRPPPPYESQNSENIHRENTIKVSPVPFTPLATPEFHHPSVAVPTTSRAAADFTYPLFPLLCVLK >PPA21655 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:86042:88232:1 gene:PPA21655 transcript:PPA21655 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIHASSDPSKGVNAIPPEETGHDYGHPPPPPAHVVALAAAARARMAAGTDDHGGGTVRDPTTTLRLTPRVPPRPRIMSSAMRSPSSQPTHSSPHTTYSGSNGVSSSPSIPSYHSSSTSSSSYISPLQRTGSQSGMQPRLGSRGAAAVAAAGGGGTGGERNILDSVTDGEIQAKVHNIMLEAAQKECANGKRTTMPIDLLRERDNGTASDQSFVGPSVKSVLATHYSVHRPSTSDGGTTPSSVVQSPTCRPGVDPSLAKTPILASLTAPSSTSSSFSPFSSQQSSSFVPSPSTSSSFFGVSAPSLPSNPLTNPSQVSSLSLNDSLMRDLMMLASGPIPQSSPAAAVPHSATRPQQRQYVLQPEGMSYAEYRPDNPRSYKSFNSEGIPLQYSVSPEELYDQGILARPSTSGGTGNGINGVNGGRTLQNSPLASLSFPQQSTQIASAAAAAAQLGVPSSFTIQSNIPRGNDNGETGNSGKKREMR >PPA21678 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:219447:221959:-1 gene:PPA21678 transcript:PPA21678 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSMRDYPSFKEYADAQKEIGSCKEEFRETMKTSFKWGVGIGIPFGVYCAHSTKLDTLFTGSDITEITQLQQQEITLKLANLTSKDVRTIVFGKKEFIVEEIGTPTSTIISKNFMVGDVITKVNGKELESRAFINNLLTAMNTTTVVLKRRAYASIPDEHRLRNTLSEISQTRQKGFAYQIAEHSAAEKFFSIGDSILDLNGRSLRANDPKDVNLINPAFQKLGEITILVERPVTSNARKDAGLRMGQYLAPANTEPTMADDAILIGKNAANYHRKLWKRLEPISIVMETGHKASPKKRSKKSGKKKKGEKDDECKSLGDKSTTHFSSLGTPRVIIDPKRNCLEITSDSDPSQLEKVGSRYVDDFVEKDDKSKMKKKTLFSLFH >PPA21761 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:562588:563306:-1 gene:PPA21761 transcript:PPA21761 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSEKAPLSNDKEEKVTLPMEKVAEVEPFLQGEPSEAPVDKWSLVYLIIFLHGIGTLMPWNMFLTIADSYYVKYKMMAFNENGTLSSTWFSDNYMTAQNVCSQLPNLLLNLINIFIVVK >PPA21739 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:488714:489318:-1 gene:PPA21739 transcript:PPA21739 gene_biotype:protein_coding transcript_biotype:protein_coding MNTILILSTLVLGAVASHQSVGVRGTLMCGPLPLADATVKLWELDTWPDPDDNLATVKTDRMGRFEIWGTESEVTTISTAIKIYHRCNNKGLFNLPGICKRKITYEVPKSYVNKGQRVSKWYELGVLNMEAKMKGEGTECI >PPA21832 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:1003872:1006599:-1 gene:PPA21832 transcript:PPA21832 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fah-1 MASYIEIPSGCDFPLANCPYGVFSTKNNSRKRIGVAIGQQILDLSEITHLFTGPQLAPNHDALKKETLNAIMGMPRAAWLELRGRVQQLLQADCPDLRDDEDLRKRAFVRQSDAVMHLPAEIGDYTDFYSSIYHATNVGIMFRGKDNALQPNWKWLPVGYHGRASTVFPSGQPVRRPQGQLMADGAEAPTWGISRLIDFELEMAFFVGGKPNASGEPITIEKAEDHIFGMVVMNDWSARDIQKWEYVPLGPFLGKSFGTTISCWVVSMEALRPHFVENMAQDPAPLPYLKHSDPYTLNLNLEVSIRPEGDSRDHLVCKTNFRHLYWTLKQQLVHHASNGCIMRPGDLLGSGTVSGPTEGEYGSMLELSWKGTRTVKLGETETTRKFIQDGDEVNMIGYCIAADGSRIGFGDCRSKLLPAHQ >PPA21676 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:216336:217001:1 gene:PPA21676 transcript:PPA21676 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDFQKKKAEEAQQQKIRWMTWEREEIEAEARSKQFDAYWERRETDDVNGWRDKDLANAIDKASRAGYTGPHGNFSVPVEIKIDLDALYHQVTVGDYDGNTVVRCAEQWKALKGMSRIDAQRAYIRVTNKMLSRYGWNPPEGWH >PPA21721 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:428503:429683:-1 gene:PPA21721 transcript:PPA21721 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVSEMLSPSYMPTADDVLHLRIPTTHVNEINFTFSTCTIRLIDVGGQRTYRKKWIHCFDGVAAVLFVASMAAYDQSLDEVDSTIKPILYKDVFAMEPDKPQKVQNRLRDSAHLFGEMLRSKFLTSSAFILFLNKKDLFHKKLPNSPLEGSDFNSACEFLKTYFLKRKSKKDKDRKIYSHYTCATDTQNVEFVFKATCDIVLQKNLNSSGIQ >PPA21824 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:919374:927315:1 gene:PPA21824 transcript:PPA21824 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGKHRQSLGVPRKKLSGSDTTVPGGKPPITLKLWIIKASTPIAIRIDSKTVQTHSGSIYKLKGGIEEEEAAEAGIPQELIDEFTSGFPENWEELIREHLHDQELARRRQSMNTASTIDTERDEEEERRASRRRSQRGAQDETMDTGEASDDEDRLRDAKMRRRRKGRQSMMHRVQPGTPIEEDDEEEEMTTARGKTPERKNKAGETPLARSVSKKTPRGRGRTSQEDEEMGEQKEEEKTRMAPSTSRGRTRTPRGGKMTTKGREEEDDDDEVFTTPNEIPPVRARNLSVAIPQQRKEEDKTPHRPSFGFTHGRVSLDALPKVRDRVDTMKMNTEIMEKSIPLTRWVLRFVRGGTDKSIFPHFQFKILGFRPESEEQEWTTSAIQKVVPPYIMFTSSSIYRLDGQMDVDQATDIGFPRAFIQDFLLGFPDDWDERLELFFNKTFGPPPQPKIVEVLSDGGTVDDEKKNKKKESATPRNRGDGQNNRPNGPNFDFDDFEPLDNRKRTEPTPSSASSGEEKDEEEVLREARQMEKEMRRGEKAAELRAKAKEEKKKRFEVKVNTTPDGVAISRSGRTLRKPMAKWAGENVTYDVHGNVVDITGVTTTTKMTVGKTNEQQAEKLAAHFGVDSPFNSTMQKERAIAPPTACLPKTPAPKKSKADYKGYSDDETDGIPYKDYVKLLAAEDMATPAFNLKKGKRRCRAVESSDSEAEAEERRKEEKRRKKEERRRLRESDEEEESSDNGWRRKKKSKGKKKVTVKKEKQVKRAPSKKRKAKESEDEEEEGMGSDLEIEHDPDAVSFVLSDEGSNVERGNGYSDNEEIQSEEEEDEPSKKKNQKKTVKRAKVWKKEELTRLKLAIQAANPSGTPEGWENVAKSLGGERTAEECKKSAIERLKMKFNEPTMVESDGEYGSERAWSGAESGEASEDEEKKNRRNARLDEGNAVKGISAKPGTMAHAQEMEAMKRERLLGGRQSQDDFFKNTKTRMSGVAALPSVAVFDPDDSLLEALDDDDVAPAPKQRTTKTAKMAAAPATPRLAALSSFDDSPSGSATTSARRSSAKFVPSPVVNQNDLTRYVYQLSRTKNMSMLSKSAIDQSRMMPPPLARGRMVARAAEAIDKGVSKVEKMKNGRRRDQMGDLDEDDENHDDILAGI >PPA21738 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:486393:487361:-1 gene:PPA21738 transcript:PPA21738 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPPFLNVHGQKPELVEHSFRLQRLSDRSSLYNLKIKWYENEPTKAEFSHDLNMHIKDIHSKATVFRRIYRISNSELSRNGSRSSSVSGKRSSSKSSKPEPEYISIYGADVAEKKSRKIVTRAVAQVRRDGHIVRMLFLFVDNHDRTSEEHTVPRGCGISFSDEHSPNTPLRHVVNAVIHQLEYGTPYPPLFSADGTQPDVYLLSENKHLSRKNTTLRYDCLPNWRLSDVMVDPSKEFHIAVDFSYELAKKK >PPA21831 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:997630:1003164:-1 gene:PPA21831 transcript:PPA21831 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSAADAASCSKKEGITTECVDFVDHQGSTLLTPSCRSFEYAEMNLRHSGNAERTTALVKRAVRMGYDSVVINIDIGDLFQSNTEQDESQPPPSKKAKKKCGAGRASEAAPIPDPFLVDENMLQLTALTSAGKRFRQYSRLTVTLADTSFVHQFFHSPQLKKYDLVAIRPDNEQIFTTLTRKTEFFDIITFDQEAGKVHWLHGGGKSKQVQQIADEGVGFEFCYGEALKDSITRRQSEDEDVEVLSNGRSLLSTTKGKKSFFSSGATDIMDIRAPVDAMNLLVLYGVKSGKAKPHLSDFPREVLLRAEAKRTLKGAIAVTSKEEVVGGWWAQSLAIITDAAHLLTDFASMLISLFSLYLASRPASRRMSFGFQRAEVLGAFVSVFLIWIVTGILVYLAVMRIINGDYEIEGSIMAITAGIGVCVNLVTLILILEEDHMDTHMEVTAMNMSMKRKRRDMVIRMEAKVTIIVKAMQRKAMDTQKKDQIHQHLDTNTNINVRAAFIHVIGDLIQSVGVLVAALVIFFFVALSVHLEINKDAKAQKVLKATRYMLKNKFSVFESTVQIENYATHKSDCEKCDPPEH >PPA21830 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:993555:996659:-1 gene:PPA21830 transcript:PPA21830 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWYNKYCQIVPDHVKPIAALLYTRLRTKRPYMKILPKKPKNIVKKHFSRHKYMADVGQICIRRLQKYCRSDELIKFGDEAQTDIYWSNSQIFQRETSWNYMKVCDDYVTKTWKNYLIFVNKKLNNPENAHKFRRYLLEEERKLLDTLEMEELLKILVANSKILDGVGKVEYTKSRWNRQLGLAILEMQQDNSPRPPSEKEIALDKTMPHL >PPA21814 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:866368:869903:1 gene:PPA21814 transcript:PPA21814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sft-1 MVNRGWVPRAQMDRETRLSSEEKGEVTIEAIVRKTEGRPQFVGANQPERGVWFYKDFNEMGKYAGTAPIYVESVYEMTRPGGPIAGQTNVKVRNEHLNYLLTWWVLPLSSHSWHVAGRPSDASLHAVRTYGGRADASIKRILNQFFHELNVDHTQEADFRRKAEIRLDASKLERISSFLQSKVDNDWSAHERLCADLEGMITMMEEDPLDDFSWSISSLMETIQPYIGMANAFVLIPAAFIVLRTLIGTRSLLLVAFWCFFAFSIYTTYDKRYKEEVSARLEASTLYKDSCRPPTFLESSLDYVKGFVSIARKSECRRFIESQQKSIISEIQLTEVIADVIFNGLFSAIPLLGTKLRLFFINFYEGMPVHIALISSFMTVILLFFFTGYSLKLPFTSVEPHPGQSAIAATVSAAASVAAEVLLPREDPPLQPVLQSLQEAARLPSLPYRRPEKRQLPEDERVPGIEWNPPSNRERSREREERRDSFVTPRSSERRSRMSMRKEGEERYRSMSLPRKYL >PPA21707 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:368263:371498:1 gene:PPA21707 transcript:PPA21707 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPKHRFRPKSITLSSVDEIEKEEKEIVFQLQPIDENEIPPPCVIGRPIRSPVEKSEISRIFADFLTFNVPKLTAIIYAEFDKDYGPTVPENKQAISKETFNSFSALILPKEDLLNRMIKVIFKECKIIGHPIGLDCPTYPRGRHIFNMCFIVPKDSSADCMYEPLVQKLNEYLVDMEQECFFLTKRPEDVKGLMNQIFDKVNEKGECVIKVTDLTTMHLRLCPAYRGVEPPVVSLYMVPMLIRKIRTEEYDRIIEKMDVLSQKIIPEIDGVRCVREIAMKVSIDADLVLRCVRNLIFYECVQLVPLLLYANTYVATEKLHPFYHDQTLIQECLDFVGLQGSEVNPKYSDVFRLYLSLRCGMTLRKWVEAYDPRSYGVDERRFIQFGMFHRFLRKLSVYPLAKNRISCTGKLSRACDGTQSIEDLAVAHSIQPEILLELLTESNRFEFIWERFSLKWANLAHSS >PPA21719 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:422560:425237:1 gene:PPA21719 transcript:PPA21719 gene_biotype:protein_coding transcript_biotype:protein_coding MHNFPSNGGPPQPKKASAKEKKTSCKEKRSNKDKKNTQSTRTQRSQRRQSGGRRAKEKQEAEDKKKKEEKEKKEAKAEKGKTVTPEPAKKVLRKKQSTPAPQKTPVKSDPKDKDKFETMPKAEEEPADNENSGESQLIKFDLKEEPKKETAATTPPKESPKVDYNKLKETVQKFLDNLKTKTWKAVQKEFEVGYKKEPKDKVEPLIKIHDEDKFQLKDANPDTRYKIAYNVPPDSDFYDATKIEIPGVDNKFIIAAEPGPDKKNHEDFWRMVYDSNVTNIFYLENYEEDAKTVFVPWKVGEGQDFGKMFVNNKKIDSSTRFAVQTVLEVLPEGCSNSIIVRFMQCRKWPETKGATMIICKNGVGRSAMFLMAHSICTLFNANTVVEVPDILAKVRSARWGAIQEEEQYLTLHMIVLI >PPA21714 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:404108:405768:1 gene:PPA21714 transcript:PPA21714 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSSAAESSSTAMSLEEKKKKFNDKFRALHQKRQEARKQNHEQVVEEDRKSKLPVNFESKKARQEWELEEIEAKKKAEEAGQDYTRLKSLNMQADIADKIEAARKRKKNPDQGFADYEQMSLRQHQRLTNAMKPDMNGYKKMREFVGSEQFYPSADTLIQGGHYPTSSALDKMSDAANGMAKSREQYHRRRMFDPDAPIDYINEKNRKFNQKLDKYYGKYTEDLRDDIERGTAI >PPA21744 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:497340:499368:-1 gene:PPA21744 transcript:PPA21744 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDREVVNDRTCARYLISENIKENVDFDLKKGIDSFMNKSELIKDEGRLHPLCKWVCSQEGNDIDKIFCGAEFAGSRGTMRLITSTMITHNPRFVTSENAWTVVAVKVGDVIFLSEKSKKYGIDDDLSSYSGFKFEQLITSDKPGGEAVIYVPVDNRPTFKKMMRSDLVSEGQSIGICCTADVDALDGGIVRFKQMRSLKMVLQAEFSDIDTTVIGWKTANHVLKKVTKIPISHFKPILNGADENSLSFLFTVLLFTKNIMASKAACEITYDPSKGAIEFNDFSKEESMNLLTPEFKKKFNL >PPA21792 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:773509:775169:1 gene:PPA21792 transcript:PPA21792 gene_biotype:protein_coding transcript_biotype:protein_coding MFDILIKSCVLLLTLPLLLFIIYDSSSNLLKKNQFTYTTDEDGCGSERLSWSEFLRELFFMGIAERKEWGKSRPFEVPYHRVDTLDFVLKELKDVNEQRPPRTFRYFSMSLTRHHAWEGVFPWRSNRDYIIDQMMCNLIRIDVLYLTEVVSSRLEDDLNRFLPYIRPGGFVIGGYAEGTIIANDVQEWMKCSVTSLQLIEHRC >PPA21733 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:478493:479117:1 gene:PPA21733 transcript:PPA21733 gene_biotype:protein_coding transcript_biotype:protein_coding MESESAQPPSAPSQQSIPENQRSTVEAVSQQQQATPPNEGGGESQMKDPKEGVTVRAGPETMIKLAQAKTPLEEKKQPVPGAPVDDGAYENLKSQSVRSMNKVLEAEKEQSADDFFKGKEDIFEN >PPA21818 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:883804:884234:-1 gene:PPA21818 transcript:PPA21818 gene_biotype:protein_coding transcript_biotype:protein_coding MAILALLSLFLLIPSIYSTTTADVKKTKYNETLSEMLLHLSAAAYGQKKDECVKNTFPLSEGRFLYSSINKTCDIVSS >PPA21729 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:451827:453453:-1 gene:PPA21729 transcript:PPA21729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ttll-11 MTELAKKISLTHSITSMQTVFPEEYSFYPKSWFLPAHLDQFRTYCEEDERKREEENSDKKGWYIVKPDEGAQGTGIYLINNPSQLKNEQQRMLVQEYVADPFLMADQLKFDFRVYGVIKSLNPLSIYVAREGMARFCTEKYAPPTSSNFENLYSHLTNYSLNKGHGAYVHSTNLQDQVKGSKRLISTVFHQLESRGLKTKKLWHDIKLIIVKTVLAMLPEVMLHYEHHFYDAPGPQCFQIMGFDIMVRDNGEPILLEVNAAPSLTIDHLMGGVTDGDYVPVYKVRSVVDEVIKIPLVRDTLLLVLNLLEEEYNTKG >PPA21693 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:309185:310564:1 gene:PPA21693 transcript:PPA21693 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRSILIERLLHDNNEKACDSRPSTSHAAAVWDDIEAFERDTLLEVEQKLHQLDNLIWGKCIVMERNTRTAKAYFRSNSVSVDGSRADFDGSKFGLRRYGNGRRDETGEKLLDGLGEGFNLRVDSSGDVLLRSPCTVWISRGMKSEMVERGREIRIQEEVYCPSPLST >PPA21684 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:267607:269196:-1 gene:PPA21684 transcript:PPA21684 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLNRALGILYGQFIGDALGSRYEFKSAKMVKSKLEADRNLSGLVPLLGGGPFGYGPGVVTDDGEMAMSLLSSSLSTASNNNLHHDLFDSSTVACSYARWAQTNPPDIGNTCRSALSLPLSKNVLRDWPQKLSREERDEIRKFVEENVKRKNNSSLSNGSLMRQSVLVPIYGSHYFRGTRERTDEISGGSDFSLLSAAESDTILTHSNPLAIEASKAYALILFNLLKGKTPEDAVKSTLSVISSKFLQSLLESARIRPIPVETPDGQKSNGDDTHIGFFGVSLQVAVHYLLHASSFSSGLIDVVSIGGDTDTNAAIAGALLGARFGLDGIPSEWSRSVEKAPLRMKGENGIESFEQLIDGVKKIYDE >PPA21785 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:710681:710986:1 gene:PPA21785 transcript:PPA21785 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSKMNEDQIRNIRQKALEISHEDQIGNVVQKSIDLLQALISGNADHKKLSFVMDSLADVRRNIHEMDKER >PPA21826 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:936781:943449:-1 gene:PPA21826 transcript:PPA21826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ncr-1 MRKMAGITRMERRSKTNEALCCDEENAEALFFNFEKAAQVIGSCPVCFRNFMDLWCRYTCSPSQSDFIRVFSNGDTGDEPNIDGVGSGAVKYVEGKRGERGEKSTVTRIDFYLDPPYIESIFQSCLKVHMQATPSLSLVCGMPSSCFQETTLTESCLMACLTELGTPRLRTFQPAHINFHTENMKRSSPSPLDSPIIPLSSETPLQRCEESSAECEACACSDCHGACSPTSPFLKEIEQQWIERKGGEHVETVEKAHESGKNETEAVSGGIEVTEGASFMTVLHRELIVKKSPIFGYPWIAVILFTIFFFSGIFIILLCFTWDSRRRSGNRTEEGSKKEKVDIKFAGTYWGARDLLDNVQRGGAEWYAKVVADHTFWIGAATILIVAVVCVIGLPMQRFIMDPMDVWTQPDSRSRVEKTTFEKYFRAIPRYTQVIIKPIQTFNKPYQYGMKNYGPIFHHFVMQQAFELTQNLIGLKGKGVNQKTGEEVELGLSDVCYKMVNDHCFFISPMSYLQNNRTILECTERVCIPQLDQMRDQLMKEREKQVKEEKERIKQKGDTGEMGVDKVRGVEKTQSDSSLVQKKLNFSESSKIMLEAFRKVFPNGTKPAKPVDVKGADEDVFDVFDDMKRKKREVTPSHPDQHRARTKRHPYPIEPKTDKNGTYYKDRMNQAWEMERGIGMLTHILKVPIAQSAYLNLQCGSQMNGAPVPGNLVFGGWNSVDARNISEVMKITHYSNAYVITIGLDSNKRELAEIWEKAVIELLKRYDNEYVEVNFITQLSIQDEINAAAQSDVRIVAIGYALMAIYIIFGLSQFSVSNRKGFIRHTLWYQVVPGVMVSVTISLSVAFVIAVYGIFGLHATLMCMEVQPFLLCAIGVNNIFYFVKTYQRKMYERKQLEAALLSAQITALPPIDSSLVGKEVESEDDSDRGSEHSLEERRAREIEMVEDLIRREVLTQTCQRVLPSMFCTAFTECCCFLSLSANEFTYRVGLSSAPVLKVFSFYASAGVFVNFFFTLMIFVPAFFIAMKLPLLASVRPLIVFPRRREKLEEERDGDSMR >PPA21796 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:802678:808007:-1 gene:PPA21796 transcript:PPA21796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-ptr-4 MGIKEFGRSLEDFFIRAFYNYGLILGRNPGPFLWIPLLCTAACIPGLFMLRINLDLYRLFVPTDAPVRTEHERSQEFNRMPDGNLNMPPHVSNRVPRDVWTNNFEYSLDEQMGLTFDASRIGGDFNQTRVKREARGAPISLPKGKAGEKSDILRFYVVHKDHANILSAEYLGPLYRYTNEMMTVTHEYEGKTYGMEDFCLKGDGKKCDNNLNVWIKHADVLFKDGKIRNNPNLQLSYPVMYLFNRPKDIGNVIYGVNVTGEKNEIQGARVLTLHWFVAYKQSHHNDLAYIAWRDALMEFWHTKEAESDFVIIPHNDKAMDDEMMKIIETTVPFAFPATIMLMFFVVFSNWSTDKRKSKPMEMYLGVWAVILALIITFGLFFYFGAAFNPVTSTMPFLVLTVGVDDDFLMIAAWRELDRKLSVQRRIALVMGDAGASITVTSFTNFFCFGLGYFLCSTPAVADFCLITAFGVFIDYIMQITFFAAVLTYSGRREEEGGLASCCYKKTPPPTITDAEAPVDAEAIRAEIAESKRKISQETDDSFDPTHHGKAEEIPYMHAFFRDTFVPFVIRNDVKVISWIGFAFYFVIAFYGCCLLKVDISPVKYIRDNSPIQTFVALADKYIWADNVMPSFHVMNPPDFRDQSARAKFNAMVFQLEHTDYSIGRVSTNFWLWQYQQYLNDFPDVDYKTEFYKRSRLNDFFNQLDYSQYRPMIKILDNVTDGEPCIGAFTFQTSFYGLDSWDKRQAELFHWRRILNDHPQYDLFLSGIFSPFLIDQRRTIAPSSMQTIGCALAMMALTSIFFLPDAQSVFLMTWCLLSISMGVCGGLALLGSDLDSVSMGCIVMAIGMAIDYSVHICYRYHRSEERRSAAKVVDSLTSVAWPITQAVSSTLFGLASCAFVPAYLVRVFFQTVYLVSIIGLFHSLIWLPQLISAIDPCERVPLRKRVAPQ >PPA21664 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:136574:140136:-1 gene:PPA21664 transcript:PPA21664 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFFPFLTSTPRKRSTSTDSVTADSSAIDVDRISLISHDSTIPDEEKEGSSDLYMSVQASPAGSGEEEEESYITASSTKSYGRLERRTNEKKITYSPTSLSPNGPAWFDLQKEIFADRKERVTGKTEDEDLFDLASLVSGIREELRWKQSLAKDEKMRKLIEELDSSYNPLEGPSSTTDYSDLVVRLRNTPATHSVVIPSPIAGIDPMSIEKKTKIPPHEIAQRILLNDYSTKFPRDEETEQWMKQAIDLPNMLLVPSMSSTSSSSPSSSTNRSVTTVSNGSKGIDPLIGLIGGHTKVKDQWILEVHPNKTPQKVLESQGNRCADCGRVLEGEYAKRIRFCDYYGAVFCMCCSFSAKGVVPARILFHWNFRECPLSDRAAAFLSQVYEKPVIRMSEASPQIMEKMRPLKLIVALRPRLRHASTYVKYCAMAARDIKGGLSLPDLYAKLESHLIEEDDVFSIEDLKELRGGDLYTRLEGAFVVAREHVEKCRRPENELVSVCKDRAFTCWLCSSESDLIFSFQSDRAQRCNGCGSFSHMNCYRKAQKDNRGFEPECAKCKRMQESRIRRRFISSFED >PPA21783 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:692010:692546:-1 gene:PPA21783 transcript:PPA21783 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTEIPPTSTSTTESTTTTSTEPPTSTLSHREQMRETYRNFTEWLRKELKVLNYSQQMKEYHQELT >PPA21649 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:55770:57829:-1 gene:PPA21649 transcript:PPA21649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-cah-5 MHSTLSSIAFTYAFTIILLVQTYGVSAANWGYGKHNGPVTWEGACKTGLRQTPIDIRSTDTDYSFMDRISFANYDQTDTATMQNNGHSISIADFHEWDNPPFITGGALQGKYNLLQFHLHWGQKDHEGSEHKIGGLSYPAELHLVHIKEGLNITEALKRGDGLAVVGVFLNIDNNSGPLSAVTKSINEVINAGNETEIKEMRPRSLLPSVTDAFYRYEGSLTTPGCQEAVQWILLAEPVSITRDQLDELRKVRNTEGEVHEHNFRPTYPLNGRRIRFRPAQYDRLRFCSSSYSLSFFITM >PPA21730 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:458865:461205:1 gene:PPA21730 transcript:PPA21730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-sft-4 MDQFRTAGPQSGFLRKAEDVTDDIVRATRHYLPHIARFCLVSTFIEDGIRMWNQWDDQRQFMQESWNCGYFLASCFVIYNFFGQFVPVIMVMLRKKVAIACALLGFVVLLQTVAYHILWDMKFLARNIAVGGGLLLLFAETFEEQKSLFAGVPTMGDQNKPKSYMLLAGRVLLIFMLLSLIHFEMSFLQVVELVVGAALIVLVTIGYKTKLSAFALVVWLFGLNLWINSWWTVPSDRFYRDFMKYDFFQTMSVIGGLLLVISYGPGGVSVDDYKKRW >PPA21808 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:843358:851036:-1 gene:PPA21808 transcript:PPA21808 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDESVLSNAGGTQAHLIKVLANVVETQKSVATQFSALFDKVEEARNETRRNVQALTEEIKRLETRSNTMFEKVTTQLMNQNENLAAAHAVERTQWREQNDAQFAAHAAERAAWSVQNENLLNLVKTTIGAAPRPAAAQQVFTPSPMLGFSAHDQQLMEQFNQLTPNQIGALQMQMRQLQMQQQQQQMMARTVTSTPTAAPPVPPSSISTIPSIVPSVPAPVTAAPAAPKVEVKPVAPTPAPPPPSSSSSTSSTIVAPKPLFSFGTPATATKPLFGAPSTTPSTTPFSFSTPKPAINTEVKEEPADDENDEDHVEEYEPDVQFTPVIPLPDMIEVKTGEEAEKVVLEDRCKLFRYTNGEWKERATGSLKILKNEQTGKHRVVVRRDQMVLVSLIDGFHAQVHKVAANFYLSQGVKIAPMKNNPKAVVWICNDFSEDEVNGMKEQLSAKFASPEKAEIFMDTANEIVDSAPKTGATPKKTEEKKGAKVDIPKAEEKKDDPPATGFGDKFKMSAGEWECTACYVRNPADATTCSCCDTANPACERALTDKPVNPFGKNASIFNPAAASSSTGPKISFGFGGASTTPAAPTETKTSTPSASTFSFGGAASAAPAAATTTAAPKFSFMQAAAAANKEDDKPKPLFGTSSSTSNAAFGAGATPTGTTAATFSFKTAASVAPSPSTFSFKTPDPSKPSSIFGGSQKTLFGTSNPSTPVDAKKEEEKKDTPGTIFGSTSFMGGQSTSTGFSALSGKPSIFEQQDKVAEGQKNFASLAKSKFRLYQRLNKIGIPIQAGLVAPFGQSAAVAAASNEDDDEDGDQPDEYEPDVEFTPVIPLPDLVEVKTGEEDEKVLFTARSKIFRYVRETKEYKERGVGDLKLLHNETTNKFRVVMRRDQVHKLCANFPVLKDAKVTDKAGQPNVRSIIVTDFAENLAGDMENFCIKFKNAEIAKEFENAFHDAQAQLQ >PPA21682 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:255512:255954:-1 gene:PPA21682 transcript:PPA21682 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDVSSDRLTAVVEDQTRSPNSLSPDPHGFSMSRKKSMKERMQEIEEKRNRKKGKGKKKEDQVMSIFDAAMLIKNINPQRIDLISGQ >PPA21662 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:130558:131543:1 gene:PPA21662 transcript:PPA21662 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPYIFKDYDDYNAREEIFNAIVELSSTFSGFRLKQLAYSIYHHYQYSTTEKLNEANITQRELMRRRC >PPA21709 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:379047:382359:1 gene:PPA21709 transcript:PPA21709 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGQTDVPSVDSPGESTSSPPSPKLSPVSPASIPPSAPSEGYRELILKRMHERNLKSRQSANLNNDQVENLRLELADVYKKKSINDQCLLESNRSLEEMKKRLDKITKEKDDLAVIRDKLYARIAELETSLRRAEDDRNNARDEHLALTANYQAISDKLQEQESDRIQLVQRIKELQEKQADFFNAEVEHHREIQNERIRKEIEAALAEVPNTYEDLCPIGDDVPEQMGDILPTNCAAKFEANEGEVTSIAWLGTELFATGGSDKMVRVYRAKPGGQIEKISTLAGCNQTVTRLDWDPVKRAVLASSNDKTARVWNIDTGRLMVTFSDMGDKVSAARFLQNDQIIAGSFDRTIKLFDARNGKTIKSFFPGSSVLDVAAFGTFFISGHMDKKIRLWDGRRPEPVRTVEMGGRVTSLDIAIDQLSFVCSMRDDTLASVDIRSFVTLHNYGSELYRTSTDMSRCVISPLQEHVAAGSVDGKIFVWQTNTTKLETRLSKKGHENAVQAVAWNPSGRGLLSSDKQKTVCLWL >PPA21674 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:206650:208848:-1 gene:PPA21674 transcript:PPA21674 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGENLRNLRSCEVKNGIPRPKEMIRKQDHYLLSIGSWSRVGMQLLYAIKTLHDCGFVHRDIKPANFVIGKGQEMTTIFMLDFGLSRAYSFKGRRDDIWSFFYILIEAHCGLPWQFEIDRKELERVKCTISDEELAKNMPKSLRDCICSLRHLDIYSRPDYTAIYEALLKAKKLTKTNCDDLYDWEKYNEDYKRPASYVPNQYHGWSHNALAHFQSLVIPSLIPADAYRHNDVSSEATEEAKHNSKKVMSIPQTPGQSFTPQEGKTMDSKTDAETRTEMTKEQTDDVGGSSKGKEKRKIIMDEKSKTENVPREQTKSASSNRKTKEPSREVQTYTDVSSKK >PPA21724 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:436012:440734:1 gene:PPA21724 transcript:PPA21724 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLHVMSQIPLQEYLDEHEESMLEQDEAEMDGYVGHEVDQSSWVVPEDTYDDDDPMNAPRAVHQCNICNKIFVSFKGLQQHAVIHTDQKPFQCDICSKSKTNNSNRRPPADIPDDAIIVRGSGEPFFTPPSRPRKRKLGLGMDSRTWIDRIRRGELLPCTNIHDKMRRLEEVIKAAEESGMMTLEELIEATKAISFERFDCPLCKSVFMSRSECLEHLEVEHPMARLERPLFCEICLKTFADRKSMEQHESYHKRVHLMIEHGEMEIIDPEILLPETGDYVDDEMKSNDKNPILQMQDDLTFGRSQSKGAKASRTLLQSEER >PPA21746 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:503591:504186:-1 gene:PPA21746 transcript:PPA21746 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNFLCSGYEIGWGINVVLTFGGFLTLRYFYRKAIRPIVINKDAEQDRPTVDDDDNKAAKISEFYLKRRLQRVLGIKKDKKRIPDVAKKSKAKSKENMKEKDQGKESKKKP >PPA21795 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:796514:799496:-1 gene:PPA21795 transcript:PPA21795 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVPSDGLLETHVTWNEVEERLQRALNTTARLGKNKSVRHIGQGQGFASRIGVITCDWEGAGKDEKLPAVFALKMSSMLASREMSENKEFKDDFKPPEGVTMEQMMEGWVGFIKQVHNTEVDVYRVLSQLEGELAVPKCYYTVPFTNENKLAGSLALEYYDEAQIYHVYQNMSVEQIRQIARALGKIQAASVKYGIEKEASIDRDTWVDFWKNFGLDIYTQCVEQGKKIDEAIHGSLDAAIPLVPLYFGTTLPMTIHKQIGCGRVLVNGDHWAANVLFDSKGDLAAIIDWQLAHIGVGVEDLLRIMLSAMSSSDRRNHTTELLNEMYDSMKKNLDGATAPYTREQLFLLHDLLFPHCAFFFAPVLTPVFLTNLTGAPNEEEKLKRKEIVIDKLRGIYEDIVIYHEKNEKRGLDLKWKA >PPA21669 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:154729:155200:1 gene:PPA21669 transcript:PPA21669 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAVSSVLVALSLAVLSSASTVTFLQPVSLSVETGSISVGLDKLNSALLGLSSVAGQPVQSSLFTPTRAIVTVEVNGAPQEIEVEGSKVPLKSTPVAHWDKTVQVSFKNGDYCKA >PPA21657 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:93444:99511:1 gene:PPA21657 transcript:PPA21657 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYAPSAYYPLPGAPRGAAPPPLQQQQQLQQQYTAPAPQIEQAYLRQQAETQAQQQLAYQQQQLQQQQYIEQQNRHLAQQQYYQQQQYAAQQQRLQQQQYAQQQQQYAQQQQLQQQAAVVTRQQQRSGYQSAPASPASPLYKSSEYVQPGGVYSTGGAYNQPSLRRIPSRADVNTAIENQEAPYQSFGQGLNSEKQRGLVTSYNPYANYGQGSVIQSPIRPQGSSQREPSEYACDALNPIYRQQQQQTYSQGNPTELGARSLNAPTTIVLDGNNNAAPAPLPARSPARGYGSQQRGYGTGAQAESAYIGIPNDLVYAQTNQQRAYNYPQESRQAYASSSRTNQASPSTPSKLNPTVARELFAALSSENVRTAVDRDALISIQSCPAYSTYNGQKVSGRAFAIPADQKYSEYNFEDLNGLRSLSKVLFKRLFFSLRLTSCTRIRPISQLCIVSGNVPPPRFSLLSSLNLRAGKTTELTVTARKIKEIIDGRVDGSITGVIDEAPAPIDLTYFRHPIPPSPLDLHPQVPVFEVRAGHDFGSGLIEEFCDRNPICIIRGITEELGIDLNLFSHDRLASVHPTMPLKVHVQQKPKDSETNLDEERIPTWEMLSQITSSTLKEHVDYMEKLKREERRAKKKREDTVRFGIANLSEYESVFDQQLTELNKLSRLFKPSQEDDILTLMGYVVPSLHGVYSFMKICGVRTHAHEEEGGIPSINIEVCPGCSEWLACELQYVTKVEELAARKGLTYGDGSSLWPDHKELEAAGIPVYRFSQQPKDLVFTGAGTLHWVQGEAMGSNIAWNAGPLSFPVLKHCKWQYERDRTQGRQSTIPLEKTVWNIGKSGVVKEENVFYDVKGMLCRSLSHLMMQERWMEDAGVDVEDMEEEEKTDGLNCDTCLIELINLVYVNQSGEEKCFHCAETSGGVQAHTVRRQRPMEEFCSIFDGFVWMVEME >PPA21643 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:25516:28813:1 gene:PPA21643 transcript:PPA21643 gene_biotype:protein_coding transcript_biotype:protein_coding MVDISIEYDKKLYYPGDTGLLHEVCYLPGQSIYVEAFIYNRMPRTIKKRLTIAPGSDFPYSQAIEIPQFTPIDTTCPYVKMKYYIKKHSREYSENLKIVISTGSLFDTSLSLKIPVVIGTFPTLVVSASFGTSVSVKIPVNIGSIPTTHVNPRRKTIIPPTPVIFVKKKQ >PPA21771 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:655722:656614:1 gene:PPA21771 transcript:PPA21771 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNCDAEVGKRDYFDLLGLPNEMISHIFSFLPVKDRMRARKNKRLNKIEAESKYYLKRVDIRSDIDSYRFDLMRIIASKSIIGHFPDSDELIRKFCKIIKEFRNIEELHVHFESMNDGSSFCEYVYIHRAISIVDPFIP >PPA21743 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:495913:496968:1 gene:PPA21743 transcript:PPA21743 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIEVNMDRLKEVHKEVQAFKACQKIGLVLDKTMNLLLKIITTDIDPVEMRFELESLSGQREVAWQHDRKSVTPLRKCMYGVSESIEIAVDWLVRREILKREQNRLMYREIKQEVREVEENNEIANEIPEEIPIETLEDDQKIQDHIESYQDQDTEELEIKDEIVMIDEEEVSEMRSDRSASVAKRRREDEDEDIQNSAKRTMSEEVKNKLDNEPDDEFENMITTLKEEPL >PPA21822 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:903745:907430:1 gene:PPA21822 transcript:PPA21822 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPCRLAKMTTKKKLITKGREEEDDDDEVFTTPNEIPPVRARNLSVPIPQQRKEEEKTPHRPSFGFTHGRVSLDALPKVRDRVDTMKMNTEIMEKSIPLTRWVLRFVRGGTDKSIFPHFQFKILGFRPESEEQEWTTSAIQKVVPPYIMFTSSSIYRLDGQMDVDQATDIGFPRAFIQDFLLGFPDDWDERLELFFNKTFGPPPPPKIVEVMSDGGTVDDEKKNKKKELGATPRNRGDGQNNRPNGPNFDFDDFEPLNNRKRKEPTPSSASSGEGSDEEEKWRREARQVEKEMRREAKAAELRAKAKEEKKKRFEVKVNTTPDGVAISRSGRTLRKPMAKWAGENVTYDVHGNVVDITGVTTTTKMTVGKTNEQQAAQQNTEKLAAHFGIDSPLNSTMQKERALAPPTACLPKTPAPKKSKADYKGYSDDETDGIPYKDYVKLLAAEDMATPAFNLKKGKRRCRVVESSDSEAEAEERRKEEKRRKREERRRLRESEEEEESSDNGWRRKKKSKGKKKVTVKKEKQVKRAPSKKRKAKESEEEEEEEGMGSDLEIEHDPDAVSFVLVSSD >PPA21650 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:61885:66309:-1 gene:PPA21650 transcript:PPA21650 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHESTPQAKEVRIELGEECSRKSDVYKLWTRVQSCTRNNTKGTLFVKDLGLSAESRQYFENGIKSLRQLRHPCILQYVDSQLLPTEARLFTERVQVLDLCIDSLSAIEIRAGLRSILDALIFLHTTASISHNAVCPSSIFVSPDGSWRLGGFEFARKYGGEKKDDHLLLSEFFKAVKPKPFSPPEDQKAMRSDNPPWARDSFGLGRLIEYALPYVEKDEGEESVLSSLRSSISSLCALTASERMRLEESTEMHAFSNPLSEVEHFVRTIQTKSEEEKNEFFDRSLSLISSLPPRVIGRRLARPLLSRYVILEGRAHDTILNHLLNPILPSSSSSDLITGLLPLDLYRETVLPECTHVLRVRDLHILIGLDDPDDRIVAATLKAMSILVPLVGADAVLGRAKTSESTPSSNRRGPHRDRAKIFIDGTPKASSAIDSVVSSTVCSPVHSRPVSPLREMEKRQQKITSIDTTQYQMSIVQESSVEEWNSEGNGEGWNDGWDEERKEEVNQEEEKKEKEEETRVVTVLKNTVDRSAIEPKKEGNNDIDYGLFRAGSEFALKVTVKDEIDDLFAEMQPQIKTSGSILSQLEEIAVKSTRSTVSPSSSADDEKKDTVATTRLTFTASDEVGDSWGGEGEWGLDED >PPA21665 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:141340:145536:1 gene:PPA21665 transcript:PPA21665 gene_biotype:protein_coding transcript_biotype:protein_coding MFTATVTRSYNHLKQKHYYHANMVDVKLHEENGFRTDGKIGRILPLFVMEKRPMLYQVCPQLIELYSVLEESTGATYLTTEYVEVATRIHHGWRRLTSVGFCVRASHPGVCGAKLPLMHLVGVGRKGNDNFYTTDMDEYSRVNTQDLLFRGTGRGPLFMLTRSVIAGASALQKAATMQLASRAIVTASAPKVEVATKLKVTSIPGDGVGPELIYCVKDIVEGTGIPIEFEEIFLSEVHYSRSSSIEEAIAAIARNNGVALKGAIEESAVRQHDHDAQGLNMQLRRQLDLFANVVHIKSLEGIKTRHKKPLDFVIVREQTEGEYSSLEHELVPGVIECLKITTEPNCARIAKFAFDYATKHGRKKVTCVHKANIMKLGDGLFLQVCKDTAKRYPRIEFDQMIIDNTCMQLVNAPEQFDVMVMPNLYGNIIDNLAAGLVGGAGVVTGKSFGRDFVIFEPGSRHSFQQAMGRGIANPAAMILSAANMLEHLHLVDHGNALRKAVEDVIREGKVRTRDLGGYSSTNEFADAVISKYTI >PPA21778 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:676704:679647:1 gene:PPA21778 transcript:PPA21778 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAIGIDLGTTFSCVACMKSMKSDNKVEVIDNHFANKITPSVVHFGSKYTKVGDEAQRERATDPRNTVSQIKRFMGRCATDVEITKRSYPFEIVANSKGDAAIRVTPLKGDGSRHFSPERISAYILRYLTALARTKIDNVKDAATKDAGEMAGLNVLRIINEPTAAALAYGFGMNSERKKTVLVYDLGGGTFDVSIVEIEGKRTKVLATDGLTYLGGIDFDERIYEEALARFEEMGRKITEFDWTLMKECEDAKKFLSKVDISRIPTMEGLAFLFITQHLIDEILLVGGSTNMRHVHEMLSERFPNTKIREDIEPELAVAKGAAILADALSKLYSDDSSVASEDSFVSLVDVARLPFNGDRCKLLIPKNTRFPFTTYRYCTNGFDCMEKMTIEVILSIDVNGILNVKAIDTDTNEEVAVTITSPATMKNIEKY >PPA21645 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:31547:33128:1 gene:PPA21645 transcript:PPA21645 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIFIDYNREQYSPGDTVTAQISIHVLRSPKKICSIRISVIGWAHVKWEETHSSEYTNKFRFILPDSCDSSYSRGYGKIRFDVYRPVDLLALWRSPSPVTQTLETRFLPFQKGSITLKGIIYDAGYLPGQTIYLEASMYNRSPRTITSIEIYLVEATTYIAFYGTRAYQRIIKNRLRNLGQTLQIASGSDYFYSREIAIPKFIPLHTTCPYIKPRKSSENSEHSP >PPA21748 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:519605:521156:-1 gene:PPA21748 transcript:PPA21748 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFVRSSTSFKEKIELPSSWRFRQRVSPSIVFDTLEVRRHTGFFWSRRREKECALIDNGRLLIYSSFDRGVSILLPELSSLRFSVSAKEVVGREGNPMSCEVEMRRGKERLMLKIRGTEKVARWRDAIARSSQGLSAKGEVEGSARTGYSWSWRGTVLSTAKSREMSSEATITPGTIKREEKECDQNSNISPNLTPSFCVGADPAEIDNDENTVPSRPTVPSPIVPSRKLSMIPSSSMLSEDETTIYRSALSHRENSLPRLRAESLLLRSLCDQSILREEDEDYSNC >PPA21750 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:523303:524159:1 gene:PPA21750 transcript:PPA21750 gene_biotype:protein_coding transcript_biotype:protein_coding MSATHLYVTLRFDDGNSRLLPKEAMLFGDFVEKNQGEVAIKDVVYEEFRDSMKVLHNAPPPPEPSTVRRNIEATLEIADRFQFDKLVKDCKEFLMDDNNQFIISFRRRFHVAFTHGFDAVQELFKRAVEVAVEAVEETCPNCPSPRYSPPSTIGSP >PPA21732 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:472530:473900:-1 gene:PPA21732 transcript:PPA21732 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLLCLTILIAAALASLGDSWDDGDIEVARRSDPRMFSAAFGKRVDPRMFSNAFGKRSDPRLFSSAFGKRGDPRLFSAAFGKRGDPRMFSSAFGKRGDPR >PPA21710 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:384468:390458:1 gene:PPA21710 transcript:PPA21710 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGLWLPLLLLFFVRSSHGEGALTKKIVNGDGTKDFTIVKRIKKNTCSCECKCVPDTIAHEWLSQRMKHPESEIIEKEESLSSLQKAIQATVPAPTLSQTSSSLTPAPTTTAPTPTTTTVASTTVSIAQQRDLPSEPETKDDHQVTVKDFEATTLPGDLETFNIVERTTVASKEKKERYDHHGEGDDEMKGIPEKWMKVVEKLKAKLDELKAQRDAMVKAAAAAAAATTAAPTTVPTTTPTTTTTVLPPSTTMDNRSRAIVLRRRIEELRKLKAEIAAVKAAKSVVSTTTQTTTTEAPTTTAAPTTTTTTEKPTTTTTTQAPTTTTTTPAPTTTTTVKTTTTPVPTTTTATTTTQTTTVKPTTTTTTTTAAPTTTTTQTTTTSTTPAPTTTTQAPTTTTAAPTTTTQAPTTTTPTTTVASTTTTTTTQAPTTTTTTAPTTTTTTKPTTASTSGTTKVAGTVSTVEMKKTTSTEEPKKEEATDEAHGAVVHNEEKGGKKHIDLTEKILELYREDMRKTVEFWMQPNNNAFQATTVKSTEEKKKEEEKKDEKVISRVLDGGEDQKKKERKTIVDGGDETLDGGDNKKVEKKKNEDKKKEDEEKLKKEEEEKKKKEEEEKEKLKKEEEEKLKKEAEEKAKLKKEEEEKEQKRKDDEKKKEEEKQKEIEKFRAAVEKKKKDKEEEEKKIKEFAAKRRQLQEERLKLQEELKKKEEENNKRKEERKKKEEKEKEANAPPETEDVDSKEETDDLPKSLETTTHRKTKATTHKKSKFESIEDDEDDEEENGPKPAFVTDDSDEEAPSKFNLRPRFRTPSTPQTEWPSAEESSGSGFGSGSGFGGSGPSGFGSSGSGFGSGSGFGSGGGPSDIPMTLPPQFDMDKQAEEDEESDSFFSITSPDKGIKPHLFGKAANKKADPMEIFKAAHPEVESGPHHSGPSRAKSSFLPDPTPVIGQSSSVIGHGHSIPDSAPPPSELDPTSSRDNIAFALDNAREQLKGSWTDDAATSGMIPSFASMQADPSKMVTSLIQSVVGGANRILNELPRGAAAAAVANPSPPLFNIPNPVPAVAAAAPPALGRATPELVRPVIGGIQQSVAAGLPNTAAEIAEIVTAAPLPSNIRRSESTADRLREETLRAEQAKRLREEQIRIERLHQLEAEKQLREEMDKKRIAMLKRMEELREADKRSRVLLEEQRRISEERARVINEKQAALQFAQMEASTIPAATRLTTEVPHIIRPSRPVVEGVARPSALHENPDETPEISSSNDSSPSLRYRLRPAQCAAINKFTRVFKIDDPNTSLKRLVLRSKL >PPA21701 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:345390:346211:-1 gene:PPA21701 transcript:PPA21701 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLLIASTVLIQLMLSTIFISILCGKKKTPPPATTASPAAANAANADPALKTCLLAPDSKVDPAPPPNESKDKSKEEKKDEKKEESPAGKTDDKKDGTSKGTPKDEKKSDDKKEETPKADDLSNKNKPYPEVKEPTKSELQRKKEALEKDKKEKINKGQYQTRSDEDDTLEKVKSLNEEQTEKSVKKKKT >PPA21791 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:761089:763336:1 gene:PPA21791 transcript:PPA21791 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVSRFRIVPLESRYKRGRWTCYDYYEKNHVSKNAKLSLPATKNKSFDINNLSVRSLNEVIGPKTAPPLVGIAPQPLHVRFAFDLSSDDEGEIDAKRVPPARKDKPSPPVAAASSVQPTPPAASSAPTTKMIPVRIVTPPATLPALITTEIRPDDTKILSAVKATDLGMTLSLNNGRLTPTEMQLQTGLESTLNTCSEAIIGALVQENKVVAKKKRYSGDTDLMLERIREDETVEGVQIIDW >PPA21786 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:712547:716242:-1 gene:PPA21786 transcript:PPA21786 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRVNKTSTIEEQACVYASLVLQDDDNKTSTIEEQACVYASLVLQDDDAKFFSHFAEQKIKAAGGTRVLVAKGTITCVYDMNIDYYGSSIMPCVPSMLCHLSLD >PPA21741 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:493257:493996:1 gene:PPA21741 transcript:PPA21741 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVKDAINIIMNMPTMMLINVLENERLAQFIVADLKTWIDDRLQWNPESFGNQTSIVIPEDDVWLPSIVIYNTIEFKVLIIDEVRTVKVESCGRVTWNLPVTITTTCNLQPMLNGWR >PPA21685 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:270822:273156:1 gene:PPA21685 transcript:PPA21685 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAWVTLATNDGYAQGALVLAHSLRESRTTKKIHVMVTNQVSSGVRTLLSEVADAVSTVDVMDSNDAENLRLIGRPDLGVTFTKLNCWRLTQYSKAVFLDADALVLQNADELFEHDEISAVSDIGWPDFFNSGVFVFRPSAETYKNLVEFAVTNGSFDGGDQGLLNAFFSDWFSRPFPFRLSFIYNMTSGLFYSYAAAYKRYGRKVKIVHFIGAQKPWHTGPGSLHQSEHLARWHSLHAKYVAKHSEVPGIKLVQIQLVASSPPSFAAPREPSEEDRQRAWDNNQPDYLGRDSFENIQKALDRTLQ >PPA21780 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:685976:687909:-1 gene:PPA21780 transcript:PPA21780 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEMGKPTETSSMKRIKFNRTYSEKGLDNYIANMECFSTGSADIGETQFADLSETQLRKLLNPMRDPKRNDTSKRCGIHTYIVKYQNNELHVTLATHLPAAEEDGIVSENILSFDETQQWHDIGENATKCQLIGLSSSTKVDCIPLIAQMGRFSDTNQLYWSDMERGMGNIWILRNSFGTEWGINGDFEMRSSSNCGTFDYAFTFYKDIAKF >PPA21810 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:853111:854288:1 gene:PPA21810 transcript:PPA21810 gene_biotype:protein_coding transcript_biotype:protein_coding MHTMPDHRVYTVQRGETIVEGDVNCYTRHKGFFRDVISSSSIRHIVLTKTGYLLVWMNFTKGFTLRLSRVTDISTETSALKYRTGLTNGQIDKWRNALIAGCDKKAAGGYCSRETTATDLSLVPPIPRPQRSIITSTVVLHPPNTQSINEWRNGWADVDTITPPSPAPFRYSASNITIPRTKTFHVTKSTVLSSSLLGTNGPCVSVSSPTPFVSSDDVVNHGESMEIRGQKNIVSGVKRSHTIDGGMSVPMENEVKMENE >PPA21801 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:816131:819232:1 gene:PPA21801 transcript:PPA21801 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSKKAADMLARALKDAASNDPTLSGLANDAVRLATALNNQPVVGGPVTAAAVLAKPVAGGGPIQSPRQRARAAGPYAMPMAVIHPQIFAAKDIRCPFSTCSGKHLWRSHDKWAMSKARMSAKCLQCTLDNPTCTNVQQVQYHQDYTGASPIPSPSFNQTAGGPIAVPRPRAPAVDPYAMVILPPQGLSSNLSGFLQHMWRSHNKWTLAKAGMTATCIQCKKANPTCGNPIQNHQEEEATESKPDPLVPVKSGEETDGLVEIAGYIKDNP >PPA21788 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:728225:728690:1 gene:PPA21788 transcript:PPA21788 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAVPENLKHFFAFYHTKSDKKTDGDKNPRQIWTNDTKPQGVCRQLCLFELTEDKKAGDDKKEEKKDEKKEEKKEEKKEEEKKEEKKEEKKEEEKKEEKKEEKKEEKKEEKKEEKK >PPA21813 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:865380:865840:1 gene:PPA21813 transcript:PPA21813 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTVRIELYPPMSSQLGARGGPRKFDKSKDKWSIGAIAMLTIPAFAFGLGCWQVARLQWKLSLLKHLEKRLNDPAVDFPINEFVPFIFI >PPA21692 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:306146:307892:1 gene:PPA21692 transcript:PPA21692 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSEEKEDEMEGDIVSSSDMDIGTSDSDRTLVADMISEHDLEEPDEIEELAFGDSEEERERDDDDDITVTIYERDEEEEEKEEEIEKETIEEKKDEESPYTSKKGGRFSLDDFDIGRPLGKGKFGSVFLARLKNPEYIVVLKILFKSQLVKGHVEHLLRREIEIQGRLKHPHILSMFNYFWDEKKIYLILEYAEGGDLFSLLNKKRRFDENTVAKYIFQMADALEFCHSRDVDPFHNLIELIEGSEGELKLSDFGWSVHAPSRRRQTMCGTLDYLPPEMVNREEHGEGVDIWALGILCYELLTGSPPFVNEHERKTQELITKCRFSFPDYVSTGARDLISKLLVLNPKERISLEEVMNHPWIARHMGKKKTEKEKKKKEIRSKSTAF >PPA21816 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:877111:881174:-1 gene:PPA21816 transcript:PPA21816 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISSRFPDTCESFIVTSKIVPETIIIFRGTKQKAQLLLEGWASYREGVGFNTMGRVNSYFSKALNSLWDPIYEFLKDAQYKHHSIIFTGHSLGGAVASLAAAQTVKLKLRNASQVRMYTFGQPRTGSYKYAMNYDALGIESYRIVYGSDVIPHQPPCKKDMNIPPNEEGARACSADDHDESYHHGTEIWYPLNMTSGSLYIECLGAPKNEDFNCSNMLTYSMDNKEQYVWDHRHYFDVSLSNFGKSGCTNRTKKAAPKKSKWSKVFVQYLSVLRSFFTLFVDFDRMLHTTLIFIFTIVPSASLFRIQGRHKSKFKYDENLSEMLLHLSSAAYGEIENRDECVRKIMPEKEEWSRFSTLSSEVDGWDNTCAGYIITSKKKKKAIVVFRGTKSVEQLILEAWASRGMGNDFHEMGKKYSIIFTGHSLGGALASLAAAKTVKNGKISPGLQTIVSSSEKIQFLIRLSARLMNKDIAFNFWDHRHYFDVSLVNFGKSGCENRVKKPDPTRSKLPLGIDTFFKTFGKKLVPGRKKLKMS >PPA21751 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:524376:528129:-1 gene:PPA21751 transcript:PPA21751 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSGVAVSLMVLRHQQRSSVKKKELKEREQHREILDSLYGRDTLGRYAPAPSAIHTFLTAPSSPPQIEVPRGVYRVGKGWSGADNSNVCSTKDASYASRLISDDGYAICELHDETVDCQHNLSLFPLPHTDRLLCGVPVYSPEDYDMVELVGRGRFGDVFAARFIQSTTLTAVKHFNDSSDLHSEFSAARSLSLSRSPFFPSLIGAICPRESLALSALVYEYCPGGDLRSFLQSVRDSLPQRSHLARSLRIVTPRIFCFLRATASSLAELHCRSVVHRDISARNVLLTARWKDPFELNDGCDAKLGDLGLCCRIDGEDSNRRLALPWQSPQVRGGGRYTIEDDIWSFGCLLLEVSTLGVEPWSGGELPSIDNGREIGRHVETVGVGHAKIDASLANRLRPLMAECLSIDRSNRPSIRRIIDYLITLNTSIGTLQTSDLLYYSKDSQFDRRPKALHNILYHGQYSTATRSMYCQCLAVAVARVLLSQRESPVAASSIAARTMSTSVARRDIDTATKYIGAGIGNVFGALVIGYVRNPSLKHQLFSYAALGFALSEAMGLFRLAMGLHDPLRPVERCFCLPKSHKKRINVERNDRKRLKKFHASAQL >PPA21763 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:606983:608320:-1 gene:PPA21763 transcript:PPA21763 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-arr-1 MYYHGESISVNVHLQNNSNKTVKKLKISVVQVADICLFTTASYNCEVARAESWSRERAAANEGFPVAPGGTLSKVYSMLPLLSRNKDKRGLALDGQLKHEDTNLASSTIRDASTEKESLGIVVQYKVKVRATLSGPLGGDLVAELPFTLTHSKPPETPERRPSIHLFANYKPTQIGVDFIGKTQKELMESVKKYSLVKPEEIDLIQWSE >PPA21767 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:627727:629534:1 gene:PPA21767 transcript:PPA21767 gene_biotype:protein_coding transcript_biotype:protein_coding MADEWAVDEVNEAVDVAPAFELKNLVIEAIVENIDVKRKLFAEVEKAAKSSAILTTNTSSLRLSDIGLKLKNKSQFGGLHFFNPVPMMKLLEVVRHDETSEETYKSLMEYGKSIGKATVTCKDTPGFIVNRLLIPYMYEALRMADRGDASCKDIDTAMKLGAGYPMGPFELCDYVGLDTTLFIGQGWAKNFPNEPLFKPSPTLEKLVKEGKLGKKTGEGFYKYKLLSNLADGRKRKEEEQ >PPA21644 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:29473:29870:1 gene:PPA21644 transcript:PPA21644 gene_biotype:protein_coding transcript_biotype:protein_coding MVHISIEYDKEVYSPGDKVSVRILINVVGTPKKICSIRISLIGGAYVSWYENHDKWVKHYTSEHTYITDVNYLLLNRPEENTTCLRL >PPA21713 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:400100:400607:-1 gene:PPA21713 transcript:PPA21713 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSYRGEVRNRQISRSRFVLDSSLPSTRSFFFRTTPPPFTVLPGFWAYLSDKPPPTDPHKFVRYYPVWKRINPSP >PPA21689 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:288407:292179:1 gene:PPA21689 transcript:PPA21689 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTIPISSTSKKRLDEKNGKIVKDLSLQLENRNCVECGMRGPTYVDVTTTSYCCASCAGVLRGINPPHRIKSISMATFSCDEVETLKNGGNELNRRTWMGLHSGPQLTNFASKDDRTNFITEKYERKKWYVSPEEVAKQKELLDRAIEAKSEQLNMTNTRNESMDLFSSVSLGGNQSARPPPSVSLDSVFAPPSLLTGVSLDRVIPLDEPHPFSPPAFMAPPPPQPGSHPTSLPINQQPTHMPINFSFGSPPSGLVPQCHHPSAVSPPSSMITPIDPFSPLTTMPKQFPKDSSRLSQVPPSSSFTPSSSSMDPFGGDPFAPSSNNGGGDPFGASSFSGFAVKFDDDTPTSSGPSGGQLVKEGHGIPNPFDPFGMIAPNLGVASQPSPQPSSVLPPSSSSSSSLDPFSSLVSLPSAAAFPSQSVAPPVPTVTPAVAKTGRRESVLILVPSASPSIPPVVSNNDGDKYSALAELDELFHSTTISGDASNGKPSWVSTGFSAPPPTSGAAFPPSTGMAKSSTMGAISSIPSSTSFGGGTSTTSTSFWAVNTGGGSHAPFTAPPHGFPQSTPSAPTGMGGVSHPGVYPQLNTCEVGDDLKLENMI >PPA21805 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:827247:834176:-1 gene:PPA21805 transcript:PPA21805 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRAIGIDLGTTFSCVAYMKNDNRVEVIENSYGNKITPSVVHFGSTFKEVGEAAQERRAVDPRNTVFQIKRFMGRCATDVEITKRPYPFEILANRKGDAAIRVTPIDGDGSKQFCPEQISSYLLRYMLALARKKIGEDIKDAVITVPANFNNAQRQATKDAGEMAGLNVLRIINEPTAAALAYGFGMNSERKKTVLVYDLGGGTFDVSIVRSKGLGEEVLSTAGVTDLGGEDFDRRLFEHATAELKSRGITVALDEEFGLYKSCEEAKKTLSVMDNAKITLYKNGDGHSVEVTREEFEQLCIDLFEKTIDCMEDAIEQAGVDKLSIDDIVLVGGSSRIPKVQEMVREFFGDKELKFNIPPDHAVACGAAILAESLSSNLERDRSSIRGAVTLADVLPHSLGTNLTHDRMSVILKRNLQYPVSNTSHYCNAGDNLTEMIFKILEGEHAQYSKNKELGECRIGVQEKNVGENRVQATFSVDANGILSVNLKDEDTFKESSLKVQTSKLTDSERMAMVRNARKEEENEEMERANFEARTHFADAIMAAKKSVHKTDSADKKSELKRLIENEEEWFKTRKNSTNDDYTMRSNYTLRTFFNSLQARTIHKLSPETRLFAEICYEKKLVHCVSTALIECASSEEGKEKAKEIASSDESKNLKLAWKPLFDCCSQLLRGHKLEATNARLSRLSDHWSKSKEGEAQIRRMKEVVQHVLYNSVDRAKSVCSEIMDWIDLYSIHDVPMETLRSVKESPIGDGKISMVVVDKSETFSEAESFLLREVETDAKIHVILGNEDDGVKFYKRICHRFSSWGVQLNWKNREYGRISSPKIVIGSRDHFASQYTGTRSKALTSAVMIHAESKLEDSFNHRFEQGNLDEYLAKLFVVTTITMNHFDEMKEVTVHRSDTLLKMMRSWPAENQVLNEVTVVDLWNKSYKTYGSPLNRMHFLQDLRSYSQDAIAEKMKEFKVPSHLQRHVERHSSTFVQTSIAARNAEFGQDYVLYSERSSGKLELKIRLKKQTTDGSFGLQQFLELRHGCAMTSYRVSNVVVAASALIESYDRVLIVQRSNPFRQRPKDLNVSLTTWRKETRKPNNYSSSILEFPFTIGTDAKIYTNSLMIVVCSTNQLLDETFKFLKGMSGINVSICETPLGILNESSKHPLETLVVCTSSACFEGWSFVPRTRDKLTIYVYHHRLDTAALEIACDHAKPLEVQSFTCDLDTLKQLNISRVTESNWWSSISYRLAGRSRYYEPEELIERYRKIDDINDLIEAKKLIHMKIRQITSTAKMVTSRLPDLNWESTDSPLDIACKARRQILGKFELESDAEIHWEVCYGQSRKDNFALALSPECVSNATKHNSTTNY >PPA21696 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:319682:322960:1 gene:PPA21696 transcript:PPA21696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-nipi-3 MAPGASSSSAPVSTPLLDLSTIPSMGTLPTPSTNSSPRIPLSRKRANAAALPSIGLIPSKKEKSLPSLPTVLPPGEDDQRRKRERFESESSSQSSISSQSSSDESSSTGLPSIIDFVKRPYPLPWLQLDEPSSASSTPGGATPIEPPAKQQILEQTVVAAVRQCQVNAFHDRSINGYRIVGMGKDATALHEATGEVYNARLITAREFLTTQQVSERLEKADEMCADLDWDIEELSEALLPSETEVVEQNMGEKGTRYILFTPFEYSNLHVMASNASASSQYGLPEEKVAAYFQQISRLMAFCHQIGIVVRDLKPRKLVFSNESQTKIRLSDVFDVAVCDSLNDDVVTEKFGSPAYIPPEVLTARSNGFAGRPADIWGLGVLTFLLLSGKYPFYDSNPRGVFKRIRRAHVCFPSGLTLSRSARDIVHCMLRKRPADRPNAILLSQIPWTSVKDSNGAIRERVNHMMAPSMSTLASIRRQRPILVPSSDVLTEHVVPETSLRMSVDGIYVRGQSRLVTAIPPSSSTSDRAVPTPFPLMRYPINEWPYAVRERKE >PPA21828 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:954532:956565:-1 gene:PPA21828 transcript:PPA21828 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKSVDKIRKEIELYSALSDYYPIKPLNEDAWRILISCESLKQRIDHVKFLRLNEIKAEKDKERKELARQERIRENQLSDSPSYSPSLVFLRVSAEREQYQRVAAALRTERGIEPVPRLVIDCRFLPLLSPRGANLTAKQIQFVISENRDRSIPWPITLARFDESTDEIKRLKRKNIPILESASIDAIPDVSEKNVRDLFPSFSCVYLSPDGKKELEEIEEDKVYIIGGIVDRVTERGIPKRASKEAADEEGIKSFRLPINRYVKWQSGSRFLTLNAVIGILQDVYDRGGKGEEAWSEAMKRNIPARNVRGAEEKNEIGRIHHSRIREYDRQIREIIQKELYA >PPA21702 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:346912:347992:1 gene:PPA21702 transcript:PPA21702 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDHNNRTINNDPPWDSGNFVNAQAQSTPEDPIPATSQENGGVASTMNAAVAATTPLCSAIKREEETAADAEGGVAADFTFIPGAFYPGQWWTPNIPFTMDDQPWDNMNYNPFTAVGAQITQHPFDPFPSAPVSGGFPCTMTTTATNSFPSYDVISPNIGYFPTMSLLTAGVTKPSPTNKRRASATSSAPDLPENLPYKTGRGTNGKCTPLWCIQRDDYCHNTTPLQMFEYAQPTIIDESTLITFG >PPA21799 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:813964:815072:1 gene:PPA21799 transcript:PPA21799 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFDHPNIVRVYGIAPGDTPVLIVLELASGGSLKSFCKKNDPVSNESLIKFARDALNGMYYLQTMKVIHRDLAARNCLLGAHSELKISDFGLSHVGDTLHLDTMKSVPVKWLAPETLHTGTFSHKTDVWAYGVLLWEIFSRCKSDPFPGLTNTAAKDLILTRHPPMDPLPNMGNEWREIMNDCFILVWIIRWHKEEKRSDFVDLHRKVFGEEPKAVTRKILNEKSIYLK >PPA21755 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:544649:545603:1 gene:PPA21755 transcript:PPA21755 gene_biotype:protein_coding transcript_biotype:protein_coding MVGERSSGDFIRELEEQKREEVAVLLKMMINRGLKPVKTSDWAEEAGIDDYYLNTYAQEACKKLPPGIVKMKKEEFVMGDALTEYVNLTKIIYAHEVVVILDEEDKIKEKEIAFNLGVSSLEGFLKMKTIAEVFYVEKKNGELQVSMRV >PPA21715 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:406217:406513:1 gene:PPA21715 transcript:PPA21715 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPHLGKNCALSNCQLLVQGLLKLFLVGSNSTHRFAHNCANKNLHTLNEIPTGSEGTVDNQSIDF >PPA21656 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:89853:92739:1 gene:PPA21656 transcript:PPA21656 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPSSHMAMAVCNDVKFAPQSQTRPWSMGTTGTTPRDLIAPSTSKGYAPIQLDDALKFSKDFLAGAVAATIAKTVIAPVERVKLILQLQNSQSTIAVEHRYKGMADCFVRIPKEQGFASFWRGNLANIMRSCSQESLGLAFKELFKKYSLNGVDERQKGRFLVGNLVAGGGAGVATFLIIYPLDFTRTRLAIDMGRSKESREFTGLMDCIAKIVKSDGVKGLYIGLLPSLQYILLYRGAYYGLFDFAKPFASKDGKDLGFTRAFAVGQVSTLVAAMTSYPLDTVRRRLMMQAGKKELLYKGSIDCAKQIYLKEGYRAFFHGAFVNVVRGTGAALVLAIYNEMSKYMY >PPA21671 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:185591:187766:1 gene:PPA21671 transcript:PPA21671 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKLPDLKQSFRSSWASGSIMVYNSTIDRFVPPLIRIRSSSERKLYMNTLSGFAQITAEWLVDSSLFKLVRIPVAGQIQTQMTGLISEIGLTFDENNEMEMVDCVALIRDLRVQLKGSVAADLLHWFRNAITRTIRKKIEHVLLVQSLNRIDMSPLGIDAHMRSDLLWENEFVQSSDTANITDIDLEALPSSTRMIDMVVDEHTVQSVVAAAHFAGHLKTTIQSPFLRTSCEVLCVGTVLPELQEALPNRTLRVQASTLSPPVVLLESGRSLVYMNATLEVFPEPPLPGIKGSILRITVDTDFVLTMQMRNNKVKGYLNMIKAQALVIDSKIGLMSQKADN >PPA21745 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:500908:503415:-1 gene:PPA21745 transcript:PPA21745 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKIRKGHLLKFKIALNKKQISNSYARLSNVRCISEYSMTVDREVVNDRTCARYLVSENIKENVDFDLKKGIDSFIDKSELMKDEGRLDPLCKWACAQEGNDLDKIFCGAQFAGRRGTIRTIAITIMNAWNVIAVKTGNVILLCETSKKYGGVDALGYYSGFKFEQHMTSAQPEKMIRCDIINDDGLSLGICCAAEVDALDGDSSIELQTRQITREFGFFPSLKMVLQAELADINTAVIGWKTENLVVTKIPISNFKPILKRADENSLAFLFAILRITKTIMASKDACEINYDPSNGAVEFNYFSKEEAMNLLTPEFKKNLARSPSWNNTL >PPA21823 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:916667:916898:-1 gene:PPA21823 transcript:PPA21823 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEDEEEEGDENGDSNEVIEVEREFEENEDPIGPFEDEVNEGEISSMGSDSVSIHLNQWEMNPMIQKIFSI >PPA21661 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:126467:128936:1 gene:PPA21661 transcript:PPA21661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tag-150 MTCDEGGSASSSSCCIMDVVAPSPSTSSATMEECVIQELVTTERSYLSELESIMEYYVHPLERQDIQSQLHPSLRGRSDLIFGNLREIVELHSNFVLPELLGAGSCPAMVSRAILNHRYKFFDHYRKYCQHKQANEALRKQLSDSSSLLLECQRRAGHLLPLSAYLLKPVQRITKYQLLLKELERHCRPEARESVSEAHRQMLELLAQINAAINNLHISGFNMRFFREMGKGKRRKKGGDGGDFRLMGTLRLQTEADVFTFSRKKSARRPMRAQRRHIFLFDGGVIFCKKRTSLPAVEQHEYYEHKLCIPMVSLGFSEHCRQLASSSRFELWDSSKADGYSIEPIDPKVLRLLMTFK >PPA21819 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:889917:893212:1 gene:PPA21819 transcript:PPA21819 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLSSRCQKKTKLESSGKCMKENIYQPVTGSESPANSFRIGEKGYHNTTVMMEPQIVTMKVKMGEAMKTQFKYKHVRPANDPAAVNVQLQHSELPEHLLVKFFIVCKGKRTETKRCDDVPDGEIVDIEVQVTLKDCAKVTGTQTFSLGVVGYRGVAGIYINPLCGCECEILRFHEKTSPFCSKAGNLICGQCSCDQGKGGNKCECPLAQYGVKTFKELEDKCRETPNGPICGGNGKCACGKCQCDSNTVSGEFCSCDNTSCPVGGPDNRQCSGRGLCKCGSCECEEGWEREDCSCEKANSKCMENGLGRLVTFNLSELQKECGGNGHCECGKCVCNSGWTGAFCEADEGGAKKGPADKMKKKEDATGKSSAECDGDDECAINPNKKKQDASTKSSAECDGDDECAINQNADDQFSTASPTTVDEFDLIEQHFLLDAPSIINEPVLVPASGGQSPIHLEKTHECNDGDECPVDIQGARIQPSQDDTAEAEEQSSSGSIITVATVFIPLIVARFF >PPA21711 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:391420:392850:1 gene:PPA21711 transcript:PPA21711 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-xbx-1 MRGATKQLCHVWELGGGTRLNNLLKIPITKSTITSLSIIIVVDLTKPHELRNTVEGLLPFAKREVDRSLKELNAEKPREYDELIKEMKHRLENNKEQEACLPFPIPLAFIGNKYDEFQNREPDERRKMCKMMRFLAHYYGATLVFYSSKLETTLAKGRLLFAHHAFGAHLGRSSQTDINKPLFVPVGLDSYSDIGPPPMGDSSFSALRSTQPIDLWWGVFSESFPSQARKSVDTTVIILFHESKSDKVVDPSLDQRFAEPAVDTLIEQKKRDLEIYIRQKKERSAAEERAAESIRKAQN >PPA21817 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:881458:883681:1 gene:PPA21817 transcript:PPA21817 gene_biotype:protein_coding transcript_biotype:protein_coding MTYEAHLFTVESVIHSVHDREPEKVGAHDGYYGMAVSNVFYMISTIIVPSLNNYMRCKWLMVISTTSFTIYFLSFQFLHRYLYFFCCTFMGFGLASFNVGYLGYLTESSTVETLSSNMALSWGLSSVSVLVAGMVNFLMARANSDTGTSKYREYSEGEVRIFFAITTAISVLSLVLYALLPNKNVEASISKTCERTKSVKEQISQMTAVLVNKRILILAPFYLYCGLFFSLWITIVPTTLQFTMALSKNPYVPMLYGIAFTAGTTTMCIIIMKVSPRIPNLCGKPLMIMSAVMHLTLFAIVVCVIPEWSTVRHTDEPSLLIQPSIAPILLIAFLLGAADLANNNMRTVISSMVMPTRRQQIFGVSRCFHGMAASFLFFGAPGLSIYSYVGILTVFLIAATISYIYTCSYLEREGIEKKESETSIQEKSEDLSTI >PPA21740 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:489868:491563:-1 gene:PPA21740 transcript:PPA21740 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGQDLLIALVSIPLLVVARFFALTIPYTNQGFFCDDDEIRYPDRPSTIGGDTMTMLYGLITIVLVPLSEYSLIRRLVTRHKLEHFEYRKFKIHPFILKTLFFWASYTCGYLATSVATNIFKRTSSRLRPNFIAICQPANLDSLCPLGSNAFVEDFTCLGESRADEHYSFPSSHASSSLFFAVYTILYLHHRLRLASIIRAFIQYGIFVLSIFICLSRVRDFKHRYVDVMGGGMLGITIAVGMIHLILFNFRPHRYRVAVVDSNSEVASIAKNSREPSPAIQLSISSFSYE >PPA21697 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:324444:326974:1 gene:PPA21697 transcript:PPA21697 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSMRDYPSFKEYADAQKEIGSCKEEFRETMKTSFKWGVGIGIPFGVYCAHSTKLDTLFTGSEITEITQLQQQEITLKLANLTSKDVRTIVFGKKEFIVEEIGTPTSTIISKNFMVGDVITKVNGKELESRAFINNLLTAMNTTTVVLKRRAYASIPDENRLRNTLSEISQTRQKGFAYQVITCNKMRVRQEKLVESTFGFRAVVLGGKFVVVKIAEHSAAEKFFSIGDSILDLNGRSLRANDPKDVNLINPAFQKLGEITILVERPVTSNARKDAGLRMGQYLAPANTEPTMADDAILIGKNAANYHRKLWKRLEPISIVMETGHKASPKKRSKKSGKKKKGEKDDECKSLGDKSTTHFSSLGTPRVIIDPKRNCIEITSDSDPSQLEKVGSRYVDDFVEKDDKSKMKKKTLFSLFH >PPA21777 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:672250:675942:1 gene:PPA21777 transcript:PPA21777 gene_biotype:protein_coding transcript_biotype:protein_coding MQISLITKEELDIGDHTNPALDCAPSTSFATNPLQCQSISDSKIKVPPKKFKNVRKSDGFKCVVCGDKPTGYHYDVLSCNGCKTFYRRTIIAGRSFSCTKGDFRCACRACRFQKCVAVGMNAKGIQWPSGAKRKSVSSISVSEDDYSTDLSDSPPNLTKEDRIASIRDKCSLFTSHKLSDILKMPLILGSSQPSDRFRMVLLAHMGGLQLMISQSFYSAKNGHGTTTFPDGTVALEEIDNNRCSTVLRSVNTPWVVELFSLPVQLFKDLNLSDASYALLRAVALFSPVDDDLSLEGRKLISAERERLTDLLTRRLFSEHGSEAPMKMVGIQSMIQTMYRLNERRREQFIYFNVYSNDIRFFSLYIVFLVMTSSSPQIDLTEEDISLRWMFNPIGIREEINNISIVCHANPEFSIPQFMARIGGLARPTPIPVQTLFSPSRPVTNEKKKMQSSISGTAQISYGAPVLLQEQRNPPSVRDFLASHNALNNLSLSEGNEPALKRAREED >PPA21734 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:480151:481591:1 gene:PPA21734 transcript:PPA21734 gene_biotype:protein_coding transcript_biotype:protein_coding MLALRTSIDVLFNISGGRRQLSDQLKSTKESIRYKAFPFCCCSCLPVVAPSVWFVASYVFSFITMTVSLYFSCVVANLNRDTGNRFRYNYLFYAVNISQILYTLQRLTKERKDESDVLPFRTLFTGVKYLINVEKDSFSNYSCRSTSAKFLPLDPSGRGDFESKEEVKDGSKEVLAKEEQGKKEATIPMGPDTVMKTLGRDQTEEPKKLKKLRESDRGIRWRLEESTEEYGEE >PPA21775 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:667372:669406:-1 gene:PPA21775 transcript:PPA21775 gene_biotype:protein_coding transcript_biotype:protein_coding MISVQRMTTLAFSLHDGRVKKEEELSPLQKREAIREVPDILSYLSYLFHFQAVLTGPLSYYSDYMNLVNKTHVAIDSKGNKPDPTSCAWPKIYMSIVFLAITALIEPKFPIADLERTDLPVWQWVVLFHFTIALQRPQYYFAWYLADGIYNLSGFGFNGFDDKGEAKWDLATNVYAYKFEMAQSFKESLDAWNVGTMGWLRRVAFDRAPKKYRTVCTYLLSAWWHGIFMGYYLTFLTGAMLTLGGKGLRRCLRWRFLSSPSLKGFYDVVTFIGTKFCFCYMTYPFARMHWGPGIAFYKRMYFSGHILAIFCAALLPLVLPPPKQEKNDEKSTSDEKIE >PPA21803 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:822906:823890:1 gene:PPA21803 transcript:PPA21803 gene_biotype:protein_coding transcript_biotype:protein_coding MENMSPSNTMDSIQRYSRYLKEGHKVGHALKRLSEMELTTELLVKAHLLEAVRQHEYNKKHGDDAMKLLAKWNDISRVELQISVQKERTPEDKENRKRPSDSSDEIDNKKCKLGRSSPPLKLVIKRSSLSPESQKSPEPLGKSPEPLDQKSLLEKVKSGLAGINRAPSAEKARAPVKKGVLMVKTMRMCGRSQTKKRGINA >PPA21687 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:283850:284530:-1 gene:PPA21687 transcript:PPA21687 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLGTDMRVDAVMISLAILELLFSVLTVAVTARLMILSDSRLRDKVRSEMQPLNEVDREKMNDSRDSQ >PPA21756 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:546089:548472:-1 gene:PPA21756 transcript:PPA21756 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-mec-7 MREIVHIQAGQCGNQIGSKFWEVISDEHGVDPTGQYVGDSDLQLERINVYYNEAGNNKYVPRAVLVDLEPGTMDSVRAGPYGQLFRPDNFIFGQSGAGNNWAKGHYTEGAELVDNVLDVVRKEAESCDCLQGFQLTHSLGGGTGSGMGTLLISKIREEYPDRIMTTFSVVPSPKVSDTVVEPYNATLSVHQLVENTDETFCIDNEALYDICFRTLKLTTPTYGDLNHLVSATMSGVTTCLRFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTSRSNQSYRAVTVPELVQQMFDAKNMMAACDPRHGRYLTAAAIFRGRMSMKEVDEQMLNIQNKNSSYFVDWIPNNVKTAVCDIPPRGLKMSATFIGNSTAIQELFKRISEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQEAAADEEAADFGE >PPA21835 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:1022062:1022775:-1 gene:PPA21835 transcript:PPA21835 gene_biotype:protein_coding transcript_biotype:protein_coding IFDQKPSTSFSSPSSNGEDVIIVGERAAPAAPSISAADTLRSPYRQPIQSDAHSLNRRATVAAFPESASSAETPSSSSSASMTRERQIELIRSMMARGMPLDESVLAMVGATAAPAAAPPPSAAVAAVSKGNLFEELGDFARN >PPA21725 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:442814:446624:-1 gene:PPA21725 transcript:PPA21725 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCPSTGSSSLVSQTMSPLKESTMKEDKKIISPPIPIIKMPHSIPSTTRSQTGSPIRSVNPKVSLPLSSSPSSLEMPLDGNTSSTRSPIQFTPSPDTRQDIDPSSQTPVREKPQVYGSPLASIDRPSLQDFSRRSQRGQPIKSDSSLYQPSGIYNSKGEFFPQDQTLDDIPDIPSEKSERSPPMVTAKESMSSTSLSITSLPNRIYGDTDDEIGAKSDKQFVGSMTLKKNKKNEAGFEFEAKEPKEMKSKPRTPRKLKITNIFGGADRKYIPPVRRKKTDRSKQPTVSYHLPALPDDSRAQLTTVVNSSKTSQVTDATRALRTIVTDRDDLIMAESLPLSVTKKSDRSIPSSSFDPTPISFLRTENSLLPSIPQSPSLTPSITHSPTPTTSPAAKSPVSIKKDKKIERILLSPVANSPIQSKKKSKKRGGKKESMGRKNRKTEQKNTPQERVIYTHKPISPTIGTPKRKWQDVHKKKKEREDKSKKEREITKKSDKKSKERVEKNGSEKTNGPFYQQLVYSSYVTNGLNVSCYNYRRTEHTDIAINWMGGLHGERMRRKKGKKRANIANCYERRTCDEAPAHKNGSSPDEKTVDEREEKRPPTRSPSRKGGRQDPSPPSFSLFLFER >PPA21811 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:854811:859148:-1 gene:PPA21811 transcript:PPA21811 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTLLDVHRSSAAFNSLVNSSSLKWRILDESAHTIMSKDESLLSNVSIAGSSGGTQAHLVKVLANVVEAQKNVASQFTALFDRVEEARNETRRNVAALTEEIKKLEIRSSAIFEKVTMQLIAQNDALTAAHAAERAHWSAQNEAMSATHAAERAHWNAQNNNMLSLIKATMGNTARPTPVVSQPMQTYNQAMSANAMFGGAPTHEQQVVDQLNQLTPNQIGALQLQMRQMQFHHHQQQQQTMLGSKPAASSTAIATPAAVAALLQPMEVNPVVPIPAPLKPKTEDPVMPTLAPSKPKVEEPVMPPPAPKPKVEEPKKIVPAVPAPTVPVAPTTAAPSKPKAVEPKKSTPAGPAAASTAWGDKFKMSAEERECAGCYVRYAANLAECSCCGAPNPEYVEKEGDKPSNPFGKNVSVFNPADASSSSGPKFSFGLGGGTTTSSSTTTTTIVASKSETKTATPSTPLFSFSSGVSTAPTTTTTTTAAPKFSFMQAAVAANKEDDKKPQFGSPAPSVTSNAFGAGVTSSSSTASTFSFKAAPSATASPLPAFSFKTPDPSKPSSIFGGSQKTLFGTSNPSTPVDAKKEDDKKDTPSSIFGTKTDGQPTANLGFSALSGKPSIFEQQDKVAEGQKNFASLAKSKPFGQSGPVANEEDGEEGEPEEYEPDVDFTPVIPLPDLVEVKTGEEDEKVLFTARSKIFRYVRETKEYKERGVGELKLLHNEATNKFRVLMRRDQVHKLCANFAVTKTSNLVEKTGQPNVRAIVVTDFAENEAGEMESLCIKFKNADVAKEFENFFHDSQARMQFRNIIFVSVF >PPA21768 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:630495:631385:1 gene:PPA21768 transcript:PPA21768 gene_biotype:protein_coding transcript_biotype:protein_coding MKASFVSTMTNSHIINEKATRAVLIGFAEKKTPEETDLADLKTNNKDRDHFIAVARQKQACRLSGSVHAFVRRDLNIEELDVDRSLRREAGKRNAEAGQLECVVRDLRVVRLSNPRPLPPRSQQALDRAIAAQSAASTSSSLPPALSSLTTLSHPAPSHPRSQSSSSFGRGRGRGGGNGGGRASSRQSRPLVSSPSNVRSNHSKSVSRKRGRAFEDPSTMSPSVCPPKANKVMTISALSPVHCESEDHLEVQY >PPA21833 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:1007007:1011974:-1 gene:PPA21833 transcript:PPA21833 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLVVDPWSIRTIMTVRVFAILDDGCLHRITYSSTREKVGEFEKIMTLYPPRRGRSLPPSVQFIHPTCAVVCDGGRVLNIYETNEDRTTWESFFEHRVIGPQAPGTQPGFDFGFVIVDARLTMPKQQIDVCLRGVRDYDDKPSENIIRWISIWMPDPNCHNWVLKREKTIVCPGDLEFVGFDRNCDHLITVAAKPPAVESDEKVTSILRDPALVQVMRDRDNDTGDKILYCWYQTSEEVKVWCRLEKDTSYLFKKEDITFEVTENHLKIMAGGEVVIDGELGGMIDAASTEWELLKPTEGTRRLQFSFKKKGESPRGSIGRMWLEVVKGDGRGKFIEDLDDLDWSMQALDVEFVDNDLPITYWTAAKDRAKTSSSEKMEECDIDEAPRYIWYLNETTNNIHHVSDITGHPVLFATRTFPPLCQTRALCIRDDDHGYVYNFEIFPPRHIQNIKIWGYVQQGKPNRRYTGVSPHGGYGVVVDFRETAFAYWQTNAQTHGEINQYKEVAGREDHPDDAHCPMQVVHMRKNPADEEVDDEILGYVSTEDTLFLLSSDTLVIHRLRVPPVFFTS >PPA21764 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:613799:615488:-1 gene:PPA21764 transcript:PPA21764 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSLFGKPNPDKPNQKVKTVKEEAQDDFQKYFAWINGTTPYPTLPSLPSSTSTTTSTSTTRRPTTTSEERREEENTDETSTRSPRIIPSTLQPDPFNEDTFEDITAATKSPNMMEVIHMLTTTIPTPTEKSVTTVKPNVTEQSTTRGMWQRDSHFVPNTRQHASEITKQLYITGQIVQADRLKVEDHMVKNDECLESPRCCMITRDVCPDGTEPIYVKRYYRPVHWIREGKRMNERQ >PPA21706 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:357122:368233:1 gene:PPA21706 transcript:PPA21706 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-rpc-1 description:DNA-directed RNA polymerase [Source:UniProtKB/TrEMBL;Acc:H3FHF9] MVKEQFRETDVAQKVEGVHFSPGSDVFMRQVAHIPIFNTKLYEESTGKWVPAPFGPLDTRLGTSTKSSNCTTCGQNLTDCIGHFGYIDLTMPVFHVGFFRLTIQMLQCICKGCSSLLLHSAQREAFMKQISNPNLDYLRRKALHKKIVAASKKITICINCGAKNGVVKKAVGAVLKIVHSHSIPASQEKEYSAAIDDNAELGSLLSKGKFILLTPLAVHKILSNVDERDIPILMCRSGERRNPSDVLLTRIPVPPNCIRPSVVSEVKSGTTEDDLTMKLSEIMLINDVLDKHKRDGAPIKTVNETWDHLQIQCALYINSELSGLPPDMQPKKFLRGFTQRLKGKQGRFRGNLSGKRVDFSGRTVISPDPNLRIDQVGVPIHVAKILTFPEIVNSANIERMRKLVINGCDIHPGANHLVEKKTGNKRFLKYGKREELAASLTYGDILERHLDDNDVVLFNRQPSLHKISIMSHRAKIMPGRTFRFNECACTPYNADFDGDEMNLHVPQTYEARAEASLLMGVKSNLITPRSGEPLVAAIQDFITGGYLLTHKDSFFPRAEVHRFAAALLDVTAKKQKRIRIPPPAIRKPVELWTGKQLIELIIRPDCDSKINLNLSTKNKSYQGPRKELNLPPDMCPNDSFVIIRNSQLLCGALDKNLLGSSSKVNIFYILLRDFGEDAAVDAMWRLARMAPVYLSNRGFSIGIGDVRPGVQLLKEKTDLVVEGYAKCDEFISDVKSGKLKAQPGCSDEDTLESMILRELSVIRDLAGQVCLKNLSRRNTPLTMAVCGSKGSFINISQMIACVGQQAISGHRPPDGFEGRSLPHFARGEKTPAAKGFVENSFYTGLTPTEFFFHTMGGREGLVDTAVKTAETGYMQRRLVKCLEDLCAQYDGTVRSSVGDIVEFVFGEDGLDPALMEAKDGSVVDFDHVLEHVKNTTKSTDDGHQLEPDDLRALVKEVVKKSIPFEQDHFRTQLEAYIEKATAFVELCGLKLRKAITEPGTAVGAIAATSIGEPSTQMTLKTFHFAGVASMNITQGVPRIKEIINAVKQISTPIINAAITDDHDEKLARLVKARIEKTSLGEICDYIEEIYLPDDAFILIKLNARRIRLLQLEITISSIAHSIATTRMLVPVKAGQISVRGKTMMIIRPPDKSKMSPTMTLHYLKYNLAKVIVKGVPNVTRCVIHADEKKGDSYRLLVEGTDFLSVLSQPGIDGKRTHFNNALGVADVLGIEAARTCIITEILSTMESHGIGLDRRHVMLLADLMTYRGEVLGITRNGLVKMKESVLLLASFEKTTDHLYEAAFFSQKDKFLTVYRMPGGVVGPLSLSNLPPILYVPLSIPVYYVICVLLGAPLVVEHSSTLLLSISLTLLSVLPITLIYRNYTQTHQLIFTGDWSRPSDLIIHRLVLFSLIGAWLGAFLIPLDWDKWYNRYPVPCLIGNWVGAVVGGIEGAIESRFRKRHAESVRNMPKLQLQKR >PPA21716 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:412163:412670:1 gene:PPA21716 transcript:PPA21716 gene_biotype:protein_coding transcript_biotype:protein_coding MGELSKELLNEGLVSLDENGLLTTTCMVSLKDGSTTVQSCPLAPGFGPQGVGCFTVWNSAGFIQQGCYSSQEISLRAQCQKKQCHAHQGNLILLLPRTTLQC >PPA21679 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:222618:228281:-1 gene:PPA21679 transcript:PPA21679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-imb-3 MTGQAQLEAFHGLLTAMLSTDNEQRAAAEKQYEEIPQDQKPGLLFQIYRLPGVAEEHRSLALVLLRRLLSNNWEDIVPTWGNDNLSQFCNELIAAAQTETSENVRKKLTDLIAEVARNRIDDNTGAQTWSEIAQFIQHCGSSNSTPLKQMALSVIEDVPNVFGNETSASVPGLKMLFEKCLQDANASVRSSALKAYVSFIIECDEDDKTIKSLGTLAPVIIKVCEHVVATEEEDDTPLQCLADLATSLPKVLQPHLSAVINLCSQTIRNTEKDESYRHSAMEVITSLCENAANMVKKRAANHIPEILTCCLLMMTELDDDISDWLAVDDVDAEDIDDEETVAVGESSLDRIACALNGKIIVPIFIQLATQLLNDADWKKRHAGLMGLSTIGEGCQRTMEPTIVEIVKSITPFLNDAHPRVRYAACNALGQMSSDFAPTLQKRCHESVVPALVHTLEATNLPRVAAHAGAALVNFSEDCPKHIISAYLAPLMGALERVLEATYQKLLSEGKKLILEQIITTIASVADASQELFVTFYDRLIGPLKFILRECPENFKILRGKTIECISLIGLAVGKEKFSPDASDVMHALGPSMEGLTPDDPQCSYFISSWTRICKVLGPDFAQYLPMVMPPIIRAAQYSPDVAVLDDDELPGEEDPNWSFHPVGEKKHFGIRTSGLEEKATACEMIVCYARELGSTFVPYVEEISKLMVDHLKFFFHEGVRSSAAETFPYLLACVKPQGGVTAMRLLWVDYWKALKEAIKSEVETEVTSEFINAVAECVEQLGPEGLTEEELKEIGVMMKEEMEAFESRRLEREEAEDDEDADPEEAREELNEEAEIESGILARISDLCHAGFKTIGAQFFHVIDPLMPLFFPLIDVRRTYTDRQWGICILDDLVEYAPEFAYRYQQQIAQLLLSSLSDEFPEVRQAAAYGFGAMALNKRPEWAAVAAQALDGLSSMINRGDARSTEESAVATENAISAVVKIIRNCCPGMDVSNYLSSFVHWLPVTEDHEESVHVYGFLADLIEGQNPSIIGENFSNGPKILNILVKAIHHDVFEMKDDAQMVKSRVAGIIKRISADQSFFLACVGNSNLDDAEKETLKNILASA >PPA21654 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:81226:83431:1 gene:PPA21654 transcript:PPA21654 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIKEEPLLSEDDEYWENIDVNDCNYLLRTLEREAYSSVIGALRAKGPPSEHTFLLLNHLKSALSISDELGRAEIRKAAVDPRLCKLAKTLNPNYDTCTEWSTCSLECRSLVGTKPSRTKPFANTSTSSIADQLLNQATTLNDNINRSSARAFELLSLPHRPFVPERLRLLLRETDRPEERITHPFEPILTSPIPISVPVERSIQSEDRPPPAKKRKQRTSKGPRIRETPPDLLPQKAVKLDEDEISKVSKALLEAVSTLEAAAAAKAPKTPVAASAATVAAAVAATPSVIVGSGGSRVTSPSEVCNPLESIDGVIIGIRPKSGFQPPQSGDAMKTWELEMAASVAKRNGGTISISPVELCAPSTSSASASAQSTEDSEKKKKRRSKTVVDPYYLNTSARTRPFQFDKFDKGEESVNGYTKPPRANLDKRTPSKNGSPGIHSYYTAHPTCIV >PPA21753 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:535382:535935:-1 gene:PPA21753 transcript:PPA21753 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGDTVASRWWPINTIRDTTDVPLKMALERQMWHEERAAALLLIDWPVYRIPSCDLRLKIAHHDSARSQEEIAVQLDETRTAMAPRLHYEETYAIEMEDAGMTSSSIDGPDTMSMTVLVITVDPCLKMVADPNMCRKFSLPLVSKG >PPA21708 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:370854:376600:-1 gene:PPA21708 transcript:PPA21708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-twk-16 MGFYEIFIGGLPKVPGYWGATRAKPFTLNCTLLLLVFGYAFAGGLVFDKLEREALKASHEEERRNKVKCAMQILLNTTVRAAYMTAEKVADCFTPPRDVRGEWSFVTATLYGFGVVTTLGYNRIAPITYAGRLFCIVYGICGIPFTMIIIANVGQYLNQFAGDSRRKIEEYRERRRRSRASLTGREIEDSPIQIASLALLIIFLLYVALGALLLPALNGEIDFFNGLYYNFLCLTAIDFGQLVPSRVAFLPITFLYVVLGLAITTIAIDIGSEYLKKLHNLGKRVKNAATTKIWFGGKKLKVRELLHAVGKKCGVDASVIDALDLENVVERTIAMNEGREPPEDTNIDSETASRSSRPPSPPSGPPSSGSPSSPADDIPFITTTPIPSRPASIRVHQRQIRFSPELIIKNPVYQSTSDVMHSPVEEVRPKPDYISVILPEESIVEDPFTRPEEAPPPEKPQIVDRVFVESVHLPSPPPVIVELPPSPKMCSLHSNQNAKSEEKRYSFTINLPSLSHAMLRFFLLVLVQLLLKTRIQYHESSERRKKDMEEIPKGFQAEWNEPQPDLRLIEYHHMHEKV >PPA21647 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:38077:40065:-1 gene:PPA21647 transcript:PPA21647 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFCGRHPISIVRGLPLGIDMNLYSIDALSSLHPRMPIKLYSQKKQEPDMNVDDRGNPTWESINVGSQSTLKTQVCYMKEVQKKEGSIGGKRSKKDETVLFGVANHSEFPQVFDKQIQELQKFPSLFRPSQPTDIHSLMGFEWDGLTGAYAYLKVAGFRTTGHEEDGGVPSINIAVDTGTSECICCHLTYVKEVQEMAMKKGLEYPQSSIWPDYRELERNGVPVIRFTQKPGDLVYTGAGTLHWKLHSSIPLERTVWRIAAMGRKWEKKVFFELRGLLVRSLSHRMMVERWLVDSGMLAVEMSAAEIEDRFNCDSCQMNQDEFNKRFACEECQIEVFAIVFFTRPDNEVRCFHCAEANGGIKRSKIGMEWDYVAHRETPGTDSASL >PPA21773 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:661007:661759:-1 gene:PPA21773 transcript:PPA21773 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGTLAVFSEALLLFFLSLSVYTLNHRLINIISRLTKKFQVKENIEIPALICLLVIIIGQIAPDTPSYHLFLNLYYLRDPYWMVQQKWTTVK >PPA21821 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:898156:901169:1 gene:PPA21821 transcript:PPA21821 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKRPFGVPAPRRRRPKQATSQAQFFSQEDIELAQAVCAVVKDLDLTLKRPNLFNVLRKFIKDSVQAFEEVASAEYMLRNCKRTARCEVEEETPYGMDLVWGVVMAEIEKADKREKARLYSAHKAARLRERKKLEANLISNLIAEIEWEHKRQKMEQWELRKKAALLLEMELKRQGAMIGPDRLKMPGYTVKMSPSPRSDDSDWAVTSRPTPKSKACAMPSQVQSELHPNVSLLAPGQSKRVQPQFDFPDQKSMWDEKYIIKDSLPPRPLRGRGSRGSRGGGVRAPVLTKRDKNLQLITAAWDADAEEQHQQHLMTKSIESSTESASQESNNHITDTINEVVTRVNGMSELNGIMRRKRTRMVEGKDGKGILTNAHGSRREDSEEPSTSTGIKRTKMELITIDSESENEEDANIR >PPA21758 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:553745:556240:-1 gene:PPA21758 transcript:PPA21758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tbb-4 MREIVHIQAGQCGNQIGAKFWEVISDEHGIDPTGAYNGDSDLQLERINVYYNEASGGKYVPRACLVDLEPGTMDSVRAGPFGQLFRPDNFIFGKLQSQSGAGNNWAKGHYTEGAELVDNVLDVVRKEAESCDCLQGFQMTHSLGGGTGSGMGTLLISKIREEYPDRIMTTFSVVPSPKVSDTVVEPYNATLSVHQLVENTDETFCIDNEALYDICFRTLKLTTPTYGDLNHLVSMTMSGVTTCLRFPGQLNADLRKLAVNMVPFPRLHFFMPGFAPLTSRGSQQYRSLTVPELTQQMFDAKNMMAACDPRHGRYLTVAAIFRGRMSMKEVDEQMLNVQNKNSSYFVEWIPNNVKTAVCDIPPRGVKMAATFIGNSTAIQELFKRISEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADDEGEFDEHDHDVE >PPA21760 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:558787:559443:-1 gene:PPA21760 transcript:PPA21760 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYVYIRVLLIPAFCFLNYIPDKRGVLPVLCTSNWCYLILGVIMSLSSGYLSSLSMMYITRYVSPERARTAMMMAAFFLISGIVAGILFAPILAGFISLF >PPA21652 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:74498:76223:1 gene:PPA21652 transcript:PPA21652 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-lim-6 MLTLLPSSSSSSIPQLESHLDICSLFGRKCGRCGLALQPSDLVFRCVHTTYHQSCFSCVCCGLNFKKGDEYHIVNEDALCRNDYQMICAQQQQMHIPPSGMYDFDLSESNRKTPKRPRTILNAQQRRQFKAAFERSPKPCRKVREQLAKETGLSVRVVQVWFQNQRAKMKKQQRKEEKNGGSSDVKGEGKSDSEDEIDSDGEDLENSEDKRVITSLDYKPDGSSYDGFPSSSSFISSDGISNGPVSQPVAPLEKLYNMQHTYFSFA >PPA21675 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:210105:214772:-1 gene:PPA21675 transcript:PPA21675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-gsy-1 MLSSGTTSPSGRISRKLSSTKIAKQLAGLSVAEECGYCDFGESARAENRFVFECAWEVVNKVGGIYTVLRTKAPSSTDELGDQYCMLGPYNEERAKMEMEVLEPDNVPMRYALEKIREIGFQVTYGRWLIDGYPKVVLFDIGSAAWKLDQWKSELWEATRIGIPWHDRESNDCMILGFMVAIFLQKFEEKAKAEREAFVVAHFHEWQAGVGLIMSRAWKTNISLVFTTHATLLGRHLCAAGSDLYNHIQFFDCDREAGEKQIYHRYCLERAAANLSHIFTTVSDITGLECEHLLKRKPDILTPNGLNVVKFAALHEFQNMHAMAKEKIHDFIRGHFYGHMDFDLDKTIYMFTAGRYEFTNKGGDFFIESLARLNYKLQTSPDPRTKETTVIAFLIYPANAHSFNVESLKGQAVSKQLKETIGKIKENVANRMYDSCVRGKIPDMEEVLLPSEQVQLKRCILSAKRNTLPPICTHNMVDPDNDQVLGALRRVRLFNDHHDRVKVIFHPEFLSSVSPLIGLDYEDFVRGCHLGVFPSYYEPWGYTPAECAVMGVPSVTTNLSGFGCFINQNVEDPSSYGSFVIDRRFKPSNESIDDLADTLYKFTCLSRRQRIIVRNRTERLSELLDWKTLSVFYREARRLALKKTHPDLEVKMSASLTKLARPKSAPASPFSSRPGSPDGSDEESDTEGQEEAERKAWFS >PPA21722 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig54:429730:431303:-1 gene:PPA21722 transcript:PPA21722 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRMRRGADAGKSTIVKQMRILHMNGFSAEEMHSFQKYLRYNLFQIFHMIAMGVQECILSIPDFEKDIIEQFAQGLSWIIDIDVSNEIDALNSFLKLDCVAHFMEIYPNYSSLPDNAHYFMPKVPEILSQS >PPA21793 pep:known supercontig:P_pacificus-5.0:Ppa_Contig54:775831:777877:-1 gene:PPA21793 transcript:PPA21793 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTQRSFGKENSDIIRPIAYRAHGNEELNRRPYPQKIELTKSALNLSTSGVVVSRPRAVFPSTSNSFSVVRQQENEYDTVSDIIVDKEKTNSDGGSDYCKFSASSGSNGGSIGNNSREMWYLQKTTSRQSTGAHITPSPSDSGIVDYETMIRDKENELADVRKTMEQNEEIIVKVYLEKERSWKDQLEQMRNRLSASEKGESALRMQVANLSRQTEAMTGKMEKLEDDKIRLTVRLRELESRECPSCSSHSQQRHSPPNSSRPVPAPRTFKSASGTDLDLRDEVSELRREVATLKDALQFIPESKRNAIYANNNSISLRDRLI >PPA21839 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig540:4495:5485:-1 gene:PPA21839 transcript:PPA21839 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGCREQTCASCGYPAAKKCVYQWSIKAIRRSTTGTGRMRHLKKIQHRFKRTTGTGRMRHLKKIQHRFKNGFREGTVAVSQKKRTQSAASN >PPA21837 pep:known supercontig:P_pacificus-5.0:Ppa_Contig540:2020:2355:-1 gene:PPA21837 transcript:PPA21837 gene_biotype:protein_coding transcript_biotype:protein_coding MSESACFPDCASTNPDLKFSSVSFADVVKNEKKIPVFEDRGVQTVEARPPMKGETIHVVNGVLQPGPFMFCCVRDAGRLFEMMKRD >PPA21838 pep:known supercontig:P_pacificus-5.0:Ppa_Contig540:2571:3152:1 gene:PPA21838 transcript:PPA21838 gene_biotype:protein_coding transcript_biotype:protein_coding MARFTMPKKSSSSTVFTTVPRKKSGRAVADRKTEKAKHTFTPPGKNELCAQFEQSYLAHPQRAVIVHMCDECIEASVTCKKEVKKGERHGLVLLLCRTCVFANRKCSQTLYFNTTLKNKHDRVDEEEEEDKAEGDGDINDSGDDGEDEGDSGSDDNTGAITTKKSRVHDETMSSE >PPA21840 pep:known supercontig:P_pacificus-5.0:Ppa_Contig540:6968:7515:1 gene:PPA21840 transcript:PPA21840 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMMHELHVFSNSIPRRVFLTLVSASAYNGDYSNPFVFKNFDLQSVRVEAGGEVIPFHDYSLNYEKNHYAKAYLALQEALNVAGTGCSNGIKPSTFAKHCCIYAFDTSGAEADQFALQRIGETTVKLNFAKDVPASG >PPA21841 pep:known supercontig:P_pacificus-5.0:Ppa_Contig541:1003:7100:-1 gene:PPA21841 transcript:PPA21841 gene_biotype:protein_coding transcript_biotype:protein_coding SATDEDFSGMKMDSTVTCEDGVWRGNTMSGTTPTTFEALKAYYTCIPTDCAPIIADDDACGTTSCDKELLNPTDHSSCQDGHTLYVKADGKPAIAIQGSLTCKDGVWKGESIGTGPFTGINIQATCDAPCIKPTKFECNTPEQCEESKQTDTTINCEGKEGFVLLVGGKPSTGLECKHGIWKGTVDGIDFGNANVAAACRKETCSTPTPKDDICQDDQSCDHKQSDNTDTTLHCTGKTRLVASIDGNKHSGVEIDGDVTCVGGLWTGKKLGPNPHYFAELNGYYTYCVPIIADDDACGTTSCDKELLNPTDHSSCQDGHTLYVKADGKPAIAIQGSLTCKDGVWKGESIGTGPFTGINIQATCDAPCEELLLVDTCAKFTTCENSVVLQTPYGIKCNSPDYVLSIKWVHSSSLTCKKGVWDGEVSWTEDGPFPIDSTSPITCVKTTCSTPTLSTTICMTSDGCSSTDLQPSGTKYSCGSGQTLVASDSNLSNSRQKTSENKIECVDGWWRAVVDENAQPDPNNLYLPFDFHAKDAWLSCSAGVLLCTAAVPDNAICPSSDFCDASPLMKTLSEASCPSGLTLYASSEATPESGTPVVGKLTCTGGTWTGMVGTTHKTWNNVFVTCQRRVVDSCVNPIKTETICPPPSICDMSQITTNGKFVTCTNNAQLFVSATPNSIGKPVSGNNGIHCENDGNWHGSLTSLDTSYNEPIAYVTCENKAAMQGDNNCKNCPGVPYAPPTNTDRFIEVFNFTGTENYGFPCTTGGTYTAFTQQYAMCQATRKWNIQIGVGWANYTNGAYCAKSKCPQLTFLNTCKDSWRNCDDKVDYGANDHYRCTSNGAKVTVFDDDDHSFTLSSSGENLRCNQTSGRWYDENKKQLFFNPTKYQCSFGCASPTYSTNVCPTSSANCNSNNNQLQPNQSFSCSGRKIYVSTTNSDTNPGYATQQFNCNAETGEWTTSAYTPSDSSSSSSKSFKAPFFFACAAN >PPA21842 pep:known supercontig:P_pacificus-5.0:Ppa_Contig543:5071:7196:1 gene:PPA21842 transcript:PPA21842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-fkb-1 description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:H3FHU4] MLKSFSALTLATRGVSLATHGKPIIAVPKLGPYALFLKDNAAKSSVKINKTSIAGFGKETAAKWKALSEPEKKSYSKRAQEINDKAMADFLKKPEKEQLKLEAEARDAKEKLAKKRERRERRENWEKTGHPKMPTNAYLLFVKEKMNQVTKVSSKEEAASRVKALAEEWRGMTDSAKAKYVKVAEAQSEKYKIELEKWKKSNPEKKDAPSKKTTMMKLAIACALFGLVVFSSIVEAEEKKLQIGVKKRSEKCEMKSKKGDTMHMHYTGTLEDGTKFDSSRDRDQPFTFTLGMGQVIKGWDQGLLNMCEGEQRRLTIPAHLGYGERGAPPKIPGEDSL >PPA21845 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig545:11046:11966:1 gene:PPA21845 transcript:PPA21845 gene_biotype:protein_coding transcript_biotype:protein_coding MTEREDIVHTLALRNARLGKTGTLADIRINTKTKNLDDLKNTVPVTSTFTHDDDDDDDLPVGADYRTVMAQAIANSEPSTSQGPSLSDLPTAQKMQPAFPLKKSDGERTEKKDAIEEKSSPMLKKVISEKGGAFLVPRKIQMDSSKNNGNAADSFGPALPPSTNDDPMDEEED >PPA21844 pep:known supercontig:P_pacificus-5.0:Ppa_Contig545:591:3771:-1 gene:PPA21844 transcript:PPA21844 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTGKEVLPGFAFCLPNLDRLPPDFRTFLERDLIEVPTQRRLENSRHLNWWNSIGAKLWPLSTTGDGNCLLHAASLGMFGLHDRQLLLRNALYEILLRGSRRNALWRRWKWAESKANEAAGLQLTDEEWATEWKNVVELAAPTPRKTDESTASSSSDQIYEGLEAIHVFALAHVLKRPIVVVSDTVLRNANGEELSPIGFGVCNANGEEFVFRLDSVECIFHSNALLNNVIDLPSFSVTIQLILSSVCMKDESTLQQIIPMIDANRNVLPLHFAVDPGPDFTWWRDEEDERMAERLEQCEADRLAYMNEYMDLVRIDVRRGSARKTTPIRRETSVEKKSLTLGSTGVSASVPQEKKRILNEITQQVRRTLRLTSSKNKENALDVKMCIEALSRTNCIIAAKLNATSHEYIEEIVTEYIKVARERFANSKEKQPGRARLGRSFSASSLNFSCINNHCDQST >PPA21846 pep:known supercontig:P_pacificus-5.0:Ppa_Contig546:233:6407:-1 gene:PPA21846 transcript:PPA21846 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEDDTQKKWSDPLEVTAPGQVPQLPQPPQPKPSIKGSMGRSERGRKKKTKDPVAGGKRKKTLSKDSTAKEVKEKTPAESVLPDPRVRESKHWLVRSCALEKEQADADKNFDLATKAAGKARNCSDTSTQEKNQEEEKELKKEAKKKKKKDDEQKEVFLNEKASHEYCYRSHTKHQDSPNAKSPEASSTMLEEMDFDHRVMELTHVKKLTTWKLAFVLMSNFFRLPIFLIVTKYYVTYGASFIGVCGLMMLAVGIPIVFLELSMGQFTSLPPNTFFARITPIAQGVGFSMIVLRCFALGCIHLDVRYIFLFFQHISYAGAPADDRCAHAGMPFCRTHKMCDDGYEMGLYGACIRPPHAGTPDVAFKDSVLGEVMKLRALQSNPTQLLVENELSVHNLYVLFSQLGLLLLSAFPLIDGAEFFASIAPFLAISSFATPFVIFFFLEMDGDLSKFRAGWNGDMDGFADPFAWIVAFCLVMSMFNVGEGTWMFIGSWLNFRHKALFRVGCQLVLIFMMSFVFTVWAVNVVHYQHNGFLTHEQTMSILRDRPLEFWITLPTKVIPDKHDSYMFLAYVLFTVNSLGYMITTGEYLLVSMLRGFPWLVRVPKAQFRGTALLMMTGCSMFLSPFLIDGHVFKNAKVHYAYLAMMLVVIFYEMTIFVYIYGIQRVLVNLKVSYKPSPQALINFSRLTSYLKLCYRFIVMWLLMLGFAYATHYVTLNNMSLLSMIYLAVITLPWIIGFWKMARHSETTHAVVTLFRSDARFGPSAVEDRKTAATEENKMGSMGLH >PPA21847 pep:known supercontig:P_pacificus-5.0:Ppa_Contig546:7688:8169:-1 gene:PPA21847 transcript:PPA21847 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLNGSQSALLSLLPGFNHILMQQVIAQQAKLRETRERECENEDDDRRDDGDQSREEEEKDESNSCTDDSPMSNKKREQKSQTRSRDDSSSREDSKSVRNIVFM >PPA21848 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig547:36:12242:-1 gene:PPA21848 transcript:PPA21848 gene_biotype:protein_coding transcript_biotype:protein_coding NQLPNNCVRNFRVFSDDSVKTNTIENRIDAYSILFAMLCHVQDAVKRFEEVIIASLALINDKDEDIRKDYAYAFKVIVSMNPSQKLIQAIMDAFEINDDEVHSQEQEQRIFESSAPFLAHCASESHDVKFRQWSRERMYARALQLPSSTLLTQFAHDLHISEAKKDPEIGADPKRFFVRQTRTLTRMLSSELLSTVVDWWKDRGEYKEDEWIKEVTQDCDYVLHTAARIWGFDSIQSLLRSCASHLVAECIVVEHSVHGAATMVIERDRERRSLIEECVPSIVERIIKASTASQSAAKLAKEYCGASMRDLLNARRYHCTFFVLRMMAENEEKALQLLLTINRLEKKDDATLTNVLKIRCEYLGYLLSLRRSLLEDESYDLRGKILKSIRVIITMLEESFLDEIASKLMMVLRAATAVGEEAVEVWTAFVEKLGNGILVSLLPQILIAVQPLLRFESSSGLLKTIFEQRDALKNEQSASFERAVMVLLGGEGSFEDDDGSMRIRKYLSRRRVTPATSEDVILGCARMLHEEGETVGRVVLRRLVSTLDGRPLRDDLAAAVMPALLHTIRVCADADVRLAAAKCIGLVGAVDPGRLGLSLEAQRREGKGVEMGGGGVKKILFVNSSNKEFFVDLLTRAWRVYASLTDADMIDIASFAIQSLLSKLVGPNDENGVFKRLPRRSANWTRLAAHVVFSIVDTLERYAISKSEASRKDADHKKVIDLIKRVCSEKLADGSLLVVVAAEKCQCLLRALRWCEQFAIGGGVTEYAHA >PPA21849 pep:known supercontig:P_pacificus-5.0:Ppa_Contig548:3538:4184:1 gene:PPA21849 transcript:PPA21849 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHRRTRCMVVDNSQLGKEAHSSGKLAYCIHVYKQGFRAKHMPHATLGDKVLVAIRGEMKKAFVVGANTHVHLRVTTAIPAQLKTKVDNAQFAKVLAISNKFL >PPA21850 pep:known supercontig:P_pacificus-5.0:Ppa_Contig548:4319:6428:-1 gene:PPA21850 transcript:PPA21850 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRSRDKRKDESMEIKRSFVLTSFHINSKILEEDACTAIRQMLSKGDPFPHVHLDNFVGDGNRAIHQLEKELLTMDWRRRFLTTSVRSWLSETTGVELTSQVDSTGSVYTNHDGLLPHSDLIGTRHFAFVYYLTDKEWEEEDGGYLQLYSANGTPKIIVSADSWHRVAEVLTDRYRLSINGWFHSTRKLDPPPINIRTIQRFSPTSMDVDLSDLVVDQYQKPDMVAQVKMFFNDESEVMINDFVEESLNASVLQSLNKTKFSPVGPPSKRNLMRAERSSLKGAAASLYSILSSSSFALYLTHLTSIDLDETQLSLQVYRLECGSYSISGDEELEESTKDGHCLDCNIFIGGEGWDESAGGVIVYAGKGDTQELIRLQPSGRSLALVLREPDVYSFLKYANSSASSPIYSFSLTYYNVPMEDAEEANDS >PPA21852 pep:known supercontig:P_pacificus-5.0:Ppa_Contig549:6737:9622:-1 gene:PPA21852 transcript:PPA21852 gene_biotype:protein_coding transcript_biotype:protein_coding MIELLATNLFVLRSWALDSGLVIARNIMESAEQVLENMPETTVMEDDLLICSACQGSTDPFYQTYNLIMSGCIMALIGIVGLGGNCLVVRVYLSRTQRIHSSSIYLAALACSDFFLILTALFLFVLEAWRHHNQPSIAWLYVNGTPFIFPLASVFQTSSVYFCVAAAVDCFISVVVPSLKGMCCTPHRARITVLTIMGFCLLYNIPHVFEVHKVSCWDDTANVTSSQICPTTFRQNVFYYTVYYTYMYTTFMAVGPLLLLIVINICVVSMVVYRSKTRPPAGKGGEITERGTGIAEEAEESDLTSLILVVFFFIFCNSTALFVNFLEMILAANATEENPPSNIFVYLVDFSNLLIVVNGTANFFCYLLFGASFKRTLKEILNPRQKLKLRVDESNEIIPVGWKSEPTKLEGAALL >PPA21851 pep:known supercontig:P_pacificus-5.0:Ppa_Contig549:977:1728:-1 gene:PPA21851 transcript:PPA21851 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGHTIRVSKFKVDSLFKATVQPDDKNSERHVLLLDQPALNLFARDFYIAADNDERLAYMTLIRDVLVLLKADAQTATRDAAEIIEFETALANTSLIPCDYAFLQEEIVHSLT >PPA21880 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig55:180945:182176:1 gene:PPA21880 transcript:PPA21880 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVLFYRKKLYQSKVTGVFKQVGHFFGGAHETVNILTSINRATAIMIPQSHDKIWKYGIPISCVIVIIVGVAGSWHLFDSTAIFFPFLFDGEVYFTMFQGYNKHPEISDTRNAVIVALVSPSISVPLYAIAICFLRKKWKIK >PPA21922 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:394548:397580:1 gene:PPA21922 transcript:PPA21922 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGAVWMGFAFKEIDPHTYFPTRKTALAKTFQNMQLGQTQTMVWGSEKERWDATLFVVGTEKEVQSLIDDLIDGTVLYSDLNLDQPQVEGTQPKKPAKRGRKPKAAGPSIGAWDKKTIKEEKPDDGYPKTPAKRGRPRKAAPAIGAWENKVIKVEKPDDEAVPKRPRLNDEARPAEEVNGGEEEARVEETGENVVVEQDGEEESDEPEILGQRLVRPPGQVINEDEEEEEGHDEAEEEEDVENIEDEEEQTEAMRRFRAAEARVDAAERIVEAREAVLEPLYQEFREAKRVLAIARLDLEEARKIKDEERRAMGLEDD >PPA21910 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:327134:328035:-1 gene:PPA21910 transcript:PPA21910 gene_biotype:protein_coding transcript_biotype:protein_coding MNLISVVCLLLVASFTVAENLPDKFFGSFKLDRSEKFDEYLEEKGYGFITRKLVALAGVTKVITKAGPDTFNFDNLTTKKDLKYKNIKLGEEFIGEGLDGSDHKITFTFKNGVFYEKHVPTDNDAEQKEDEYRFHMEEDELVQTLEYNTIVAKRFFKRQ >PPA21887 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:224246:224939:-1 gene:PPA21887 transcript:PPA21887 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYWKTQIKSLVKSRMERPKDEWHFKKWKMSFDYIFNRIEWSLLKGVKIADLDGLEKERTQKIHTHLELWKAREYGSISDARNLLMEREEIKEKNSSASIVTSKVPTRMPRINREIRSADRDYDEKMAIFKSLNLPFLRRDNREEYLRLLGAETHIRLCYEHQSEFLYGIKGQQEKIDDT >PPA21947 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig55:491564:492035:-1 gene:PPA21947 transcript:PPA21947 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEYSEITTIENLLGLIGNLDDGLTNLKGKLGHGGKLIPGLESVKGLLGDYMDQLNIKKRSSSMVDQYPVTEPEREKRFTKENLLGLIGNLDDGLTNLKGKLGHGGKLIPGLESVKGLLGDYMDQLNIKKRSVVE >PPA21884 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:209259:215603:1 gene:PPA21884 transcript:PPA21884 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLVAALVAAAFAARDSLKWDHEVRSESVDGVDSFKAGFEYRFNLESQVSNGLPVPGSQQSAVRSKSLVTLSFPESETVAHLRLEKIRVASLQKEIDEPKKIQPFELFEEIELNEEHLRTLRLPVRFRYENGMVSDIEFDREDLPWSMNIKRVYINMLQVNLSQKNTHESRFNTEFESKKENVFSAPEVTLEGDCEVVYTVLPESSSRRERREESELLVTKSINFEKCSRRVGQRYNFRFGDECPSCENKFNGEERNIESSTVFNYRIAGTPSRFVIKEVELRSVYSYAPLSEQETFFTTFVSGNMRLIEVNKEQKRIAAPKSEKKETLLYSMEWEKKEEKYLATGDESLLKESPFPEIKNKHEVVARLIKSVIVKMETEEKGIELAATQEMARIVKVLRFATKEEINRIHKECSSESQEESVRSQMKEIFHDALALAGSFNAVEHLVEKIRAREFSPLKSATLLKQLTSIRVPSEKIVKSLVSLCKDSRRQPLERQSCWLTAGALMHGVCGDHRDKLAIESSEERKCPRDIKEDFVRELVEEFERAETRYEKVLALKTIANSGMDLIVFPLEKIIRDEKEEITVRVQAIESLRKLRSVLPRKMVNILMPLYKNIREHPEIRVAAFNQIMHTVPEKSVIDQITFQLDNEPSTQVYSFVYSTLEQYSRSEIPCEKSMVDSITSALRSIRSQPRKLLSSTFKHWTIYNEENKNGATLNWAALFSNNSVLPTEVMTSLETAFAGQWNKYLAQIGIHQHNIDQVLYKILEKVEKSGLEEVLVRGKRSSFKPTEILRGLFSKLAIVSRKQSEHDPHALVYIRFRDMDYALLPIDEEVIPEMIKTVLRNGRIELGEIESFLAKGFRFNTVLSSFVYERTRSIATSMGSPLIFSSKMPTIFKIDGSIKVELEPRNGDSLDGLRVRVQARPALASTHITKVEINFPMVSLGVKLLHSASVNVPVDMTTEMSWNKKFDHKTTLALPKESRRVIQLQTRPITFVRVWPKETRVYVEPKEKTIYVEELETLVHKIRSSHLEKATGLRMNVEGHVHGHIWEKGTEGIPSALLIGENNLEISFEKTAETPKEYVIKTEVSTFDEESRMEKPSMEKFFEKDNEEHFKTEEYEEYDGDEKERRSSFNNYVKSYKSDKAYSHRLWAEIKAVGGRMERKVEIDLRSVCDEKLRHCKINFDGLTTPLLEKETRDWKIESSIEMLYPEMPETLEELLKQKHRELSINVESRWGSDYKNELKMKIQGEQNKEQKRWMKRVAELRERKEDSLTALEEYTRLVEASMLNQYKVLAKYDIACPMTRSLFERFYVYSKVSAPWFSSTYEFGHNEERIVRALLTIEPSTRQYANLTLETPSEKVSIRDFRLPVPLRLVNIRRQSMTPIRSLSTLGSNLVEETKAMCTVESRKVNSFDDVIFRTPLTTCYSVLAKDCSSEEPEFAVLLKKISKDGEEKKMKIVCRKSVIELEMDKRSEKMRVTINGEKVERVEKLEEARVYKKNEVVVVELDDVTVEFDGYTANVKLSEYYKNKQCGICGHFDGEKKTEFRRADNEETEDIEEFHRSFLVKGEECEVEEEKLSEKRNYRLESEESSSEEESIFETKRNNKNKWESKKNVREEKYEKETEVVEPIEKTRVIEYSNRVCFSKSPVPECPSKSMENEDKMKEMKVKFTCLPRSDRETARLMRETRRDVLSLEDFPESFVETINTPKSCLAY >PPA22045 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:963359:965057:1 gene:PPA22045 transcript:PPA22045 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLNLSLDEIIKQSRSTKGRGGEKGFKSDGISGRRSGGGGAARRTGGGGPRTGGSFTKSVPSGKWRHDKFEQNESGGRGSGGHVSAARGSTGGLAVGSNRKVRVNVSNLAPTVTTADLEELFSSKFPIDSAVCHFGETGEHLGTGDVVMKMNQALKAIEEFKGVSVDGNRLVLAIVDGGAGSIFDRVQVVKRVSGGGIEKRSRDAPVGRGQANRRGGERKSSNGGGRHPLDRFDEGESRPRQVAEVEPEELEEERNSMLN >PPA21913 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:335129:335881:-1 gene:PPA21913 transcript:PPA21913 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRFYNSKATKNVQTEPAGKKAELKPKQEKEEKERKGVEKKPGVAHEVEKESISKKCGKQTKAAPQQEKTQGAQRKKEKETVVDPNVEVRKDRKANEDQKKNERSEPQIEHESNKGAVEKDLDAYEVARAKGKELLDLLQCGSKT >PPA21997 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:727429:762345:-1 gene:PPA21997 transcript:PPA21997 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTDLTCNEAMPPVTDEKVDTRPRYNPPGGPFYAAASTATPRPGFPHAKPPAQRALYPGSLIPSISGLPHGLTLPGSSSGNSHPRPNPFPSMIGGATTSPAIAAAKEAEIRREAAIKKENEEMLKRSYEESIRANMRRINNETGPSTSTAPPGIIRPTPQMPNQYGHSMLHHPQPIHPHSTPSTSRPPAAQPGFLGHDYLGTVSQVKQIPKGGGVVHAPYNPTMKQRLNQQHAIARPIPRHADGQPSAAAQYALSQAAQAQQEAMVHARALQEKREAEQRAKLELERERAIAIERQKREEEMMIRAEMAGIAPIVRPMQRGVNLPILDPSRHNRGLPAPMPSRYVSNHNNIGMANAMTNALQAAHAAATAQKEREEREAREKFEKERDRLERAAAEPMILPPMPHQSPMHIPSILQGRNSWNKSGQQNSPLRPGYSPGLGTSTSSGPTTSTGLASFDSLLGLSSSPYARFPGNLFGGTPYTGLGQHVSPTPTTPQVMATPSPRPSILRRKANDPSVSLILTAGSRPNFKAMNAAAAAAVKSHPPPVPAPPAPKPVPPADEERSIFDYDSDESPPRPKSLNLADMPIKQEIVEEEDTKPVIIHRPVAIRPTYCPLTGQALWPTITLQSAGSDLMRAILRLLENWPLLNSLRVITLNDAKKQEIKKEILDQPSTSTASQPTTTAIKKEPGTTSSAEPTPKKRQRKQQFDANQAPEQIRMQITETPYMPIMGMRSTEFPGEFMYYTLPPEAPKKKRGRPSHQMKEQQMMEMMQMQQQTYTRSIIVDVDEPKIVPEQPKKKGGRKPKHQQQPVLPPPINPSRLIKEEDVEPMFTPPPPTRPSSCGRPKSALLQHHHAALQLQLAKHDQMVKEKEEQAKRELQEKERQTPKSTPVKAKTPPKEMSALAYANLCSENYPDEFDSDEELVDPEALVCAKVVAGQLGLINPDGEPRETTPVRRAREKRELAEEEERNKEILRRLNSAGFMQLQAAIEKREEEAREEREKAEIAEQERAEKEQKQLGLIDRRLRFLSHDKSIVRKFLRKTRRERRYEETSEESEDSEYEALLEDREDEKREDDTEKEVEEKEDDDEEKEEKEEGGEDKEDEEKEKGEVNIPSSSKDDEKIDSVESDQDEIELMEDDLLSDGHEELEGIQLPSNSSSNLEFFEYKRDGTETETTEDSEESKKSDEREIARKEKKEKKIEEKKKMVEMKKRIQMDDKIMHAQMRLFMLNAKLKKMNKAKRKDRNNKLGWELDTIEKFMKEDATVQHMRRERRRMAKMDKEEKERDKAEKWMRLEGKLGKLYEQRMKEGKTPLGTELDHQIKFFERVMSDYEITKLKRRRDKRKKERKIEKNSRGKDMMKKKEVKSFMKKRQDKKKLEKQKIKREKKDREIAKMSIKIRTRLEVLNQKRKEERKKKNKGKMAKIKKIDQEINNLKDKLKSEEFVEFRKRLEERKNKKKIQQERMKMKARKLEIFKKLDELYDGKKAKPNQTMDNEIVWEIEYLEKKLTSKQLAEFRKKQNHRKIELQDQIEKEMEEKISTATMRLWILHEMRKQTDANNELDWEIEALEKELNEDAIVEFRKKQESRNANETFLDMEEKKMKVSMRLKELYADMEKLDEDSHQDLVYEIKYLEKDLSEEEIAEIREDLEEERRSDRMQVTSKNVSLRLAELYKKQEDLGDHLDEKIEWEIEELEKELNEVISDESDASEEIDDESAADEESSESDDDEVDEKEEELRPLIPLNALGDRVDFIRHDRQLEVVPPENLVLTRPNKPTKGKKSAFKKAFGFLQMWKREHHSSEGTSGIVVDAPIDIDRDLEKARKRDKMIERAFNRMKMKMAHDRERERIRAEIIDKRNTERLTREYDDMDEDQHEIHFLVEEMVDLIEIADMERIQKEKEKEQEAMDQTDEEKEEDDEEASDEDMKESDEYQTKAQKKDDTELREDGKDVQANGDSHEKEGADADEMIDDSDAVLSGGELGESAEETEEDKSEEEDYGEDIDPAERERIQEENDWILRLAVEEVDAKLQRREEKEKEQERMEGYFADPSTASVHDSTILDCIEDLQRQREENEQKAALSSQILDISEDESEEESESGNLDITDEEEWRRDLSGDEDEKREEQNKIDKPSKDRKDRKKISRRQKKKDKKAQENQSEAVTEQADKPEQKAEEPPAPKESKGKKSAKGTKVVERKESKEDVQEMKKEEKKKEDNKKVDDKKSRAQAVVPEPKDESELVNPDEIRGRRPSVRGRKLTQKGMEYEEMKALEKEKESKKKGSEKNSQEKEIVEHKEEPKETKCRKKSVQGRKKSIDEEMKETKKEEMKVEEKKAQSEKMEELTKTERKTSRSRKRSVPDRKQSITKEEILEEKKTAEEPAVVESEKDSERKSDELVNPKGKKLREKSRGRKKTKDRSKSSSKSSSKEESPAVPVKEGQLTGEQRFYIKLRDRVYDEEVVVEPSFLLSTATKTTRLDVPPEEWESLPSISLHQTESFDVFGIPFVQLGEDELVPVEDVEEVEKTLYDVQHDESVKTLENAEIGASLEQRYQDDDALPRAISRFRRCEAVDNAMRRDTAKISADIDPEIAELEDQVIGFLTSSVRSVKLDAFVEKQMVEVEKNKLQVARSHSPINCFNRKPNSKSILGNYSIDYNPREFWDKTDEYRMKDQHDQFIKHKFDRLEDERRAKLDGIAEARISKHDTLMDLPTSTKYRQDLAKEKIVDKYNEKARSLMLEAYDQFYDNDGNELHSTTSKGSIPKPKKPIPQSIKEHLLEGNSETILRRGRMLMFLEREQLSLEDVPAKNAEQVDEECSVWFEKLTYLVMKCRHNEAKKLEKKLKKEEKKMLKIEEKKRLKKEQKKAKKAGKTVPTTVEVQDAKAVVDIKKMNPVEALQYLKEYERHRFFEEFDLADIKEIIDKASEDIVKKDKSNKKRKVKKGVKKTVKKGLKKGLKMVKKMKKKSKKKKTTDDPNCNSDKNERGRKDCLYNLSEESKRTGLKKTRTLMEQLFRTGEVDDHLIEEKEDEIEEKEEVIEEKEAAIQQKEVKKPEKEVTAEEKEAKEGEKEEKEVKEEDKEEETEKSPLDMLVEAAAEASQTRADERQRRKSEALAARKTKSPSKSPSKSPQKRIPIAAASQPLESKSSKEPKDTETAEAVESLLEIGIDRTPEEEKFEDHVVTMTRGGKTTTKIVRRPSNAAALKKIPKIVKPLSPVKPAIPTKVNEAKSPMKPVETKVASKVVKKVAVTADTKKEREMNTDFVNLDEEDPLVMDDDEANEEMFTMKEEDAAQKKKRQTLWGVSDEAKEQMADEIEDEFDEIDFKYIHGDEEEHEEVEEEGEDEDENELSSYEEAMSSEFIQSLERDQEEYDRALAEFEREERLAEAEDVYDQIDERSEAASPLMANCTSEDETEKTRIVSASKSSEKKKMTRKEAANRFPWLAKIDNYSSNALTDQNKKLADLRKNTRQELVKNTVKIKPRVRRFKFARIHRRERSVTPFHYLLDGLPQDLEESLLLFKLIVQTTLNDSTATSAELKMLRRKIDQSKKAFAKCIKKKSVNCPRAFKSLIQFAIGRGEGHNQRVADLNSIEKWKTKLISGKLTRSKRLAILNEVKVTREKLNTRFGQKFRRSDWGIKREMKRRWRDELPSKENPPQLGNKQLLREMRLLEHEDMQFDEKEISPEVQWEREVAEKNRNANERHRKRKEDDEAVIRSKGILKGNLDVFERRAEEINYSDVEKDSDDDINVMTPAPSDADEERKRKRRERSWTFETIQNSDDDESFVDFGHAHWIGHQVFPDIRPLKSPTEDHEALAAPPKRLICPPGALSDFEDDDDDEPMSEASTVASTVASTSTAITVQAPPMVTPPKPEEQPRPFLTLAQRKAYYVEETEEERKKKREEEERIEKEKKEEEERLENIRKEEERKKEEERLKKAEEKRIQEEKYAEEERLLKERELRDEKLFKDVLSQINENTWNKQLKMIVERTMVSFRRSEAVNYLLAACEEMHCTPARMKKEICQWEKVAEKERKASGPTRYMPLKSMFDSEVSGVVKIGVKTQKKKDVMATLPDSVVIEDLVDSMCTFITAHIEDVTILSDLRMTSEKPVKIRTMSSPAARDELLVKKSIAAVDTKEAEMVERTLEDTKYTNLSVIGASSSGVILSATANSLGKSNENEVPSKKKVSFANIDAVWSSKLGKKAEKSSLVKTSLRKTLRKKNGIRPIPDSPDLISDEILERVAELNEISEEEEEEREEEEEETEEDEQEREDLEHEELNEECMDNLLDKNNKNMSSFHRMRDLINTVRDELTEAIGETMDSEKLDEYLREHIWSDIDGLSSATKMLIQDAKIEEERERRARIEMGDLPARVLDLSAVGQLERWVNDIFYLSPFSEDGQRIAFEMSNRSDASLHSQLVLRDDEDEDAVVKRIFPEIVMKWIAEETEEEDGRNISEVVHTFAPLPAHQWESIQRLIRNARQERREDGVVSDDDVDEVAVLLPRESENGKIVVVDKQEWTNDQEAIVNNDKFVELELRHSTRVFKHRTVGFNLKTSVNLWKRNYNRAAKTGRVIKALMDQKQTEENSNTKSDIRQSLRYKTLLNSIKETKRVEQERIEVLGEQIQESHLKTARRAVRKQKRLIRMQYKKKFLEEIRSYKDQMKQVIKLKKKEKGEKDENKAKEEEKKEEEKKKLDEEEVDEIIEPEEPFIPLSERPLFDNNLKPDPVIKGEPIDYGYQDPSVRRQYENPEDFLNFENPLTPPPWSTIEQPLQHAPVEASEPPMQQPLPFIKPEPVDEELSAPIKVPEPAKEQPLLVIPPESLVRQQIIKNEPIDEETPKVETPVERGGEVSVKTEAVDEEEMETKEESDITEDDEGDDTKKKEVVESAEEGTMGDEGEEGNENEKSLADGNLEPVDIKPDVDQLDHVMEMMMNSKKRKLEKLEIEEQSDEEESPMKKKKKRLMSKKKSNGKRAPGLDRASKKMNSANQDDDEEVFFEHDNESEIDEEVVEKNDIKDDSQYEIQDALLDTIPDPFKKQAKSIEELSRPLNDREASLLKILKEKRAKGETILTLEDLNIKKKETKTTKASVSSSPLEEELLNHGQEPTMNMLLNKTEDGQEGEDGSIEVDRDHLQDQHVRSEKNESEKMIDEDEDENVDDEDEQEEEEEEVDLEVVLFHSYPERVRRVLVKSIRDHEKRVEAHNKKFELYQRLAERRDIKEWAERDRKMQKELEELERREMEEEDRRDQLDCIPLDRRHLPEHRAIVDGVANDDKRRKEEAKRRERQKKRVKRVKPIDINNLPKGVILKWIKKRVNGKKMWIQRIPYQNYLERKKMRRGRVVMGRISKGKKIPLTRILHLGEHPKTKALIEAEKEAAKALEKANNVIIDVDHCRLAISKEVLGQFVSNQVLSEDARLEKKERLIAAHDKKIEKNGVKFIEDSVEVALKADRETDKMIEEDSNREVAMEGYREAIEFMRDRAVDVNTNIDHLVKIREELNEAVENERRVLNEYVNLIKTMDLVRYQELVKLWTYDIDSDDDDEILERKRWARVRQFVLEKPEGGPKNWESTIAYEDEWEEKNDRPRRKDMVEGMGPLHPKFRGIPEKERQGYFVSEEGYAVRPKKPKHFKPLDYSPGVMSDSEIEEMNDEDLEYRYMDNLMKRKTEADRSNREMAIRQREVRERGYKRKAELMKKYKKTEKSMPLPGAGLQIIPDQTSVFDPIPVTESYWSQVRRVNPDMDILLKKRLENSRELFATVVQPMYNTIRALRETRRRLEMAEGVKDDSSEYVTRKTWLSTEELEARRLRRADSDDEDYVIRKKPRLSKSPTPIEVDGDEGDDEDEDSDHHSAKSDSEKDDDSDKDDEEDKDSEEEEEEEEEQEEQEEQEEEEEEKEEEEEDSDDPEVIIEEQPKMRQRKPSLFTREIKREVLDEFDLGRVKDEPVDEPEEEPQVADVTPKRKAPVRKHRGRPRKSIGSGRSDSESPFYEAPPTSLRRATGRSMMSDRSTVDFYDEDEQIRLAIEESLKDQPSTSGSGNF >PPA21890 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:233214:234200:-1 gene:PPA21890 transcript:PPA21890 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNPLASDKNEYSLLKEEDTSLNNLFNMWCSYFSGLLAILQMVYGIGLVLFFFLSFDVNYEKWEIPLSMVLTMVFTGFIVQASALLIIVGHIKRNSQYVYMGCIFPFLECSRTSYIVFKRVYEVIFEDRGRGPKDPTESRFHQN >PPA21975 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:659724:661733:1 gene:PPA21975 transcript:PPA21975 gene_biotype:protein_coding transcript_biotype:protein_coding MANFDEQAALLPASVDGGDLIDLGHQLPYLPPTAPQDFRLEDIDNFSLGAVSQRDSDATDRSINGSSLPPGIAAGDEAAVFDPAEGDEATNGVGNASGQEERLVAAGESATKTAEAVKPKVLKRMTKEEFEESLGIKQKKKTDKKASVKKTPPKKAVTKKGAKKSEKTISKVAKGVKKAALKAAVKKNAKTKEESTKKTSVSFEEPKSSPAKKTTKGVKKIQKKASKGILKKNTKTAKKAASKTLVSGRTAKR >PPA21936 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:445366:447981:1 gene:PPA21936 transcript:PPA21936 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTDGGASDAKGYGTAKVLLIGNSYGYRTFPVLHKLFAGRYAEFRLFTKSSRMFLNKDPLDSQFDYAKLARRVIRHSKPDLIFVIEKDMEAAQNKPFDGVIEDDPIFNFTQARVRFLSDHAGSVVIDDQYFKPHLTDGVAATIADRLKREKTKPDDFEDLRIDSEVYLEEFKYGRRRLTALKGDNVIKNRVEDQICLEESCYFFNQKNLHAYYGDLALHQTTEMLKKLKPGYKRIIKHFLKERKRHFSSSFLLLSPRMTSLPNNFNTFKWDADATIPAMSFKLRELLLNQENSNTTAPLFNPSHQPVRQQNLNLGEPQREAPRRFSLARFLTNTTTRAHQQERNHETSRMFSPAQHHRHLTNTTTPASQQECNEELHRENSRLFALTRGRTNHPRPRNGRRFARGDPSRRIGGRGENERLVVHRRLSKSLPVLYVAVIGWMQLAGTT >PPA21925 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:409176:410213:1 gene:PPA21925 transcript:PPA21925 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVEHGTEAGLQNFKRELYDNAVNLMKTQSNSPKAVIAHEFFAVLEKHLRKEKDAEHQYETMDLIVDSLNGMYNYHNNTWMGRFNQSMANQKKMRMPTPTTNIDFLLIFMIIIMVLTLPVVHWSVSYATSVLSPDSPTSSSSLVYPLCYLCPIPGLPNLQ >PPA21889 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig55:229716:232136:-1 gene:PPA21889 transcript:PPA21889 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLLSRFYFFVFDRLICYHLLKIYSAQFKIRFMNLGYVNDNDGAVNNAIRENGVEESEAPHIGLYEKTLSLHPQYPSLNDCRLLEVGCGQGGGIDWINRSHPSLTSVTGMDRVAIRDSIVTGDAHDLPFKPSSFDIVINIESSHLYRNPQLFFDECSRVLSKGGHLCWTDLRFDGDEKQVLNQAEKAGFKLVSIEEITDSVIRGMEKVAQRYDQILLTAPWYIKLFGDTFRKTYCAPGTEAYTCKKGASCFYGSAELSPLVTVEMSSCSRMRCKVIGKNKCGDTTIKGVKVHACCCDSSDFCKLPAKEKRLKEKMESTLSKIKELWKITTGSR >PPA22041 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:948339:952676:1 gene:PPA22041 transcript:PPA22041 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ppa-tbc-16 MSALGGFIKKAQDALSNLRGGSNFFEGKNGDVVYSKNNVCVHEVVKSGDEAAGKDDSIVHSPGYLTVHCQSDEDSGVTLILQWLPNTTLHKNPASIRSVSPRGQSDRSELTNLNRHKKNGERREKESEEKTKEEVTAKSGDIEISLPDIDTPNDDPPQRPKTIRAIPAKPANGEVSALFVPSINVIPNTPVGLHSDDEDDVVVRVEKVEMGSTSSLSTSGADDSDREENEESSCDDSEDHDEYQSIVLDRYRRECSSLLSSTPEKYASDAGMVLNESSQGVVGSVMAMARGRSAPATSGSLFSVNLGKMRSMRVFFSDPENTCGQIVLASMDSRYKILHFHHGGLDKLSQLFEQWSAIKARSVNGSPSPQPDRHLIIWQPSVSKGELDPEDGLYDAVSHALWKAYKNRDGAVDDSLTLRKAIFFASIDSSLRREIWPFLLRVYPWESTVEEREAKKNDLFLEYQTVKRRRIKKSNTTMKAEWGDIENAITKDVVRTDKRNPFYEGEDNQNVNTMKNILLNYAAAHPRINYIQGMSDLVAPLLSIIRDECETYWCFCGLMQTTLFASPSSIHENLMEINLEYLRELLKLLVPDFFTHLISLKGDAPQLIFVHRWILLCFKREFPEHDALHIWEACWSRYRTSHFHLFICVAIISVYGKDIITQKLPHDEMLLYFASLANHMDAKIVLKKARGLLYSVCRMERLPCSLAGLVDSDASEQWDSHRETVQYECTQLHGDEMCPFDSKN >PPA22021 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:863180:866192:1 gene:PPA22021 transcript:PPA22021 gene_biotype:protein_coding transcript_biotype:protein_coding MQFNCPRVCMNRASEIEPHSAKSKPLGYNWAAVNYCERDNHVGLLRQVESVNFFIDGGFDTDTEQRYCISNTSHMLTRFDREVHEVRGKIGCGVRLSVKDDGSMWVRVMSRYPVFISSTYLDREAGFVTGDAVHKVYPGTAIKAFDLVRARRAILQMYDYQLEAMKGTNGEKPRQELPYPLTEFSRRELRAVARIGADDMHRHAVVKIGFVKGWGPEFQYKRICETPCWVEIINNRACEFIDHVMSTREVSYAFSEADSSDFDD >PPA21951 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:497961:503052:-1 gene:PPA21951 transcript:PPA21951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dimethylaniline monooxygenase [N-oxide-forming] [Source:UniProtKB/TrEMBL;Acc:H3FI52] MAAKRICIVGAGASGLPSIRHSLLYGFDVVCYEAQEDIGGLWRFKPEETDKASVMKSTVINSSKEMTAYSDFPPDDETANFMHNTHMCKYLEEYAKEFDLATYIQFQHRVLNVQRNDDYESTGRWMATVQDLKSGKQWSEVFDGVLICTGHHTRPYLPAPWPGQDEFKGKIMHAHSYKDYKGFDDKVVAVVGIGNSGGDIAVELSKVAKQVYLITRSGTWVFNRVADYGRPVDSFLNSRFYSSMRNMFLLQAMPSFLMEASMQTQLNKRFDHKLYGLEPKHGVFSAHPTINDELPNRIASGTVRVKLQIKFFTENGLTFVDGTSIEGVDTVIMSTGYSIEFPCLENGTLIQSQDNEVDAYQFMFPSVLEHSTLALIGLVQPYGSIMPISEMQARVFLAVLSGESKLPSKVDYLPYMDELAQLIGCTPPTWYNHLLSFDPPMAFASAFAPYAAYFYRLRGPKAWIGAREAVLTIEDRIVRATDARRNGSCFNALKSIALQELLFFILFIILFAHAIINYFSN >PPA21956 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:532063:533049:-1 gene:PPA21956 transcript:PPA21956 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLLLLLLVICSIALANRRPGCGCNRPSRSSSSSSSDSSGSHEHRQRRRPCRRRRFRHRHSSSSEGWGHRHHHHHHNHRPVFVAAAAPAAPAYSAPSYNAPARGGY >PPA21886 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig55:221704:222482:1 gene:PPA21886 transcript:PPA21886 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVVVLASGITHPHTKHAHEYDYSSKVQTNMICRWSGSSPICLGECEEAEHEKLQAKMMSESLTAGERKRFGMSCIFGVKKLCCINIQREPGSSCHIQ >PPA21985 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:684502:686018:-1 gene:PPA21985 transcript:PPA21985 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNITVVTILSSDCEMIEDLESRSASLPAAGTITISDDSDEGIEVVSLSTNSQRNSNKSFIEDDPTYLPHSSFHSSDRSSECLAFDEREEEIFGHDQIQHNSTVNIESAVDSVRTWMDENIRSA >PPA21999 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:768311:771916:-1 gene:PPA21999 transcript:PPA21999 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMGRQSLSRIRECTESCHLKHLYALSVHLEKLFRKGVQCITQEEFDKLSKMRHREDSLAALYGRLLLREGVRKGNYVAFASSCSPRVGVDVMRLDKERNNKSADEYINSMAKSASVEELKQMRSQPTEAMKMVMFYRYWCLKEAILKATGEGIMQDLNRLDFRCDFADRYKQGCFITSTSMLEDGKVQRQWIFEESFIDNNHSAAVCKEKRAPSFCTFSKDSEAKIFFSKVGFESLLDHATIINPLPQDGLQAWEDFCLKPRKMF >PPA21933 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:435258:437981:-1 gene:PPA21933 transcript:PPA21933 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQPKWRKIASVTLFSLLAHVNVADRFCIAGLIPQIQPYYSINDGQAGLLQTAFILAYVVFSLIATFIGDRFNRKWILLVANVAWILLMIASSFIPSNMFWLFLLLRSVSACGNAISFGVAVPMIGDLFQNDSSSRGYALMTFYWSLPIGSSAGILLCSVLSQYIDWQWTLRIWPAMAIILLLLITVFVEDSRIVDESRLTLRDVWDDLKIVFQVYFLNGFNQFIPGGNNVISAEIVMDVIPASRRATATSILYVIAYLIGDCPGPYIVGAISDALRANSDDPEVRFYSLTHALFATSSLFLPTAIVFGLAAFFLKRERISTNEETNRLQLYDDQLQVENSEVDIEEEKN >PPA21869 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:98802:100358:1 gene:PPA21869 transcript:PPA21869 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGFFKMTDNIPLTPNRRSNFGSAPLRYKYVDKTEYAANKGILDQVFESDLVLTTSQMSDVIADFQERVTGKKIRRRAKRKAIIGDRFRWPNATVPYSFKHADGKWVSVIRKGMAKWERETCIRFVPHTNQRDSVEYFRGGGCYSSVGRIGGKQWISIGYGCEGGGIVAHEIGHALGFWHEQSRPDRDTYININEDHIVKGTKGNFEKRMDIEDKDIPYDFGSVMHYGPQAFTNDWKYVTIETKDHRFQHTIGQRADISFVDVKHANRLYCGRELLHPHEEGDN >PPA21862 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig55:65360:69705:-1 gene:PPA21862 transcript:PPA21862 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKCSTRVSLPAVKMKVWDPYSQRAIKTKNIELLGTFCQLLDCTKVIEMAGLSCGFGHFKQSLKSPHVWHVLEWISDHELFTSDWHGVEIYNTITEDTTKIYEAQAPEPWNRQIYAAPAEVYPNQDHFIGGKVRDHFKHLKESFFTKCYEE >PPA22004 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:796823:801524:-1 gene:PPA22004 transcript:PPA22004 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRRPMGVLSLAILVLGLWSGAETKRAPLDSKGMCLQGQSIILITVDGYGAALLNSTKADAEHGIHKIAAMGVRADHLKPVFPTLTIPSWHSLATDQRRPLRCKIPKLLRLYTENHGMTANYMFDPNTTKVYQGGRNGSDSDYFWWKNRPKPFWYTAGKNNVDVNCYWFSYCQQPFGDLAVLLPQDRKTDLLNPDQTNAMPAMFDEMVTRISRYQPYRKQLFLIRYTGIDTALRSFGAGSDQVEQAIAKFDDHLTDLQEKMEENGLHESTNLIVLSDHGLARIVEEEQFYLEECLSDYSNRGFTGKVYAKYEQTPSQIINVVGGKSMLVIHTKEEAEDSVFFELKVCDQWENSGDYEDEVSPVEVYRANALPESLHLGHGRFLGNIVVITKPGTTVITRQLPSVPARETEREREFMGDGWNNTAESMLGIFVARGPAFKVGERADPIELVDVYNMVLNIIGIPAAHTNNGSWTRVEPMLQEDWENRASSQTSSAFAASLFLSALIAVFVRLIYYLEDRESNVGDGDLVPLDLYVSRAGVQSGDL >PPA21873 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig55:119465:130184:1 gene:PPA21873 transcript:PPA21873 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSKKKVKRAQAYRRSGKASNRSKNSRPKTSNGNGKKVANKNKENEEKMPTFWAIPDFNAASTKGRVKEINAVVTEAYEIFVETRAHAKWLNKGEDEKTYGETNPNQIRQYAKKLGVTKGDAEEIVGIEIDFELAMLAQNIGRCQNGTQLCVTKSLDGNRYAKKTVESSDDLDEIKLETILPQSIVEEENEDNEDIEIIPKEKQRQVLRMKQLAAAHYEKHNVFDIYVDVTEVDKIVDGFSWSHYASGVECLIYTRNTDKEDTVRGVWAKRKEVEKAKMICAAEKREERASRKRNNKGQLIEIHKKRDSPTLSAFSSCSTIPHESTPSSFDGEILRQGTSTPSSASIDDERMEIDDNEYSEFYSALFVSPDVERDSILNGHSIVSNASIPSTSSSMFNSPSEHVDMADVQVEEKSKSSYADVSSRKRAASDTKADETIKRSRFESSASSSASQSTRSSIEKAPLRQANVVEIFISDRAVTKEKCCWQTSGKICPFSGAPEEIKRARFDTSTTTSTLSASSSRSDSEELNQEDQDDSSTPSSTVIFGDNKFCEFNATTVEGSDDLPKKPDVMTNAPSLEAIYVKKIKEIEDRIAEIENRQNELPNSTHLSNEYRNDCLQFIKRFCTHRLVKLRKMTLKFDKVDTWTGEKYNQREAGRKYARKDQSSVLLPGGECGQPQPPLDTLPKRREDDPFAEGSLVQEYHAWIFFMEDATPVGKITRISSGQVVSIDVELSNDATLRILFTAIEDQHSAFIIALFKVAYYHQPINPIGSSILALHNSSFSFLLLELRLKDIGRRMKIASLIAIEPSYSDPFGPSSQIQRDFVSLVARRRNFMEI >PPA22039 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:941759:943351:1 gene:PPA22039 transcript:PPA22039 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHLIAALLACTSLAAAQSIDKVHLGFPEAWDGDQYKELYCPSKNIPRFLDGFFLCQLSAAYGNSSAPSGHKLNHMIDAIGAVGAFRIRNGQVTFNAEYYPSRPYKIWEFYDRNMSKSSVPWAGWSDYNISAMARWEQVPNNNEAARFHPNLDFWKVGKRIIAGTEAPYWVGYEFDVNQLNKFKLYPFTEENDIFATPRSTMIPISMAIHERSDPDGTIWGTFSAMNFDDQRFYQV >PPA22034 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:918206:920008:1 gene:PPA22034 transcript:PPA22034 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLQYAAYRSADDLPLWASEKLHVAIRTPVVCMIVETVAITEAEKDNADRKLIREVAHHGRPYPVQFTEAPKNPRPKGWALRSTKKTGRYDPVARKLVDDLFEQYFSNGKKLRPDEAEKRMRERNDILPAQRMTFDQIRNRITTLLSQKKEHQRKEHGNRQRRYVKLIDDFERDLAEEGISLDDIEEEVDLERPLDEDDLIITSDEIYDLVHSNMDFFDNPSEPIFSDFGEFEQ >PPA21948 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig55:492335:492794:-1 gene:PPA21948 transcript:PPA21948 gene_biotype:protein_coding transcript_biotype:protein_coding MRFHAVVFFVASLATIDAFTKDSSTKKTPAHPRLRIRPTAGLNLRETPSTVLSGTKGAGFFLQPLRL >PPA21942 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:472978:478757:-1 gene:PPA21942 transcript:PPA21942 gene_biotype:protein_coding transcript_biotype:protein_coding MEARMEWTDRIDQSGNDTDEAVHDIESDAPVEIFNEDVERDETDDDQLPCTSDHSEDELKEYDVEEEKAAVDVKEEEELEEGAEKIIIEAKNFREWELFFMLRSPFGAVLKFLVVNGEISESMRTEMNFHLKELFDCGLPIKKEYEKTEMWNGLTFDDVEEVARIMKALSLCVVAIVERLDGNIRDHVTSEWFKNEKCDSEMAKTFSNMALDRNMANARALNKGYKPFSNEVYGETSFDQIENILNELPLSESDVMVDMGSGVGNVIIYAAAYSKARRCIGIEQATLIYINNYQFDPKLMAHILGLLEDCKTGTKIVALNSLMREREDTEIEMDEDGKDIEIKIVREVSERAIGRGVVTSDMFLDVSKDITLAEFPCSWADGKNLRFQPFSINKEKLIRLIAKRVKEDEKRLAMKRAKKGGNIVKKEMKKAKKEEKDNEILELLIYDETKENGVSKKTVKAKGTSKSSRAVLGNSLVRNNSAAPRSIATPKCTTKQNRKKSVISEKEQGDGSFKYETAWMNYSDRTWEPRESFEGSVAIKMADDLDRFLDEGASLPKWFSDFKKKMEEEKETSSSSSRKVAKRKAVLDEVDTIKEDTNIAEHPLPPQTAYHFWMYLEGWKYGVTASVEWKKISDKTKWKEMEKTDKARHESEMKLYMKRKMAIHIDDIPQLNI >PPA21866 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:85061:88535:1 gene:PPA21866 transcript:PPA21866 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHGYLIWFAVVDVQQQVAFDHQKIEELPYRLIPVMTYQPSPSITNGPSTSGITQPRRVDPTMITFPTMVRKPPMNSIRKIHSTPKDKTRAHVDSTDPDYIDTEESRSNLKQPTSVGIAHEQTTMRLRDRTFLHKRAPRRVASAVVLPAAMDSEDEEERGLKASGQRVALTKVSFDGYPKSVLSLDTGSHSAFFNTECAVGPNCYRSLFSALPKQIEGLRLTQLWQSVLIRLVESSREQKALMEAVIDRQGESKQYPSVTATFAWGGCSRTIFLAPPSDLQEANNNISAILAKVGMCSNAIRFGTRMSCSRCVQD >PPA22042 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:953899:954316:1 gene:PPA22042 transcript:PPA22042 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVFLLISLLIVSSLSAQWDDTEWLRPRRVCGSNLMQIAKAVCSQCKEFKPVSGNRFNIVEECCVNECSLSAIRAECCLDD >PPA22029 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:887347:888672:-1 gene:PPA22029 transcript:PPA22029 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVIESPGSPLNESPKRKVVMFSDDVQFYPYFTLRQPTRCQRMWGAIYEFFHGIYMRFENYFGNDTPHLVAYRNVETRPPVVRSHEVNPGAPYRAPLLTMDDMMGDGSSPRRKEGVRYLAAPPIVV >PPA21896 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig55:257300:259191:-1 gene:PPA21896 transcript:PPA21896 gene_biotype:protein_coding transcript_biotype:protein_coding MWSMKSSCNFLSSTFAQIVFSLILILTASYYYRFSIVRVYFRFINSFTPRTSVSTPTNPLLLLSAKKAARMIRERQITSESLVEAYISRIKEVNPLIIALVEDGFEEAIALAREIDVKLDGASKMEIEKIEKYKCTIGILARKDIVSRRTAPTVRRLLDAGCVLLGKTNNPEVCLSFYTTNQIYGTTRNPYDTRRIPGGSSGGEGALIAAGGSVIGVGSDIAGSVRFPAHFCGIFGLKTSVGARLSKNRTEAQSKKVQFCETLGSELLEGADDDGQYHFSLGASVAFRRRRVENAPGVEFDGEHACKVHFT >PPA22009 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig55:821410:821912:-1 gene:PPA22009 transcript:PPA22009 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTVSFDIIWLSLMIVSIILGALFLIGACAKAILAFSSLSTDISVDKAQADLSRRRSRMDYASLTLFSTTSPFHYFFISIQV >PPA21939 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:461368:462745:-1 gene:PPA21939 transcript:PPA21939 gene_biotype:protein_coding transcript_biotype:protein_coding MVNELFEQVLDYTPLNSQLNLRASCKRLHDRVTERIVELQAPDECVIRKLTLSRLKNLLHIGVDVDQVATAQHFIELGQQAQKTWKRKNPKDMRCWFTFPVEGRRDFSFFRDAKIDHFMIEKRIPLHMEKENITWLKTLLMGSCIDKVSLVLDARTIPQM >PPA22032 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:894503:896590:-1 gene:PPA22032 transcript:PPA22032 gene_biotype:protein_coding transcript_biotype:protein_coding MIMITVIRMDNTRTRTHRNHFITLQSLLILTDSFFSLGCCVCMTGDLAFYSPNFLLPPFMNMKMCMCVGLLFLIGIANTYFSSVLARNAETRHSTQVVTPHDSPDRYSPCQELVLRYAPCLMFGFAPVLYWSLPESELTPEEVNEVVPWISEYPVHLVLRFTIYLKGMFAGLWCVHMFFLVFVIILFSRMVTSLRMRTKVTSASTRRAQNSAIIALALQIFVPLTCMVVPGFGLIVGMAFSFFSRDFNALTFLLFNTHALFNSAVTIAFSAHYRQSMAEFISRRVSLMIVTTR >PPA21953 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:523081:524710:-1 gene:PPA21953 transcript:PPA21953 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNVAQTNSSPTVNSFVPPIPTNVSAKDEPLLLPTSRKRRRSSTLPDTPPKPETIFTEAGYKVKTRDSVAHLPLDDEDRAMAELELGREMAHAVARGIDPTILARRFFEGLLKDPHFSVSCSSSSSTDPTTRPVLKIAPDLSDFLDGFTRLNLQKIGAATLNYQRYMRKEVMKLPDFSSMNNFVSWNLVRGRWIDTFGGSQKEDISNESFMIYRLRSKDTRIFKEQYSSVKSESASLSSIEITFNVLKKITLWQMIRLQDVGIFLNDSHLFDAPNSRTLEQLIENMAKKTSIVYTLKKMGVFPDSKEGIRLAWKHDSNRLQKEESAIKKVDGDGIASTKSDLSRLQTAEVEITSLSVLDLTYSNSML >PPA21934 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:439629:440480:1 gene:PPA21934 transcript:PPA21934 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKYVPKNEEERQLLEEFEEEMKKITEAKESAQSETNAIKKLIEAEREKRISAEDEYVELKERWTKVEERSKKNKQRAEDFEKKSSEIVDRRKKAENKMVELMLRSDKVEERRISRCPKTITEESSKTVENRPKQF >PPA21963 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:565166:570655:1 gene:PPA21963 transcript:PPA21963 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSVNEVEVLREGECKVISSEDLVVGDILIIPRHGCTLQCDAVLLNGTVIVNESMLTGESVPITKVALTGIDEDNTHGEVRFNFDKHSKHILFCGTGILQTRFYGGQYVKAVVIRTAYSTLKGQLVRSIMYPKPVDFRFTRDLFRFVIFLAGIALCGFFYTITIMIIRGNDIGKIIIRSLDIVTVTVPPALPAAMSVGILNSKSRLSKKKIYCISPSTINTCGAINVCCFDKTGTLTEDGLDFLMMLGVRTSSSQKTQKFTSELQQMDPVGLPLISELVKAIATCHSLTRIDGKLNGDPLDLILFNQTGWTIEEGNGETSEQSETETGLFDTVQPSILRPPANHRYDSKEYTILRQFTFSSSLQRMSVIVGAPDAEEPKLILYSKGSPEMIQSLCRPETVPEDYLEVVNEYAQHGYRLIAVARKSLDMGYAKASKIARGVVEEGLEMLGLVVLENRVKPQTLGVINQLNRARIRTVMVTGDNLLTGLSVARECGIIRPDKKAFLIEHKNDEKDDRGRTKLTIKQSVSSSEDIIDEGSFVTDSESKRMIDSSYHLAISGPTFAVITHEYPELLHKLVCVCDVFARMAPDQKKQLVNTLQDVEYTVAFCGDGANDCAALKAAHAGVSLSEAEASIAAPFTSKIADISCVPEVIREGRAALVTSFGIFKYMAGYSLTQFISIMQLYWLASNLTDMQFLYIDLFLITTSALVFGYTPACDHLSSTPPPTRLLSLGSIVSILGQLCIIATSQISIFVATAYQPWFSPYTVPIGDDDEDKKSMQGTAIFGVSVFQYIALAVIYSKGAPYRQTIFHNLLFVILIVVLTVT >PPA21878 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:177094:177710:1 gene:PPA21878 transcript:PPA21878 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAHEVIDISIDEEDSYDEPEYTPTSPSDSIYNISRSDSGDYPEPGYSPVSPSDFNIKNSLPDSDEYMEEDDNDIVLVDSAGNNLIKEKIDMLISENEKSTAECHQFSRSCPYSLSSLLGMC >PPA21855 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:20871:24372:1 gene:PPA21855 transcript:PPA21855 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSYPQSRIVETAYGKVQGRRLVHEGERKVDAFQGIPFAASPVGELRFRKPVPPSRWEGLKQTSEFAPRSIQHAKNPQDYDINGIPSEDSLYLNVFTPCWNAPEEGFPVMVFIHGGGYINGEAKSYGDIGICENVLCRTQEQSTSNLGDTVCPGNFGLWDQVEALKWIQMNITAFGGNKNNVTLVGQSAGAASVDMLHLSPHSTDLRDMAEQTRQKLKRLGVENVENSEELLKKLRGLPAKEFGVGIYTQVKEADIELETAPCFDGDFFPEPLEVLRRKATPKPFLIGVTEEEGLFPSTISRKTYLLTYKQHDALLKRFIGDATPNDSSYLQGMAGMMSDSFFVAGSADLCKKIVEIQEATHTCELFYLFKKALLVDLPLTETEMKVTNIFTTAFTNFAKFGNPNGTEAETELSVRFEPVTKKNPHLNYVITLKDAVMNDELFEAN >PPA22016 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:836047:839615:1 gene:PPA22016 transcript:PPA22016 gene_biotype:protein_coding transcript_biotype:protein_coding MPCDYGPMGSRGEEMKPMKPPRGLPPTERDPMLSKIGMGVAPRDVGFLVYIVLLLNGLGVLLPWNMFITIAPQYYVQYWFTGANNTQTEYSLGFMNSLGVASQLPNLSINIINIFLAIGGSLLLRIIGPLVLNCFNVAAVLVLIAVVDPSEDAMGWYYMTTLIIVAILNASNGLYQNSIFGLTADFPQNYTNAIVLGNNLCGIFTTVLNIGCTLIFRDDIKTIALLYFSISLSSLVACGVTLMIGSQRPFYEYYIAAGERARAEENTEKPSLAQYIECFKGCWVQLFNVFFTFFVTLTVFPSMLVSTPVRVEPGQQWDFFISEMFYVQITTFLNFNLFAVLGSFAASFVQFPSERFLWMPVLIRVAFLPLFLFMNYLPTERTLPVFFDSPWIFIISVALLALSHGYFSSLGMMYSPRVVNPSLSKIAGMTAAMMLILGILFLFDYDVVSPLESPSDS >PPA21960 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:539263:540775:1 gene:PPA21960 transcript:PPA21960 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTLILLCAVVAISLTEAHRRNRCNRCKPHSSSSSSSSSASSSSESHEHHHHRCKLNLRPQRLYEHRRPRYYRFRKNRWGFDNHHHHHHHIRPVVVAPLPVPVFSAPALAAPSYSAPAAAPSSGYQTYTRVANDSPVGPAPAATNNNMNSMPAPPALQNPTPLSIKNNNAAPPAAPEPELIPEVVLPQVLPAPVETTNNNDNFEGVDQFPSQTDSQIERRINREETQQKEFERNH >PPA22008 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig55:817578:818982:-1 gene:PPA22008 transcript:PPA22008 gene_biotype:protein_coding transcript_biotype:protein_coding MTINETIEIVWLSISGIICILFVLFLMFLGCANAVIGCAIAIYQTVKRKPIQPEEEIREEGGKL >PPA21959 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:537307:538171:-1 gene:PPA21959 transcript:PPA21959 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLLILLLVICAVALAGRRPCNRPSRSSSSSSSSSDSSDSHENRPRRRHHRRRHHHSSSSDSHGDWDHHHHHRRHIFRPVFVAASAPSSNYGSAPAAAPSGSAYKH >PPA21941 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig55:470934:471846:-1 gene:PPA21941 transcript:PPA21941 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFSFAVLFLVSFFGVDAYRWSWFRRGWDIDITVEITCMSKPNATYTIELWELDNGSDDYLAKNETQLSKGHGVISLKGEAIDISWETC >PPA22014 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig55:833013:833392:-1 gene:PPA22014 transcript:PPA22014 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHRAPHRLLPSREPRRRHHHRRHYHDDDWSFRRPVVVASGGSSYNNAPAAAASPSSSY >PPA21871 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:106722:110197:1 gene:PPA21871 transcript:PPA21871 gene_biotype:protein_coding transcript_biotype:protein_coding MSILKKMELWRMTSQATPTSTITTTTTTTTTTTTGIPPTTTDIPFDLVIHGNRTSPLFDRRLSLILSDSSKLLCDFKEEFACQWGAEAGRWAIVQEGAIPSLEEDIGPDVLPPTFPAALVLQGTVMLTSDPIRCQKGPGKVLFRMWSNGNVTVQICALGYGIDSDNSRIECFDRQPPSAQDVSLAVFEIPGDIKEAFTLSIVPQWPQSARNKYLIIDEVAYIGECVAPPPTTTTTMATTLTSPAWTEATTQRSVRRRGSTMLPTTIPASEEYEEVTEVDEEVATTQKISIKPQKKTRTFPTRLITTTTTTTPVPTTIASEEAEEEELIATYSTPRPQPRFTNSARVYTTTPRSTDYCALLNCNFDENACNYLNHGLTKVPWTLRNRGYGYPLSGSTDVRPMPTNGQFVSSLLAPGDVAILESPKFNLSSSLNVLLFHNESTEDAHSRYYRPTQSSTIRLCLGSRYTTPLRTMAAFARCPSILRAVTARNAYRWNTVHVQLPPGTTHFFLVAHNSERAESRTSIALDNMRVAICDPKNFAPEEVHRMFSSALAEDGGIEDRVRVEGVKQHRSARIPSLHSHGEIAHSPSHATSPSYLRIPSYSATSSSRLDHFNRYRSHVDRAAYFGSDD >PPA21987 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:690151:693465:-1 gene:PPA21987 transcript:PPA21987 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIISGSLLIVAVATQEFNVDAESDILTEPIEVGRRFSRQAEVSKAWPNGVIPIAFSSTYPDKQKASAKAGMKRWEANTCVRFVNSTESTRDKVEIVNGGSCQSYVGKYGGRQTVILGPACNGISTSAHELGHALGLQHMQTRSDRDTYVTLQTGNINPGTFDLNFKIEATAKTMDVPYDITSIMHYQPFDFARNPQLPSIVPKTMFAEYSKCMGNYEPSFYDYLRVNRLYGCDKKCSSYRTTCSNNGVMDVNNCNQCLCPAGWGGKTCTENPTGSTLLTPTSQWQQIQTTIGNPQDDQLAEFSYKHLVITAPAGRKVEARIDYMWAGDSAGCKFGGIEIFEKVDTRITPPRVCKMADAKSSYTSPSTRMYIRLYNRFSQLTAHISYRFVVMNPTASTDR >PPA21901 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:291021:296997:1 gene:PPA21901 transcript:PPA21901 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFAMPTINNRCKNNSNNNSRSKCIKCSNQCKGRLEETLGTLRPPGRTVRWLKYIRIQRQKAGLLKRLKIPPPVAALDSAEAKKARLQARAEARAAGKKEEVTKRPNMVRFGIHNLVLIAHDVDPLEVVIFLPALEASPSQYTADLSSSQHQDHLQNQHHRHQQLQQQRQLQLHNHHHQQQQQQQLQRQHQQEQLLLQQLQQLQIQRRMQREMELQHQEHQRLLMLQQEQLKKLYEQQQPIHKVVRVEQSNSSSELAAPTTSEISQSLQQQVQQPITIETTSVLSDLIQSETEASSLQQLKQPVILTPKQPQTVKPPTHAGADKVKSLQQQQLKQGPILVRRNPHPVPTSTQPSSASSQKPKVAPAANTAALPLAAPYSISHHNLDGPGSSSRQSVPDTSTQKQPSSIENSGPSSSIDFPQQQRIIVRTPVPPNGTSIAKVATPNGIPVSKSAPVACHSTSTAPSKKQLIIILNHPPMTLNQPVPTKPINVKKPADPNGIPAGQSTHKAGPSSSNTPQQGNVFVRKHAAILPSSSAIPSSSTALPQQKQQRIIILNHPKPVPFTGAVKQSSPVNGTRPSQVNSTVPSTANGNPRPNVTPAASPVVNQPSPQPISIDEPIAAPVNLDPSRFLTGKVDLKSLSEEEIIEMLQAIGVPADVQEIFKKKKINWKTLISLNVDIMTNILDVPEPWAAAISISSI >PPA22010 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:823063:823363:-1 gene:PPA22010 transcript:PPA22010 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNSTLTDIANPVPNRDWWQRFPPLVQLYASYGEKVKKWRRKNHAVNGEPQGVTQRQAFV >PPA21854 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:12597:18719:1 gene:PPA21854 transcript:PPA21854 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMSVALLVTILTSVNATDLASDECMSLEEWMEIPHVTDDELEVLKLAGVLATPSYVVPDCPDLHVYREELKRKEEKKKLNGVNGITPLSSITAEISSVNSTHRASDECMSLEEWLEIVTEEELKALGLVISELPTPSYIAPPCLDLQLYREEEKKKVLVLIKKFPGGEKKMIELKDITPLPSTTLSENVECEDFDTTPESDIGSEKVSLPIFVLKDPLARRSFLNHFNTRSPELNEYALNSYNTYGDTGTFGGRTSADEKIKHQPVVFIHGSSDSALHYSKLATGWTKSVEHFKEKGYFGAELFGLTYGTRDINFSLESRVTCRDLMGLRRFIEAILEYTETEKVDVIAHSMGVTLARMVSKGGEVHFLTESCNLGAPLSSKIDALVTISGANYGMCMCLMAGLTHMPACGQSGFAPGKCSRRQGNIGDCMLDMKCDGEDDYSSVLVAVNSAEEKEAAFVASLWSNDDAFLGIDNLVWGRKTSLVPGSDVRIRKCCYAN >PPA21964 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:589023:589846:1 gene:PPA21964 transcript:PPA21964 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIKKVELDEVYGVYKQLGTGRFGYVKLAEHKQSGHKIAIKFFSRPAIKQNDFVREYNYSFFLSPHPHIIDTFEGMFQASDESSYFFVQELCPSASLRELIEHSVNG >PPA21905 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:312307:315021:-1 gene:PPA21905 transcript:PPA21905 gene_biotype:protein_coding transcript_biotype:protein_coding MQTISHIAMTSGTFLIIAATMERVPIPVRYLITLQWAKLSLVQNHRRKIAIICIMIGVISKASIYFEYNIIHHPNCTGQMYEWEMKFHSFADDNTVYHIYWRLWYRNAVTIIAPFFVLLFLNIRIVTLQTNTAPLKEEIFGIIRNWCKGNKNCQQDVDVDEELAMSINSIPNHTVTESDDCDEKLQSVSSPQDCNGCIVLQDLPEANVSANQQASRQSIDISLTNTNIDSSAGIKLVEKTGGYNHELQKLLNTGKETPL >PPA21930 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:423385:423832:1 gene:PPA21930 transcript:PPA21930 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSLIMNFHTLILVFLSILFISSAVETVDGNRLFVKRSPNSHESVSPLARGGRGDSGRGDSGRGGSGGGRGGRTYAAATTTTARERVPGF >PPA21995 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:722601:723438:1 gene:PPA21995 transcript:PPA21995 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFLCQLFFKNLFAYIADNLKRSGSFGSSIGYIALALLPSCERTWMALVCGFIFGLSFSSGVCGFFTCLLTIAPAYAGTITSLSMVFGQIGYALAPNTVSFVTLMEWPYKWQIILLLGAVLQILSGVVFSIAGSGDPAPWASSKVNLQKDACVEMEKLKD >PPA22003 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:793946:796915:1 gene:PPA22003 transcript:PPA22003 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQPILSSFIENSLTAKVLPSGVLPLNNNPSLVEIANFSTATVVDRDGQTPVAFGIMSKCNSKQAYCMVGKVADKYSRHSVIVEKCEEGEDDKKNAIFKIVDATAGPVDKSLMRPYHAVFAEDEKKFLQVTLADSLIEQTVGTFNMDMEKNITIDVVGAEGSNLDIWRDRTGFVVTDSNRFAQMTVDKNVLLDTFANEGSKCTSTVSTINKDNMGDVLDFDETVTMYNRSSYLNYLIGNEQITGFVASYGAGSVAGYALASKDRILAVYTESTETASKLITTIASNSPSDEITFFANLGVNTLMDGLQEKAVVVELVTRLHTRTRNVQVKWNKVAIANIGLSIF >PPA21857 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:30953:34610:1 gene:PPA21857 transcript:PPA21857 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYIEVGTEKVFIEWYNPVTDGKSKTGAAPNNFDLAVDIAMRDSNRSIGFVGFDVKCSAISNLARSMNMLLISSVNELSGVKEPDTGLAPPALWDIAADKQAMQQEQRLQVARCTKIIIAEGQDHRRLLLRMIANSMVTTRNTTKITMTNNFPRLVYYLSRHAHNALHGEVQCDVEVGEASYPAPSVIQMKVTEIEKERALASLLLERGWDQVGIIHAEDNKSHFHSE >PPA22027 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:884330:885402:1 gene:PPA22027 transcript:PPA22027 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVRFGDVSDHADIDVENPQKGNFIVNLGFLQDHHVYKVHITLPCIVAESEKVRDVSRMIVHTGHLPIGDIVVRSMRAETEFILSIRLDGLHGHLQSKIKMESVEMTVRSSVLKSQDGTPSLKRGVSIVSTLERPTTSPLSGEK >PPA21962 pep:novel supercontig:P_pacificus-5.0:Ppa_Contig55:560878:563329:1 gene:PPA21962 transcript:PPA21962 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPLTSERSHLLSASSEESLLSSVASSYGGMPEVASHHATVASGEDTLKIYGYRTSKARTIAFWTLSIVTLGIFRLFLHWSEKLYIKVRATPCNLDQADMMLVIDDHDVHTIRPVVETKAATGEHLVLPNERGDEMIQVDRFKWFTFRKMKYVWFESECKYITPADIDSTVKVSVLQSSDQSSGLDSTEVARRLLTYGPNLIAVNLKPILVLLFKEAITPFYIFQVFSVAIWYSDQYAAYATVIVVMSLLSLTTDVYQMR >PPA21867 pep:known supercontig:P_pacificus-5.0:Ppa_Contig55:92389:93156:-1 gene:PPA21867 transcript:PPA21867 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNAIVLAVLVGCAGANVQCNSCSSNNAIDCSTGQTCQGNYCIYERTTAQNGASTVMRSCASSSFFKYPDESMLQTVNQCERRSINGIDYAVEVCNTGSFCDTQCNTVSILYSSLSAILMPVLFLL >